From 9a034ee4db70e8caed38540d9a7f3dcae51c94c8 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Wed, 27 May 2009 12:38:10 +0000 Subject: [PATCH] *** empty log message *** --- trb_net16_hub_base.vhd | 15 ++++++++------- trb_net16_hub_ipu_logic.vhd | 8 ++++---- 2 files changed, 12 insertions(+), 11 deletions(-) diff --git a/trb_net16_hub_base.vhd b/trb_net16_hub_base.vhd index 657b934..c18c0a2 100644 --- a/trb_net16_hub_base.vhd +++ b/trb_net16_hub_base.vhd @@ -756,13 +756,14 @@ HUB_MED_CONNECTED(31 downto MII_NUMBER) <= (others => '1'); --debug Status and Control ports - buf_STAT_DEBUG(2 downto 0) <= buf_MED_PACKET_NUM_OUT(2 downto 0); - buf_STAT_DEBUG(3) <= buf_MED_DATAREADY_OUT(0); - buf_STAT_DEBUG(7 downto 4) <= buf_MED_DATA_OUT(3 downto 0); - buf_STAT_DEBUG(10 downto 8) <= MED_PACKET_NUM_IN(5 downto 3); - buf_STAT_DEBUG(11) <= MED_DATAREADY_IN(1); - buf_STAT_DEBUG(12) <= m_DATAREADY_OUT(0); - buf_STAT_DEBUG(15 downto 13) <= m_PACKET_NUM_OUT(2 downto 0); + buf_STAT_DEBUG(15 downto 0) <= HUBLOGIC_IPU_STAT_DEBUG(15 downto 0); +-- buf_STAT_DEBUG(2 downto 0) <= buf_MED_PACKET_NUM_OUT(2 downto 0); +-- buf_STAT_DEBUG(3) <= buf_MED_DATAREADY_OUT(0); +-- buf_STAT_DEBUG(7 downto 4) <= buf_MED_DATA_OUT(3 downto 0); +-- buf_STAT_DEBUG(10 downto 8) <= MED_PACKET_NUM_IN(5 downto 3); +-- buf_STAT_DEBUG(11) <= MED_DATAREADY_IN(1); +-- buf_STAT_DEBUG(12) <= m_DATAREADY_OUT(0); +-- buf_STAT_DEBUG(15 downto 13) <= m_PACKET_NUM_OUT(2 downto 0); -- buf_STAT_DEBUG(17 downto 16) <= hub_to_buf_INIT_DATAREADY(1 downto 0); -- buf_STAT_DEBUG(20 downto 18) <= hub_to_buf_INIT_PACKET_NUM(2 downto 0); diff --git a/trb_net16_hub_ipu_logic.vhd b/trb_net16_hub_ipu_logic.vhd index 0569142..fd01050 100644 --- a/trb_net16_hub_ipu_logic.vhd +++ b/trb_net16_hub_ipu_logic.vhd @@ -696,7 +696,7 @@ begin if RESET = '1' or locked = '0' then got_all_DHDR <= '0'; else - got_all_DHDR <= or_all(waiting_for_DHDR_word); + got_all_DHDR <= not or_all(waiting_for_DHDR_word); end if; end if; end process; @@ -827,7 +827,6 @@ reply_compare_finished <= reply_compare_start; next_waiting_for_DHDR_word <= not (locking_point or not real_activepoints); reply_arbiter_enable <= '0'; next_current_waiting_for_reply <= not (locking_point or not real_activepoints); - next_waiting_for_DHDR_word <= not (locking_point or not real_activepoints); if locked = '1' then next_state <= WAIT_FOR_REPLY; --WAIT_FOR_HDR_DATA; end if; @@ -1078,10 +1077,11 @@ reply_compare_finished <= reply_compare_start; STAT_DEBUG(6) <= REPLY_DATA_IN(14); STAT_DEBUG(7) <= REPLY_DATA_IN(30); - STAT_DEBUG(8) <= '0'; --REPLY_DATA_IN(46); + STAT_DEBUG(8) <= got_all_DHDR; --REPLY_DATA_IN(46); STAT_DEBUG(9) <= locked; STAT_DEBUG(13 downto 10) <= reply_fsm_state(3 downto 0); - STAT_DEBUG(31 downto 14) <= (others => '0'); + STAT_DEBUG(14) <= REPLY_POOL_next_read; + STAT_DEBUG(31 downto 15) <= (others => '0'); --STAT(15 downto 8) <= data_counter; STAT_POINTS_locked(POINT_NUMBER-1 downto 0) <= not got_trm; STAT_POINTS_locked(31 downto POINT_NUMBER) <= (others => '0'); -- 2.43.0