From 9e885e10142009f1f943fe45f2c2d88800d24bd8 Mon Sep 17 00:00:00 2001 From: Andreas Neiser Date: Wed, 25 Feb 2015 12:17:25 +0100 Subject: [PATCH] Revert "Adding HGROUPs again..." This reverts commit ee73bdbd52b4c87e40f2ed1cc13585d8525ab3b3. --- ADC/source/adc_ad9219.vhd | 5 +---- ADC/source/adc_processor_cfd.vhd | 3 --- 2 files changed, 1 insertion(+), 7 deletions(-) diff --git a/ADC/source/adc_ad9219.vhd b/ADC/source/adc_ad9219.vhd index 48c7def..aa7b963 100644 --- a/ADC/source/adc_ad9219.vhd +++ b/ADC/source/adc_ad9219.vhd @@ -27,10 +27,7 @@ entity adc_ad9219 is ); end entity; -architecture arch of adc_ad9219 is - attribute HGROUP : string; - attribute HGROUP of arch : architecture is "ADC_AD9219_group"; - +architecture adc_ad9219_arch of adc_ad9219 is type q_t is array (0 to NUM_DEVICES - 1) of std_logic_vector(19 downto 0); signal q, qq, qqq : q_t; diff --git a/ADC/source/adc_processor_cfd.vhd b/ADC/source/adc_processor_cfd.vhd index d8d27b2..5ab9e27 100644 --- a/ADC/source/adc_processor_cfd.vhd +++ b/ADC/source/adc_processor_cfd.vhd @@ -31,9 +31,6 @@ entity adc_processor_cfd is end entity adc_processor_cfd; architecture arch of adc_processor_cfd is - attribute HGROUP : string; - attribute HGROUP of arch : architecture is "ADC_PROCESSOR_group"; - -- attribute syn_hier : string; -- attribute syn_keep : boolean; -- attribute syn_preserve : boolean; -- 2.43.0