From 9fd81aa59975f04d533516d9303ef6b14cef691f Mon Sep 17 00:00:00 2001 From: hadaq Date: Sat, 10 Mar 2012 07:10:11 +0000 Subject: [PATCH] First release of the tdc. --- .../tdc_v0.0/bit_file/trb3_periph.bit | Bin 0 -> 3825381 bytes .../lpf_file/trb3_periph_constraints.lpf | 948 ++++++++++++ .../tdc_v0.0/prj_file/trb3_periph.prj | 162 ++ tdc_releases/tdc_v0.0/source/Adder_304.vhd | 1310 +++++++++++++++++ tdc_releases/tdc_v0.0/source/Channel.vhd | 467 ++++++ .../tdc_v0.0/source/Encoder_304_Bit.vhd | 308 ++++ .../tdc_v0.0/source/Encoder_304_ROMsuz.vhd | 287 ++++ .../tdc_v0.0/source/FIFO_32x512_OutReg.vhd | 1193 +++++++++++++++ tdc_releases/tdc_v0.0/source/ROM_Encoder.vhd | 262 ++++ tdc_releases/tdc_v0.0/source/ROM_FIFO.vhd | 262 ++++ .../tdc_v0.0/source/Reference_channel.vhd | 490 ++++++ tdc_releases/tdc_v0.0/source/TDC.vhd | 1256 ++++++++++++++++ tdc_releases/tdc_v0.0/source/bit_sync.vhd | 61 + .../tdc_v0.0/source/reset_generator.vhd | 62 + tdc_releases/tdc_v0.0/source/trb3_periph.vhd | 621 ++++++++ tdc_releases/tdc_v0.0/source/up_counter.vhd | 39 + 16 files changed, 7728 insertions(+) create mode 100644 tdc_releases/tdc_v0.0/bit_file/trb3_periph.bit create mode 100644 tdc_releases/tdc_v0.0/lpf_file/trb3_periph_constraints.lpf create mode 100644 tdc_releases/tdc_v0.0/prj_file/trb3_periph.prj create mode 100644 tdc_releases/tdc_v0.0/source/Adder_304.vhd create mode 100644 tdc_releases/tdc_v0.0/source/Channel.vhd create mode 100644 tdc_releases/tdc_v0.0/source/Encoder_304_Bit.vhd create mode 100644 tdc_releases/tdc_v0.0/source/Encoder_304_ROMsuz.vhd create mode 100644 tdc_releases/tdc_v0.0/source/FIFO_32x512_OutReg.vhd create mode 100644 tdc_releases/tdc_v0.0/source/ROM_Encoder.vhd create mode 100644 tdc_releases/tdc_v0.0/source/ROM_FIFO.vhd create mode 100644 tdc_releases/tdc_v0.0/source/Reference_channel.vhd create mode 100644 tdc_releases/tdc_v0.0/source/TDC.vhd create mode 100644 tdc_releases/tdc_v0.0/source/bit_sync.vhd create mode 100644 tdc_releases/tdc_v0.0/source/reset_generator.vhd create mode 100644 tdc_releases/tdc_v0.0/source/trb3_periph.vhd create mode 100644 tdc_releases/tdc_v0.0/source/up_counter.vhd diff --git a/tdc_releases/tdc_v0.0/bit_file/trb3_periph.bit b/tdc_releases/tdc_v0.0/bit_file/trb3_periph.bit new file mode 100644 index 0000000000000000000000000000000000000000..0543b1d301574bb79c45d2f887517516137a02d4 GIT binary patch literal 3825381 zcmeFa54;>@eds;A*@O)OnJmZjV71H=kYKfqpcao*_8buxD|(DY;=L(wM7U6^0s%|y zEl$Gbl-`S#q8Q+NcAoD-_Ut}8`|R_4=b7L9o}JnG9&+9>apjelUU-rBo{K(o>4hKp@CUBC z@XC)|;l1S}S6udyD<-bI^dlek#xA{bg|k6Cq5+aIsdi4 z^1AcKulR_1vwFvxQ-AFwCw!ato+~G=ylUf!cjl!Zp7B^d+Sjjr>*#AvJ#&2QZKH4awUeDQCa#p_&b;DM@9c>yJnxO( z$*&zb`Q(wcr+O!^eeKE4x{v&>H0YE!to=3TEg$(Ed3fq6r>@mameRE+pZtb5ykV`g z?xKkgyno`t4+i~x*Tok*@44v0tFE~8%HNwUneF9U*1cuKTYL5EUO)Oq=aAeUe&B76 z<2-V>@2h7uw=?90viv*rez_aMma`y5~XdGfE#N@`j{C9`YCbDq#F=V0P5Ss%Z4;=x{*dA)y} zbM^(f((*54-0TPSs>=U;u2fse>vFDhhI8w#mCh^g&w9Bhoa>yoc54-vj+B-x`Ji*0 z^Pvm!{x7cAPtI`uahH0M^_D#$E%`@j$s434^5nYA4u1|NGWu$}BL%Zy)e~R-C8rYA zx13BwblK3u7jLJ`;3a| zs(SS#xLsF$5d1wt{kd(kk9~Ddv7Ri!M8-lp z17fKxx7HbIb7@(O!PXwwKA}44U&tL4dT#S3khwW{%bMADW_@`a&Om0%c{G>=UiH^R zhrwKPv>F24CSYb3-ToMpL3aIP_<%VH~3%su#swzm4V7K&|bX|{CXmCV+`qd_3>+ZX8QaC3R0SeaD+3dhL5 z;qt}uZ=y(X`kAb6bIq>`2gGK^i5(k>`@>^;lRNY(?Hq)NJm_uolHGxXH?Q;Xx;N3d z`9^Ywlw?RZw~_G4Zr`78diX}2Oun)(oHxm2liVR%EK7GS^^DXVeB|=kY}eE4vR5tX zSAre%GDvHK&PSMZFxz!cIbBDG7xru&*|2}_$cB9z?~{Mq_B<^AwvJGoekNaSbIo5q zj{)(Gehr^D%ZPo|hJ&*Mxo<7$)wc8ehJ9CT>ryYLKKnp#W)_{#r>L>}1oSEU-T#=y ze1gB2HxJ@_dpSq{n{#w@@H8i1-E)5AK%O6|Hst65zD};o`PDtYrPYv|N4uq4)uq*6 z-zl{iv0ZJ+{My~QrPUE5PyY4bBui)Hf9U9-W{H)G{w{i@p2eN1Cyt#czPuBa6scsc zwR7WexpONmsV|!uaJ6Joi zsdiz_3vc(v5;F@Oh`C!7fh3#*p;86;wc?`sF<5U_KP>O&?Z?OK=#baBLs6aY;L5+@ z@|gUaC{kRpnu*7NuzG9w@vrOXaE>}=vF}`U%wn59W^t1~W5XB z@V6aj>Ki(DC^<5}-r_hi%NlaB@}0xDqrP*X7$!*?5Shr(boGcW**0QxJ=`wcEx*dl zLiTv6xGgG+_F5wd>n_DEw>Gx4q{-_owr$1EsL6BD(wo#!fEt#-|o%`zBT4~WNRhtKuukXP%a zyE)h*E%|hRThh&5M(XY&Z})<)>*(>lr_mp{$SqU#A-A3k8g=LAW{$_)Ymf$>{| z=-?OgV{X=|6!WEg)~ysBPo7sCiU*X*P#4=RKgFpXC!cns79Vb83ZqhGJWpeCwJ|G9awn8iJkT+Q)-)C4(u1%q0rB z&1yI$=@w4^@(ZS&cffxeAF=g!*#a?uw_RU`H)0k&e(g>wj zOq?6P8p$2jsoY^y?<$t++(C|eN$x-~Oo~kggg!~K5;aSD zblBJaQ6xHK^~^%zft*OEqk~E;<w3MnU}}~P`F-EcdoYM ze)(i(7ItTk^d17u2$-1#mzU-8vPrtUELT2G!eyV`|N2dxJ8;E%F4xb+=ELjq5B|mG zJ0cEn9z2$rMYFt$atPQ>z|1VP5^ujY<*5seZrig%{%sw3TnY3j-Ut&0gjHKZAc&lL z;TfGfD1}C4E(g3^iM%@|@Hx!u3pbd{m)X?KN}DLEA;kEe$JU_D2JU$-GYh-3$By(~ zx%9ZDj==W+qoV_{mBfiOi`-aJtVk$uKlMc&9XuWOe03lE04#7Wd#b?k)g8qSOthSi z0b$G5;OuyinS}~|+#mpfdIIK{#S8l2#NH7(UU8p3X7R8-WlbUwF2yimKn#~H-5T5zKhMmfKA+-w4S}^a&v;@Hfq(jaatAUb zG9)sjm<);B!J<6@U4_8>2$*jr=l3R-h5!UCAV74mU?nfAiz}uV^>*GoxCF8<Ii z=ycKPHq+@gvFt9i`0cox6YaAOEW5{jE)pG(s#+^m4MoZs?N`pV@+%K9PSQV4)Bq@b z_bg@>1Cr*o*UaD`WXr@o_|fIA`1*WR%;_)jaBM66?ss)`z_N>FcjLVa6wQ8SgyECQ z;WM@BMI9Zm>|)tP8a#|Nc%m2y1z2`%OBTD<-%P1%RnN0x`_Axm=SisD#lLig&Kbm?aHm^m{aXYvAzl5#v3h2~?vvdoyU&>2=P{MZg0;n1b`uh%X$Az6 zM*xpz^47_qwk59zXyB5dtQ6z_L3((JV_NB;I*o?}39N96o=i{7Kn>FqYlP^+E1{Wp`6L zEW4hz>~6xci)DB5mffdT1aL6G-ef)KmY=E z6EHIiG^wf7q%PE(yA^Nl1_s2&sTIq0?hsLH4(Z>lu9M%b))+S_M#0K}C{}E;F=N@a zJ9VV@5NJ*y`{b8(?to<%%Py8(EW0vah=c+xyAnBZ#HP{_n^~HZm75z<7qVj&FJu)v z4<4&?hvwM@uRs6-mJ%?>EU@fi*-eilmUX$xg#~U9 zfIvL~Gqb?5i)9zfE|%RrTSp?H0L!k-w9o{i2}BbZ;{(K$SD#Gr90Cw91kB8W-6y+G zcAqi3Pb|BK4owp+Apijg)DbYbLtQCPApijg5FHo;5P(2D0ir`Z7fK-j0TUgt>@pM} z0D+JImfa8q44Dz_Ob%O)A|%1H1?gFqU1h>gEeMk?ShGE?U|`yIR^U7<}7B zHMpZH`G|%ba?jFJ(k@CSa!1zG+Nq}4IgK612YR99Jqr31nLNw zV-^v$=6xIXZA3R(s~d&Mo`+>n)F@bCl6odscCqZL`L&*7TWy7-^W}^65xj^r6U%O0 zF2z#_K%h#%%q$`SgCN=%L}3DxiK2BI3AsZxO!5~35U3+ya)-K7oXE<#J2 zvf+be7t3xA#V~$l<(S^AMna8qIXN8|AxLcF7vFN{63ec=1~jYnZ*;yLxpWcBE~z5~Akcz< znOR`j#j=ZK*IJ!!lYGipc4s^tKzwzdGE*qsS%5be^RR3PYz^5xF2A!-F_9YtAW%=h z%q+0%?%z8idSTSDsaMCcirZerSaz}OV%b&S&m%)@lp$iut52qQ4gm-l0%m4moj{ab zMdPjlCNQaS36ndppMU@anh-F#Lz7o2h5!WYB|vnrciq!-2(%_ZbZE_oS0P|80TUgt z?Akj7=s5%$2w>T5KtcfoAP^y7atAECDbu9V9i+jFkOpJft@Da1&uENpl;TDOD@;<) z1j}v(sj88x-hg5l#c<8mkaa4>eCchtup;v;9y)F-2BlUn@>=Q$Jo<{@7u;BOvFt9` zvU~lI>}bZ^S%RyVs^^vZVkEW$!z9QZuDB%<9T3eTnng4lie_DvT&pN&BNIKdz_OdV zba4J1ik|J_wR*cB|6;>zBp1INr2|Ib0SI)RKz8*iJ+r{Fi)9zf?r@`M)@u1KmR$+JSaz}OV%c@D z>~=jF;{za&9s=f9SXg#3vo6-m3c>Uc#IlQJSNRcfB;rVnaU^2dO-}|$Pax0@0%m5x z9)vwe%pOFEa45D`zH2PI)1HnzzPd+Ci+c*~PMpWmmpl8gA4CV#=FMqj&@X2-FZTGYc%clluF4M6->1 z5SZA?gxsMfR`LV_5SS%ka);Rh9zg&C5YPmO4h#ecKp>s~(IK7-r4WFCi4M{0jsgV& z5U`v8mR-vS0Nsbc90W}6fMpjgEn3<|XlYY6e6Z|d+0BVASGk2Zd3bYE@6DaxD#o&l zWmh~;IW4*CfMxeBZU-tEqmq0ge7}JyZzY!9Ip$ux3;|0C`2X}k#G^T@NyUdcFg{dP z+Jf!CXn>{=^Twc034=NnzZ%CbAQ{T{CVVrkyk;cj!v zOoxH?zq-=fZ`08M%Py8(EW22CvFz%LabuLlC|hHcWk56z2xHlu?td0T3m^c2gb^_3 zN@Cf?vWsOG%dT8UF%k-}>`Ju8vWsOG%PyAPge7h?2Lg#EU}hFrc5%d}#}UiATxEkWQh8}o_n6l*-(j2?r0nY`bNOaEU@gd2Z`B(=&Sgz)GCxSCDocJ zGEQt7Cs=kRE_*sQ`|2LWFp6OYglq_UYrwLrVgfe^Kp=*InOR`j#j=ZK7t5~v&LR>D zuz}ZfSFm`tPcFL2PrfOq7<`NohVwjk&rvE zlYjsOnh-F#Lz7o2h5!WYB@jf18G8ZHa|l2noD$b;iM?)zDY$sr57F;sa_JKhE zArK*eWj6wYhY)~30|ApeVA)NXCYA0W4PJya7|Sk}-5i!(6vIlh+^87FvWsQ6la}3x zAou!WMnp<24Zb*ei^zD2qZlqadPbxTvz8AC#h~=Wk<2UxE#GV1 zUSGZ3)$>=}`UdEabY_cl^$RpU?`i`ZKaP?G5!idQjt*FMvFu{m#j=ZKw|$nJteF*p=^+?cc5i=Szm5*-O9phKlgQ$R%P8^i z0ahydz~0J#eko@|btn&`8VIC|Ky_w;J=G?AD&AZ&q_&L7M?b7`hZ#=?Jzw1;nng5= zXjV1^wuU9|WM-j4A~y&?pn-rn8!DpKyl=z4jp#;eb)zuZ^RNtx8U-s%QqKg-E|y&! ziRyv0_x?=qneVJ-ptGHHcT&oNS zTL*-(?9Rlb6%;`L0#*}Pa{JLbcR;6$P8Xf7wL0A`uM#jQ-vM%Pyuo6vHTn<Ogh*FN>~AUbFl*8D`A zEL|##j~0WkTgg?5dE~oklJ9C4*65R8;h06tgo~o)1TxKE;+0ep$UG37N$p_S#kJb# zT7`+NOoH6u!tblxVJ3(USaz}OV%f#AE3?GFviq8QnOUSN8|;2(I5(#Ij1%$+pFB-R z2Q0f-cCqYY*{!wgPOVt3qXU**6vG8{y0+?co2+?b*_}>ECPOnI0D!QYi5ODdI)0KEg(h0WD&?c zd5?|`Saz}OV%a5es!g2SPkm8G2TunrU)^Kb#j=ZKS2hH;1}wWOAaa8M1Y!u7V-{F; zvFxH4-qyB%)(%xKmR*@?p$SA2h$b*b6Zq>Vp20DTn3Rel2tZ)T`iFFMVE4)Hlig>` z?(-OZ4#>rF9*BiG=E}S7BX?jY0Rafa5LmfB_!U;nOB6u>0(KJ!j#h500gSXEU@gl7-elW%ChDg*SzEoC*?~Qz9TZTz_N>F7t1b|-N3Rd(c!&VcF7<) z+l4U@OW?#$>)Zj$E|y&^yI6Ly?COhgH}Q&6jA(YEh;uq{PGi}P&8R4Z00hF{Swz&D zLrzw%busSb<$4zsBi}{7YwgzH{?~8n?;WfYh+1t=rSrCWbLY3_vFwsSLI48s1oBT_ zt8#~*VA;hHn;u6j>vFN{GO+C4zWJ~8%tC$1P(c=lEDl+mYzcTH1IzBpe}1Ws4)K`< zr4WFC#RP)uJN$%bN#aD}MB-GJIDO#zDtDOibb$2LJ(gW8yI6K*Lttyj?r~Lg_=yS& z+#mn}2+R`5-1l7_9kA?T*~PMpWmkS@5eWsiZGJ{YhiOcCnDQ{?Vak*59U`H?J@NB8 zI?QGhJc0lOAfO4DYf?u7hSgqCI$yTbD9f5}T=V1(>?I%ofmi}%=aL=FEMn162m$K} zn3;w38~^|T2-FiGI@A;4IRqdO5HQh!>y9!YAOL}oK-9APZ(%79AOHafXac#5{y={# ziDfrsnpC=jG z42ZymCGy?%yE)&1S!RTQ8+qLDu+&gOL7E9X`U({t{te46mfd`#Yqd@P?Da!B`3|V9dzp?7Saz}OV%f#Ai)9zfE-qnQ!tJ<(jb(R6WjvfsJz#ks&4oZ$ z31p8vT+b}f>7vs`r)#ZFw~1LB%dP}p6vNKwsBoB{f|aFHuj-9jc9~gpHBF;(2n-s5 zkA6YVEU@fiW?ihA6@uv@7+7|vUI?yOk7XBA9;Q4@d7&v!AJ}ux(=M#(K}&gPF9aaa zbpqzvP*`@!9mpNX9m3pUqF9+MxS#r>{@%gUVaQkaSaz}OV%e1qfvv&W@t}?lDlBk= z00bZqAz)?}5w+%h8}@BPH(IM3g~^_WWl+>8SYeWSCR0~EpmPUId02L_>|)uiwd}6F z>(beoMR1ksNMgZ52tdGM0`~{MvmkdMcOZ8lcc{%Bu`E39^@ zu^a^KAz*R`EW2oF(b6tLOPjLcgJl=XZccQ$Sawki=NhHKSavbWq8P@nEMnz+qhDE@ z^04gMGl}eWPrYt`0QL8nfPdU|!TAo_qdBWd#fRz+iVu~QwqQFjt9Y!LTsp`do_$_L zhnc{^jb#_hE|y&^yH(5X*!FukSF*?XT3SDj6Y|)uShh>*@CDWD^(jN#co`5-563Z@@T`ap;cIE0Xkx+nTR{|`ST`apOhMh)faMZH9 z_(X&nAOL|B5im0gEW0>j)8mL`T`qQAV%aSqMynO0trR&LOkg6Gyb6}x6s3T41p-|l zU}hFrcCqYY*(GtRO`NdoO2qYa)b-UpieVJP3<%i}^wxl7SH%Qw5P(1o0W-6}vb%rp zi0Fk;$EIE#%PMYr6=T_znHHKrG=XRWV>E%7@?!ESiXZ?1MZnA~*nP75WcL}f`#eUU z19GvP2V!B4N$$W-0s;_dLcrt>O;*v2Apn7R0@>B8xUOV88cHEx zI{`DZ2+k3-9R~e}00cAvEV~Q@2tc3}0h2pm*-e=ymF^%7UW7Cl%PyAP9G2aJFc1ob z7}2cN#aMQ+>|)u)vWsQ6)!Zs=ua#7XGTrQzS6_Ka7etEN&|*cF*6-#nfdsBTEI6Wx zWfxm5wp!%URk?K3vOA4s*F`amVi?76s2FxC#eC_BBRLx?fhpZ0n&hkZcJ=&~hIxbj zNGDS4sW!B}MV4;8Ag}h1z&SHII$+twvWsOG%Py8(EW22CvFu{m?O#g9Cqp0w1kAaT z5w+%!la*^-j5~R`-UY?TcaiT}yES0hl?abz7t1b|T`apPNcQLg1bRgv^W+YF%mT|U zX4b`;Ss|Dnf`MiC_80c+=%Bu2s340&7KbcOwgkM9fn|5)Kfjc-p?a05@i`C}C<4`) z1<{hkiNuM-sV;Fk`eBtj%y>Gc`RX3gETUONv$7$uH7t22GYb_Gxj_H|4Ft^DP*`@c z?4lUn*0z7v4plFfU72a22}Bc!CNM@5h$*ijo1y>$5C{mEnFYH~cAxA%V|JfbTXt98 zbsy(Du&00k1mXyo+#&8I${+v%s|gSttX}d5=D3p)I>ctvPbk(>o9BdhSivJiU`*m>j&U%>nWCqpsa~ zpzWmrI{>Jzn+T3*mXvNZP;2HgVjXo{d0uuXhKbLBuyt#=^nF2e(5AdVkCj(eD96i- zg4HOpv=@hBn6w-a!wjEN$~KY@zB7mp-JZ+@-*UA46u;%G>P0GPM3fvRjcuc3zzK|9 z)0^C(jU9_WXSzZq`G&nya+tW}4h?H(u$i3wk?-^-It(_Zl&y8T!_2vzuMOVv!t&nC zECxKqqWKW8k3jXhk_)u#{uDDSX4YCW>%Dhw+X$0%FuCpSf6%!@SzWPy%RK$FUS)Fu zVJpJcc7&~rlK~tj?n}O}qQi`RSra{m00deSSaSQ(I(I;)i%u7vuC+SdCT8tLS$5wl zktee>C-=D_SMIUZVyk69q-bk!9^I~Uht?SeuR;I<77{SO;MSJiK&`oL!#?@a`6l_d zb%bJ=Y-B*#x;0F_`YxS21eRTW%)+fq7KY1X@^7L@aiAN82?N5ytzqRwZ)Rp;VaiCC zA|)uK4S}t}+3_GV3l$T&K>z~v z1kB6=%Py8(EW22C{2VB)LHV0;wZ#|9|M{ zfMu86C%ey>-KW)-UH8P#FFJQfUBskA5P*OXaG(67V26Lj&oF4PiD!Vs>e$%3rkA>svkZX7PxQRr5?XNEXWW$dfytV15mU+R8a0*7>aMGmG%y*iuVfa1sU#CF zQLUtb$?PaFEt!3fsYKQBJD<_fK?^g#E#}Mv2d_G){_H)V{+ut*ub~(w3<$fn24gv$ zT(6@;Aj}kVW+AsZr~c%XSF^01Z}KRH2?N5ets&6IKD8={4%(wx5P@dCR2G3|F;i3? z&73?Rb18<&Tm#|+#!0HSoxp2$_)CK5Ad?Jk5NLrlZ3GJ(~OQXyS}ahcgoY=bnddy{UFx zPOS(W+zaw(%5?$oXbumc9}%e~E3F;*sr%)VnOXEWUE|{*FdziXmE-VeZgCuy3OQL3 zd5k+s=4O~=a&cK(Ud6e=}7LYdoG*D zW%C#ivLWcL0gtAN3EUt6fqDYI$OdJX{y#1qJ_Ha?5^mnnq+1nebXW)@sY)ZQUL&mqu2An~*w(pYw7ui%cC zR2;}SkQXt&vFuu!GtzAc%uT?|EY3lvi((kRGA`k*flC<6u6#X>Iu=b}1x;X# zSz8~(a?f))8*1(hizOgnBLOqBKs3u9BxVnSmUezx+LSGAEW0zFj*Py#M>LCQ7SXJ1 z2y6}6J>jwnmpQmR&5na%ovCyM-aq z3}e}?-5QK#cV@sc9-0dQ2y~r5cJ(Sfv%s>8Wf#ltaHD9}YWXgfT?xQgc2NvF2#Fho z#8`H_o}Tdm5ExVf=2uu)cK2i1#j=ZK7t3x@zMf8*(~Rz5OrGeZF&WG5pyqqD8v?x{ zU}hFrcCqY|PYsiR)FdFrvODeRSmdjFEW22CvFyr*z}DdGcrf@CmWm79AOHaf%n~p& z3oN@>cCqYY+1;~sBoYd+>|)uK-&vpuEMO08v|)u)vWsOG%Pu-ybh_wtt2*5#TLYF|EW22CvFu{m zjhRwW)M)}4VUPXr)$5$y9mR&5n zSaz}ORxP_@+wbA(FMZD6((>^QuHCeB%-VjGF8YFw4v1zE%_5qO5zXFv=eCX37Gv41 z^@`$JEw2;V>_o9F|B&xa+AZHTmfdM`J8cbhPxOsG;^P*Q!%bhCqAedQ%x5Gig$ixpW~zni-R61e)X z;E1O7Xkx3yR*S8+qqf>X-K3(H-DxblD2DSWhEWWMieaZx%$J@xlCz-_n9?nxNxph- zSI=K*m^bK;bRxx`YD4Q=Wa-um@@oGGoHK)E7sW7&VHCqLE@3RYa`hLCvRm{8%25oX z7_QkGu`L^;vWsOG%PyAP zgeP(|4+4oIU}hFrcCqYY+1-X^7t1d0J1o0r%6Ds6c8ir}f=Dd8iAv&V3^2LcSaw&EX;_+R7|ZUAr^A-7?h(x*nng4#8v*?nT!#j;zQ zNs~LUpMU@anh-F#Lz7o2h5!WYB|vnrciq!-2(%_ZbZE_oS0P|80TUg%dX-Xp>C$ru z3?>0AyMwvjXf*_SMZn|^Saz}OV%f#Ai)9y`E;?Owx>cQSldS>EE|y&^yI6Ly?DlG& z#^*pFO$4e(G_mXsst=WRqekw$8X3!O1*vK%Rn_@rUS=9Ffr*TRAUa^#O;aXFUm(y) z0%m4`Xco~dqS+YH?7eqx+h}bumR|)u)vWsOm4e1_zfIzPZm}3@LcCqYY*~PNE2g@#& zUEFt;y6@Vy9%9+;Rer|jKwvNln3)BZT`aqWws$O;hwVUREW6X5j%~iW$FhrM7t5|} z2y6|`jt8;qs+h|)u)vRj);lRL1VfB*!V5HPtzlUFH*00itMKyfY1YA`#oI!WndG({xrPVxiM~kn!~4dkH9In>*#=G z7t1b|U2Ao^Oz|V z1kB6=%Py8(EW6vV>|)t1Rwl8+VTG%;!X?LTo1B(l%8SXTD1rb46ah1{z_N>FmwbwR zsy3e@cVH(00SGi9U~-2huTl&F2-r&?hz>LM0-)y*fIvKf?CMoqS27+Ar4X>4fSFk| zyOOBwc<4U_tR#SC*UHsSryz;|{a|)52~2sX{~E_E+Mb57AOx%;P(2$8%kH51P-!=I;Lh8D zqn6!iEV~$G^VS+=kxMtagq3Bt^u&?OEUZcvV>^o=Hx`Tah4=ghmR%IXD27oC$0&xe z>|)u)va2o!G$j9GM6*^GyI($;nT7QUBmf`~OTZknh^RG(oU8=VaVKAE+@u&LH40V+ zMCu0wmR*UNSaz}OV%f#A8=F2+2muJh5->9hEW22CvFu{m#j=}5rx`|BBzF_V4*D*! z?8YWj6hZ(3hJcw_bTI0$Yi38zj=ZBg@_ubn#0sfjdqlH{W)aQGhQQX4-Q#jL zl!}SmAOL}S0%m4`Wf#jXmR&5na?BzU3Z|}lK<5sa@-XFL%EOe0DX%_#;yDB$5KF+! zEXWlAA-Bm3)S9`BS1vfNJTE&G!^CGm*t#`b`o17KXj5LG$I55L4di%Ak7E>B z+KWRmOc)Sju1y=s3rm9N&^YIy#7Y7!wOA!9k=WbmM_)niK!&u)9pd%%1Xq)0r6SGx zz*R(t`mxQliaDjst8JP>H2K~=jD;{za&A_C@DSlY6? z@7$3M`!;S<|MuJ@|F(`$3=;-~wOhl~tMAg^JLJ_Dl@3o%VF7@zp(+T`ap; zc4b3gYjAcv$jm~;L~am(Ks^C7vxulQ@6*RD9@fV!C`P`EeAim}E|y(6Sc4`IO(2@U z7)_vi;^&!J)aO$?hX4c=0W-5;_sQ;)-Dk}1^B8^h&&B%eAL}*}atC%25P(1v0w#B8 z@+!p;fPlROhz|Cy`$hJAnf$76kyY^aWD_|0i~3thPq)%n_nZyI*-)GfB^v@;L;cxM zuTb$p-!e=7RQ)|{ZSYj?OaH1KW*!R*+&Au0k1r1kaz|aJ9=|*+FqJHu4ogfWUknRO zB_9e4OeMb<7E~*_KP)kod@d|7m0TJYm`Yw27E~+I4b-KklAFUtOeGhE1*Vc?!h&ig zYr_&#$#r3YsbnH7FqI641*Vdv4NR^M7crImR#;#v`Gv5cT1f+wOTtA=CGQIhOeL$r zf@&oVOxA~sm`ctG3rr<14GXH3G%zWLiAbgRZ@)z@^vIsPbnWFM&=H&U9OEF9s5O!@1f$C@d?jU!NNd`9vEQWx$ zm^bs@1QPI%yDo?h+QGd}Y0U_ zQWPB>9UL7UvJ}IF0a3APYtWtDeh)K?1m<*u*reHmaf8@;+T2K>bkP@dbikvDM-z{x zwZhCMwop8pwdO!?B}*g@ri~k0uh);W84@s6-Tx zrtB2(XyVbtqlrh;&is&GLttS9%*;XyGxuwc=5~Ee>K*!;)Q^ixa|6X2VX}t-F=Ely z5a?s4UU)_y(F}x{=%6~H5TX)BqQm4o zH8~F+O$q;=-UayTp3CNO**pe>YzTU5sK0WY+CsQN00IyY0%m4`FcV=W!c1$0nN8fe zcr@{7sv}kUXqDC8CpuqlFn-~}B7=kg1ey>qGYjh!f$S<8cNH*!NsW=7+@VR-q!j`968=r;sBme*b2-FcUGmGFH!MfLY3IPb%NgyzO zjxOa_Saz;|dJTcO2$M#ci|(`? zGiuhxvOCwTYcO9gsb*)o*>#%Py8(EW22CvFvtn zYDU!%NG*Zt5lt++Saz}OV%f#At8*!gvKVEnMp*_#H?|)u)vWsOmO=%x}fk3wj zm}3@LcCqYY*~PLehov5ue;csuqJOhe|AzRle5MTjImCZhcDtRIQ9lF*n}C^Fs8~`# zG>d35>yYht#nm{yxXaZw2fmn9ylPR7<00M@9 znOU&=WcSJLGiLXBj2xY@wwT<3{R9Lc(1d`=9h$sKF$5rBF9D*1z3ZNyL!dPQqC;yw zyb1w(37F`BW!K&zK+hr2Kmf~b0}=`#0D%YrlRIG9#j=ZK7t1b|U39wWbkXTnb-GQq z1}wW+cCqYY*~PLOnM(0+u>>+rD)5?=Q#`N)zIJx-3vMjCSaz}OV%d$e?9MnkI%Ls} zHtI%U0uu{`#LBWew*4Ni{xYzMUw<0j?A#c)Kh5D&yGP)Z+jVrnvTKt)6=^Wi;H@Kb zZ}V7os

>|)tf$8hv<9ILHxbiRDCI00*%)0pyN@+pcS00Bk7%q+0%virocYpqVVN#aEA zz)k`J5NJZcS6NdU{Pm8+djL!fN}CU?NHi)9zfE|y&^yG^{J6eHh7zFU>=V%f#Ai)9zfE|%T4 zCt6l6n6t9ibb4+AH}1r;JEW9>E|y&^yI6MPEW6WKc3l+1C3LzL>U5h}q?Kj2^u&>z z4K;U$mF^{iW83NOBfVP)fp>ff%kJE|QM9yZY1`4#rfi&G*~PLeSAM~=i)9zf?n1IF z-U9(!37B&wvFu{m#j=ZKS2F2HD8RBSy9F$}Saz}OV%fDdL!{pjSO@_#v%s>8Wf#jX zmR&5nSa#>U39wWbgMeuCR+oRT`ap;cCqYY*^Nx4c-WeN+v?>~s~34Kbp#%L1(sbbyNgr| zUtdpf^-{G@uGAMJu^kvDS?$rh;+9BsKs1YJwuor9qPC1N%eBi`c2k!LcA!IEt2+Gn z7aL|Hx%lNM9WVlCFT=8nWq1GGcW>CYF=dWey0cg{GL~KW&1zZxA)1{;G#ewD9g+*T zTU+dY`DB$l%nVq@LvtYjfvywCu3n{Q77?}Pkdu{YIqu|Tk4iD}UF5sgZVgy=B>-dD z#j=ZK7t3zfb1^;u0x2S3euaf)7t1b|T`ap;cCqZ@&9%^*JHKI%Wj94BAYFk#7YLY{ zMMSO1j)fgdjcb(wVe5b}mfdMj2OeMDW7);Bi)B|f1hxie$AkKO2Nf2$K>z{}h!8L{ z3oN@>cCqYk!?KHIw9hEW22C$)~XFV%d!b zM;=1}0!;~++@a~~yZ`|R*h+xtVC$Nv-w>FK0MTJCLc9(ETM3xxfMwU#0YJYY5JLdV zZVVKPAOL}ofXN-O>|)u)vWsOG%We~|D8|)u)vWsOmoJjGYdj!1h zRZY!(A>bc(UGNKTUj@;1DvpenWsianbzpp`tTaY!=Qr2Dvit1wDmu(KIyzw4#j=ZK z*Tu3cieYUU-hK~Pf9Xrsmc9>daP6kAiKmY<&0%m4`Wf#jXmR&5nSa#>98)a!sc@~=Tuz|V1kB6=%Py8(EW22C<(Nez z6ii+9fc_O0raVk}nDQ{?VakiipeTX>1R4pLnFYB6xdXWaxdXXFV~C^#0uYEHU~-3; zS15u21neddM28u>A<%mWK%kL8cJ(T*E7^#J5(q%Ram>sD%PxZf0uTrZVA%~(@Bji3 zh#_Ed2Q0f-cCqYY*~PMpP8XdnI^C*Hx5?IkWf#jXmR&5nSaxHkRH-d0sh(xJ**&km z@{%ry6t|(piY%?)&0PWsTzyz@L=(#{wpwhpowwBv>ZpoZcBirIx+sQG45Jth6~oH1 zTYBP1&W1{0O1Fq6`Rcu0J%6QP-k?9yi4=RP4XtmHrCTq^tNkNz&Ww%@Saz}OV%f#A zD_4JsgaRzPSa#*Qqg(U^%A2@WDK4)osLL{!<)2lku=>`L^;vWsOG%PyAPgeP(|4+4oIU}hFrcCqYY*~PMpWw(_Z3|SnqxQQa( z$cjFQ<(}tqHdLaLIT{0j#1Jqu3!){K-2#adiBnDDWGuTgo(@;Ox<@pNXcp0|YzS-( z**(lGR7m6o0SGh@Ff)sYTJydQ`!=E*t<{afWY5DgC~6d}FiAZVEW2`c7@9ydfoK9_ zG=c7kpXZoGLqbIX1Rx*;%*=w_C%aE}pE0}7V=9yK`^<~=Z#1pjNXQ-7M?e4qO$eCW zp~dyI<)4)s}Qi4fQb%Wy-KOQbm=(+29p4m-N9UMv>F1v zB4Ba{ZQ1?SQP=J~aPYE`qn_S*VApeR65}StFkwL0yEVM-=fT-fr9y6#7pOIJ8LwP$ zTzOu0D29p8fUtFIxb%HNbkL@}K#!GIRw&2Ii-OfCva}b6Vwf->#$1~=k{6b6CUvi7 zaeNL028%%Th$gv%P5T7$K=QzL^1y2DKy)BFln5FGjhdiQjShpA@z7cbK%n~s%*-O9 z*1Y%5ZE~THhi<*8*0@PAOllOY42aYZ2rRoc+Eel7+Um`n-Yh8>x`C8*9#W1N+ureT0KOnH|N;Jo^i)9zfE|%TFO6+JY1o}(B z9JA1t-F@edsH@fME7sqnFHlY~Oc)T`_E@?#OuhOp{k?1nW00ALDbYK`j00Qv@hz{{w zD1`t7hz^Va2tXj7fQb%ErVbpu=G)giz4O4qs}8C^d*%7lJI|Nr6vKo8VcFL3t)s4$ zP5-jV^}#Q=ONHDfZ?AjUv=k)sBLGIvigFt%(GEElqnv_#Kumrw#b`TwO?yyef z4x>7ESgLb}A)Px=43i=QqGHq55abTeKChy~Ofa*^>Y0U_QWPB>9UL7UC@#T-0a3AP zYtWtDeh)K?fld7S)9~PjIE|m}HWpDb*aS|wT}OxS|F(Fr_TPQCTw3woJGY4k>!Dk3 z+Ou_pVwf->tlb)>RxH=ip{!h3TZ(dp!NQPMAFb0aEQ(>mfEX@Yx;408KAD-tU}t}{ z9s=DWV9u2+Ig&SQaU7L9I9bUX#vSF*q!=a)2y3?n_rHEq=ML_8N#f7wsN7|i=Hxy% zq`qXL7$yt|YqtjH!DE?ONEqY>0SGh@Ff)sDe|j`l8MqJRK^1bz}Z zfSFmOH~z7IXaAn&{d+gZH@O2l2?#)-2?3KkG9U0lMrgpo_TRhO``?3SK5l9`3YsiW?CaBSOl3iQ8`z&pOA zqXRl!bh_wtt<~u^F>7PlmFsNc62>KrOE|_QjAgemouUK+5YPn7F$;9M=ycKPTC3A- zV%Em8D=`w6FfL(S!Z9vkEW6~85P(1v0%m4`Wf#RTer4p+RkJph-2!5?S~1#6xnw^~ zV4{TESazGFQxro00-AuCSzy`4vWsPx#HluMGM3#LPlpO$-DBCsvWsO`HUzeY>>iij zS*V!E4FV9TCtzk4=ycKP;#b~gt4_CxNEyqn%(T!1q8P@nJltqh#FST`Oz|875HJMH z%!1t~yH9qXF}u%hN^BEfH@O4*2?#)-2?3KkGv!`T&B#QHhi)qbrB*NUTIvWq`idYrVA;j8yI{-i^+O_E z9CK$0u3oC18)55gF%sK>VG>w&uec==9T3eTnng4lie@F*&RaVmu*aMso4XQ957*&R(W-2Q0f-cCqYY*_A8SM?wLXUAg)TmR&5nSazFRc4r1G`DN}vWsOG%PyAPuIFNW00dG*!2Aje%Py8( zEW22CvFwUObP_8ZR=8R#9E#!cnc`TUC&1_1~_V3vTHSzy`4vWsOG%dQ-= zh=c+xyYek9nm{yxXaZw2ftd1U(VieH7-gfDU39twqtk7& z%?G)|h2K}vVaCx8ZY;ajN>!~k%F=mdOt1ATE6eWK_IsFFBs#kroW?b{b_b{Fv^18$ z==bi{(IKMNy!Xy+8_|u{>PBI*=b>9~g2}lf8*H*M-}cEPbaX&8i)a?nY>a4jNbCky z7O$3$yQg!^A~va_5CRY|=SoJ@nnO-jqUE@guQhH`43ioKD+40+0|Lvg>>#l0V%f#A zi)EKw5dsisi$G2-_0)Uxw~|tG2UOwP>7R*_Hi*r}quMx<@gLVweFT8-m^%u7X-Q|nr6y(8DI_FOO zU;KhQCZ(bX0uXS_%mT|UyH6~;SaxfZ8!Wr*As_&OcmnQ|-(+SHkA_kR*iImrS@pl60D+JImfa8q44Dz_N>F7t1b| zT`aq}R@-*1g34A@+Oq3EWxkcvE-ZAq=ydTbyH@FRn{4yilvi56n`0I+6RrU+Dyg<- zy4eA*zVebTh!nS>#fmJg-_2bD30!?x5FK>x(8Rb&G4fr!xr6S_o!?d*oVeHu`7nV4^I$r6-PLW|6>@ZV^rL)qA^o{z}8VL4TwZDfUzwTHhi|w_cD} z`$yoM866$4?Al~cMH-AWc_IWp|6?s33}B7{79nts&SNuQIJzp35(WZYlo!pWcnkpuL?*~PMpWw$nyCU=NL zN*+Q00#O1ccZe487y=N0fDj-$Fbp67fp`K$hj=cOLI46LI&}3arBH?d1O|%$mfgWx zU$hniy(VCC2Q0f-cCqYY*~PMpI@VToENi~)HIHStf>9Quthlb4h-R%W#|1xZPU$uCeUS^f+VT;~)Tm#1qJ_UZt;C zk5?4SE{fsdGK%4-Vi?P=1aK_7Saz}OV%bf6E=L0)kRSr)m<5(yEW22CvFu{m9Slnu z7CtO|eYEgl*-cOiM^hk>KmulFfn~QsNA#_7|ZUorvsO-?y>A**~PLe8vcCqYY*~PMp5)UOFO1v5+ zUgLnkvfGzrjSq#uAP^W=jT~L8&xXRXi)FXaxCbH4vYH8&U5SvM3X@1x@hdy{m20*J zEW0`~a0dYhG!ih!EU@fi*~PNE4a@GT`apDOuDEF0;wlp&XtU)HHVyR0o`b=ZWJcvaVHNG zalqJQW5%*8@gK`BmR&5nSawsN3erIcbdZ3VSzy`4vWsOG%Py8(^lw({-{^chiedSN zWwPL&=W;ew2U9VsfC@9%dGLopT2P2-FiWGmD5?^S%xHHliDqFK=OjdDw3AFqYj~HyFa! zE(u!!JAhy@<<%!sJcj@T3;{EF7t1b|-P%l=+=2ZB1R&6afXN-2yhT|7(c+=o3PGvWi@cUP z0*}6e+<^>fkvqie>j|zV%}Pa@^?|F14)tT3X%%xynOEC1g=q4%0W*u#rGty>kk{(% ze*B9Kvyoi0SI)RKz8*iJ+r{FYm+?{X)w~@iQ?SbJeFMvz*u&% z>|)u)vfK4sj1Pc7iU^orVQI_mzH>*^)#~*X>u-{))^8o57$yveZF?-;8m3--m;T-% zufDdg=&$Wv{k8p={)(Dnm=qWgWm~s~@4ZP!hZJQ)bOizs=nMffv%s>8Wf#jXmR*@b zL_&eF>`r?+*7)ik%dUfB*g~VM-U3+j(KYYvc#xTe9v9p}00MOc%*+C#EJj(ojk3t4 z_dKkRQ$|7omR*@?p$SA2h$b*b6No9VE|=md1Rzi)U}hHVKG}V;`;6Ir9;46xxmch5 zW8FqV?obVr{DlAn>Ij(Jp{|st5P$##hzm`bh=3rr=S4GT;qCx!*pO70I!OeL3u1*Vc)!U9vtE5m|nCAyco)Ks!Q zT*OpzQ&?asIUy{lR?@(v94=xi`IE4~RC0V+U@FNsFgZ0`#8mQ$u)tKZIxMJG(!gYv zy{Y7n!uOa;jtdK_l{7FJ4i_<%$VGb0%~VpXK6k2>G%(4Bi7xSgE2sDeCqVj0wSz7#Aa{tHg-`~8c@XgCu`G)Y0s;TH>w@T@9o*|w?l7uzhow4q7}B`|#V{#$ zVL-^318g_Ic3>+Ga);u(RCJgzDoH)FP*aMcqoaePqeGTrm@ptJR&5Qsv)k`sW-$m! z-T<`Goo^fG{f7B|0Lw3qK(3%1R$UZn3;ujia>T1jk^k% zz@)}VPwv280s;_dLcrt>O;*v2Apn7R0@>BZXA%E0r4WFCy#&n6 zA~==JUJUdc0uYE02;8HiOZgR61PTu!0D+Kz$sMrl;u7wGOZab|J1DkVJ=g+|D@x~N z`mBv*_bdNzkUL=6#UNZ3*7p<-K56c3{f8fnyfoEGr!kN~#-~ZuZ5iue_uSBE`)uSIesJjVxU) z;&0LjR6ZNY9nk4weeHquHIh5Py9c}%;>r$khdtXP(E*)q37u{abh>&P8;n1A_kj08 zT-kcwSNLKO9g>#Q(4K`7@YNf;dj3koyg`4Y6Djso8(QBYOSfK-SNlicoEaS*u-5#|*XgU_GX|5k0lJTUo0&!bGBrW?k};UH zG3aDDW-$mUUb@4mw>F!@Y6|>%kUyTzmDAk2AjKHNK5yciBxk zI$+twl-Dy;UNG470kYR(@J*(wSFauSe{9jwA+@;?9ZM*IHM(6KvqTnt`fLG)$WzL`WaNdSOQCNLfI#Muv-IhYF8hJ=iw{3}>@KJznZPSI5FL`d ze$v-Ai4M!Y^U%`2cYa~)Iif?d*Y9cnSx1N9T)Sj9mDZ(#fJBGC{(FfIONb5$UY~(w z_YeM#+#$g$DQ!)Y?;#~RocX-WEHcb262ErOeXEWRSauU(*?r0F2flR8w|?c?odGaQH+0AJ&RT2hU%a#PDvj6Y-AUY&|3S>zGgRh4# zu%x$iGuZ_GVp$}2z_QzC>+8z{}ukz3S(P`=T{XTp5-7imnFOx8;Mi#trPkr|Hb?$)SwBLr)8`ZT~ zOOIW?dTL8?BDeWQBlgwBsardjYs>ELNf&)_NA<-Wc4fQ2`~wvoX8M)7@VT`FTu1$G zQT@8vt9>C|&ph2dKV6+lUvIJ}P3hbLqinZ~vW|E|+O0cN60@WuOYcs<@Anf{^s?PG zpG>8*`|iKh(E-D0A`GWPrIK@mBSR(IQ{}DG`_7ko|B-#@<$!;r^ZkF;(II_V(LnZS zxlAgiRLk>@ul}U{#!rKjy@qeUMn?xMyZh&5A?@DMFWd0bb4S)+x-rH6Sv}aEIrmh# zRC1vfxAATqr*bNi-g9>d1^b^ zRg_x`+4C33UUj1Ar`1234fm?oPpoG6OiGr~dO-9w0dYU^2Rb@>!!|z@{`r1jj>&YXwgxw9k1;FS)-!^mfe=R(cU?EcaCg0xc67&+x*^rbg6y7H<4KG%8R#SI^R`KOUfARuZ`1I|cV+8<|;jJRw_ng;_lf%{xodZISa9PKbB*kieIZ(9wb1At8y==xYC$^ueHX z?p5_M3kF+42HRX2k~@9}xkC?w@mwDz-{a?e^?yolrIhw7rQLesWTHcYR&B;r$15zb zQ|BCYKyzGomb;`9IQr#8hXk#gB`-Qlo^w`we0s%nf?CzlrYMi5a$z~gp7s+wnjJ;k z@yZ_h9?_xWP#0d|rf)e*Ud#|37EX|Nc96hV&(YB#IA^Vc^-|S>2uSXb{akj%_npJj zoICu@H{N{M*Owf7%46gX3mPRocvEn$wENfO4n2U~?njh!PF8YK3G5PzHva7 zlanK5X7M%^9Wu-;62H>E8F)0Y?Dk4D+eCc&^mA{z=II?TnG)sgw~pF;@_~aNz4eIy zyz>YDxbH`Ae)<7z!u>l@;vP8o`h)6ElS=wk{9wDP-ftfG%k%c`JhbzN(#sDYw)Mcl zE5CHjw;!zU&i3EGDv7-u5J7H72C}y7{`9ifJQ3s$Sa$oMGTr2zRn_Ubg(IW^rP8Nz zo7QHWd?8oK{gGELI0Z+FKRbbiO`LZB7VA<`n_|$X8+=}C0kRNlhnMoxxI^3;zjyL>% z*VjJOA>F|7vMg52C{a~K7rT9K_iRhn*0*G`p@B|O^>WD1i1BNkU&$C{QzE;Q9I*Xp zi8AFKQCfdY5FHXf1xkAZ)%U`cnoe#yLY~HcU0kYJuGdf0i3$t7dHQsYH9@aR3$2Cs z^@zZEUy0-n>y&{H@o90X-XV5hWg6j~SuNRs?_7VI{5jd#ExE&EkI0|Hin5oj)VJie zJ~S})BX`H6GR2ei?Jj1#{3DKY#2;s*mj!qCZTV4oP^quvHH^UqCcWM+1IzBd5fvR~ zj25{geoiXUL*CILM#)N}w%QYGi?@V6R8JOLCYMA}OFOD~*cq-AYnSeXJ+(7yXSUs{ zdt2&zDeYb(n|-y|EWNv!_3~Nw)6NacxncZeHZEd029sGxWn?ZlWg{jZ0CI90ET-Q%8pdi#YGS zbKAykdmg&=rafC1Zu6uPMeyrePgbkp<&W;Wd&AwotC-xe=ReX0cB_n&?_45wfc^K} zy?+1Yciedb!zZ!Z&eV@?(a|Aw9#{EsYQMd?`nu(9fW1Rq=4D+rf7Yd5seDQCjuR%F z+^QRX^Z3ca+Ox(BBl*!{8nR%RB7$>eg5clcp){gFQ-_y5oN2%{n?@+3maabv)zb-0^>KoYLs`B!BQ^^KsnVP(l3} zFUkGrsN7|isxR|$L+UHQ!7R>6yHy|FUH0S<&A@kOP*+1c?RGx>Uzl0QP))=w@8t6m z79<3?-llP1+LV!4q5h`kmemI~N@0IEZV{qL;)6eUQAdY!_nb!A%U><4 z;J3c>3kucmZ@6^hf%W?~-tn~^@{Ru1k;eyd?fkHLyAR(wGQR)Z!#C`^9Lw&WM6P0E z*|>Mn8ZR*h2Mq{_`#cVPp)#^T{1S@4{alkxmq zbZL1kZ;+d>?!rpsv8M_s?gtZR{joYa=rzDyKM9zhDICAqbKG4+rRrwU&n9)@v!!3N zH*fYKkCI5>*(n_zUeMo)<67;ev$T2LzrRg9u6OMD^Ebb+`wvg8DsR_MY~1$859?zV zX(v{Nr+T2A8l}^XjlvB3JR$MgO9s!_Dej@d5F7rPCo-cv*SW`)vNC6Lv4V zJhOY1|Hj-Z=VhZ87sk(;@KUsP*1!GI;?4O{FSl7NyMC==m@%j}!UQpKf9tEvERvDa zsk!zeS<&m3j{k)lJeg=`lWI`#RN%DXA!zk?E89Mxj&nQcvdzeC_q*4t?J> zJHzFRwSV?coy&_kao79TuUo!pb79=MSf2^d_XbKUI?zhXDTOGR?Du@(TqVwu>MTa-M`;)%oeB+hxT5;l`({4HK&-|CXSV{zb z8WBg}p5RRC>WnaM5z2Z);K*C#%#%Ry1DHSmJh{U>!F6l#Up+K)==?|i{Hbha z+WFzM^X^-+AN?0++3TF;AL!O6_P*XD9B-}ToT6qHKa-ioA!Zi6UkCH}#H)|j(E-bD zN93dnujCPB+1>dPUt4ys6`k&75B-wX>3&R#+m+b%%d#77;N}1EW@-07K6lD_Pw)KT z)Pdi>=G*sPyYuS@Kl;#7PpD$mODX=R-+t|U@bw@D6Nh*7qZTPjl3;llBa65HOnRu{m;&t;_D zuA5WcDcxAvRLo@87nW6W%h$X^idA^RFcD>Y7UGeyRM+JGrbce}iJv+k8n% zxFwdr*?uHH;Yd9whcesc<;SiHhyoU~A`L#= znea+^z?@n5zu6|*V|i8xXl;KZc8Tm=j(&kc`+uZrQPm7{UI}p z_^hhOrQYG{12fA{lKUY~%`A>M#djP}9;gEbCHXfnH@R|6DZkWL?H$sM9#{9lWo%@w zP%7y{?h;SHyjlO=G3gT0hS%Nv+X$3 z`uYyjvd*~mlYq=6vbkI~laYwvEX^Ia$(QXbyIh|<6#QPUpRJqcXX|sPM)i!S?wu+` zIA`gTpmN^8^{cKk>3{m&Ejo8NN4}}Wvb%3%->k1U>FbuCv*)mp4S##?sq25RamUwB z`sf{^V7)`u%;N*NcJ8XS`yTlUeBTv)1Di;RZQ^~_#VpPG3U##vML3q zJXUa}I}Ely54JWm!1B$ISav_n*-!~e5aqJ7ng8}VIZ| z=9N?%)Nh@AXG$LX@~G^TvV+)i+8iP9*{k);;syP!IF{Y!CR{nD&}AR$ z55=|m)vd4EaL?Xr#)P+HGxLHjFvF!HK+%_)9D{jtzWlL_!72X|hC@jxT z-uS-qEA{sdgSd9qA0c+&vo0uZS$6pel}{Glc*kd?DW_1ox>HMWOE`gdzeGm|>%wBfq^c#c?B<^--duXd+kNlEg_XZ3{LyZ8-oQr(FL(IF zAFdmI>;r}2(!`pZ-(Fn3`;SN0$bqI~q)Vrj|4-pM8~4Ep8*FVGlij87C3i?b0MF`c zy=26+>-bC7%C(bx*LR%bDb8+h)%6`4*9R9f#_fN0*`1I+AoKvJ}hmz5}d9`B2p+7nF z!$%Jtde@;tD-Qka^g}P6E}pML(}#8)edy4mhnx={`q>9x6k*;A^Qx4Edrsh{2Z#Uuy#U z{+>B!H=8d6Om!OAoC09;){>qZaW*;0sJ9hEJ9nEd*#dycPWF6iegrc1$EW0yJQF0yPZKsLM zz7*3f2rK@kh`%*0{tjC)f!$V~Ri1Z2AQp(hI+Xj8>pq#n2V|@y{^FhJS$rUS7I(^? z#i~Tl;+ZZzi_ZDZJ`+?AGNyqomC`yWl--QMyDs^kWxF=DzIxye!k_)|f%av4E??2K zvQ}64svEub}w!R3#~4Q?db1!!T?Vmnj|L~e5}3v_Pk#wVqd@MvG!$9 z>`&fo|JKt}zp&}e=k~Wx&9pc@5M?t~@;>IoL)kt0sI(4Dq3l)xP1UG2=#*A?*90tW z!7$*@hIKHYIpCzm(7*``jRixv$gARA8GJ^P5xXndjXhvwx1P8Vtdha*s>*hzYe@}O z&H|h+-++4~YSc9n_-?}hGe~)*StqAtmTnQ7=eX7Z;AQ;+*s2l%gix3Oo{k^*^lQK! z%Ca4uP@=}67%tMHkvbTPAQIug!Xu#BqV9^F6G|8UYOofbSfMa0* z)=O&|llWIA^}{XwMjRdbZdm7Ixyx4{ONb2mL0`c|(-KZ!&#|6a4o9aZVs($~5{{XO z{7GjbsVs353!x+fuQ6H!ks5krmHJR!`#=MQ^(nrC@3B8mO$HaBL1g?9v@dKGH_NPv ztbyglOueAVHYS*mxmU2`kR;Ct9YZIf2(_vafOX>3Ya+vHiG1utBoc0FA}qys07Pb4 za8)vq2`2b1jusLbPJ%>`}QY zgwy`TEbUXV5wj9a>JHmU=~;LvyF==mY!;!?=uh<2J~=s&l9v#yc+vmz8A8InC56E} zfNiH#pNql%MZ-|5O3g};jllyN?0Zz>0cKFvxnE*Mo0`$gv=bKw1A>@vp`#e!>*>Qx z+B+%T?l(uSeFjH9;oYD2;L-46kQiRj1cx|=<0J^`?0XGpkN#R(hYvwdRlujs*t=x& z18cEwNx!RVW%F{R{qIk${`bkRUvTy0c{hzGl--9OdSda0zis}Pd!Bc*oa-x;-KBuj z{b}>TUUc&4L(LN(ORDOu$=AN|*dwa?3}E#Es&OyH@KNESH&7jHG~Awrf-ISGTAbkA)sFrz;oyB!#KLYUyFjYVIF2hmFE5C$#OLLy zoQ!uh7@aeDrbiaoQ>em@6IIO;gIj0oKu^@ zdJ+$NN^-`74!&(f&>azQFn?iU=XZqSgtJaJz6io#4thS_11O@Lh_q%Bd^1Cn7dUu( z*d*^0banQh24o@W6WwtKUxIuRVC(4t!J1Q1jxA=n{n{9A*VKrXCN#~%tYBWLF!REt z{)Axdqjb))^q@8K1;FV>u)G0&1B@OyWdIExH4`3EI5JLEwi;I_zOCP@T?VaJ7Rzym zQwObfFan?E>C9*-Myp$2PA)>}{6@w;SCeNj+n9^(VElJ45rK2x}hptr%srsDqre^J@#+XI{44EIjuPIx(&LJOQ7J@nWDU)%Bp6K zmXLE7soLlZP64*jxOnsZt&vI*x@GjWeR-c~dl4 z-cn$Vs-S_FuK;D&U!`M@!$^V^r9J3p;E!N{lMGtX)oVgP_&ZyY_n}tVcJv{{#$b7@ zYfu0%16bQ#PJhQ1xKCozrkCfKIHR=x8w4SzJkq2HUXPB(9D2wY>Q$1@OgCRU!Foe`5*CE2d zb8@^{mJvI>F^H)QB7;*-G2jltm5_Y%<4xN?Ql8eFu+=ZBo`tN#nLlWpY=S}Wl*Jv) zW4bkydBI6s_~4xS&h%i19y*e$W+-|nXK)dgJSnZiVL2+UfKLY!v$W5&-@v&4mV-3* ze`x2r&0l)s#Y-`m{lvUSmVi9ppYX0rT^!ekdqp0;BLPT!8$`O6P<9s*Xz;BrnZS2x zDnnJaJ}ZP@fPEXMlt}Bao(7#2%5LURcE1{|KoQi>T!>;=#Mwh%%xSsLx<>pBu(Wo- zf2Gqx*mvld_6EA<1m}ep%%KkN`t6;yYE>=PNiM()hkg2?)ec&uJoZ)9v+#$vXE4Kr zQ@#S|x|pHB>_t5*#r-VCa{R$yDi=KE#vM#ZA&4rsXHhIth`4k+8r_Ipqo_MyY2d0t z>eYN*jz8n~?5h(~RcXhYsKO z^hx0bqa=eS7|gcq8h9F*hN&RFXI3iT!H0#`Ypt^Wb+z&xGHtFgq>rHKrr~jdT_)>r zY2@NCot|?1`6`GMZW3H4NLg4l?Idne&w_4TVN=QK+P50`kL#6n@JHd45*r$N5gaCg ziRqZL5JCFr*%@R*{~CHk0_Y#3Gip{{BxWI;zy0iEWhpXDe;zZP7yXy zxi9k!rgHEh*1;@^$EL>IABaGQVEWx;9frU?ibv&f<=Xl zX+XPn`{5;TH6Px3&o4UeJ@QK6i8k#&x3H4!XFFr_8M`K9eD#{~kdDCUDesRi&Re>R z_u@MkXKagI;CR2(8*q_N)`87#Z@f09O?;~=?mbf{A8Wv!hkPb~+2V?2M*LtxIc`M?v z3mxw_`da)z_AE@-Hc(aF4=tD9|>i5@v^-?y`y;-PSW0hqWaA_B1cVV4t9Tdtg zHYmvq>g)D75@mNiFr@NoDgho9V5`6h))oSij2GPiEG$QRq&vzkAhL|84Gi8+zWMP3 z`{LIpgH~H>$a<23l-DiG4Pg-zfYZ&YWM2c{bsEIcZCA07QnTwICHMxJ_|84$(P}$O z=VbJqqN)?dZa&E(_Of(~nE$&PcVL+ghJ*D_?m}Z7R>2KiBJN5UT#XG&k`s@;NL_Df z`^Me}m}!x=7X+=S=p{Hwa-WHG{;HQmIKJC<7^}Pm=@|GY(Sz{|fj`E!yQdz@uH{2@ z@TCTv0(W0YCfkHL)O`8^~ZX!WuU~~Pj&YI9p z7U@=v5v{^gulWShILoq;GwaM!RkohR;18X+zQcbkA>ZMUYaMj54hm&A%@D)k^0T8r z*{v$G9HWNebqcT+J4cx0p)(sTa1@9!b$x@X2Gd_?36x!85S&Sr-8q(9eH;PnU=$MH zRvp=nGLEK4tOv@j264RDeT$>&Aaq8GrIWDd`xLVoV7L~~>>SxcIBR}j`l`Vsn zjXu>*Ez4uoD$Dt_v<`#8&pCy86eJt@-t>8<&YT)kI3mk{*UlN|aLGTG%MJP19|s3) z$lgwu9uGz(UH0h9Qnn+}jYwgfHG=B#xp}!8E_v)Vx&)FbHYe4iB_9&oe1&(>@4u4O)tRF zg8}}m0P`BidcgQJcGOP5>5{h)sEIOGoPEld_#E8!BQIGNb{A<>a-MA`MK4|dx3#92*S(-YtGr8ttjHi5~jDw}`nw}-NO>u05P zFwuETV49(q*b929!ZhoNDBCYOk@&OWvY>?l{=9H$VH03!oj|ZS+F)H1W|ld#6rFX= zj?#37Pw)USIgzaw%X*S6_rDFzN>m>#a;551CdqECvWagu_6#?+s%-u#%RV@fg=V#n zlGb4`xH+dNk0#R`_}_A0`Z_k&tY-zfV8wUoK^8X06ramXJg@YG_xc~+ov%(_zry{H zf=kvM91R_xUv%k$5LJaip@I6pOY1-b&&qemP}3Ymi#j7{nS?)EYPUoeHP%)KhmUpU zn5DuBP1lMhQRz5+SozG7vvsOEp$e)#`#k3cYaOJMpoJh()QA*DXXq=UwZoK0N~)r= z^pJ<8o8@DF%F_NItpk^)%%P(x?-ZSQ--1dH!sHqvaED^_pQ=78--?st)*$=0 zcGa_>+MChf$lUQp$(IUa4bKGOl3O}AYcWO0xO@X;9K3Em2;jo8>bo=Ii0%|=@myk6 z&u5C_dkxHScdS>yrx`?jT^LE=&px&D!Q#Bwdy_Dj{lwhXX^*Yma%J>qvq;3IsSIC<;~&NPiIc|l z?#^-RsW+rhb~A&rd!NLRt3W6HftjU+EvpxfGoB4z=KR!Q;U#>MFjz<&U`95TP-YmU zS%?L4x*=IloM4VqYq2h5TQca>7MSITZ4#XBBHmOR(V~bQtz|Ni8zWjW5&wvzIO$o$ z08!rgb=9-*i-TA1c8N8Pj=ZQ7j$`SKRdcyO?_#FAb(F*W70Ot##}GOj_;0v(Zcj+y znZXShJu$KUHg}__<6zLh$0teapv-v&9j9#Bs@Ac#!u9_`?Qf|aT^$@&!1QwS zW4bjnV*eprI?iXF-3sysI@=rgJ<*l>Jm0~C(}j~@R@%`qH`r{5es*0-Y~`pzU4y_~ zvHs`(D&N5uRy@qMp{d1o%)&IHr2*L}3ns7-8PgWb$?`@leZec3FtE=H(>|SGMBnMI0As9M?wB-2nzv6$XPIJ`}SsWl>)ZM_uGF z`aDZ#LQow1`6GZ)aEJe00^N6^;f6CF(;4Ci$)F$zc~pl%pn*^6WE~C-0!~j^7LDca z-SSp!_{1I0PP}sVu8Da&zM22DV=O+j^(zfe{7>22@$zPN#(PIfoBW~x;Aoz8=O51b z5xdz%*-awfX)OQn6K$z*y3==+fz z&`r9?Lzlm|;O5w8;_>_A@&An<{c5};cWYbz!J$*GnQ>wB&wdxTn%SQ(=_tde-2MoJ zvRl3XEWxflqj5R>fw=1u(6D6wJ9oFG!s-5H$Gcx{3#^;=n|otJOX4k(e^Xfpf0W~K za546IX&v@(F5R+ccw4UQSsOh z&c@?y3T5||WqUITV*Bg;?O@=nM!?c~2&WJH!*2n;yT1bDo0{KRvh2Sz%iwNsws(^Y z275IAbZh&f%_VPdYJKI+b8ZHMKW)7OaJswhX>GsdgQ>6nr1g(){^Yt{KVAM4{OAP& z4L*3wTH^i&|ADuh$$z$6sd^n$@M>*H08 z6-*3A&Kd(OEl_rOcsS-O?!ng1+X24Is>aODMCs)9z@N26WhF6aLsc$rt`I-TuCnb5 zptxw3#=|4;u?+Ct1CzJAVzYXr&8ZIdK~~_q>8qM9%I>;6*E&d~JP*^1pm;P1#bYG# zP}2T3(e^) zw7O($O1uBca*kxs)B)CI6WSHFg`-nIM=dH1>z0Er$3izS5(i`gT`LkUuHNz2D!}Qf zI@q6uc4DuTiD``V4McB&wsNHD0~xq` zN*)b4YT+dBnFR6eyv3EEr>TP*76&0U@`%ML57nhlHSlOG#dpBAUkYUxI_Qo+n-<{HylK`d!{MgzdC3nl8?YaDGSNiUY1GlT`!Tc z&_$D4Z1^QHFsPB!P|Iq09^iEKRd8;$Z?g=}xcPfG%Q9FoEy(V?MnGf zxD4!ekDZocfH%c>!ErZDyk*CL(`8;FbfTBB2Z-(2y_5Th-8*?kNG3833?hqCv+M;jUnX{#)a$fx znKdS4c;ZZel|u(Za^hMCw`b7_9XqQ)PZhpTzI*4SFDX^*o+W>hI-#-?+L@|n;SaaY z^~Ix|%xIjhjYb@>VN~z^2#SGFoLG>AYljFuVt4PiP^505t=oN%Thr?mE`#mcQ!$9G`QlkZBFfXVN+-l-(poEVeTl7|hb+ zMhE`+#(95V{P_7FAKtw4m!sBRUUR|gH1p%M#D-FjY#VxDm zZ+LR&nyWT61VZ7Fx|t)g!rR+yN3-pk>|j9?eF=TMFsTLdE9-ub%{bC6Xk6)XGJCuo zu9(0Ysx-T4_3y80st)FczZjen#>{5;E5Kj0BhlJ9zTn%Y@1_SxC#PcC*1;jFXOU_D ztuy}%FyfCNjpb>T%xQFtgj?SkIfGY5Ib|Mn=)-IWSJ|xaY{uCJ#}aO@ z1K*iyB1(}EAe2F55>AD|q=BY~rFBp!yEV)YAhsM$P&8s>tqEq8vtVeZnEnWMeu)*^ zJn9by85pc_SPR-<43mb!KnDg&kFbHz!&UPssj09tLVl<7jNMngLy&tMuKS)gI72ioGn2MofWDHp_vaKK;| z`2t1(4$K-R$^;Xo*kb>TngWbb8U^KWDl9rkzD+_*2JUV*l-+D1Qz9haG3ub^_y=P6 zG~$GH>{2}o)XYo=dPfj+hcRKArHoClhi$<7WEEj;66OVb6s$IZ@=!qlE;>M5W_pxUGTEc zAcn8HVBUc%ADg!klM_$PCzM^PKG)rJHMZ10=)vh;`PZH6roO!MK9GpKxLNLRpMoXq z6MfU(`I5m-7~t-p!6YUAPAjs9vfJ{Ov={Q{&s2ou485ejV?S3L_~xOmcAhcgbx9P<<0GPR&!*4Q6a z$Jh{OdZf#z4;f}yXSv|OWOB%XCL?ekMh~NC7!DuQg5T}aJywDEWoNkdr{riudxA;d~; zCyH(LtA`KABXWf_*Gbs$(Q4In)gwZ{W>|sRD&^gBPwJ>gTX>BrsLkE8p0}VK0Ndq`E&5!^(Fwl6gv;K5^I0ghz z1SyWe8|m7igFpCIoR9{NzaqcAQuQpP#xi}7?-Q8741lEv3xl>(&+1GK`#Kc4tR*63 zn$h0#FE?b@$m*P_NXARfT_h>$VvuOy%AZT?pip*wf%>{dPEPFJi~;^1&Ud>#(PTupzZcJqm4Ddk$4Ss6% zx=E?vyLj|4i315$W%E&4?xq=~KCqh`|M34y>#&}BwiyJAqrU0_IiP*oax&`1h+Q8p zwRL9^Z&^3|?52^wXQc~*6=DKkUwY#Pr&^9XoGrWc8CDhW?;IT{yE-dc5X4x?xcYFB z-ITpBtG0H{m!h?6LO1>`SdnuRTTkCvpjJ86Yd!jjCti6E{zQ z5Bk%KO!U(8>aj+WO=MBfgvJ7*5s!Qm$_=nZFu;uEfGM1qW0`F1^Xy#WQLwCRMw1!t zpo4dID4Gg`NCSm`Rlb97%=xf-a^a$p??=B^ezsAqQ)4@2<|4k{+=uA^|M(6O8;dar zWtSL)?_jSN3+?F8Mc{o-9hO3nA845=?PTK`ift<2Aw?&H0cCf~v&whynK9Q)7Q=U% znu8`-I85W-DhNNZ=@2MpDG%c#5U^EdU>IC*&bV|V1UV0!6|KM{2Y;B_klVxP5JZK+ zq=7ZBDeK^m@}k$QS{RI5OvjFFAvO$ihC|s*dgWj+xbO#?y>Wr9tH8a319UZ=Wl9 zHkJ++jxUeEl>4Vlsm}v~?o|9BKTg&m=gB~OoaLXv@;m0kI$Ux~{ukOPwUWQElB(i7 zhaCl|=J6%3V);b_)2@-$LH7$kr!yacwm1g+59?cBVe$Q}ohFRCX%i4c4 zUie8fQ>vy+aoD@Y!K36m>}uPy6+Ta3Qk*?Fkp(&e9eFITJpTpBamj0eJhBdXM~5J` z3WG!g?%KQmdQ|xiz9=v(H4L3^{Vsk?&$4qR9CsMJ{R6;?%Pkf}Vx44zBtJ z&9UmJv)JMiUx1j*(=Zh}-8mEs0=E#p#m+^}xRxcqU8v4u0{F%1_K!tNn1k zKitzuS0bl(8$4JYknb#1*5Sk7vh>vL6SNK|W=mA;6Ymk7f_;S(vr}h$O!X}M>)Ca3 zW{S@1!FV;u*&mE5?+2cLJXcx=g|h1-l-*l-A)G2&cY+cP)jnJ5FR)#kW!w zYRiWr_^Nu+XFXv*9WAZHu{{j+G(#G|G9oWv>Gq%ryf03Z9i_e^D7wEi@NG?62ZBlM zf`__R?k%p!sTi?JClXFq)sqBh@Tp!Q8bAK9v<@!Ht{k~`@lea;_VJ1w&7e-KP#DF4 z-CO-x3{I^TGRrVQ0S3WjlvI^XaJnwvqC4L%nPTHl#!i6ms>RI^9n?o-U z8#E5e5F3+)`1#;kA{be1XLnXXz{q(Z<5y~z}*qfA! zJb2zTYw~|d>%gV?aOmiMwdW2XaU!@g?TUQ-;G|M(C#*qen9E-}bWG|Scbo{mE(V8` z;}DnNTbuxnEB$1k6^k4=Ws_tOmnU30_zlP3X_W|jvr_deWTE@%;JBv2J^G2UF1#49 z1VRE;cw~+DeE(8@;d60PI8~RP2LAdLX&n^s=^z00krje(|HBtI-u4q|9oEyh zgF@L2`U1pO4qkYi$SHxYrGv5m45RG23_7)#Q1Li1iBxHW)HmM>NSVfAx|XHCbz;4F zrs`Sv*O3(dWvvg+-2QwY4;6WkY2br@Qoh4rGUtAFZYtqF=)Pl6&Yq|uKADbzwbETH zImm3<>BBDXDXP|!ltGH<%sU&G@>b)xQep6G;Jo`)&%(c@$o9@-kftDu1N`pK3t$EPPrp ziY=vqjBCKN4uQtj_GPau+qG?Z*AyP1oaIkq1C0XEnCov24%K70~Z3lZW=L z?^h4}^RiuA?`VFjec6%6*FD_Yez^Vey_=5sp>)0(zxmgjTDR?g7xiTMlYhB%*`BF& z5a*li-;&}yz3lpjN84XV^?7UUQ?2cnJbmZeUah)hc;jE&J>gYl^XHr9Rm~rK%^j5# zL8FRS@o>B0ho!x)3Arc?C^1;-BK;WBa2^eVfQm5ns23^O5R zm7dBPyL*SvsLimrkCT6tx)-f$xH7V;(?k^;)~GG+b6p z)rWzc?L_JXn*%AeNLY+=YgMqOz_IHRkhc&=RW@1j{(95gs(J1%*E%p2GOU5rRcPMU zMYJy2u{bk^=)8zCGly7oH|ZrW;^C`X5k=>W6o9ek%;#jd7?xd&18UoLC`12 z4U`03YZ|MgvuV(g<3F#YD?Bk+v3sSCKG(o+4yO1HbI5mq@y97k`VIxscTnX1(@CML zSfjh|kkB_b%itu)Vwi>#qx&emfHzpW*+Ra{&>C*Yu)q^3Yi{M;3!&hlEL`;e*nt6Q)+bia5E!s$mXUFNA5FRF`Iy_0_SF)~bj?y$-( z3-$A)hn^avmxKbn(7KU6+^;`oB5n^xP@-WvILz&WNbV#-B>F$p`#{Ht)5n~m5jO}i zd2|o-yk{^{$fDC+^J#7(wRAD4Q)~AhtdfKt?)uyY~QCDBNlEjYS+v)YHLw_E&_wR0(e+W zYZq!pG-v=OtC(sPcAyN4O1q`XE`;z6sPe-;_*hK~7dSz~D*Okp`eaRKc9HR&`nl2CD+;XYlv*{xHb}86M*3%4k z&vw?6N#)a7cFZny43;x4Nj6xyz_{hGQeiq>YZZqKrKN)Lu4Ey}I4H6&UO=|+7By#q z>X^wuX1LejJ`i{&eR7S}d(r6q%X}D{Er3j@H$2vZn! zCT=9f6NedetAd|3FlLps4p(7I zUY)A%E4voMgOlf#c%1A@l+FddDV$j}L*jIQ7e{3#IS0oI8<4&yvlbagN^vp0PM z^r{R~0ukFZpRuE>#@Pnpdn2|lO2>*eUX#2!J?jXD4eaAha(fL z@NEl2Pk z-y2lb%t*;wyKj@$flCwS&`}zFcN`3D0R@K+iaY>1A*GHX?Xv9#DI5P0&?I;2n+E;3 zRC`#%1m%8aF4v@Gyq;HuXGd7udWLoes1NYc{lBzJbU8}viht}RG*cT z=Y4;7Nyl$ct2QofeqNF`=?WP%#!j^_$1?F1J z@cF?IKD~gdc(iuD-Lg&!pILEKwU=e-R<>>x+4}V-RnOw&ysnSo_Uj;Urwofv$tHh%LuazJo8UwmY0JS_=pzt5LSUOHc`Gm~Iow@Z&0Y5)5Bp*=UAQ-_TL{BVQo+v}h^n52 zpNfZfXU28LZAmuPoL`2SfzIH~ZFCaSd*x>D$V?q9g(`|ltCir2-hKBPJJ0Hj%XqHQ z8|z-Hqt7+)%f;k79P&ArryzTQqs9()&M)3S=SS@3_bQk7CE>7m$Fn7D_VR;y9ooeB zj)`rIP3bFcy6Km8#4x7t0b}tG%H9-#74LuQ(df|E^k2Aq{9Ld&3*4p6(}u)bhO}jG zjp5dLwsAjW7>*#b9Lj;*UYJ;P>Z#LE&_F>a|0`jpNY2Rmc$tT%kX_= z9b85D{rLDkIqslFkJDUGB5-ubj=<<<~cYWcK@9Vw*!Nfa zFTHN>CRsWz@M#Jx?N9uJfjs*Azk@i-Rsv4fWpM9-4*Q;m9p7E1B<-4zy{WQ0}qE|!Mtbe0Hn%uA%;_>Obg!%MH*_RT2{sT(6@`SJ9Ca} z9UKC^!cHWBCkhihktVUQ42gxM$o;PqsqYjlELYz^iE>a3giI#09ItUgh4PTfByv_~ z>C~pDbn;S!Z|R=p1efmuiEc%3y4c`hmK7bZ0V0pUcOi!*$)%F-EPl3b86#@3yF;iv zViij=CdrRY0zK+0~_eEL<_IxrP7se$CEut`e>c$!&3mLDZ(a12NQ3#+4e zA|XK+pwhrXF35rhXc3o&p$dG;iKnM!SLr#qD$>-kIwP)dyWo!wA>O%}<)JA6G{g1Mm zLXz@q>L{6dan#m%2*R4tN|0G6xwB`nty7#;6Oc$*xk7q|1~c$p%5LoSGgQyQ2d<%X zq=jUJc416}l*~Vy80eE& z=#!}VPN|HH9j;g_twUzfJWo$x-`Ob$zPmnYms9TnuX?Nj7kq1Oo z*3wC;XOSVtO)%y#-6Z>4fr`tb95641c)g^XBr+soid|=Yi^a+LBZ+PFv4j`FT}7x4Q+uH zgyHv4c6FOGZ2g4o-Met2lc!;tX=m_6baGz}h8t5gxX&)l%Ce&xbFgdNr#YeQR@Rwj z#C|SB;xH+Eca+`c6Uh>Zq`%Nkqb#j+Dx`H#D7%?}7#0HVLn-+Q36$NLxaQq}eL17j z;g%$q5+<+F7bb7Uz;~}GN5c=?Ts;*a*IB>qwOz*rOP)MOqEiW&Z&t|&F+0j^(;`@X%A!)m@$@)mP1E3!29N) zGbTKOdtp1c)yE`gzB_A;%;ZehVqGU0D#575Irh2|EJ{p|anNZng%BJIt8J!>dZMb} zR}D;X*E?K=p=5=!n`Ve%7s|95Bl^v|4oif9mwPV;Svqd)BNW?@7|5Gt^=VB7X&y!5 zT=(azCtZ8s4uA$@PkxCgn?MJ?FoDUtb1eyfsg&|%X*{t0!sGdb;{krm$?Ca34=xe~<&vO>kVUUo2LNt;%-V}^O zeIZ@bX3PC)(O=>iWWY3yfXq5$GAcz^!KWI?-7T#HO)L*sJE_v{(bz)Y8tEHr9XxkM z51A3$G9#uDy&>5&-{|@d{x2Qy9(C}yIw20h+C(JZt0ILh*+d={3STZgq)Bdtz+#Wi z*dX~|N|*0s>G%MRd0;hY?R%Q6!yzt>mqSMwbp&^KiOXw;j*8sRI)R2A(rOuLSHs@Z zf-#$}L5BXj=$k0+;H8Y+&zvA}%HSgu@pzd+Jp-cV7)nXH2;?d>B2khO;us*iJ_VN% z91=K1N_Pdh>EK~18HrGE=#Uayk<+1*ML$+O3#xeO4uUe&WUlV#&+-zu5QdOfem(Tb z9gJPEE-d#oPHC1T-49Um$ykiaby{ z*$#bI~|`hKUdX?4T~!tR&kXud24j$+^j#jakH#J+RUYJE~@56mtd7p)d{ zY+Wkf1gASLdS7K>%l`p+wRKJShB=n7we{iYuJ8>;LQ4A1f-0LaQ2JK&n_ln}L^ZZ5 z?p8eupSW>gBa6O5!1%vF2fk|n)KsF|-t~c>?g9=595f+W0+t@2ch^(rDd&5%Ba{RF z3-Da9TUcXv@#Ig`!EYM)>whWVArl^wi8%{uCj%Fy_zv24!}v_GTl48T3DS3P<|KUw zud(eOJ457($oS~@sC62ZWYCNR)`#~M7JM&K=r&q ze4lU|JXra*{_`H?J7mgY)7YG0qFGI@agZ}bL`Vs8r%8Af6g+U-l&5~Vd_)d3n!4~9 z$_B%bCX8F1mD2QuutG#}r!5@N4PXbW;A;)s^rEs3nJggkyy-&|IGS7j&;@0;EfDWud+3eIZ%bq!ubRlR-~Lwio9vt|e;6H0kbQNIv!zVCb7}td zZ3({N0O|Rx^yrpAhnCkK9nxl$#A79~QStaSaduPu$W0yEr%Jy0Nl01p+U%J2^ea_Q zlaxb}V*5(I)0LS>i?R+GDSK%h&MMn~mSESO(dZ%Nz5i^yBd~|~NxWr%p@VhEdSP?c z3kQ}I5c27sfP{aQd;hrCYf07&~iY&s=9)Jxxjb@&(=iHl)k7(+RhA=JW~?0%KtR>JSHxB&Djz7!h5$~ z{+a>b;pW(cgS)B@vS07mtb7Nr+%tW0`>}sKQ~3^=C<$1HtUVg~3g`UDxcR+G&v)RT z*MAo{MEraz=9Ujxhb3$V^(-j1Ppw1X^D(AB@(O?V(3vkJ_@?$<-)eS zyPw^*H8$${KV5SkD>}OG#wpsTu0QmtwmfMahDq!2xm~Jfk%_w4cb>N{m)4;x!s%X# za0=j4|KPZuVw`;Ar@y&l*(<+%6>z$@d@y;}rq*ry=R@D;e2-9eA77E(`t()pxNil@ zZUTQc6=nC;{e_?0yQ%$0M=o9VGDVZvwokS0ZlxdfpQ`>lnf8ZNh?^d6eR|m|%K(_` zq3pi8@s4GqfwFry#CdDo!>tD|`SBNbZ;C&=e{)v`7u}u<_ES-Ik3P7rwSCFv>n`8a z`s#syp1OC_?ahCYi6oJS`&-KN;w$%G=?o;_9?I^Gm&Os=TpZUv5H}UM-?42)m`$&; z8GvVvD8}`}LCYGu53sc5!R#tq<3EXpiMDN%N##xS4-TlE}#@i1Wr<05D{hBk&Ud2ye_5;jRE ztT9ZRe1a?pc^HXE1Yi0Qp5sKnK9=waPE_oZQk9Fc8*}%SbWzF_!fCn?PT8sm;GpId z*Aldx9wN}I&<$2J&#~(OeWVe1RJaTXNE%19Xb+U#LVhmb^z!QH>3|nn%;iMFZyC{X zy=1u~QGJqpXW4bz2xV78>^TLEHe>s5Ac!o^Af*wti(I~QI+5}cQc7`3!#6-DS=>bI zyc(?ziLmUXPCQQNG~mLgt3p60z7oep$}8ON`VLHmbZP)7lE_Z3VbE|+t_5I2hzst} zvG0JN;6Flf-*Ha%iFFb`Opa;0V-B4ucn^vs#b5%TqrTAjwD&8yTuR7iwCoyz(?Nwy zXyCAG9bolgpb4+fUpTJ)ifwx`aH9jG6Qh<8CWE1-LO2Q2tW|aZ7v)A?LvdbN@kPZA z6Z5n%oCdpx@8FhBpinEboID4KbYk*0bcX>RH{fO2axtL;7q4d3w#lp@i%#&e#A+_E z&#EL^s6^RC{~`LAW^|~ZqejEi6yXzDQVrDyPWaP2Z7L*j znP(j`;kKO4dAhqq&Z91cR?IAXl^~eI8GU-d(QU|ceRAU%D$48b#vdc@2|NN1#~--l zK@_kv;4EO>v|%MbzML+Qj3))hC51d@xX(5B{uoD#(?dZAO{ZiGWaZGUnrdfmS=EgTQUed16>}VC2IB!*iKRc)1oOvVv5_W!X+7RLF z+2E7l257ZwLfD@+Odob<*)~3Tb{U)j@&P*>V}&ifq)i_h_Y-;ihw4Ov?^cAT6*aA0 z7>U+eE`w#(r0}A`aJZB>(O7lv-0a%m=J4-FS~DeXt_HH3c6D%+n4<$rcwBfp_#RFz_b_~Xe@m&aOXIN>qXO38Mqv|cM{|GRu0nzTx@QGvfsPCX*K1X0HUQQx&=z{_&N`>@kKo5LF z`VNA@X4f!~d!FtQrP*7)zq;PWQlf*@TqR z!Org$h7*3q?ku#fn7rvP%SxH0bcH_cYH--Ovtk%UA-;1BW92A=14~#~Cc|cOoqQ)y zjRkoErCLfI3mTL#>!0-T@AYTBFak~P)|)( zCU7Oiad6Qi2vB$rsv-=y2L4(vt;1Cq99Ag1X@qyZeBG#dZ(Mc3yaQK0Hg6+#A_xBL ztLr2z?YuX>cmc-eTQUBhfYX(wv+(LkAU#$g$rGEO8#4K2DzqPB=st~n_g+t~+IgR> zK6{d!EXhJlFnGbdKZC*ji@!7PnS+1r3msUZ>`sfgJ8m47 z$DxlM)S|U>fcLA@mbhjPl@7O~5^;`V&&mDGG>lY4CPWQ9N*~{tLFrLXu#YU@bO8fg zX*VZ0DVsA10}N)k(#8lYZyH*Sm0smPf|M9{5NCH!qzoceA~w=)km6W!a-wYbq}i1A zpOK|KF~d@Vx!#07RmnEhDyw9Z>RAl9gVr+xM?;@F$Z}a;AmMISUenPdO9J(q5}gT$DAipa z6GeqIXrROW3hQ(-i?Ve}ZOAhs{DdmN(iQ^NW>~a37^*0WI33U7fPZtHZC(; zXof>WlU_YB1cqBVcw(%PRi7zz$Hb#v8FXB-8{2Z|CoU$h+@2drIwU-$>!nRGK&If( zL6Lh`>_@H;>{%BnkCd!J8a1FHFX?^bJQjZj-T;Ii9yCooF(&AM2o9aypm{YSai6&} zu}EN7t^^(*+yDla1(IRvW{y$r7>*87-$9Ds*W+V8?CVF0{*g6s`)=tw(BQCwGEF1A z>vN>&=M$ zD6(v77)bznonXiK&Y~8x9JO=KZg9!hV69|mW+jhSM+lQQ(^zb?-;veF8RBs=1D{Ax z^_f2|T3czesGidVzGp7bD@>bel}2Ppc=jq1=Y0HWe7zaLfQuXzB5_P051$*>5PK1) zs@YPVaVa6vY4ws=-=3%DEIzUWb`lBb*ggP1D|fwZ3%fk!$i8&}tD@9iaQo7@awBl} z-05NK3|)CzO5~F;mN5=i1hJFlUe~e8F-|c$P#3TwNP)#pSRF;*P8?6rgj_l)WNayR zqpOMI`LT-APa62=N0sl82@gpe)>^1RTvwkHjq2s$1y%@tLls&lhnmmsaJu9>L^e=k zi@%Wgs$Ms<(xw#>erd}jgBa1D0|o)5yC7H$aefxwr$uWQ@fi4SSQ-2WcmpQ*UQe?S zo|E9zV$Na#e1{1f#gOzJoRz@}BIAorkjF`#+^1*SL-LWn(^B%*lcSaI&`*Z;1e3vl z9b-btLdJcP2p6(|pjl%&?MKLavF-tM|H_#d|#w+VE&^qod{Xf0=99+5KQ{mp3o(1-`@Ov+e`RT) zKE83SvJPD|H}E&(@0HfUXCCUn%boEYmev^uJBB~IeRzz0A)hagvG|`@d_o}Jp-o}z z8j(P&B^*uQ&+eK!WJh51MG2JM5n@5yh%<4pqxzAIOF|4d%Q`0R!DhM7Cb;=@*3kva z*?;ftxVPiN$Oqe|O*vHZR?f;8n>dBNl88fRiL%QkNb3MBVb?lbRet8QqZ_Y|U7X+6 zd_8-qXOaQleXFy%W8sG9po-2B}3{2fzd&ms>!i{-H(`;&F; zk@+1tuns(@<>R+_t|VVJXX4RWUts30BcJ!IgB!b#%m$t;mK`}` z4`ca<5@V#nCytgaXJP7D+<9TVg&#S(^(|{%EO_q}(Zf1i8yoiYD^*Vumhg}^@;)vu z&+~c~@zkEhXf+fu@Fm$Lhi}Z4)RLuG_omj!n-!y=>3T`4Yqy_rRaMaoK~LzR|cH*tU8~5x!S_n={jJ->@lCCNd~;LB z^_PtPKG1;oEW4Y??O*%#Pg?i3w*PYPrqSQu4>(CVAZjg?}P z>FFe85I@4+(?}$#6ET*MhZ!YuLz!`pt>vIbMhl>f9-OEeI{-RyQFdS5=UNAewiJ}e zLg6x;G>L^}01!t(nF17OAa!ES0PawL5z6iehj5>ODM#Q@0>!|_03|M3;A~t4R5%Bq zwJs+CI|MSm@dU~>hKvT7!Q&C0(5&VJ9Xn5A-|>J=0J$#C+eIXfFuz9PaF%^V)R0)h zQc96?!+J*pCNITy$+8a8uTclrhK2yNxUnF%Qv zeqW4Jy?{`#TnLUlN^T9a-o0^;1|)Rc57|jpH+nKc0L!UyQ%!_1F4a4^H;E%pT=aSF zmT;f()PpV>a7J%P@f{RqsS9G8793aL!M*PvE=c+>q~(H$1e1d(Z_f{e6!luiVx^+Hb3NzJjuQBb|zt534fURp`k;ZPTZQ_{79GVKZm zJK#Fu5`VUiJairI^T%W697~MX#~5W+gXL_%3s8nPqew`fo7tu1EDAq^V(aj^>Ef7$ zhPMpwC}JZT-m(vgjTgIX>{Z9A5XFeiVW;p$7uLC5A7-N~6lE@Zi;@iLR?~Q9uZOL`m;_>v3>n}X%c+kkWe>hpY$}GXWqEu$ zkw3c5P(6z--cgvo>|QP*(%30rBfUO6xG7h_a^}IB7ThS?ti#2_4%z zg73pSfBC@L+I6?T{_U%$&VJ)(k6`zgM;~fl0yy1&AAxON{Rg6iEkerbZ726W)w%W}UY|8V2n1OMm=zrF@L);!?G-Y{?B;>Um5ym-UT&96e7 z+mn@Tl5{e2j%8s|G%QMORu!?0sBSbF1tCm;W=hJ_t;Sma`*GE? z7-$FW!_yb!b;HTVh=75v1H5c6=q#7!GwdSVb96Yoz;&jZS~h+l37r!ii3sUFJG8S4 z0ydUT@c!CbRE%Vf4zinR+(rGkoKgf*Nv zaTprfQl@Fqnz`lVJ1}ZGg)qaVnyBX7$YLV8DuGs;F=SS5^JtC1qSeEI4y*&a2AnJ! z$8>Hx4Zz9F27_1X=SsyM02*LYu_G~u5em;WH8jh@oX}YZ1EWG^0lw=niLy)J zyHY10dm2Tyy*_MrD0>rIfHQvmw`8%gUSH0ZKH3XNvU+^I1Ca%1|0%x ztU$`}W&GX^P8NxcX9!_B*mRJQ+zWL~hX#I?C9T6%7{gR3yGe{#xgq?^Y1c{a%d6L6 zclN7CVRGUz4D>g9ThqTXOO{RvcC2@SW;b0yJJ!1lE^%XL6o2*=Y&PGs`b+_XqvpNw zxykeX4wT(}Q0h~W$5yWkr7Cu=s;vA=IcP-_0%2BZ>_w5V$Xi# zbDOW*|7R)u^X_*2sfj>c2|!{+?icV~4`nz1_tH8@gwxXr1k696YaXKPoO0~rp8NTQ zg;_NIk0E>fg~EF9nsB5DLlWbnQFQHBdPsSKooBKQER06i(Z@BcE1+493_`SxG9y`F z&^Ev~?IT-JreA~c5^OQ6MOPI8EicGgs&y;Og5@(8j-&l`J;XVSH3UPm$}mb%iN#Kx zWQbF-T@Oy08Ns7{pP;m(4Fy&d0D58uB<+f;*N5;84`os(#N^<`)q}oKmdo-~&mtW@ zTJL!{AbVr;#h9GXF$S5~c-AFX(ws$BR1>D~cAu09jfGeKW#+_0K{T7QSmt{c;7Xt$ zQ16Ljar6t^etH+8h zszMJ$h4g8lqCr}R!ENYZq?7Qj$J?;<(cWZ)3@F_hXjvm#h%M3_rxKn(Xm~VRwtOJ7 zP}sH$qSB>seV($BAr`UEtBY_a8qu(BAj7T@nB)drPa{zbms2qmP6|gNQ3Ep(D}xiL z*$-m~GOPu>$~GZUXz&JT^ZihGU$U`{tqy({->!yOv3)M9j8p-6*vTJtf>N%`s6TZI zyi(S!KbO{lOMB$d(H#o%S~NvYhfZ{6noOXbYhm^;4%PwqpwPUg-{mCld}`|<4n|iUIwlwlagWR#A<2+E;XcWG2S>!FA=rP_ zIj}cvGiprd@Jcwyq>tXJW_Iu7K4L2}G~kv_YOU&_SoY-?RnLM{m64#O=8F1{cLG+x zEhP7uz)K zwFn}*!pR@FQgISU7c3D3Sxek%g4BUu;G}vL3GJlq{IO}$Ivkec^Se{wU0obk8Z1}j zfy7#UeBOaOns;7|KSGpUF?s2qcTcYH;B-Ai*&~;)o4f~@ynEib5}eF$26@BkbyJUc zg@$qefn@Nw()M70Hxd#qL;;*GaD-8-HqSfoGX54nQL%6F!>hN# zihU)f93~%zlrKSeij5hLhj(tdwK*5DF_od}U`C3#@eEU1hxIhTS}XhS3XV)7w@HY$ zH0fjwfyG@D9-sBV_R=gP8*sWbIZ=&{`hsA2cs%fDOS!SF(vCXVD~USO({tCG3xUZy zo){dbja>63NnU_Q>Ev57D6=%F>QpwjWCO}?H2`vF1m}hK6|SEdscNaMEQ}7#9tLu` z`DJUAIUyh`TQ){15WA@%I5~ViO=n1yUFOxn7R*_MziOR}X^eVt3+8YZl^TSyyV4@? z-AqY&y1gXUkt)@*NSmY9YeqVw?t#N-U|)WEkQYnDyDJDhmNXf^}> z_x@sff`IjvnGMt<8b7U(q+y)81?)A*)mSC_1{YE%VJMzqgoUx;f)z1Hj4*~KCU8{= z;V?l#Bn0k4>gD3hNO%w=UY?G%Rw|@T1FyMzLrHV4dli#w4)QO+=bQWN^>wA=3>}SaL+~@v+EJnKt=73{t?SKFG|7u>G_)CZ`0l4x z_3=ni^lp6;P<9C*_uPtz{YbbH%OI%f51#EfdWHE_eab9O;&eJ?QFs~)g2Qr3fwH@3 zoKXu8iNskQtT(?J3@waos1H|4lwHF`*_GhC$WGPRfqz}C>^kK;q|Fo`BO~FBx>E}( zTi--nw6Pk9hBFMrB*CrY~5Eas< zfudc?I;2h6eP!g(dr`*579m1bRU%d%10CIlmIQ$r-aANdlE7;u-${a-0k2CuULJ^C2n5~pUO02l z`|-tX9S09~?0WWKhlB0dI@pDK-?=;fnzKAsa`c&3;w=8nl;kpT85fL|v}y5Y~w&wuYWZR<0|mNE3k2I89xH0b$Q zS)9>9uTx3e8FB2>h4&rqG$xX){L1;T4j29BclP}KZy1YZvyzr?JRgE}cz+5z|0}mGYs5y#(7+iTP9VneV)Pl^NT4}Q{s;F9nRX-7P#z%cQtm|`&#++?AJZgOf%sLu%mfm zej}DXu^nvc-$coM>`ytYWc!7G`EvZNtV284#~SOi+VXQ`_k&9g9}L{vq4RfHU_0=J z8Kf+EP0}$-qc2$Cl>FdJ@dsGP_^%$lG0@ih;NKhbx81jNs^dmc$AQ#<+lT$q|0v&K zAhmoPX$Ou7{Y&iZhEK&Werx$lzbL_W2l|8PS^S)O7MRTJ=!nDTKKSky^eo6afLyTa zox2`9+@@Kp#$B=Wm9n=h#c|{_fKgI<7S50f0@h*lp~jL!?1qsm;xFGBpB3Mcb?7(R z*2a=w&HdEfpJw28EDbj>SO-lnDPeiCXMz4x{58pC{;!tmWncY&?0pY>RK>Ob+`D(z zn;76`3Am(G=H`!rwwi!eL~3S3(;}^f$o{@(?rySy@J9*S&vOSdJNMjk&zw0k zcmCh`R*ZTGBa1z1Wbu>q$YSxuiX-cN7#5JvsoEinvip%?t=`Z)HMws0ruqut%bwcy z5^!Aioc8yxK6%6XtH1a-5M>uF+4=WHZM&aX`qIG|P+nbl*B4&@-o-oDeWD$AU)r;3 z@9rmE@(iHB?pS+*sINPB9e^gTkgx5$ARXn73~bvytNvs60Vw!CgoL~3V453&-EYp= zf7Q-)55N2sLfQTHeQyID_~M(#0wDLn(7&&H?dR`4aXR31+yAj}$xAfz>G}x@aOnYDC6w2;_&nDrz0F!FOcnlb(MgsyT0k$(M`v`_@G%77Z zl+Ak;h_YgZ5wkJx07O~CL^cE{TL6HCRX~taxl`}A0)|H1xNB=Bxbavj)7pRzT$`Df zb;EHVcX6M{cIYVJyElOe+m3p<=^>@}&Z8eP zswxqsJxqh1juB%aJ-_UXSP|*XVz$Lb`17Qp*`ZoDO1BKE#5Xb)CD~l#HDQ&?AYt-i z(giW$;J6sC3k%(dMT4%Xpu*`H3T6xP3BScEFXfy+xwV5Npd=hQf-^Wm1eB!FwPPq0 zohJLFMVSOqdNdXhCxWy9u3IvWh&&rzer!Hr+o}Q)OBIMQ!07S^7?Ec~Aq1dFs+<7B3*^ZVa!Gl8B|xuy?NCtHASC$t zYXbn(nvbWUw+A4>OmAhQ6(+CEIM^JVF^3;NGwj@8i15I?3QM>^eih_eb}z|BT(*}J z9+->CTdM)s50H3S@LjUt0KTpSNob0(LAQ2bS@%&{z$l_^KFpx_1%eVw{C!=|K8Id1 zjoRrcy_b{<3Ho(!rzr3Drzzus!vsM$&Q+|5FsaOC3bTuGCe(xT$Km75JWWU_cSnyX zY;D4sFw98M76+sfht{~V4^3>(69`Ckk>~!XFnL^sr2C`7#mdim18sqg?pIiDy^VTZ z)x=amtEM6gPI|H-ST(@Td&?gxCgZz@9@(%g9L&;r5H`nwH_sk08~O)(cZ z1ejTi7Mx-H0CZry!5}kTGb_tbFCaO&#grUwZXh__$H1+#JdjmyAf0&9vo_FT1I&UW zv5YrC7Q34_rEC%uvmr#0C2N4im~O_(@qJ1WbJ!uNJXCKb`|#vs$^F~J&Eneq86yki z1r^Im$^tUm2gB#g3VDAP9L-u_?+6~+!lW0k53&LK3PTZ#Asd<~8zj{o?pua{wAonf zJB+iq-6R73fWu9C+RZe)Cr&$BZ3uDm65Pnk9+X}Gv9nmc*kDT!HG5>I3k1$=BH0nD z9inU!x;-Q`jo>?pG||2T2yv~$MyFr*Hxjpw)2$3{papX4DP2=_pbmvHYX{wGQQVdR zy`f1XlV64cIK`UCDwPqXAdBM4$BZ!`XTf&|{B>1X`ps1BFwlLHti0p1fa+Is#mfm0 zi)rXN=P4?>-*7_teLS!jR+FKbI9;-gdiR;mkhtRhUb2<|0oBD(+(dPcVt1WP2YP<7 zAp_2XKL~aeLl}zStz~h^Fc=ySGBMy)XB=G!+%t1Qt1|Kgk(CEE@Q?>&{8tpZlYn=K z%U9P4i61-w=kC&0K_(=AHOK;4nW~IaIO_)50=Iuf^&K*xHQzr*Y!24W7zWB3g5W)Z#d~>&6t_H7CdryCyrrX|AUo~{p^i1h}?^^yZ-c*TU#f* zapR1$UG5b4?$J7miZ3Ll$MX(WXy_3-I?LqtyzJL#0Gb{(>Nvy<#1v>1XNxCy|oa&;s)7v?p}eBO=Un> z!$;_^vbs8cc1L>-?`X}P<|fBKl2S>Zfj4S)3&3}gBtjk@84B{ckgYX#M(xDS{$V6P zbOpe7i5#@Cq^TJWmP_L+jt;&%CY>Az=qEWJQO^Ie&b&8krF)bvH!RU6k!;tc(@BTIP(qFT zRMI&`aTQ zEcPBViy@nO0;vLlX1V_Y@dHIh-$9ya+f&>U+#{_by|8+|6RMA5!xN`c6?9I~3owO< zbG*D^Unt{TG#2dXiU+fCuR0fAL55egQ6DYfal}9^Q3Xq$pNfb>{$MQf9G0pB6 zCYb@Ur5^))*G+u3eD|N1N62FI)6V;|svWW@yB`^ra1NS5UDF9V)@c5n&})GxOTCFk zY8YKa*|HI$ftkS|T3F3%;M{hj;2T2f&6f$Ms315Ad@*k?_lf4EpIUh(M7ITGBg!64 zh^(vbv!!qpyk=eql zvLg#v^doeJB@uhk6#*RP@@cbyab8pkf;375b(_gaCNw?`wMehSDU@hcu`d7%e*^7! zTA-E=p}t7FK3ag7aSK<_mqryP)i8`VHwgT+bE~N-4=B5=O!{$+WsD%>^!i&&&}Ba^ ztP3!tWRoG%rpO4DP?(dKbtlOJ->*=$!*on-9uAY5!g0l%@kfn6`+riCd9Gnc&c)pS z+Jzlk*G+hC>unPj&%5H{w7r+Rh_b&%?o8=}o;v5@t*wR6yO~y)=7x}7@ZG+d7EfdH z_9a6=N=Pb;Z#Xtu?RqLFi+9Zd4Dh1PXV)&c?=T??l#orUZiMU`XU@A^$yRW>>*i;2 zFHV=Ll}c|_2LIDla2n3#U%qaUck2%(JP+M}_vVbUI&g>SG<>9TPb=xIH2Gp$$dMC& zn1YBd%Y8`B_q9n1W%s?$tJ=Y&`Qj|f?ni+*XBn-2h8>ZYRR=w0b+FWsc4-I3e9LL> z--myTDcYXm(+Vvr8v;S-%_82ro%1yZlaa>o6@eNNzAB=fomV}_>o^{y z)DOLD!L3r6Q3h$@qb^igcwu#LbS~sKNW0i+{SP= zNrxxCCzX^9|A(VgId2U+BRjG|_@0=Vi~abR%JTHP0-C`LhD5XsbaKND@NK}1X< z3!QnnuhL=?VO*!4!!As6X4qs646~Y=S>BL{8O%2nLl#MKk?b&hNkIsKAIQRFkugq! z``d%6cIZ?2{~xaw|0&u8>;z~`_0w z+zzl;Uy;rw?QuuP9uOSvKiLYH5h%#r?urkI_w_5}2(q(e6t=#Z=H|{}Wb0dMMe`l5 zDjrF`s-M%V%~|qEvWc>?D;tXthIuM0K_kdDGPtCvz6Nl}bT(iapr^G20}x}hB5rU_ zxh0A4(Po+#ioi+oJmj%l@&rf!!b@Zw{{&ipMGlsLwT;UNSU|?^4wDkBb6hhlY``Ho z_{8c|qYd?D3GUx1c-Bz<-HfdI?f4=DvUFOP&sV^uOwZ2z>s~%nAy^ zT2(XS0*enE$J=1AK$QW@*Vr(|%8)1|tbd0(LC_u4mYNQmkO|zqS3Uf?=m};6C&>c) zo>e1@eQNr92hA=Y7pUqgtZ-zx2f$QwVek9Zd~wItn=b~U>{%m!4&(OChU8WSVtbZL zzP@u4Kx}`|dXdVsw-4h!!Myy5T0l6ekl#+2xp~|WEFu6P7nr{aPB)`KVBzB@b2|5| zn($hxRE_LO&bYN(R}%OxaX&EaRVr-b2$Q!xC1i1`RQ=AC-G;A8h3;4XK1e75szvTu(WE_48*zcTtLf3YDnj)7vzXh;wtAFC=KLZAMuD6Ff?k{)fqld{z4oyeQRi$cUgFk>(h}_UMD@JUfG+-ry;Pybe6MyF2xFuap1d(lfwGbR7wtS;X z{E962ZpwlK`4(F<&&sY@oTP5q@ro4~l~*=MCqWNjz>tuqA}|Dh?l;{;PLHA~l0S;Ww*Np8=ugRwT;grpQJU1Z&WS>P6TCv`^U-%nG4 z2B5zdBuhTLRehf}X^fBkgmW`KJp5m4e09)XEuZN$sQFy3>>WzA*fO-hZb9?uW&_q0 zuJPZleTg?UEx_j&Y4V}%`}@?SH;dJp#cGq}re&)r13j%%#CCl82zfWw6|T{nLUVe1 z8dOevY^3-@VIT*+fhKUq7Zf`Ugbu6+#5H<=MeSvE!FFePWHiVl)EAv@Cm~%1m-|4z z*{Z7tcXh;GZ7u-HE(EzKyGT69kZlIhX^Ltoau$5ICwJ1BcH#xX`ofvnzQcf3wI4)~ zYBkZ8GO6J^O5`ORhVMyD9XHjZZhMa_9sL0;wIr%F9CW4A8jMOI&B*rW1kR#3xSPSgEAX_^OtVQ3qG)_+#s2?n8p@tB2=?)eo1(h>d z34Yzx3IL=U=c=Ulvh~y_rM{=mt4>#e<&m?+rP;b|6uA?O#6W z;Jq`F7Y^Bf`>gIiRlM=#C2uz;*Q}&e>Pr)f%!s_$qsW7aJ3&uo-GEx)`@dJULvLqt zK&5Ae9oqs!-l;fnOKIniTletf+rRljbHn`}(KU8z*Pw!mUlEQy7B6;slJC;D1fH^~ zZZ+`Pl2N0K8SKB4iP&mSaOYoB(JG znVx)Lde@*y2iGhA&NutLE+(2a|K}drzCD z6;J4Rv@35_QjIKzgjo_@akD^Up7S25H~l;}A0vyu&q;RISN!bUxA(E3xj$Xt ze|mFs@)hC9pO<_lIBRoptMRF>JXJg7tJ-05dSr3_tSykeKDIu>thcexPJZR zzhAd|!|M|O9eXPO$9_VTeHzJs#kQT-w&uwtFFkVWJr6IMKOy%E_w9aR^SK9i zt{d963*Y8=CuigcK+_j53-+FV&i-QT+cm9OI zz-@JraOZUV>cEY^*mK+3xAtDJ{_2<4K77@#12=9vAK15myj4+7fAYqIYj@xL`XXE{ zS_=hV{MyYI>`vbB`z1iaefh0t_Rj+OJ?O2tZ#b~zu64V2zPs#mSTsN2@P4Po zxW+d7ebP7uB72Z6@AOs2`CV_QPH}Qz_ki>D4tRWH{cd1do!c&kVV;5Xtf^##IVuIk(K^3siA51xP1q`3{CS7N28rIRa;hs z7Mq%8YO%}E#i&F*0B-#}YMMV)+3Ystc~cWf>H#O3YJ(eW;NIz`!n!O1n_)L$7Z)@&Yr?fwqn#5pClUT9^&OTm)t*C$ zHX27zP}9uwJV;K%kIWhc?8}0o*&ut0B~od$XoxbccQ_6qqgCSDNfQ+%wtpeH% zhBkB|a2~`_k^?pf!P6;IrDgXRmh|q865Ou6vt+kai7SdSY6r3=${(z$kXd0=WxXtU zz-?ktpF1^#Fei(2A=YFG$f*-uW-|xvCglbiOCr0u;|YLFJ#@68)D_38i%tZwxtYc& z)6n!{kf8EXy5u;KCpD*p^l^dVEW1&PH$OYqZ(OQX@Fcr ziI)?yNH!@*BMYSo$ht*QHi4|&2_)RQFt`oE&2@~-0D`qI80-hcas4<6QP8pXEmzR7xz_>-cjlX~x4#Gw z+g|+H32$CIqj2V~HM?fIu(XW|QMUE;H|mLd&5v*zWJ_AH&1wD-YklePz%U%~^2Qr8CcJod56W)a%j^GrC6TYa zA)U&n?!9ks4{mP#(q17wP`!Oh?Bg!E5klU)cG9u9Gwj{J1^dYUSa7$!K26mQA${~E=l=x-MSavZVz#{ur<@FruK>!f-}>BK7D_zBJ8rUVeAhe^IC6o|Z*Y50W?y$5V4^_`C-ncT_2GTVAoj5u$w7IIfRv%e2hS!N#x$Lw( zjDUTmKk&I)H0+Tvj0J5c!Eo-1pLuaK{gkVQ*P-ZS6dyvug(kj0Xjcvs(J{`-HMY@U z4f}4qAYfiKJWws{*9E|F0lte=7B~&H#Ervf%R_;n9rwCr5SrW}Y9VMraj2fz9V<&I z%4v-bK6dwmjKrh6;l%7H$c7sw$bB?{Y>;YoItf37Z{V}#`~AM$R^=wD9o`wJu1HqS z@moMrkDE?eG^E9%127fsNy(Q54hF;xWi?pSG<@whdUbAKSG4*Lh9Uh$*GC{T6{ z!)Fx$IyO*;cML5bXLh8ckzi?84Ab=CWp!b%FzbPohfEv9g`vQA(N4PD{VNz%WG`b! zOQoka5UQ#Qh$u4}$^l}_&@2PNm-$Buyj67zv_CK}PJSt=f{-insSyuXk%H+czYBm| zt+EhsJOIdzL}R+GIi>lg755KgiW}spU1?_&HX=`#NW2ynUfW11XzGYEEJ7w^TUs&Z zGno;$49*Bn*UGD#TUyC9yJI@z8{;dcF_@~q#QPLEkD}BwqC5h3maGa}IxmsMmUEA) z9R?boQ#%Bi6+O}*D&j0Tg_}$Eb}?dch8kWxAj)D3puv8JRmB1^iE$PigtyXDMc}&( zaJryGVL|DIVVktm3p6ccSRtWFt_&MHPJ7E^WrJTcreP6)>tRL;B65{!m_UVoI_e3* znD5m&AYb0N49zy`F@z~OK4dW%a=ZpuN}&9&*li%=DCx`4dgtUDKJ-J7obO08oWMhA z&|vf>+7_NT#ra6`Zc#7iIUTiJw*msTQa^EHFXU4iwC~577eDzh;_7ev6b?zsO^{flUp9DD*w1#J`k7!4}NYq`@?n z?bNns-nz~^S zompx_Scs_$QsPKX*~Y9+-A2^~M`~oV^`;Z+vC5H>4e(u+9CeVrBF~$lQb{Pg zDme-uw?`9o?EPBNiKFSC-;@@z@ZpztEo|Gma%t!CRTEG&FPaI|*H0o!;$FTssc3aXxK*B<_a|p-YXqT32-|10!P#BqI2}s-Qfn^ zflHA`GeOek8_RTF#tiFzr9KCP06B`^49C?VA(g^3UE+Da26@n^8ABZDHv=lETy2Sx znt&$mmZV23UQC?SCARhpph0Nh+*yJU1JSlk*31m7m(5<6eax1EkCbBx-U z^yR}QY`g$B`csZGyO??!GM?X0a`K%ODwX3&6Z{=L@!9fyc!97kaaT0m`c+bGqAK}x z6F>x2v1iFW&EkODge;CG*QA{b@GKeP_zFtdy{CcB%my7tvsGf6vIJ9u|4|}CXi@!2 zDUUNJ1!zI&Q zNnT1ek=bY{$Z1dY1{_9BE|{GY_O>o~;4&1n+r-=;5l`qLp%cgK9H(ei#n^+`yeT5K3lK1f-v-5Axjw~pM_abc(5C~jdTBIRT{(BH2hqGn*+(&na6ElMg*7%c77 z@%?iOpIgoH+)T0^}Xti@;@43;3 zFa6oV(iHw|Hv!H5cf7khj0xtM|*vg%5)pLN0po?O$F5EqaS4 zz;|6C{gv1+_9TAJ!`mnu?ovV)_mEX`j+r~XnjBAF{$J#7`^;xl?Xa50WpVn;LgF2Q zD0^~jQbUsqus0?bT3S~y^Guyx%S!L44svgUUA!#e52#`NHEP~rwK>;rSRS!E;{KeC zaev{MYZ|T!JyW_m^p~i!9D-VpC(~}v$l?|n?Wbh-lJjYjzxo>g7efn5zZ0aw5Yd>A zK<=8yi)*ZaSYSU<9sP7ie6EHWihSqZ{)7a z623VUw4Ym^Dr_{>aYm7a;StIpXQ?u15c$t57}juBhk~DWTIbsFR)3Cj^HnaKE>Lzu z3%JvuEOfERzq?~cOkWf5#Wx&_k zQUJWJU=o)Kv^(BNxUrJ5f%}ynv)O$5q5N%8;xY4N% zg#Mr3G&PMfEkWd)kI*0%ru0?|2&?O;2_Tm^=}#ZwIAH?cwW$<=a~6?uI(fx+KdsP!_-*VTg(_ ztP^7AKo}E&oaP>f8jbL4m}(r|2D>LlNyJ*Z)O57LBF{h@3^97|G#}l}a3Ujov>+PT zv?C?)Jg^Iqef=}|ItCP zn3v%WzCpv$PBkcp+jah%8@y#)_*x zE~QY4maCG6nN8>?`tW5hj>7^I-pH<59EX`s9t%pdB{A)fF0^HoQ87k+k$TnWN;yeo zKFGNH4&p;3vj+7_KAw{F78?S$kJt4G?dJiy_jw#c$91fiatvi48t)g#^fId#nw}Em z9YKyF3w#>^j?q1gR8MfMeMq`Z?{kkV#ScPXC)A~2_=+5#Y@6zQsorWJbD^TS?}L4= zT-iD9a<95WbxqbCw*|iT#cb_x+{PL}#;M6C2ffHD4!$)iVchjv>(gBumhKVBxnu6z z1vuT}nHzz!%Rb{LlwG*wB*4;sQv)#7kSkX_y-O4VIqN{>&bep5x0tvmzM~+k6zd6j zM(pp?Dv~cG+r++u^A7%O-j423T_#@2dM#4Vv^ExNW1p+&1~Av72N0$2X#(piO!i{( zs>pSvw3$qX*$wlOyNZ+DrL*=8>GD+o78J6R`Xg*!^1XT8uBKE=EKUsFyY1(D*^q4& z-WL@aAEyIs?_$|q!MeLWKY7u!_a}q@cm5~<`x2aPCKi@L*;UW<<1J0{#R*N}Y@M?0 z;#0c%Vabw?W`P^;%GM4?v(L%8_or>^zx<--wu^U-N(?^js>u~y?@sNulC6LELf52j z&rP$mTd8*N5soHY@{h@G@l6GJd&RXCyF@84dEX6;YP|>GyWJky4Q|DEqTXP(Zt_`A zzq=(7*q8j{hM&%%+Tq<%J+;FS)DDQUIGMcl0Kov$`<@t`WNA!ZH~L(qbXhWK@v1+* zCnhD6GWnMwU3nGBLe1qLT zjNN~~M~>9*k%QU%ySiLB-NR6J)ieEgOMm{#RHjXw7@Nq9uD@n$hki_Sbkb5=)edTS zc683{-GQ`#v28ut$)Oj&KB{ZbX)ja*W%s&0ytVZ=UwGoCZjYF7_f*sl`Yoy2Vb*^q zyJdkswTpSL{A$Gus2zUX`lpf`uK5k>hHg*QC3I&X+#vR|YLnV$G;8Jp-~Mk34zP8Z<2X_Q?BzWcze zUP*mJc}utPsjOVp4jZ$zLto<@eI0A-h5yyEGEihLG2^OZkUkhg#P!PBov8d)SOXWd@2?JaPhxfGbZ zZf>5Ss(G>Zrp1=7-@CnXP;%b3&(7QS57Z78%M(;P82M~y6|ZmT%OtsMrx z1+xBR^PVuv4T~{l?ChTm=|~W;Z=aFHkZ$1pJ~Rqb6a6}4>0aP_y9mDjk{wy}YontR zzx=eS9kM8-?+=A^0Jwh-jM)ENvHQSf>pue!+ZX136z(Lq4RuKVhf*WYnE zU}<;m{s$0cN8Lk+vX6ZC=`FzIEjR$Q+Fcg{NOn=_?tce))ni|M@~DWi3LtmwsCx(r zch6ma-+kbQ^@QO2@~&9`u|2Kr+Xn!rd(*nNQgFI2&s}uRj>i^tJ_PPJZhmU_o7dlQ z^{wOHdU@BpB|FE>Y5Ucp8=pJprnS2deDg`d&E2v4A4_)Lmw~byosjqa$KOMgi=klW zYkMim$N%fVjQ{w`t@j~0OE29C+1&?jeCOiWW4jkExN-2#3tR->$5a`7amlSf>|JnU zUUJ>;O$60@->L2Y_4_3+9lYx?!u$0h%EabRAn|iL{saXdx#_mI_98h`VZqn)m+ZU% z=)fQ=l-=tN(9ez&LmvK^3Q3{texp{^4y+g9w84l0%G4y(-IEG5i%1X!#btaroWWWmG}f~Ct3-wcZH@iTUm^{S z3%{@^)4=Ayuy)OG9~pKt0nL7o$SZshqAS@1d5iBBT<$889U6;+VZD3{X%hS4zgDJX zPf2THnU1Dpt0>c%HWUeHr*cwj+{7WxZ%CiFO#$R0%5a2N#q6L3S&c@{83euy%|b!p z2U%m)D-7seMBMC_lx%2H4Iu_=tAr*6;1&sMvQm)9z|9>B?pWCre8FWr10hhrF?dgM zMCHf5RJqx3qOTMR1nj-;F1L1&gbjqRa`Aa>2p~bWrm%txTxZEA&nClwA=`0xYyrUF#P7n0(re4vSWK1WAOp=VDioTXrr7|ZYs~XP7FIN&kXBb2 zV0MgPtr(LOrOte|8BusuF*~=?ddP4{wj1T<+-7GC<2it>G8}DmB^!&1&kWYj9=`>8 zPZ9ZzM!dbL5^J2B%5%aY9STYgw>FNk7^Fkhk}CX~VchO@qBp1>AsrAc?SMiJN*?=-F6gJnkyf-{gyMw-!Cum~JhAvwov;kc-VB6|pCvRD?DJ-43 zY(TF>ZfN%X=t39{8gdJdft<(699!_Fo=$>pNPF}leFxmF^*iV1217M?NOdXl3Y=&j zgalUFcq@V6t1*5*_FUp=$VO9vSCu*-Yrs8;ZP6P>=T!!Y1>10z%8r|Woc(94dX_ds7i($ltj8m|*zX@Q2(7?t#< z(937m=HJVl_R!??;1MH>F*tv&(&_+rlZrC+^4TmZ@Q~s4)_@#n1DOdc9>suT@(3ts zH(g5#68B_lnTOft<==y;+LeMJhs{$hb|yoV(5q2t5|G5r@CIu(0B~CjAEmNVySE)} zz3!)R2u2npJA^2$#&Q7r>IHI)W>-QJy#E0rqgn--Ohr!p&QJ@)G@|+>_ka0A?X*+Wg-UV zRm6O^qpfaIdntfvI|Sz@so?}Zgv@kW&qZ?tBADW4gNck+l=dbwnHq%zS@Ef$vOE&u z?<%8+w*n?RhQ{M2IOC z2T?FBK?Dq3455BeNk|GL1o@~jB=eO}D2NND-6kccD>wqx%{+0FnTnt^W>V74T=EWa z6lvDIe+%53qiTmNu4o$dwSU0YlLxALLkjY?a3xMUp=_vob96fn;mJV4z447}hfVlm zO2J3gE_`jn`a4!GUlsU6{pC1CWzGFl6!*9u{8=E%rn!~gPu{V1&s`8Q;|DX}SOeLJ z@)rv`H?3bi3{n08Q9?*g_nL)ob)*x26EvAVVahyk|HD=A4MdqzPyytw=~eJqSMMI& z+|GsfZCRgKx%Ic>U!Of={j@jhU#Xir=aDl~viGdI=nu11ln=Uk<0zIxWbY=~i#oSH zvHJPtJI23Ra~c%PfhL!OJgyy3y-Jg>LCD+CJ7p@6n@Pc%893a(2deil*?kPF?46-^ zmOC?x0UBVfQ?)|~pUOIoHa?ZjqU@eHS}lEl9I92{HXqBd8?hkg^9O3!_CRQiEd;V) zmCX|Xu~kC0ERK;$Bg$?*3{iGw zC|C+1yrVH1!NDySzRNa4;o%mJVG$x4M9wqA2O(&d&Fy|OCkR;D`Nd8w%4LK9Jjc-s z#qe4ja$6d#+vV99v-w8D)w};xP6%BwJWRlUI7rsH`RqCPRC}0XScN&0{U(d@y1FQL z8t2}#QL4f=Qqp`%ZJ>HtVi!3;;8?*uyE z0*`B)>5zpFLfLuP@WAQOw3E!_=*bs0GGhJ`tHs^aZ7%Q-9eqiCd+69kW04?;aJ>S+ zf*DKvu?CEm4E78w;T|Cs7Y?K-Q;GFJ2-7$zTp&m)TYLspQd^GmJ>uN z<3kI$f2<5mH}Y8dJ$TD)=a(S+3JW}xoOiAJ&4?Xl_GqIqqTxWfwB8}~9hBZHVUwE* z3#?rK_{!~uFw4uCWuIxp48v&&!vua=85Xl^>~_I4lvvae@!O^|4}tGu4q|Spuz(J{ zGM)Io3Jy%BeT-yV7mQG~Ll#%m#TC^)bO=@%)Jo7_D8Lc%X!pXHmS-YZ#aTXSq7llj zizv(dmjuC~8LUB<PQ zCv|wG3`aD^kV&J$F*Xxva?gM<5Gkq+gWl$5tgortknyT|5Mv^X z)rMI~juygmDjAG920JSx!y1hlv>;x1N7oqbpF%Z|i%KU4*jO5!P{|AlPY)|u$}8y& zWqH9igiEKKKR>(d%R45#_%`(3{SC6`MGa34gY{PhM8~SxuDFEpU%Pl*|D*AGoou5DbKDyT!3-J){iNd_=Ab zMOhw}Gd8x^n25rL&ejzHt&)uoMF8wuUdonvz0bA!!+3)5=|+U+0TORcy7*Wd8!W&8 zuNd_CAUDlOeuG4mzDjG;^2#ggbiWKm+Re~kG-%T9#K*=UKczQhLqYjvvPr&_{;Q@` zuDCK7Zp5&MRWNi{e-#2@S%kaA)+F6 z16(4diQzC_E_EA2l+jJZtsGDN(vNPQN;3qInWMQ%P*l*{@U%7fId*ljuw>YSg*{y$ z(_bHlsRnXF$4;ms(Wn>j23)q2s!%3uNkQ6ZW(j=EHW;`mu`?W396?N%7D)Qdx&g7k zQ^Qs5;5IC%yFcva^Wz%jW+dE+Xk_oEczGanDVn*UJu-yJfV^lMPL$7&FaJajbZpb? z{8VpXXxxX!&}RXu7VW%EZGr-tMYn?5$??Ba?IfCJGVIWFQ!%n0WHTBAIf^KUs?+e@ zo<^X?wxtuLzJm+wd#S!!08W=JAabfeC=gpT&2~WP9X(b0{G@xJ##b0%fV>Sw^5dx} z)j{@EMHAVVN8<@-@+zVXAVW%n6}LAfS~;G0F-oOO7DmKBkTUf zKQd6>X4MyeIqpR4*uDPzEpcr^PSse;c-0t`I7t-unDKp?B$t~g zl04$pSRUgDaF)EOp{Q2e67V)FUOd z45DM;p*N$#AQlV?=q;yZk`hIr>q8xBREaJ>RG=C|Jt^f zd6cQ&^iPli02?>-FBv3&G(*Ki<*e>?FBx~a-WC=zrTQ%8}_~2dSl;gz_I|ydwO$c+O8${ZT-pR z?aNnPv~OE4dFHN*Twvc{UWB~7=*4~zWtS88jP*3ZkL8AvE$(uI%K87?0XW@-=K?#| z6#@|3Tc5|8#q$@rS^P@pRxD?1{r-*nww|({6r8eV;oJCN1KfK%FFjJuUv%B_mFpp7 zLLH!br&L1#AaB3Z%Z=3yRebh&~Jm!)q2kJ#*K%&bOad zD+Q?%d)7M;a`l?aNp@RJuk38mq~#qLmWmJl`j)EWB)3I&s@h>S#%4}j4cATvDqL1J z#(e!6wn352(Cif$ySJ~dj+S=#qMGzs;4V-|d7BAQmgWU)SkXVCt#t(po#P7!gS;uk z?Ttik8SctH17mEh2T?X7%CJJK6?V(LcBv7s9qF|ACx$k-uyvROFj}Lw;qzbV;9GDp znT=-&&uV;B(J?%tZ6B^*=-jLW&CVY%6W%*R8pz))#pf4SV#>e-W*-e!XQ+T#0qVEwO}Ggh zTsSd~ZLdm?CDPq=y3yA`G9p6nT$=hA3c{JJnF2i3`IZRVI%kdeHP|o%+p_dF(#V8- zBB|$@1nTO;aVFD2x~$Ozwk)v`%;6E8-ETA`Kvathl}gL;64$H)yP}ckW(q4u z(lgTCU};)|Sd$yUNqM#wDPU!~#C@Ah(WD;J%=5HdL;wn@Woaq<(KRmmce(wOv(zJ7 z;45pgeTP)brOZ4gf``3eBd#{G5X*9evth_bKVPhB{9vp+zZY`#Vh%vG?o zrA_g6SCi!oK(l;g&SbVa6hYqs?WF2}U?~I5Cl)2npe~IQSf7QlE z4~o2bNh+0>*v{Q<(=6lIk8p;bCn7+?T~I7ZHuVNZogP4MU}Wga+Uol9(P*069bntW zms`+esFH0)@aYBgMxUo?6M7odr3msTu&yA{xsg8qC!OK`JT_Yod}U4)3L+`dl9C49 z?K{BU^_hJhbex<@OMFS682Ke@-W)^czO|!0E&j`NPV~BeuZ<(vW`yLagDjY~SI?b?3>y<9?kegd3A-F$#vTMVK0O zG|L&C0*MPajR~Cl!Zn)4^BGb#p76;D`~|7$q(8b)u16BXIggm%!=u#hbN4QMOQeG6 zL*Ty%U{i(3+F08(=BX*hJ;@BT&_bGMmw8M{cK0RVLATAX(l~J#oJox(%%TQN6tM(+E{`i62**D9F&@179oJB%< z0CEu}hzEf{H7t4ms4liN`AS8??|HP=lMG!NGxM~frPI#cZhWtM`>hH8C$?3dp9~G@ zHm7!E0F-kZhc6UpPMK@k8M1aZQtk-%g#(rYEG_M`QBmRyC;$<*=Kt#aG^Sr zx{LaMS@)q^04Tes&1X{%v@Lz@$H`>L?SDt>J`^asGw&u4+joJotBu3PS zxh#z7iKb!GJmKU6)4NjOyR-Ip&SKA1ys;{gp-(pWfh^z-&X(`W_8mTu`3B@6HL}Q~ zk;MxbR$O&^GPLbIj1|tEiLt`qr{4w2u1Ae5reb7)9y*OI-unJ)Ew^H1u^%Ig>QUE$ zn=4FS)DBaRgeYqP`8r8sde1xBJ!PyjJb2mO;mZ<*)4Q%3g4zKi3r&qIjHOgNjP-QY zdJl%CY8Y9ZiIGKF-uL!cHw*v1{go9Jp&?!7=f8b!#W%fOCc)_*Ryzzi`1H%KeEqIp zKlSxkSMSK8ZAkZbmp&IyH7Nz%}^tY13z^~7PI#Md=~1@ zH&al(+35$^?8Mw(|4Y>lS(M!m7n${2ov;04$^YzGba<+TsL09e{>}}K_}AC{>Gw;3KMSDQ>(>w8`Pl9kzC{4Ji#oRv_g()B zOx^=~KCyQ9?{_B)cdi@q$F~*%mUh)`K&#z*)YT(ZT z!52bC-O~e03#i^%0I_|28~}1XZ4X2CPh&vvy?%lZ(zf~oSHJYY&YPAU znDLKpkpJcN12_J5?bOG=`g?_K`_ez zA)$jiNSOpoUbY!0Fneth0zh1j#ujAnzu5q>)fu=&SaF|6__I|cgb`cF&0@`kr8OcU zKhBB(Ii!Rj;5Vd-GR<9U#G?7EP9gX*2npz5LX=g|`@AdtKIfDsAoyA>q#&dju{I^d zsgjVDZ

t1TowBtUjg5>G{pZ%2Ir8O$utfX>3WS~DO@8B+q8dE*rCUZTc-NQ_ z#FbS>dJDzPfDY`Fj<y4JGH9GH_pRY%qY)J64euF7z#2X#xwgEL=290aLSF;7IbgF+U<@frXe3K3k6M({a~p-^wGXc)F2uEES#0KFDi zSP`ZGo&;m8u@q+4nMAJ`xK9#GbP5a0A-t+u7LO99lqPN9KFuZ}Q3$DZ@n;iZz}6Kj zZiQ|&wiY;BVlzSYYTVqA29LTt;G-p) zDFp*ea|{XWJ4+wGmO<}7YlMVL_*Bekhu(eyxf8#d4DLog0#O)o4P-q=nwZz(j2YGj zvVp{dJhs61qnyh^dO&Ki%)-Vxz@4aUhHM;>r}VC`#3{K6n`|=H;i_mFq#!0)u`UX7 zB>?n}SYmTm<6D#|I(cWm+VKNAP z0gelc0^}_GVS6*fyn!L{!>Wk869IHD7*KX02)s7kG9)|;H3*#YL?s0?oYg>L@u4)H z;lx8vD^?=qMQ|0cq)R|pSGNZhAc(V97#m4kX#C-)za}O9=r8TWd==92BW9l0OA3l9 zM9Eb`utnU&n@xoCq~;H0oYCKB$z+l1gASp(tZ>ZVhs39|^L9xZm|_J{J8kHc&n4mhwe zCGWP_)Gitg1Rd$O+Ncd?P>}Iu8%PKNPgbfHI~1`b+64J?a2v(o9(x%z`_+|b12lS? zdIR{W3@igVRHVpFI=Cg>rBpUWOcqvshsr=4+=0q6^kOJVupvr8eS0ksLrmlkg`flf^$3t^&vkC#uq zPL0u1VQ-Z)xq3d~=Ca9F+;lWlDV1FH&_y%2^A$JVXrTh8Xp#ZL){AqIcjiMqnHB^R zE_xMsovaqOcEB6%M)_8;t^s9Nnh?4H*tbMZ!DkPK5cbLf%6Sdv&x=4VDOi>_8?q(z zw)6|zz}@r;$R4e}GT*Ue6bb?$HyUtX)hZg7ILz0E3PE9TV`PDsi)n#eKgJ<8^r{@z z0wFoMlpI^3>^gQmC7y;SsDcOvm?26Qzb*uF;7%f+O5Bv3ID`<{YHUBe#1`n#EFi$9 zWRoV@f=o6!+*C(=xm6i_{Y%-A1xoOT=cF4I_8P+(s&9?1gX;YzM$;B#b%S|umlKO} zrzv7ph%U+$_eKip3G!X1B)9~67T+^aCtTx2S|Wh}xdeImf&vaG89E~bUyWfZ^C^R9 zq)waq?c?F>N*3@W66m$`IIcog8>u2Bl(Ssn8hEq_$jsOv;WKz5l@974k;Wv3_GI(0 zZ`v=tYn9Iga+@d<@whQt+XAWyS|1l%wM`;07Hg7Q=Przq|f$CZjRMa}-ae+$&j zQnf=CW%olyW_@?Y#b120{mnW;eSK(L=hg=aI=1kQc{6aNPA^96%MYwx`SMBvgTfHMD4_3Z)+C}@nGUH+>_!Ast|HvZ>s`mw$N(o8peey7nk$4rQ3+(Fx z61(>PIFQ@wnW`N^^igf2jSp)T!s+p1#AdnuAJ9|*8$h#+IYs`0(wj(#Z#=RfP)FII zY4TP~GWdx68JrUj$lIuG#Ox@JcQ;BK{HsIrb8~C7Qid6P{^9hMb*K(PVs6fN82*u)YYBXp zh3X+hvw260e_DqFAiCOG%lr$Vdb!;(jLq4IC_Cfmp!hAEW{YLVXixD$l+9xJ@IW}q z1-MbFm?3Ll>U8wmE3wj9`Anx-2ic7k;*UIEsc!G1#PYV3F0@tk=1j# z2rek@(AqWDGIrQQ4J`L_3ck2BWX`oa8cXfY_=*B2zT!yPP;-1>$Jv66EI7H9{27*l-V~_7URhHk0UV8}JzWWC{7KMAstt5t#SG zEy-A$4o?x7sRkLiz=x7VBQBk@BDY(vEi;(G91j*AutvcZZ=OMHDW?ve!9v5oFcwJ= zO_b;~;^0gZwA!G?KB>HY#;_nTE!5;!f|AH3(MvSCGlVU1r1fo53~ z8rRTbQ|?S-MX2S&EF|WZZWp#D8=YE}X$<7&q!x-s!@_GDDleO_pu7x*g&E4Rg;ru0 zILsh!OCKH(VM6dN6(({%4NL3C@o-@+h=yD+4VnOtuEme_R^J3O%<|A$GiG}_RxqNN}!y>WcZaJ=q?S~!H{cma-K%SL>L&3wa1lRDG}BFGbE;Pz5-R!n1h zVSoT~k$9BBcBc`m6mkFgj9n3!5)PK37bD8-Vkb7O%5wAqc8Y&|oy&a*^P=}1 z5WL@vg6wi5Ij(}rRL}$#M3h}A*}XYMceV)aEkFubD6DGGVF}Pb!bdOQ+L&m-YO{k|3L^P0X=|MyZ<=x_QSruj;ZI3i? z=$#?8Dw!%(h_^{8I6@UR=q*sQ1TTS?KTJ1EtS#Yytp~y&hbTFIt^AS#=8q}vJPl;d zCJ7uWr7Ttp}= z$m96aeGZWg|Nm$2eE_2<&i?V)y-nD}2%96sgVHjaKQ^ds53p|$Etv}uFO*l$2$8}sB&OxsV@clR>ZcT*!R_%B1o%z zQ3>Af^UUrgmjD6^YV{_$+1+QKedd{Gc4wZM*`1Fc)j&9`fvPja?kh!_rKAcxnZ%@G z72z6q`)I?<3^3D1k-3V6R2zy6u$gG0A@n>t=6Jh8$TPvM!PQ`fA%&htvka(E@PiUZ z4w!kM&=exsIZW!2h(soG1;er7W=AIu1jF5=;}9xB_!<`bKGH_|NRn|LK1*X~GA8q* z`I;cs99jmZ4Hx5eGUj7xVRR}RPMQUN?XK@I9izZml-*-t+hVrho#VKwHRxUZ+1d#= zbkD!He!~Hv)n4k!zP|oPG;fiH(_PRBq}pu*OXnwFUvqKm*i3SS7ASvE0N-}Pw#QMH zKe~KGUH7be37Xc!ww=CZ4Q4n<_CgOJ*VUv?b|>Z*AOJHF0I`Lj`rQ|uRo@K|+t(L# zZvM2F`Q;O*?w&lM{>458x3r$MZEq`K@~YMFuSAlG2N!l;3BC71h?<5$E}`I3wMhPm zKE0t}cdYXV?%Mfj@{TkDAm&7lV8Q-L_HiFY$cK$ghV5Rk^8RVccc4yK7GUf|k;G06cdVCt zY79uY1WP;GHXOetm!yGaZHDoGM{=4vBIwvY+}zk`$0?z8WI+xDrouZ2Yoh@Q1tIt) z(11#R{uI1MfEf-`q{+lRmcZf)P`qY%wCyY*ZvRi5jy~BP6Ngrs_;exaYG9S zor+&9V@8A3TqE=qp%Bl{iw^5>#@H=uJd|DZ;itqjGaLeU)OH^8TU`mgKCCYb)P%Tu zS83(W5lQHY~ZT`zO@JctLm! z?{KuX2Y|B6692=Hoe`KKfsk@T+IC|LK!eFtxk||J?2Qa7zVjQ|CLz`tw`O}5C(RK% zenFD8pqs#SPA)%uV-r3!f`kjNxn8pCoZ~!#q)4al9Ozvp`1B>r&lx5OCJ~ulb23@6 zw6rMkDeitk6xEHuZO|TF#c9Wtt@hlgi8j6;$?Tc8w7}Q?Th$I!cV~TvcV6WlN4<@i z2(*)%l4H==&SHsN;OAO_CPLX&4I+xct+!?FSZc@>w@IZF)li)SAQxl*7yI#ILwQD_ zje+kF5V@=gLIP7Dz=_2Z3A~FtqJt>&@SYVE)U|mdWbmrN{VhAxTkaf~zWH<&B#W zl{#1ZlHx4cYv?#x!)4M%WMvF+zAmfZ0I*C1e9Vs!8XyTADG@RH< z>}fvyROx8Enk$kb)A%3;6cML=0*7|f3K9e+CmAMMeO@pWO|lX~WJ&ufN^=u|Q$+rO zE=&BTVfsv?7BnO&7~6zXQdgskrU9RYLrByW64s@#Oe>F6kwHZH?wcBVDfW3jz1}T3 zb`6!Jp_6}M5wl8?LmfR)1-%QfDs__ujgZSH;C?h~++%7jp1(ZyopPKdO@u@=AV~L^lo9wmB7HUw$x6YhMOga5V%fKw-8)GZc&1s^4*O{Y7*M7ds8qnG?-ZXi zE99saR5JzpA8S?6vC7^rj_bS_h_ZyTd$B48%oHrR3*QxVZu#X*1!B9j^{l$x_)Nkp zouvvr^^AW?n3eI-*Sg4V#xK#vpSaoP8X*j+ZD3= zmHx=A&rGQMZ4BI@d$&A%ej|a{E+y`Cl9zQpb2yG`UH8Jyr4Q3g!P;&3bOd)5W=|HR zpkyO#axav7e(xDayV4xn6oi1X!tNK|mb=tU5z=5 z;ZBS706^}nFykvQ@xh2&0q6}irxJi(Cox z$DQrBrh-hfCy7wG(cnK%u4*1(eTj_-e-q?6wqs0cm&IdIXb=FsBjBz-|?Q%0eA>|Q3ZXkU1=oR?R0!iA&P5$!|w^}1Yv;7gX zn>W|Yvw`<(HxhTQKSG$ib7FRLc>Y(MUjVLmInaRK^%%(@uv5cGIW&+ zqN0YjW5!4%Yy_Dehl=TwI#LhftS%5ysB$-mgUxE!2Nj5e>D8r7ur6r9boDidn+z$~ zKVUK^`M?;A%z#a5W*HJQDyDKK3c{8oR<7iQU=Q?En4n1xvRjhQWy8s{z_WE(-vRB- zF)GoM>)gDEMoTV;@3=2o(RJ&H^O{y#4^R0ArUeLJ{yBI8q>cY0_+}vx1}trORv{YO za+$!C01#!#r7*AcTX}BFXXM68K^pGd9(fq;WMPdU-=W-4+=SqpTUV#rNdn)6T$^Nn zl!SzV;9CPYT}*x`zl4N1@G@rWh2eQ1*8qGsYFo=2h9?SgBhlHYcwV=g=EQ()3r$w) z!=YdugKYQ>Bs-z>wm@ce=2ZPv;uqv3ga?%p`RT-byC~s5k1Zv=@q?+RJcq2rqAK%g2c*9@KvNlW6t_~)GwjJN zODN~+jqH#Gk>m%*T`ANI0)wRbXiJCbT&>-TKVSx`B&<=wt6&183T38BP#qJ41 zT^3=wMpt2-C(Xo2J{nG9qAwaL2(67D7Lxd7nO1%qyCu7FW68j6|3 zb_BAiYnnT%Nhh#cHe#Xv6^F#j4iY3;_#J#-1wUk7G)^x|$D4Iy89qC~nOc>cX%?`G zYRw9oZSWnG7&@B`C)EPl$9H9Ghm&g2;}oWqQP09?CU%RJsb>Sbc;*o?uKE`^?yX9& zuY&t1`mCxcLG?_iXA;j5E5uW$o>;E<4vUD4nnk+R3>F!KnYc=ZfiU(UXrXdQ!Vbu+$e1e8YF$L^iUg@`4^VZeP!Vs0QwxfYKWL(wk6l z)PV=sN0Oguh3p7RMGST}$?iG-;T^1U-`=ge+&uW+G*km`u{n4Y>saZAdqmH5mmD~x zy?Ll|`!|MM^J;3*lk30s#IRjcQmM$G)Zmu^0Niu_&t3zZW*Sg)$N?e`*{aBko?4&U ze(0dsymQe0Y59u|EqdlvV=iM0ELQnse+AP<^Z_sv$Xz+xe1{IPGp3#S*Q-*M$sewt z^y>ostE2w)4?BD>5QOgOPq6);OtMct`s6ys?qK>$>C3a*lWKwC&u43glWNf86qb5n zQqRXz`@TP9U-OWSS5*t{obR$Wn0g@}d_4*J{SDxX+1tZ6|AQ-)}<$z{-|{t zV?!A`Cw+N#dr~d%V|PYVKdC~q!j5Typ3#$fD$dx~Ib>hkkc};sZ_3JlTmsbD5?1*r zYKOAa?@>E^FV*9c**N~y15vg)zAlB@0p#_E8ZPWy$x^@7)^F0E<}CH8SMFwke=y&s zp^t82L--|?yNG+I^o`AZ`Au{|PP_35_Od+t(Oty7kE1WY z$okjVIQ+R`&?`h9wdF-3r+!S)BEy4Fa74^eoV8N!Jd8Hd4>RGO2bD9JNEv`Bg7pcgb&d`d;2ydB!wf>Kkt? zdUD;NKfHD~^(=hrsAn;%hpK_fuJuXR07G6Oa^*8GoewhgEVk{qy=r#lr2SgnvxhF; z>D-@sm3_GxJqsw9N+n$d`)ubM!`Nokvl#P7cE+opy6M%I<`w<$`;TrIqQ7>n*uO!a zeHEDVn%VR(OLk^x`aO(&pZT8b6Oi3Ii5B?VJ*sw45Ud`w<^+N=O}=7s&rB} z_E&Hb3hkQC=MUca>?40rLJlj-n?SyH?Uh?U15DnJWES!7NcPS(dp4#^{*@aylP0eO z&sz!w|McSLCjuAufo@>i4&K;GLJFS!^evzNzq4Qc;~F2bbKTDS&RFyOL1gEP$j)A< zu;!Yd?MdCZ4Vt`sFoNt{_xcaI7e4ds9bZFsCT<=K{NIDC)=hkN&E`K5ZtjD=b;#w; z`#}El>_199Bt zXUV6`CYYwn)66-3R#qK1TzlL7?QJ*wt3P5Hi+PO*7k6mPD;<_uI*ux|v8vEEq0l(+ zXNAy>xJ`w4#ImdbMfV%H2MP3$lLusY&eesy@LznN(zo3NU6 z4ghj%Eq~c!WIjCBQF>ni1^=_6W*N|f??mS7C_6U0nX(htkfgsljs>if{uU@`hnE>G zW#2`Th51IjViO}oStJQeUdL!uniN5OC8XVEQ%DGaeY5xXaXs8CzUkHuQsE~t1(!pp zIrs*LAcV4H7nmvy7I#u@f@Ng^TO|kuwlr;TpzJ=O11t`xMa;^v0fGA%iUH_$gc8+K z4h%WBbm$_yCJF2-C>S%trvBaDLIapom0v$Mqbw_2?y%w}*|iMsI+QjkvRCr$AnTUV zTk`FJs`0uGOsQy|&OO;!LH15uqzfh67j)W1iTOW~M(;i$w56o^cranJ5+r#GzN|FC zeJ&JAn);=U9Jv&Lv1%#3dot5Yp!XAILt!IynR5j`w`_t67NrGEo*L%Zvc$Xs??!?Q zA%!h=g)ndKyEPLwk^py%^hVXi%9m7OxV2ejhZ`hB!Ecp;Eqx;ca+tXLgkk`Qw`$LTE1r0z6)?S*!fr@!Cb;=30c)nDqvsu zA-zIMz@~9>A&~h3BKNkcMerIUElkGp(2#46hR51R5SScn4xUO^6AT`jK5GM>V>7DZ zYY5wX6pwNdvNQGLfc+JAA<%yz^t3AGHvx+SP3oMh1#bfKC0ze=CR=*MvD*miveDM~ z-BC>=AwYAoO7a~Z55UbTH)j$$aBNOhkseff=OUNL4k_qF zn~)vIW+Hr+fepDB3P)3*D-{QsFgklZB+uSimjV`qXGf;W@Tacn&ejf@_IS74P6v+w z0p!|v+MCI0a)SfJwxuu0CRHKX0`~~j4t5R6b|G&`!PtlymZQl$+(Efa z37LT;46Zzk#27&hHf1MY<%)V14!fnzC#))+gs|FTr(D=9WGw|+IL-p^_m^hGe4@~4 zH+Y~HnNQf^83Db>iJ`sEFO-@rH)jk_uv@TxomGOfc(B11qgt*Ac)waWH=tkPv{gh| z6jh87%-a}{>~FFXnvJwVOnY59QA<;1{`RP4g=0K`(si+es8T!*cSA9F zRgjETkHLYagU9lj8$CL0ysMC;=%s2%gN_WBLc3a80Y#E3PDgSC6cXwP%F{&>M}=5~ zGJ^G3La3J9x3ojVVpJs&4`aN7dJBH!qM(fEQo|xLxRx_kr%^0nMJ3Squ%G3+~4w^D7zULv2Am)>ihkW z-Hs$%wzQs4NoE4%_CenM;7Vnag(M`tW$oJA9&Zi)?aLQI@4E?T_7RZFA0tg}Tf6tG zm#=*W+&c)97n(da;RlUd?pXWym(Tc^i-fz7xPQEtxKE$(w`(rDKB8p*?~hmAIji%r zwf{8?i^4#Y^KaPwonO{(T5#Vlau#;(ePhLKe|dJoYoEM)!VSCcy_u3+8J~aGV-I0f zncISo&-Rl|z7y$w<;M-3m%)O|Zb5b)-$X)w`I8%dOX$FV@7(gxrC3~Lm?Mj> z2+u%E9mCXMRC~*kXT##OR!qdtYC@&q@FZxWaja%*NTz=wTx`{cW25K%X|CPXSgN(P zj2PD9;A8X|R$yw#76DBZHKNT=ShqQeWh288eMDHZ>mJ7^;Ei@n8vbGNCH|YkSHJ+! zu1!F|C9j7NPRC#uz>v_qW`FRqMku{K1+zrFEO;+fHZcET_vq ztP!i$RMc7uVi-&M8jaf3##74-nY%17WyZgdkh*^hm3KArm~lj#WN-T;Kl9e-|4g;R ztH?z6s%au6`9fv^5UhAk@yI&aL?T1 zJ7(pzu_ngmR7i{jNN#c+dc)(lHY%wGs}NmGS}ZrWK?sLkQNS8>HVv0bjafm`0}Iuf z(>OAM#qN=M8-5X%+W^zh4t0Js%hci#^i!yZR76ApdI-s2P0r>Bz9dDaC}S9}5FyA` z*Q#Cw7y&29py+6c&&O3)1VXamm=@qL_ToP&-$5|=4UM=5P`5H2RXOejROeapDY40{ zc2TH3*Kvzr!>BDoV;jbxeullVZb^}5`7QH_>b&r8D=yVE+ldb-otXnlM-Oa@u%%OC zrQc*WcjBU&+DR(Q44~O1jhH|z4xX#k`o~v4iBbI+KSmLw#V*!Lpx{$1gq7n2`-uik zL|21lM63M&#V!q^#pa;~n;W+n6-I;q)3${q|0qnR*;g1wtm}7CQIxQXhM(oPs-H5D z%Tj^tBpQq2PT~i{I2&<6-L^)c1CPE?w|Pfno!NDtReb5pp@F(W8Llh+Zlolqbo1%s z8J4{_g#NMlPhYCVvGPmQ8uOe3XuyOq;S7D!*fHU41#HeNE-afDHEzNJdVb8D%n1Ai zSi%Lf+M~v}(&Aep0yc5TCfWP@cph%+shd^paI*0^wH#_WvcRWD1U^Nr?2kl^DmFJH z^EcUp(xx(`g1lvyhTtT#oCYSuUO?G7BlAkYrdJDRP6w(Wy8B^0U-*-Rd^0cm|j7(!(l;lnpvSPFfZWf+NZyBnRM5`ObdmM-&i z1%vM%Mio;=7`?2y<-0_fO=Maq)))uo9$r@xanvPwdC@A187r%?kPMz)QNi$r zHMFp8(hYSD6Hp0Bz4EgO)x-IqTC z*}uM$7KB&iv0f3c%}5f9&7b9xXSkZYoW3E(O()qkeG2}!5;9RC_`*~>NN)h-ZoO^6 z^T7I@esSydbXV=bBKGy&uTYZrVqRf7t)0L6@`wLSU2U zUGj+f)iWmSm<#gj#2tJ7nO5k%WCD%rgNO7_2c>`>O&`)J?s#uV>AX*$5_Bh+#&KGuF1)Ob zRY^)BLo6q|c)^hDZZ-`E+#^5}NWg*g2)I;}ES^4cx03k#gwQ^YdI|lRvqwj;fVOmn zsvW3m%)*VnD=52Yih%lRhBT)wMs1nGwyl}$k~53pPLOgvvSvHYD4}C1s9Pdh7GTvF zw21PX68<=FU=*V4R3tfvia1)zfNd+Qtw6q#U2%BHqtzx@+NdoZLc%RBbb#O+o@`lj zVjAJ&G&)gVV`7Bn82-Q&x&F8js|ZZd`m)pP4@^| zl##yK8iAX8#bmz>Lr4=8?3zhS(-$VB1tEo4vfmCAgksR!K0TppO^M-wg2@<4P!_bM zjA=?i_$76d0reA$WoG9)&Tuc6%HGHh$;K6w9nY|2ljAV#hm`x1GgR$>S{6Z4q*LTo zv0Uv-inHXCX%h^<;e}!eyRs@}_a@q<#tuPJq+{-piUAQCUJi=HZ$L4i!R$vC92cSU zfCf^cJ!NR`aXpUEkaJuOGUh1+l4PU+`5+uWkR>9oh^Js(1ZCUd zOox?lka-xc#5uUInM;xb29+Jes)e?A1ztcfBm|g#Kb>!)gCedW`DWralx%&I;)f6< zDshs=YQe39FdHfAmYmsu!Ad#@q+J%(8aQjify58I6g4pcOka*zgCy+Z`!7rmxk5NY zFr^{Y+uO8{A0wp^Bt=R{mfX)KOk0`lS4^#;1<@%)l2?%k?t5BD|=y$e>V z4x3cW40oe$Y~k%oZ_D~Q*J-NZJp>+;@V2=OUpyQ=a5{z0LM5K0@TJhCggKzN!Pk|k zC}`+=xWSN&o=h*OoS_;K*rCLdM0Zm?ENzz{iGw;0F7hxD1@!0GO8oT?nHg}OZeIoi zr+qSFI&j*d>Ma|N(*oZ-L)8xZG2EL)+3m%jRfD&u)Fyj9dmnt|{`&twaz_F_9Y^H$ zEobxr&1Nci+Y!j~G2H<2gA?lidpixpdvLk~vO^%Y3toPFV3LYFK$Gh+>2ZJkA&mPU zT5!{|>meIhzW{9g!rvF%3L(#LdHA{qDak)0IgodBD>A@$zux&((xi1_&+hHl17-LA zFC1F%FtT$mrZV<#!R!eMQ3}q7Cf7ZGvErVv1LPYN`8ojmdV24?py%c7&}6~=KnT8f zMM0oz%UJjY zbfz_c#n~|@7p0S1e6;My#LxiXbPH!E^})Yx0vk@VBEni;#nL6{CSu_ zU;uQhBg8rUj#Tn>?hUczF=*0&H#ujMCgn;K+$UWP*1tJ}gbcr6nN=j4jq5;melZy! zcgrzFG8^AR#LN|8!HtHiIc83V#~167@C!6KQM#grvJ%sbTr1DHiLohXdYTBku{bt{ zEpN_q8c4`c2mvNGCQm2N3pdl29 z1Hlau)mH$8$h5)5l8#g`RLqqmy&3ut2Y#eCV;w3+wz|Bj7hO^in-bMTivBzUk9eA3u^?n}YEh?vCe!r3U96OXPZLb2 zpxWI&hRi)f(h)}V1U3@?I%W(+A(~;qq~J^&Q^>e6hcKy(U^7}~tHKAFdfe0mHPlGn zZ!a zrWpmKFG$b?pI->=bd7zEoFp<;GiS}rXR@Kf8)Yq%A?O{)bO{Aa%Rm#TRAjIyE^w*p zR5m)Y1z`7dSa(Ubc1YXeUENwHci#!(ZnC(6)4{ug%W(f=0@7?&bRwp2ZJy@r+tTxe zfGI*(kYs3J6$w&$1D)g43Am6mnmaVqEJDWZ(97AkLuW&f>v2gOYMeKO;Gln(imOi(>}Ob^-##&C!%-|S`!Ctr;V7m#MPzxHTy^8FIIJl@ zF|v}HA;hgD+y@~e$+spCR4q@c$2jxJB9=@c8J8S|`^3mck^L@^GjgJKy(Ig{R689Z zoD}jAWZrrLNP4l)#Bo5%|e^`)ib557NC&XWA*H_<5u+B@;{!_gK zWAHrM|FlR`G~eyCdwMT{c|xY9IhZHpe|ia?kaK&lNek)aNDH~3m*NT8(o67!j2kGV zAlb{}X+kdyy1^6jc>0)mLdJ?T#nYrf>TED*``85+D%1K#ra98tc?{3!F2;s1UspuZ zNm}^X4QV00gmiYWc)U+Cb^#kwSfyAux)&h!GzkimjYknA^}iQ!bu!9EvOrGbq z6{WIbur3Q&E{k0RjWo$n97)E9>>89DW%#-*N!NTTY5HE%_6^#={!UXajGeY?Kz8*1 z$K^*PgXj^3Qv|X6YG8Ig`4>}r2uUQh_F+FJj??aLU|+>{9-DLFXd>t@^a;5lNtB10 zcnvfRK(M#}zAd9|U+VSpa zM`9fK_+R7VSt!8Foxma7bMTu7X|o-0gwL+|B93p&lHXmMY!td|=Ol$QuQBJP08RNyz$L>u%gU@jroW`K33m z{PRt}YXpGf7gsH6hb9EwdGEq`6LO(<^2Kr2Z~v*@_Setf_#}}JKA<2lA^Wgf6ZoGQ zckCaP1zo_*4085HKJFV?;b^`QY;qAl}*?YN=F(R z$_n78-^Irdgo$cvB{l#vrM5E7EyPL(WE~~z7`}Pop@n`O7hw&U%pxW*P7)$Sq#$lH z{X)MXtMNsJ-?GjDIdUnuZN~qdge;cfv4vJ{oY#r)cZ~+Fl?(>CS~i5o7L(9$*wutR zPN0@rnK{C;s^i=gSD2(A3lD`5sPHi)`F)VXei`Q=k2O?wbZHHP5GHED1Tr)+w31C@ z!40%fsFbO9I+qz_*CxnrJea+a;~b_ufrne!TaRX9Vc|=8;F5%aQ(|j`4&oR%1`bh{ ze6nrgIHLy|mXI-(y(4~$a5TLnJu)_Z#c=u&N{3LPFdsn}GYU^->@XnGgu=jm(p>JM z1OuAYWrUO|HcbIjV|mj|;8hWLOdu`W4{mNwW&n@k6+mAp>VzhyKAeC)htH%*MQ+Yy zdYx>a%Cye~8f_oejBduf;@q&n4CrmljMY>DYAT1DU$$}z91SR3=&ME{B=^z;k!K>8 z2}*J-@N3LycxF*cJ8BHdd=q4$XWJMvO>>Zf-+~GWCdUM3Te!ZY+f8t?l@2z%;~TRW)srk0$9kg8jAl<$BF9JP9udY{AfcjxlEjSj1rAXdaGjEl2u{ zC5CJ>H$v|Y`|fDXERaK-VI`-6hITvxI+ODldr|*xg9gu|+DsJO5`=8Or4%d)I4sWd zkfecoa3(yB1T&-NX)4Ln1-=$jby4? z_l^~S%yTpE@3kP#Ug{YZDrAzC5YJR;dxN`=TzDMZv17_6y^-=;JyP!rA`<-M3JfN zP&?qZbIY=}2v3n{npxOemWe1*@)a)uxh?{3!M!HFLi*R#+eM_<{ zkc7FkjWBsv(0#%tN9f@Ln`6mX6UhEmF;ioraEYz+e2|S?I;(5Vqv^qxnBB1JL=k!x z8n~;1(0dnvegUUT{g6i1$W3tTB-_-RDM{2?=vmCA?uiEOXdu_$l5uMSlNXwisk~gG zYQ%9>fXD%KX0XXHY%llmkSCoGHx%?VIUd>n4BTW$aq!34p2g8zymygqP+BsG2pBMk z3p8qTX%8W++-i3pG1}bpKDdq=rok!4n~1!ZK`~>fj|eKt5t3nDmLog6)D>XtoGL`% z$_PqTT*tI1QH>B?Zy0%ysV>pxainaJY-C1&NDK(r2)YilZ;BGe3y{*i#!W*@dTiJj z0ixkG>~zo})T%JyTd1Hml*4|(JE>@#(qhl`JAVQPZ)MsO5cAK?`iZku?T`uJNI-~L zoI!=_h!5TWDM1$3Lhrk=AOfHy-Lib9^?EAe8+LaCUh|cET=IY2hQ+7*m;AzX$?v}A zqOm=@Uys+X9tZ5juRpkyATV1eUIVPl`g>Ndp4z?Oo>h0bvavM7-{zb#q5gATlATy( zVl8kqTPM8mQyDotr28;K?o9 zuip#3caV_X$PTy(L=>x6E4@7-X)=<`$j-`D>3gk#NR3(YDYD6u!|XlgNX0NG2CT~yDjlr4=;YY=sIkasOyKiJKY%R( z-5J1#Q;jk0*Tu^W2a7{TBE?wUk}28o{w2Su8$h1`w2bGK|F~7pk*o04W$oAz5gRj| zb{k}CxKD;HSq`~De?dX4G+h5&xpNzYIMy%+Uq*(D>624FCp_BjXl&QI!0p^LbR>{S zM~3GjJ5k%vY%P%(VJv}yHMLlMJ5&)iV;2(l=HK{>0LD2XTwH90zfukb%R3y~?)VqK z)tFdThZU>?HS>?dCc~Vi;6~sjOH%RCC!z?tirwV7+EMR_0kCU{) zyodVHel{GJ1y;IfH-e$!Z)A*o2Zn|vi!&IrPqj_tVfK!lq$IiRw3{f)Wz(oEYw*D~ z7J!Nlqaw!g_z8cr<-;P*0g&gGS5e8|*1zQEMD0kS7Bw0tPcv2l(NniZ7)l7AtlPl4 zbhM(xh+=Cc?vrUOj8&u<$BGS*jWws}_8C!A2iei`OWnK5X-W8JU_2Cel`zA*lwmvE zB&RX7?f8xx3R31Pj7DbUxc8t{7bw2j#H4$5308w(hLf-YdanPY;du_Ym5^iHvBIy9 zoT_9W4|n#qKg?8x&%19!0ZkE=Ng9$7yS-?NSu!l3<)EoMr8aSqF;7M@=+?Ic8fPj? z86v$klqI#SX!)5Q`dpVOLxzK0Dq_<>k{C`*mpqzrBHO^|jN+T?(4_oAp7&`(AIpq$ zhjO?TmkO(mO+qs-v>e|cOmP;4amhn?Pf7#+C)#oCUkw9T*ASwSY|K-H1UFddbY(#- zZ&jeMMY)?~NIM6&9dY9;l1MT>v}r>~H*jeq#vcu1DBiPrKtyxM=NKK4@tho_TU}&n zTnTaSSnbHAqp^=CWW;i1pA5rhB>67f5bq1USIO+01@6obR8XF}rqSalC_=zy19{dO zT_ zH=%aDNK`Y)N8zqp-KE96-l-(tnZ$_ z4w`h{aQLk`?WlKbClqXVlgzl0FY_{=y}yrA@`~)?X#V3GRXb2mE(;2DET}0p{7pmP zC*4$YCLd9DMIX80I3Sy!jt@0)Py)=F=jYE+mU`t=0ax$-D#O& zkerwXk^=gqc?FnBCAnmDxpS-EGFttSuwERP5-m4Hbc2J}ECJM|fWW3q_K(D(*(1Ya zc!!fy;Vkt>3<++ArK-C)3qRM`;fyZrSpHMXSh{RvxX&g7^+u8b(<>clvT{{3(8RE~ zHOTn&aYMNy3CWWCOl8ybrFCnk*Rz0UmksZM1%fI~ajcXh$6`pI_q=G=omYJf&&!v@ zfp?0G5{B_e%na}fqR8Heb~1uyG?zixGK?CM+s`r2VQbCQb2&oT?>3)=!L6Y&56sC`?fc;+KZ4$l2!u!Tt|h;IE`AdU z36KzmS0Kze3WGa_<(`8Iqw_IZlR7qDMA0*+RO~%(tC_NM!5^~GZbyAvfh!+7c zx8RZ`Cq;ToUc+gDV{w*+H>Fq?ur_I|5g}jQAfuV_G`O3z5|WMKLX(F6ayWK1DJfHm z8)OQc(rj*HZFpY+>(+XpmIkMDUXn_jT98fojn}hK#>qzi z+!nC#`4ZjW3gP}pS;0$CVLE-L*Bx+qv?H;)G)PRJ^jmlgaW!XnzME_{C(|qv z^U!aIs)B%M1YI3aBr5+PQvOC9VfrVKdO@e#G82a`P8pz_6t20p`uYVrX z0?1|MYxw*EvjsqyR8txKGmps$pjYl~C2rVb`}q3que*c%hZc1HrUiJEtrMp0-afXz zJ92;ho&_D?Cer>b%Sg7e;I!2w8`BvBfIjzJ*9dZ(;_hefyNFwne=(u{mF+);as>2Q zuz9^AW13{bl|S14$c`5m+&{H@9?9+i$<=$?(F^UeJKbD*vRlteE7+g>K`eRCf~pt} zxA*ar+itl|`3@_nQ~H*;I9UjxBjHt1cWt)n!oGbAs&63<7lL;hUD?t0W&?Xr`xNfY2+K&oAwA^f8cqg&^oo8&FeG-dpmk(%Ffw&6`v1w1z$* zi~o>EZz)2^4&Z18Sj?7*dECjx7t722w~N^$#+!4V3xok-wKQsXB!Hut>o@|9M?YFhw$3 zzkSM>(iNYZYj?DOJj6eyYxy#>X10F>?$*O?0ww#CyAqiAcmbO9C#ya-DVQZ6T!A+S+-MP0p(SnB)R=$!L3GsJ5G+ea_9|s+2|J3f=v=l2!U8#q zbAxe{TSai%G2Z|tYl_AklC@Zx2F*Djhyuc)!D({(6$O#5dK920Rd)m5bV$5aC4D@y zOUGN&6e!6jaD^|kQ=s}V=&4A?mT+`cH1-p)8Ji4A><)gs=w^ux+sq!os@g&9J&;aS z;2?<~f=e>-$|Xs67s6sbVI5C2`;l^enpBY=GE4{SQq z9~NQq2GHK@LeuXb1!PRfhM?!{r|}&J2|;U(Bm;`9WQRuMErZg=7QkmWCK%?%KnOIU9}qoz$pc-A z8t9EAl|Pl{?h`VQJ42IR*&k-^!r(*MQORT0q3;QUYDrHpWucl)iPjnc?*~v2)UbzX zN&rE#^(w}xNiQST?-Q-B(NFJkBcyRwYP1*)9A9EEUBi0?2CbxGmuZrTGBoT4q|yK+ z<8D(eX(GgR3aSL9Tu4VaiE+eSKWk13Leb#Wqz}@`r5J1)ZPNiroE4nOf&lY11q8jr zZ8c*NOMD<|!5tAz7Pp)rm?@#mK*`BWg1g^_Z!)=1`;-hBo968PQ3{VX)bSz2@#r)pinI&&3>4) zeC7X{gkdNr&D&Z^x7xlXOy0lnp0t0sU8hr`jPq?r`F!d%s;# zxoc`tTema*3d?=@+ClrY$~Ry7%siGl_`o7h(`!zK4Vm>VSRFFYVV`h zu_38-gZ2%~OlrNT9COx7(|xJ^d|vMQBzt(#M(sM0NXOQnSGm-@k;v+mzFWZ%AzCX=7NWaGRZR+&0G)uZ)1Ipm8$?e|ZN z+sg{U&Dc1K-a6z2Kf8#1H2Ky{*|TqDf#yr8b~x85q z2RqWW!~dpwX7xOJ(`V!HeS?SgBr22VjobVE>)8KoU3<}C<)32WQ%rnf`jFHlnM~IX4}}iAdGn|CA=NXsB|m%li~IJn z%Jp}qdVD=kvU-Nvfu(DQA;&I*oS-&U^>2>Nn&mjM1;*c?Y6sW5JTgm``cGg1gH`Uj zAvtEr>$xgA*1pmsPk3DBVI*B7wYq}wt1=s=ARKhC+J$n>}mKbfVx zwFOk?O>aCm>pQ%)o&Gt_y0t^0svRc3JQ1~n>RA*}&m!q&Vb0eM&7JoDef;3h%l8$m zPyNq&^8V4YfSaGL9o+srh7;JE!`S8aS-<*Mc13wFH0 zn2-EzIeHcsy@8&^*{S&FR29P`rs`Rs*RmnS!j*?Uw{3c9<+ z)R{Sb2X%c$?JHmtm#s(bu#VkxKlA=#{yr8sM;Zmvin{@u)ewY7yVIytnl;OBP9-9N!HGNf-gIEk z!OPcvZ0lX0_|1zyyy4l;T(k1Ug*QI^v4-z`C z-P$XM-1XOIx}y`nc*nxdmtMQB=aY-q>^XSt+KJD$c8V9z|K#=q*Zlk|3m5fF{Ljuk zuYY45;B$L2adY2%_Gf(-eC5VJD_rPBZdn3(_}R~7g73Z;MXd0C-+ozs5yp6HnCq(# zQWBXqd8bJd?6N}HJvgu6+ph0Gc&I#nLO`5Z?grTG2=K1QOSZL=sTshh0I_AqgpM5` zn;oIhT*PDrlL`>qG6Kjg_)c4m5DnFFTgyk1j$yWWde`BFC?g*A%5pKXV<~{#X`y9C zeDrYr-~5_1%#QF_kbh6$yZRCa0IaaT46>0D7H)vvLOhVu$W@X`!CKQ_5Pu+C5%yPS zDmWC7w~Ct?(1cZtWkrVBgvk$lo6YfR7QVsGBO!xY3?DRM);Z8iObyZk)>SY~!ibO0 zuy^j_s0KD7V>8@eh7AwXMcAn|q(Ntqq^3}Ib2Bx`qDUVVLObL3k#}x)YX?c7S6D3s z062gzdOIkj3ivcDHX0IURwtRqj6?Kh{ zlft>kd|*nzACa*zY*OfGjW$7G5xJ@vH!>xuU+Ue+E&WZEy}wZmWGZY9f99ovBqJ=W z(6w&Qf@Q;dVFAvl51kS4qI1TPyX(CWKnDU%?2$sB6eF*SkZ9A_n8Z&9c%jMrteP>b z_}X^?@a`qfdjYITd~2N^q{au6-ib6NhiSZx>Ho%|%p{$~b&?(;CSFD{WB#_R=prQ~>SQlyuB= z84p1twa*F)u$B0!x3#b}@ymu2w}5u(?=pM`RN$Goq9=wzn&n1Kd?FUqBmN-T$xs;e zINEor&POI}z*G(pk^%1;3&b+G`v6-5X(9QOhkH1#x(PRcnP-tVz?&wasb~lx36MWw zCh?*XC0S8rEivMD)8t4kfG7#AmhdP!!E2IN<2B?-lLv{c5PXyEij4b&nLf+We5I@^ z0I1&5yqVTmw%IoAa5_89E-Y;j&3=16WPiUANy77RfbeV1h9*h7NtmT95tE$oepy2s z0cN7nvbdSF96^nk0Zno$z7+5Wi!?KtM+%au6v}QvRS=#Be1{tHkhF3F5iN4cl?4s* zVhoU7!@^YXrv?}{d&iz^?8soxG%{ARYma?8j$IJ{G8=7GsQ}uu|daz@zg6*!}CLZ03T6ESG%ct!8Ukv2h;1((TrR}?{r-BfE$rAkA% zD7#UBaXT?2Nt#IQ;;MZmnz}V>i$JD(61i*-5S*?-3R2|;u-=)-vx4T~f z1kxUPuQY*kQU4b?8>)8504G&YrdgETet@l~$|hJ?Wy~kjsK(En#3XO%Uj0j~0dmiM z_hRvrM_lyOIhSLllht>J_bj;YFKKMsmI<4_d{m;-03aL{VT#Vu7yESeuO_NG~bVkYNUr;ltJiO^SyCZ(~oor?E^u`9~iAQVw!Mczy5WbKb zGR7@uJaZDuG=SJ%5T47!o3NsM9E-_$w~z2FI45QkmT*VHf3BNL&#-fB40mXTV;PQt z)nAISI&6rC>*m`nar;iAVOf!ptB*i2Smx;Rkl0|$cr5BHv8EZh5Rg$ijz-^-7dg4L zAQw|s`W2e)uZv`GzZ1j0Yd6Gu{s5}`#5I-mZJzB}r2VLOe~XVD`z30!iX%9Dk$ybK zdtiexPkqH54CiTc&`S{MOYy3La>5xqLTV;Hm8>A2{~mQx;;JxRXkkT4gC#FIS5g@ zSSa3skFgC?eVHzZ&kLH`>MBlmgVN{Revx|)7Ia_0?r-j1*6dE71w`L2E198c2f^Ss zG%~aYS(M%P5@J|GG330%Vu+wDZ@I~+Mp>TQ1}7mLF{Z+$*r@jwvBd`Y16M={+t&Uc zujH|U3IKAke9Yz572)SfHOuBsj#dOO!#`BlO*4Ymlw$ZkGRATePehzV!yxS}Kgb5i zc@d`Bxgk8<18$$bBwLu$1PfxvY$0NFvzbPsd78z5$s1t>Vs7~~&TWA2YFKcFN!>pl z_}&%ZW;u3yV_eX!31-TU(%Zdb4O88*L>7YlhRhooL$E9Qalb>uX39=yXF!r41ae=Y zS+C!xYKJTeDGTB5eh<)74K0n}YiUUE;PW&&w=ihN<$H#^uT*}8sVh%;uVb16Vpz6hCHhKc}~ zSvVM)5Z-Tul~|#e$Q3N7Y&;f!F^bsVG-r~48xXq?>@0`lntu4R0#qak$HBldHE!l9 zJ47}NCKTRpe{x!r0o++~+ORN;Ye81%&-N_H8t+Y@`?)uW-o1CWqlCJBmKk_J5OmHM zXF}pBVVdNQX*I-%u7dEkeH%*?+|NXyZLx0a;ReC-tf_cwn$zVX0$*LzilQv;y6)&6 zfFMzIG|}5fln5pZC_ zrY6MwLWx0#(m_4OD($z~cI0v7uvn1Q0O5zr7F0JohmIqEnjCbb}eb z8i;e~mG?)SqXF~dB+mg5ok`r~v;?e+vRkEFSUR3otjC)!<&R>O$7Bb3`;(EZ3tQI@ z!FLkYdL7N#l$>(I7|rw<%};bSGz9PghlPda3qmp8A#DsWpl{LY4^-&B@!_kv`L7|{ zDYBtAaC7?%i@PcWykF9!my9t7_h#cX-C!9F{(2zz25Lf_g?C+QI2!L*?hhstwfP_y z)XcRD%;!W$i?5t5r|b+32v2W?2N+YPlC-3LRXRIb+Eg74%j!Isz!R{bXOpy$jO=_! zghXIehsx4#-TY^-XQ9jE_BXPOC(8m_Lw`w<4n3dSJ%b_26AB#M5Neq1P8FoLbvI>RVsr#Kh_PrbZB+LH+8{`S;%qox3*56_)eaw$Y7yIXQoZP-vHR|EdE^!;gciL#Rw$N(Z2-NOm$uNQ2IB~MZsk4bZS+e!^ zsOT-rLV*?(qM3vD2ZSD?%xt1p6+{q`neJYHua3&{I~0rxUpSh#{? z7i24nwelf?$qPYJLTG*hfvZ?j+)s7}xuQtsaE}`zNeqsT#;qFQD&}Zz6j^VoMwdA;zWJLF7Jv*m2cC9L9b#dKn}W7g)Sm#PPWWotOBr zigHAT#A%S|a!ha_$h34Ky22El33tPo$iXW4+3*f5@Yg(5JM5={$`2mUEQVqUu=V(H zMc*s#XVO5kt(7OK7%r!Qcw}c0Wxf%`yMN2_%p$(`@!lje$S%t6mez^;m$r_mzvlAm zzo3MCByGXxw>&jr>ITSO4cV(_c5K0v!eOG`|F+ctG}MsBrOEFybHg9|kC&0q7A5-*d=L)Rs$^5oTW>nK1QYHfyLIZa6w% zfLk!;VBa48CZ;iB_LEzdou}Vq1!}$oz31}VajG*BA_f1=$qi%*aS|$*K*~#xQYh@Z z2CvY%9N_Vu>E6g@_WtfG;`DuTESp%r$*v9c4m_`uda3v6!%31UFmf-jo)QIF$nn)b zcA6zVhu}eimx4R4df*TA^U6UdHO#P90DjI`)DUph0b(VXxv2kYu7bAda^>AJ_&{W1DXF>kbnVa1x9f+mUQ$8kSZ|Cj7&?mg37uaO33zFZ1oL z5Js95no)bl93bp2joF4%j22rTjy8Dw|Igmr09H|*dE;m1PQoMvGPwl2WKquK!v?H2 ze7I7i=G+kIh0=PBAgPOTFZcoau7%PqeU*}vFuBBTgcK2|{V=fsrB!j;A}+4w0x6}I z0_$q&RuJjhF35*bpi~H%_xGH+Hzc4f1Z4mF_nzd=oO$NVbDr~@ujkA;bAICqPFHJk zYWCUALaV?0q3+2Y0h1u7&`C+o*bY&$9h_z|g_0<{WKtB6+(thj_&OsiNx+P#21#IP zh=PSRdcbiiNbV66VDq5ckEet8LeHKu&q<}HlWCrj0Go$+$H){~2$SOK1et{U5CK!< zb2F_&CplkUk$NlnH=EIqHGqZQxs&rc!cK(lc%_Er1Df3GJ)6VEsqG)pD}#WK7s!Rq zbinLPuPX3e1nbXofZC1w1{c+W?Zn#a$JH}g}RR1I2WXQ|#3N zx6Z^aI|c0Q??g^{|C$E0V^5}9hrgzvkNF-yi@*9t88mSg{lYI52dZPJaExIRKskxZ zC72PP$khlS5-Q+< zlx4cdO55VFA@UUx?k+&}YHQr%GHl#XwW(C)exDT=hIVK1uW z@e6KSk2bB;edhY($K3Ykce(%Jp4>duz1cn3YhK$mhLP?5d4v1fw>HG5x~$5*|MV>Y z+Rbh)$!^s_PBKwvG+k^BG)RfbblooTWQW-ZISe;a?t(NR@Wv`pAO=2_Y8@^}cb78o zxvhiD?Z}ynipbZdFK%T(!Yy^Tlx`bQ`n$j!v<{<2xA|)Cs9w;zy-%BSrgdl=eOBx6 zwB_Cc++6nKUD>Vg>7^%2+pzjz#L!+q*%iCmrXTVxKK-5y_r9TuPNG9eZ7+2< zvC{peF1ykFuQtGRy1?bmrsTxW;>D0kzy!81Ho|qSE;p%l!0;sHE?5Hv$pT@k)`2Xv zl)L;I$d+Ag2VWu6nlFJE`qRFf{~~X0?N#djs`T}*U0;56DHA@npv^btj+)UG-+aOi zbZQ+IBntz@T-xfs#U=B(*a6}Z_HTOHfYXBqS2H%;UCdkE%_nw0ddzq8%kSUZnw|SW zubblR%0@=Dzr@9Plt0y12e(K4U zQy+S*^qu9KU7_dqF8iR=-N>?!vEA(0z<-~|7o464!y&CTvoN1IObn` zT}i2{^U^?{Hg6R7gJ~(-;k*>~@dV0tcn1g##gI9RWh7b$FMqldtsv7-TXX99HLbmA zWU=|x(j#SaF^sgmkwwA#-*}>ZNwRfdXGRt(%F@R6x60VS``zl`Z7=`$1tV>0`{3uK z6n?QooIEw!O^hrSKc7|K<_B)>m21RF_Jt$=%C>2rDMkO@S?WUV!(0E$YMAvW!Z5r%GB|^H2{rWljtsf>g^ntLfO5%5UfDLeTkqfiUQ zJKX+@p?B_G_t46Jd$=K?pjy1^6*O!?zwL7x&w(QTVA~J zroHQWHXS-uwR`X*#N@9lqC$8H2!dKPH#hYUunzwh@L(Th7 zJ+R}=O{E^>?G;GQXXoAg)Kok@|KZ-Ru6y^?*G|pb^XJ1G_y66zH`b}b_PyQ<`W!xW z!}`0v009p@IDhY>RrY~5pp%-X-aR$S%@7($Q_PxuiADa8pysxY~yk-9zkXuFR<>f3u^cu(igZ6OdVPqU&n@@sODSh%MLHul$BI6cR3B#8xVV zQ(Mz!bWak?0uyYoIJUgnuI3s3bf=ue5Bs^owncJm%?$a|oN^AbWi_kNiBw{%1%pCk zbx}2+0NmViY5N!?amH$LJVIyHg7*mBSYUGr4M_y6^jm(9$xjOFxW>P<#GrH`N{jtM zX|S9$_{Dtit}6`Xl_}mGIId+zZ5Bi5T>qybU`SLTw8qBe8IJKV|3*Jdc2qZp3@VyK zv>N1wL%fIjk;;-#39dEUwoPd?8xnZFxmG%$dBH6!k!esYt{^EMf(Iv(?6PI?|L!QU zizu>q;{9IhAPE2m+iL&-Cq&>nngSd$6fRDRe93i^E}Nk5OzjauEh6wD%*pANG-8|p z-SQf>kI05Pl0@>4${htBWjLD3OM`g-37AIqk5|+H6%J5SaowLQ8DrwMz*1X7l*~bt z2u&hTlwoIxk7K8oS_bsmY1keCA>_V${$=-vejTk zp|ODKtuHdguRNXPO(!fYyHYP1${quJUZ}>uO{;~#%*ldefB`N+%)!t-0cQ|C$Gk^D z#}+3GvZ+@(MIWUqdfG{q?~khUD(BgKw|K1sicHE~J`K=pK8?lIOZIez8Gjvf3JGW7 z@*Jnu35$}+N#FB%Ijt?d|1rB~qEki;)24XP{j>X5^lC(*Sjuz>|oP|IMm#+1M zC>h0Jx+YnzV(*Sk@;B;1P^yWdWBCJP90!iGz>jGK^ajEJL1V>+r1%7mo+C28{3I?c z5W}I`lvpG!;DeLuh(o5tQ;c&1Z4DMuajA2#GrZdx)3`MNelBXbM>_i@WRhXxt~w=y zcBZBLAFcs?Rwvt`f_f0(q2iRL+SW5Tt|{^**9kBq(I;oXc4(-#S%FzrQcwLm$Y$=j z*)TSM+=&trt`)OuKQwou)w~!eyGBhT`bEF4knZyt_1FNhZDuv}kZ%6W?kPg~gu%<} zgxPFV+aY05mLrP+#%r?@0BBN=4J=E&rWpcd<)e>wv>2(qfQ5H~ZKqHG% z$=T=z!ide-Wa$Cp+-w+oKH0z-#vRzthNaPW?If?AC4FI6cTNTA;4QUA#^@N^OPtj8Y@GDQe){z&M)kY zh}qFPP;x?gE>LzO6uPgpYcTZD5E?Oq>}aOQkbuvHAyI(^l};l=DoH0;=WQ|Dh@eH> zVxiH1fLg81f*x)zrP4w3RtIv45jBHm1Th&NCU55ws~WphL56ZjgBMnd)kFXM>D0*L z!>y{1pDHN|4c0epjzk7B9X)fLd|jOi(v{TpioSA$84l;o@hA6C5};zNz?qK#b`!1` zCciftU|Kv$#95M`IFVd%*CwoZuuk*55?fL-e3zMUx+cMjgacV)!XwjdFF1w-bV~@4 z&RLwix&ulv9St2u0n<%{6gpZ5$el!1m3V?{VM?DNaJ#FM zvP00WH&R#UqXBLI3Dr7u0w+zujh=-Oiw!w0u}+pf=;?d^>fPX-Uw!nCZ?1p!+uMf? z-9K&e$U6?M{xSAj?`D)c9{mRK4kd7N*VNt${MoB&w?CHm&V9FHhnCe(PuU;+^WU$W z^QrAW0(9&$z|!uz=?uy)_C*0e?v~|)!DRiEYYsg9#RCt0Cf20LYqzf>5Zm<#4anPv zJP_NTB=BeF67NBsR7Kmoc&S`{U>+r>2ynXVAE$k25@ZFC`=_;Y{*u-O4$K-~9RGwFF3f|7401yVc*?O;w#G zNeYvO2)p>dV>6&8VvIkh%@&6z^k?Tq94@#&<@Hw@twTED@n4i@;Rsi6;v|D}1 zL_w?Ns<0W3KQk0&feC}`t%{8O?H5=Rm)7XFkGrj39-FhEQj}=TD|wS#>hC9G{!H^W z^-XpNA9t%%IyzL9Yfb1!dU;Rt69gdxkoskN2=`|o> zEE|R_s}bNGH(`#`rimDaOEpaZ{{=eTV0Z~&hP_clR%W`iSQwvUM=^jfGI=iU!n!ZF zxNgE0=isi1avX&>CjlP}bvC+~@c`qEJ`Db?!h47+xT(d}j*c{U!5J;_EJ=(6I6khn zLG5J53&@d1&mlgk&g$Te9Jm^RfUHxB%ZNDMU=dD1s-*;7O}qg6POru=#^_<0*boME zGgmk3lEV*y(1?=uuEm`!bxBer9VT#u^deLwBY7irK3@%deYP4|2u960BPdf~t)c<# z3}u=kUuvCHC-t44t~)+p+oB4XupNX23>Z`@NBZ$zpj+ASmQQH5&1wzG%YMY9e2kzT zJIL}2WE!*N!hTm;W&V;-CCdW2lG)t(MKX)ALra*6+mGAjIb3sU1#=q1le97`5Dc4j zA)AT*=<)%OTN~@6aC0jsdXTr8hTU0AL}^V_a?k37gv(gHDpfTBp-NQ_1dKYh2D*Iz zl?}R|K@t=D(2Qddt-h9+_;WdSfC*I^O`(1ExDu@(%~8p*h<6-J5L##U5J)1O*pi97 z#-A6gw8&gZDi%yEW3Ycfsvt-0PCB`;f^0oDRJ9IC2v#&IntJ_|MBEgh1cNCubv&0` zC(~^#JJR~rvU06=ZmyJor7cH1K8R7*=ks*)O{?ms9HTBF4ahB1J4iFh$AV1xum zBXE(=Nzc~+8qC5C=D$bKDvTC*gW0nhTg~x87(lReEFt_Vl`@DZbrsr+(o1|G13T@9 zJE9~>k~+cTNl66!De_0udHtVXQ>_DZlya9>1E>}bhv6;AfJW5RB%r#eqj%t){O9LI zt%ap6z=Wr%rx6MKsMbsf&&bxyT!G0$8X4&05S%Ki=MYG_u#MdyGx{@D$b&YMH6~k% z+T`aJf-3QhT!S+%X$k_8XX#>$+4!(!O;k4ph{Q%!VT4yiW=N3mydK6$%YaBMtpr>t z!5A7$VpmNcsPo2F6cRt-~a|z)hj-US0^+Zve`)a^{?sQx4B<-u@Ut-rn>&vZ31Z^&em(`-5FVKjraW5Au!k&M--k!Q{3syfNLN3R{9afi|0` zc?(a#2?yqm%su=chb#BZd3({?If}{RN3q%bR4|$SEcT&K0Q-7!|JcLL#T3sOatHYC zO2~eOjKp`9yF(`_@|m1ZWJ3aFx3SVxtpg2AyWozERWEPx7C1%55Viv&7W%j(IvEg( zUfbdn6dTK4#g@wcFOS1Z{t0&%1SSAh&KY87#VifcCcxq@ILZTdJ)q?#-IqMDZ+nH#y3@THl z$}4OfZz}p(xgd|%{Uh)uL7NawYjy@T{$eRYKZ)6m(YhsO7qHL}<~Z7*rAv_<>?La^ znP8WF15A)gOl4r2Br{OlC3igXmQ+FRPKi|oB4@>z)X3tm*;XIZJ@xY6vxPa+MVcnq zRj{b>M?(WLyvYQ=aJPyc(aMt#nk*fcHVeqN@M0kZ-WlRRq4B3vu%?2B6^t9@JSpGZ~yK(_IQ)1WGkmWQRj`k;?}&futZbUU70dA~c+m8-o&ZTpe+c zNe`Xro*+-kUAP9cgIu)^-DzH6R(uS->L){z0b8S*<3zN^be3b(lvr%6e*|^5GHOdF zYO`cVKK8Z2UI`>>cb0{uI5%_&-CH72Y9 zz|w+LV1+c>sNd*oNv~*doUj!dtB){=Ni?cLr+ch{H0BHIIIL<=1!SYUu$4Vx#Rc-w&)6#BiW zOi%Aaq4BXNg-$R@l|hxo7poJ@Dt(xtTH3B!2d)|xNk`Qld(CvBJx-A?y-qkOx{-0e zd{weKta7G_1qrI?+$~;cHdt8MfJ}QD$2fk8yi_ZrnN0+AtcGrkMu#sw-WE@gaq38= zCkb9}Zo;nevMfIn65@>_f+S1@dL|J%i!+9olw=1v5Z>ru0`mi8I7x?ml8e?zSXeoM zYmG}BjT-nE88vVmHU_8AIp7U8x=HU8iMK|dbE^9Ymefgrg5qCLSU9p05J(**9d)A6 zdU9NGc$Eia4H5LBbRv)(WX;)W|^jaNBbd#l%QAisFptHZ)XdfUYRU9ii?@95s$Z z!kJ+N&d5h>+@pAGsXBK!#8ILyBpn4EyjprDay*4btXyN358rw+&UI9Gi)9*+SK}6s za6F>ncY#j7!0@Tyoi#A`o2qpg=Sd)-75-KamZCyRE^d z?HkU(>8|#&VaLn2uEYlw$G0yWL0Q~8Ij``2%tS07`A^#yQqJGhCCY^(kMCHPM`X-b zth)067`!~EdHeFg$B#TQa>A)yBX{pVx<*YP5LEA@BiFvUJa67dg4nLdoB~ogs{``( zf#oB;&_~w*#h1u5Iq^@b4AN8yg+BVMhdi8jZ2Q9XcN~0uX6Q_|CQ)mo51+7E)IR zfmS;%%2$}scg@{t&E3rg3w&3EW@FAmKG?ytn;#3-?kfaq+t46h_)W(MXJ0>Nqi z5zGd8Hs1S33!FvCoYyNS%qnRJiZo|IkT>^8?{BPP1H$+CD@C+mg&E|s1|YfhdWKx( zBQ(3palwMTzIqVC(L?2g6vBBs!!v7WFyHzgPU; z@FllcZ$xWpWPN*ff48N;n81hcqop$0CF@1weO7?i5dx-s!ve%*ie@ZE9EaBkxoKqO z;|2`*E;f4%R=tiOK>uRbsFTEPpH+Ob-PSN4D8$NHzeA zE?K8S@Qry;bm9ikl;=_-AMVlsv-ByK?xLsnc_x2OW$K30HF8v@&* z$%&1|d`ktuckx9h0sGp~6`^>sbDiB(i(U`bK(gl}@ZI{DZ97|3hgeAv!{a(SL_`a+ z11U)E4l;Cpf!*gPy2M(ayE$b$oPS$-$wGSjy=1Vg1}5ToK`7|^D|CH=K3e3myYZ+F z6lMtH=%X<^07m1(6c<)2C)R3XCcxv49@gthOT0;gjvg2N>|ou<^5=>yOhM4s72YdE zNCtkInjH{$&!{UtfkIjfjW4Tk19xfCWULB8GI*{=oy>BaC~gX_poyaKSid;JfQn ztwT4|cJYr;A%}6DDR>EJmFfpttCq~V(;X4Ez4WuS{h1r#*fLHsf8q1p|6)lp_t^N_0fogzjM<#ejF zBn28PuXXU~oK6UDYEl=$L)iB`bB-6$=0GHa*8ob8^zVg8{TW_2eD z3%j#!03_b&p2xuGIO^KVz`}BW@4B}G3D^Bs%{~38`Q-(-5{T`b zhW&2L=;?g)u8r9v;ys^#rgVqL1g*o{+t%N`)A#761CPcLUN4Q0@SU`>zxvi`YdUIX zR!8eF!hJo^rFAeBGT$J#w7P`#XdP;7ZX3AyF9WmN0jFCkMv#CmfPJUCyGbWN**(on z_pLN)9i*>~_uP@~zL(vaRqD2U&I`?cNG*YHaXVDid%~$zcf>zC?8vvfwhk=SIwUi- zd*?^`tJVSLTFPBU4RFNeD|LIFV%crmdhD0i3l9J5Bthqb2g|w-8moE1A&Cz z_9(Ru@56S;xZlj``?Z```1R~}hs6r_264MSrlk2w_g9PKg+A9%t;4qm=r^Bf9ftS7 zn1jWAn3x#LFp<#rYo+hhmF_RBYUJ6d*zX&ytk0(X1C=zT@!?aC@L_HL@%N37wrDJ~ zF=;ytZ+*Qm(K`6D{~{51sK6n|%|h$&%hsViT0c*%L)!;{)AhxNyDl%iakSg^X!}dq zCtLOQUzP4=zDIVRggtdg_-0w!H?!UMGaC&84USveTu9*v4m!n1F#Jo?<; zbr1Y^|HP6`tYlY{C7WG(>j08qFW%z+y}oj z^@eSGXTE>+uYX##VdDo7F#G7?Q~!PPrXA~kGw{&Kd3%3KV6gM{{CokBZ|_}y=i*Q8 zee=LK=IwAFdTQoh9vq;ObMJ$h|M|ky!IAH-J9O~27awpRJMa&H)7|mYo=w-TuWFlL4Pf87Cwnhm=l=eg?^f;Ia7E)+ zpsFlH37q2IkUPHr@4y6!cdOcZFIfH|8vH&buUm@UrF256{A8i*?tjIFYsEWbqnhK@ za|(qNIxs(WWL6=5QeNGpk-nutD7y;VHY7qZWP_%Iyu_#m&}oMx6TFSpfT5r}+@tvhqPL7gw=rJEyS@1@DLXxGp*Wut!#yyi0r< zHyL@S}kZHG?=_KJ}<{I()c&Z z;+V(Ka)^mjuGthit-7(&QYBW?6z?7pXfR+vZbX>{4YJClPE5biltS56gS{=a?5el5C1JKRKff?tp zIvZ+Mh;vHc1!qMW^^kD8lKmaIBO{85%FEjVgtG-qDFVHEx&q4wR?Q^dmQj!u58VT( zBgMPLAe7w_%Hlc!2;6Npw?930_@Z37vKRvD^%?;5ruTDLnpPPJ7{;Qgc^?Ff*>#~% zW_7HnnwxsA^vVfA;$;Lw)ADMy1$KxrX2hBT;{z00Nm8$|{NgWI^;q8kWIUY{QeHq< zPE!yfAo2B4oUr7{W+HQ1xVZ?+D$7`0lML{BLm@D!sAg)>ZEgq4i-+}WdxlW-U><_g+=~^ z^TzYI5J}3Jv*CGhN1h?}f_JNW-JDw{89d+};l*aa$E5ze3MU!I*)pgqC!D~!4i%d< z#NiX_d5A*-O4>XdE56wf?D%Rl($a$oqkU+1l=IeBnYX>RiIS&nN$m(P}RFIL7f*bufAy^C2RCAtHkG>7~@?<+~^+D}DKrR9B zB=w@nYzTKjeI=CLzmYra42Uh@bQ`I&oyHuE4a)T8)-r7vcr$}~RnreN+B6$TxK3`Z z-CrU20yh_ZvJ*Az0cE){^uax0JD9amgwCh_ys-|U4TlG&mH5LlT1P;jF|HM50U+1I zw#C+S1!x5d(4Q+{U&pF#GN(0ESh4HTk?WST8b-nNf5?PjI5Z^R+dp~ z_d=8<&Oc(@vx!;~4iOlWYY~&5ZHL^%qzO^@ta>m(a&)E;J#`2GlL8uo+z5>(>u;#a z%LY&GzpdC$UT9@IjQ-)TsdYG}jJ*p5;-u0lkVVKDRpcp4kYOqE-_{AtWm1(!h_YGw za6-Z@h9cPtD%*Mv6iN3z#pa;VP~;B9a?-aVqlxLPZ;po~lS6P~+A8D@`)^U(#6Go} zc*ml3sAYL%<_AQ8A=5Hop?Au(a7UC>%l-vdI(wV4n1(*6llSP3xu^;Cn_3HVC)$!9 zaKo0w8v+8z8z%&tW;sLfe&E&yv?W?dC8vl*OdoJ^b%2C_^-rdZ7MEFN+{tvjRt~2k`4`~O04z0PC4J9DrhW0rjv;8N{uYe!^FB|LC9F{wA z6W>CRJuPU*6jU~{-_LfMAhH^S5Chamb)*Al8jcb_Lq(N?3z+W2$lJ>@SHLSvyfF*; z6QdgC^Q6-wxX9o*7{n~$^sw^inl7++J$9YZk7ji0xBrZ$;=ItgQzVAozNiykCV*=5}U+C=Xn9@aUgc z70p@s=8snN1(W*_dgA>*BHY{`t*Z5+B!Jxec8#3(gfjnWC>{cc(R^T#MT;~~kfS7)>x81~$%Pbmc7 zC$>K}@|_2f`2PZyaJO-aiFZdTNs=zA@)G|EAwOC4e^l!b!dI?NExv~ZN*R6^4DYHw zlT9%>Zw*#rzt^8FkKWz5*fI)ZHT_2ubnGBIG$Uv?KSJ5CB)9p3vcU@tB;06PNCa)C zo|p{1MUm6>z=TMNX=1CC9z_NCu6e*J`nDPPv-k>n26A2|{^43TL!FJh_B9nJ2YTM z_zZ?`z0)GLTx8`m(OxkXUDWJ7ZjCN z4#-UGog&#)B8}CU9NRdOYw=EPc;Luaq_tIdzkm))CShNQW>~}h zCI&W!tkzgDUZdbPMlxXpjnnYJr`VV}jdT11Bwn&hR@3R$}oF`MeK5>@s`&Gfz&)^>i+PA zTmb_idJDJh=Dt);6r3&-;WRMeRD2fI<{I&Oe-`TvNhT7oZ}kL|k|XtwQWY}6#18gV zh0OrcO)mHQ0Wl0oB4|s9++bEG%Ag37PJHEDi>B9yn2hBF%5|6&0-?v)c#lgZ7OAvy zSp-Zl2@Kwpcn5R29Z`CzOqK8Ml&YNaM^)>PLfO5{SgSk3==?_SV^@oeG_>bK3ey2J z8?x{cF>VBd3@Z%N(AQoF7_2~O0>QPg7y;dU6xZxmebaFSEUiFqeG3cdK5LQ>z*W7d zS0Qw%@}ocADdL)SOAx*Af6g~-6oWztIyAZ*Z51&C|~TX3%^4WXFsr!K$Y7Lav~qr2Ctp%fGQ zP9g}IR}H!l0fU*?azYw}vMWGEXxdc{pLQWmQ;;O4hOMw5R4~Z9p+T@pf$t{r5@itC zFw?`cfH&nXqXsfyKsQ>v2nF3(iprA_#+oFP)zKIr=|s|V{%0N4$z#DAIXz}L8jkto zWx_!HEv$x5N0swP$>8dWDqYfd%V)D(fxb*^Ib;X*?PJ`#eg&ca9VX#TZ3=}n zxtIG|yrxdT(tb=3th?e@+&Jan#8G<=ym#%CUse5a`_B;Nx&-8HS6JE$mSoZnZ0~+> zGWK$x-3zbo0W>@8j~?#_UpqMYL6AD&bQ8QMdZ`2~?evw~ADgrCONqos$Bdk^5206M zQUyBMvVa7|YUB`K^Kz@C zVL`vJ0B_R@MYzYrww>M;Y$>I(tb$0YR2egD2h$DCswE&Z zg7Gsk3n3+h#OBvBW|2-R%|vKt&`PN{Sn);S-JwlonZWB~5m*S4(KLzmObla~GJjqu z8q64P+e@7yj#5>E-S7jzDXxTY8DEHkY!@N4Lg70%m8tT5J~}~O0%-B)|E*dFmU5R> z0}>Xngei;}0IccrvAFFgYajNsCc7^P*U2a+a~vlDpUE=8EdqO-v1s<&}B|QG-f_bo7n0(qDJVXYv|0Eh$h2p3yo7MQ|@9k5coUQI&|tmQf=$`w5{Fl-#yzQAoerI z5gY^c9JU}Zf}%uch#7l3H-SHkwmWHQTxjl~;m0I}6YB?9+Wdg{5*S5t%({r?$bFiV zrT6(r-gzp@%AlPU8ZyLjX4|sHpVP8Pw?a3A$q+*DwIHD8q^IZ57V=6}9dNn@E$DAz zME(*i0)>Q|qi}bcVUeaMLMH%nm4!t8yRscdh^RKggh`=n%zintM*wiTc@mrN2SP~B zwta{$uMg|Qghe&!M3_wXpn5}N^Hr33#FmXbpC}yS4jq)jFV^g_CsfIufr@ z=R`=6FTG9*(Z30$ShEbBuFlXM;%z=R3h`ZmgwDGcrAAM3Iv5TZ}b!DfN8fjo!B-i_ybMddAE7o}A^&G%lHFvLyDWmU;~t3ns@jL0X{-Q-eo^7uv+C z1E0ZFtWuNA97>#6RwjwTM-bEJ5moWdz^9^wB;eV>gu`3L=&fW36QfMy8oV@jl1xrVDv6ArdKgiXx056(@`vbzedb%K zk%cNKm-m3eX-E{#0!$JvO}FwG6POdgd>&J!`(lJ4s42lvJsK1jc(Cz&Mmfvn#2eB0 z>74IHadvD}^Dq~vX*n6fV2w<-{f(>+?ShG^QK54~f*T3m#pw(zaUjNLa|Ac9+#z0a zRBt;uq?xY5f_Qq*2C;X)Q^C&t96u)F3< z2WGGQ*7xa!_K4$O>Re7vtlU9N1}1S_Z@Tl)(C07{(NTO7Q6jnx$td4keiN7s{pPO; zH}|O03Bl?1UY2)!3wYlT@&QFIT9g#Cjy~A z`A1f21s;=l@tz?#OW269vF)j>pAVPb-U6l<9?8j(`K?SVM&%hJ@0W=>X?!lbJYR0haE??pjwUYIJ#7hRC8Inz@ki;JV4q2k#L4gvGk>NUM_~LQXsr{IxMmT$r<{%>jjC>=go<1Y zC>W98$7WQ@vk`om&nhOhzGvy#rV?HBN z%3X{G^v3U}Y=<-5=Vj|}z8AujeEMw7%4az(F@1oz3*9G73j8k0gey_qr?4G8s@3=K z2k`1XAnvmQk*M948O17qp|+83eHKOnVcSxa=Oq4Kf56;l{|;SqGj-&P`US8cBaogB z1Ya08X0%A6+n39ds=_SCm?V?pc#Xf#iRA^v*A?%&L~>*-FGJZSDoVa0B<$Sui2V!4 z|93=YfB`mx0QQaAwJ{qC(EG<1VUxOhU~SB9f`CTu!hDU4j~0Yh2)+@!&J1EgC88P5 zQ1G_Q@mC{s5R%-5`3lG_D?ZTxxtApIIZuBrWjkC<^V*$fRcDLY08CNf(;>%Wfu5S7 zgSVtqukO3U*Lw!2m6|~JT;p}h2Fs^?Bsse5WK?E@TC4DpQD{sErilgI#J00cN*EIm zF3!>a!x(|gc-^Pc04nfry_FO2A}n5HlJk&!Et<2?G@5jv_k$caG|xi7G>vJH)qy)| zCraFv2p|yzj+k?ixWUp&xWtqOkts>|;b{RMIj(FGU6MbOp0_d>eY(LJ6CkDBMQLDE zs&%+1{dMzULlojkUE{i7j>YQ6Gp-RU4K+`C)q^fC*o8eV0uN@OhT!vU_{g}&d})wQ z5>=9_m*jNS#ZlEG$0Z#_KFiOAt=<=#Q0X-pSeH>&tzjV*g;J4FeP!jui~cDhRVnk~ zS2Gh0%A;g#Ra@zfR+ioR=c;(=+p2gcailIx zDqrhBcLlqt@m}{W?L?XDhIwD5T2RQj6d zRp4{iK@!G}m-v5vZ~RL5+*{Y3E}N(2RPZ$?X0=zf9yu|Kxtm`qm8g)u7ui*B4+0zt zIiKt5?@ksy+JKfT1|rJitf~dPN8#RgP@{c_a$swpoA$H0v-TWq)pl&2yCdEM@Vuvm z#d{6yH2}d*PZ%H=mc`N@*S=2XW|R)^0fgc*v&dY$pP=rxJ$vf%6*HS#GIS- zwWn9Lv+TcgB%T3r%atTl%3X{GimyqHEG|ZW-F(*F%+NY)K!1BW`&66HZTsB2t*lq; zSKOA;w-w9owGIWz)}f92P6Up)R(2b}DMi(LfUa%d$$(M21HifuuxvIRKSs~&q!cr`cAv% ziFXkt@h*LDF&d-|XdQkr5dn!MQVH^-L;jQfdesBjg}zbWd2v)j&o<#}L+e1HfyND_ zUBcTP_#0|5tK3$3bE_Lq_W@rUa=Wawtw1sHl{SEPRU1d^kliYMo6@T`v24@wnnsXY ziHtZ??xHl{drq|uWE-a3Wz@hhcEz7Ix9w#oPNz+7)xKK-!+~YUz3Uz>ePb($5$TpJ z1H%2EFj;%!y_?_i%`H6Q@}9*tH@7W)_kGmh)@-y6zsdoy?p~G^f7C6J9Z{63C@~nJ zitNn?40+Nt-(qMk^4;tcZN50$@XOZh_UYP;q&;Uk>7|9JTPT3CKP~OEp(qqET?6`0EjCy z7+LJb$N~e7_pWg_3NH$rk|PU^T8EqoL_dC?|GRIq0Z+36_l^^%gPV_Ex%b$b_Pg90 zpU22Tb2p-OppnIISnsz#^TgNa3C4D~dVAHmBa7eQ)}K}Da9U&^A2zCu-7ss|^{Z$9^<7yx`u=Lol+~)5{xKWMgE} z!m3`Q@snyDdc4!a{SzCgS_dS)Jp!_uY8_OjT-4$J-mF@O6w2;-fY@F_tks@B{LeS; z+}(S@4Tn$7e(|akgb{lqFkl~SCdk|NCjjT#9h6;9z=1y`FxVrzi1+%d-uUU^&HLBi z^v1e})*k-pO?v=hOXLAS+1-1%3YffJXvF=Gr|y0Uptgs9Pl&R^dOrC_FnR9Hf^9F& z9@%@xx_94r?!}vSyx?ox4{Y1sgl+q^m*(&N)Nc^wym!|T{%qCn<}do`vk!g!{T%hcpn)lsR|Mzv|M^1hHrR(;tZF^(?jW_LGcRwdA z;Z+OPod(KoCU|=qyua}?kbC|ch>|q8{PZqL&Qm1dd=F*!dR(tdKnyGL<<0s39Z`B& zJS+c%3)k8OYqc7{rvN9#2_Bs2`uy=ZQ=w}}b$?xhEKZ8m*m%IwrVm1BY__(MhlH3$ zWPL&>eS>^q*`V^$))=zTF?2keV-*5_R)l_HR42(moAT%Q`NKRzg)XeNw+CRGq$ zh}+AxAwCFbNF!r#2ynV-AbaIRW1fJ;!k?EcC%H{FA>sCr@b zha?T2fFo6MP&B2XAvySB3<3rTeAgzXsS^7)${=(GjX`H0rYeQ9E5~}@Xfg#M>7;(NL2D0JhJVEsa zLuG+@0VDKU#^g1KLf2!BAS*1aLUTHEm@EpFRT4g32H__eO+LFAwVKMwXsbfO>3Yan zfURRO!zl`s1$4G53h-S$_h&_E`2pQ($*t4sriCjsXIR!&b0HPB0trd#Ns=XmvWqP* zDsq-`A3^mBCYwkSW@v=UmD_AURl4OcrYUmnAR>ba$Ymfa@ZDsI4cA|zRJ9b6;I1Nj zsyau5mpqIAch?<-low3HOJcGeQtmQqppS}=OO#7CV@R%K z8dgGZ+|~p>62-Rr6c}6P;vglH9%DL_%T-6POgddqB0!LcK^RFgJRqmsg=j$A^b4HWi?YtDx?4V+cKACrPF$l-&^e z_iz_-2PQAjYHOA40J8v0bQ4+HrB_v!g93%3Y`fuD`K0dYaT?r*-`?vq|h4wG)~wMMv)!VcOs)E!gi=lNMgmBm@r3|)WhbH zWetw8g=K9i!62h3Q-mVKWQYL1uVKutFT>{-vWSTgnxktO&(y7-d1fv8vLTR!<&r6+ zqL37mt{W;jmZLOC0+xX6XV3vurIJITJEt;5{_yf$)S2wr4tLjeY8`ZF6Inn?MXA7v zl#DD$kv|5V0G$?Da7`8}2!Yu0;xel~Q45bJ(i14V*fSpWJEBr)csVbd%(7e^Ybpb1V)}teNnk8>$X@FJ0fEVjs;b)| zubdQb%GCqgqAMci_?)*jDn5*gPXMX3D{FKa2I7HBz#) zf^P+_I_nvmjUKM|chXEzhcb69Hm@EJunPGvE5<@qk5F<5fEc|WqSP>i5D^9~<*IBol=EbPRX!%XA2HbcZy4k6oK6B2%MsAVA1p~K+| z5H^t&nsJ^OYZyjx;wD0axxf|ne4zx8(>P;=bu6M-;aakC9685VojPZ_0A|P1G?rFw z;+u%RdYVoFc&ln1Qn;d>fMz@4&whNMs@RtP;Od9xVegVvf1I;&+e?o>wtd}A`z!n2 zH09udZlmmiiNc7@8}QE4otb=l`|=0>0Bl=C`Sb*ZKl{~A0J(^=GqCRumLl~21kh~d zfyvLj@M+-Yu7CXH?aT8CAh&Di$JcsM68WF8JIiycR?k^`MuY3892{hiazTD}&L7W^ zv5`GR`PJuQwZnj$OPIWwstmsK;{(Lxxu=e<-14NCoChZVM;fQzcn{=bGz~lW%2FSf6=)jFnq^Z^+MBm!h($}*ol--XR zVt5ICHysMiEZA0SM{^hZU&r1h>qGt}BPR^Hb_Qd`X&a+aXHj=CVzIMK2;0as*RGB> z#H?CC>ITMFSOY4fMS;qFL1)2Cmivs=*NMr17&|0jZY)O57j)$O)%ftbtRD%8@y_(- z++t0}^xWEQd3nKbI168Ihi2?UIT_T1-Rc2ii=-%I)*P?+WJH{0*pqgV&Iqb^-0Z%J z++r8CEUs{30N|Zbfh}f7Bk|FVMvw}dWw4r|f%xsWVJCbogw1Z3*4iArt(e3f^cDR~ zB12WpO}(1pH4U#B3$Zm#*uuBu03^0~OZA{LCDuxZ+$=N$pO>c%!dKj>f_%{h*}8T_ zYGl!E!|UQ77b3(Q_5odFM~8!){9 zE=k4)a~eUy%mZdB>JTNza6@C#kf1SZQx2V2kPab(aXm-Er_-?Lwc;de1A^fD(1fEM zd%=ZfD{#c?PHCmF;qV%9hU+j$IHv{`q=bufZQoR_gJ5XNYcac)KsfCdbZm;r1!#~1 z2^Xb4#&Z1}JG5A`xQyF^6=?vml}-$sNbBgx!+24Ej{N*{r&5LXwK$ZQ)@=+RwqPjq%U2zWY8uaa93CLTlU>a^a3pEEj%J=2z{y=1sf&RTfXoQ<< z7}%{oA)q+{;Jbox6dysnQMLVoKM#gGXf&?M0-3l1__A*q2Z;WX7qa=IRv{z2jaZ{BO%L;>{hl1#^GZ3ZD z(kFs~4OTg(ia+FxY2{I;vccvAN<8f7xt1o?~i6rmt=S~-W|9`xuv3c*O zMi$8O%kK&eL6Ms1IMc-v80eN$$53hTLmgALad(9RW6p{>J#LUuuAHidfS$0u%dj*s zFPOOJk&;Jm-zTA&d_fvSfiug>()5TfP=!YbT(co`NH`25Jf{ioOpOi@Ds&@_uCbOI zO^%e1L(d$lLL?HWdBIWBb)me1PBkjVx}|7s%KS!uR=qfPFq|g|m zfSaKUOGqMzw2VSJUz`FJhtz?wx@%SIFiCA{J-HJeDmMH6c!6eL|3pVg8>=6fn$&mG z_P)c4wm)&z>x&+Juq!NWx3j^6>cs@bQ`^_Rw-QLTuWwua-~bO!_Yu7RU%5r0)eb&4 z8*T++?Z`<-mggn$XRrET7@_PwyM6g!0q}$eyA^ay%H|FHvZM>IH6Y6GAGK-4U;YJaIFHPQmGV8tjxi=dTkl zi=TY(+p2Y-5oiht_wu2udHTjU`?e+F*A`Xmer5B>QK6Y>nKQ@Sz0A1V#?I^?30Sxa zL+`QpB@pL61Od&Yyc}}v(kq5pM!G*75+#+RXZ)iXnw{1nhhS6q#{s8{iHS0Q7N!J< zQ6lF(l-)Ao%}p&@RI}8!om_v3v6NL}@#2c`APsUyn;p9e?*>$8vB^JDOfT}7*xX6a zr12_vS7Zh;O`>=YD|#*cCoFawkrCZAEToF&I0ksf5PA^-nf@Ar(B`ko@fw|(y7ml0t80m4mX1|XG`qnuJirf5f`L&( zd)i{tT7EZU`D$LGj_&G4`h8pvS=D9^i_knwiKOTA8SR-_NAkpJm_d?ND;eNTxr^4o z_^VXw;I;B-jsHrgm1>EpSG6yls^m1g8v1ULEbxsUd6tMo19&?pWyE&2e5CErfo=OY zBza47()FBeG5?6rSXRRJWmut^yhWlk~TbXC}7I(Dm1XLUpt5RRfGCRzT#wBDY+&1f~iccb8~DY=u@z&_Xx;2*Pq z?E}7JEto=x66AWwo|GgRItt$SrN|dxPxZ;~ORd8(u9_K1N3`H@iJn3EB^^`bOR5vR zZ$qbN7#d#F8(4G*=O?1%0^~SDV*yFvLUf4Oc6jvS&4$0C6UP2KHL@W6TyAH05o(qcsIaie zQEV|`p$V!}!n@hsqxX0r`!>~js+GEhNy!YRjiDt?tlFy|io2?4M;{Z@_R`hKi zkywNzg`rpKTBf(zJ;oF&ly}qxO|y9Z4zU%}OyEi+*yXA_mcV5qWTFx$?qj6DOFTb~ zQ^*M>s_A(W)ieuIS?icM%#G@jcZ#99I(v zyXYNy7ckfq`D4-vUaf}}s_`)B`zSDC|L-5SKeqml+W|6r%8QZ!axWb0_)P%K;xmaU z2Y=fsFQ+#jSw8YaA}{YeFdI?MK9~1by`2A7M7wEC0zAt4D0#k%d!V!Gk`NjP21 zTg+K=$AP&ke-9+wSC;2}Xz_Uzp&!G%!bfQEH3&G}i}LhznzJA#mG34)&t92C*?n{E z$SJSvdI>U9=;owOez`nv;=$MRFvGEC%DclTIr}ifaeVu(k@F7jqNxmzcM__1`A18V zjIulWhRh$D`2Sy!pZq@yRO_&UUf#ykxa^W(Y^9id6cF7#YB0T`=S-l@jk%`fXG8lh zh1vaux&F*?GmE}IPJA(@N9|@H;nEoUZ!r0&ObcR}<1D*A{ipqnMHrFaBLm~2!v=(k zikyW*?B?I*UFp>8<~S@T0In@^zRBs`k@Eo11L7P2^q5`bEGQ`=I9=(8onO2w5D>y9 zC}5)oh<5e((t241LJu7=4xusmp@#KaGQTVr0@(N7vQgv401|IHUI5G>sNT;KCa?I@ zP!G*6$`w>^3{btx@lNAv-5SqKfZVNgoZ0klAYd)hZx59Lg)eG&GDBBm3MOc;5RoE%5u{0nfZf+%sy0wISUbLw8d+R~ z>2-0BVm1H^l0+DvCFhq{YlSajF{4(Nw7$XH8#H$-5@oBCMb0t8AKrI3S>S0xFW0dc z5^R+TpI|S&2oN|6ray)@f(TgUq;qB3M>vDY-XIJb`(q@*$;_uPJ|&7-PHh(r)&rGeE$Q66VPgB`DAup|J=nn zBP!oc9}$|xR+w5*OTvVc-Rk*7q9b1zv14P|l}?NWLig$cQv+@;QfXkl13}ua>K736 z^Qmj@ns~A_(8ovYhOIOm@SY7R`nN3fv&g;v5#!J`hW>pOESRhHoN)q{Mzn}6u$6!@EXXh) zRA$!q*v0}GE8)(rl% z4GeNV7@M*ky4$i|%yTrYj}Ec{JTPZLaI@$gslQj#7oehF?6w0N>eb5_mQdiOC#?^l zUYI$JoEtuh*~DaovK%DHogDS;9IyWwDr@eTsUBY6I>RgM`#JJ zKya*d(z5WBVofhvnZ_Fxk3|S%88U1NQNX1quont}QtqNOpgsR&s&%+14R-Tk4J8=W zkSY~+MZ?6V2IJktnKj5n4FczG7IThAZT!gLgDjSVD9G9;>)gkQn!%}2>Tr!6KJsvZ zgOI44wn0mp3>}|MHG&4mnJqwKX^`BTi{KqnLU;tAwhoB`5I99(X&T5FR$##wIC*h) ziWz|$&{%=O5!yT8T+XcT&^0+>rWtxQ9u`vKl456q4k{un*CHRqiHP8?RsryNnYv0H zEDPR1r;AFDuW4lqt6nRO0~PCbh1aRD>L|L>I1ZM}GTpuUhBnr& zWxL1Mw6%Wj=6LD0S4%TB*EM#u0~oi@sI7fk*~=7K|NIVtlX7cLt<7#Vz~tYm;=tqc zut0s=nA_T879;`tJom`vx$I{5rCz&PY5Sppal?PKwJqM~=#I@tS@w?7w7sW+&b!7v zR!We&zb65Y0DsfxZnL4P;&b(Dv|7JOf{4wDx2 zkqbt@`K9dE?Dw*-X=T1*)_PKNb6VnP9emU}v}TMF2+hjlbX2a_-jb|VSP0svs@AIC zlz6rS`)yk9w=^pw`~R``HGowV*Z#A6_l8Y~WOE5LQd(z8Vgo$t1+-G6WNtvcAeC!G zO)XDzrCQK`Td1wR=LbyUO-%bDL<}F=*Jok__*J#_^P!b1=22@Acowy-A7EQwK?S2= zsSvpT-Uf;~kAIxk%!D%!S39Xs^Vdo9E?ZA7BKDMsOEPY;oo#EMyk9)qu@u&e| z)ZQ829Q2H(-`_H%jGbO)$wTad=6P$Pqe^LHQBTAEUIu~Z{kN~dLtm13YDr=%%PBc@ zuV_ACZr(Y% zrk=1RbIFb^MMyG%kwq4bEVR?9bubx?EPQHYF>`a#fP@-ZeAq%GixxHXNt}b$Vf;(} z#NMnA|M`=|mUVHU1!F|yj4V!R*?USb^Qmpy7s>2Hi^`kXIf;VEz0h`?lEHj{n598Kl|>s z$G-REHEXW}eD2S_^BCZC2i~Q?cW+xovd=}5Z|ocoyjchJb@z%j#625ipw(Wx?QviI zEw3*8<0a*5b{)9(j2Zv>*si7>XZ-MjHBLPN3@|Wx4-i`IHlXZo8vpOB0C~H0Q2FV* zo}9mS(kmc8x8wUj!mWR8&n2&Yb;;>FR4(`JzHQg5i(W}ATKDdO1ljxDgv$I*2O!sx z{qBm>cfIq<+Do>L-}uJPs}H^W=)iK)`{}yJ5AHa9|DbIv65HPWXyLYf-+p}8p8!aF z^XUf>A z#RhcXcE|+5np0uiWJAc{|EKi64Oc-fF&Z=}^lFOT}!jA5CT#(6yaWhj3!tT##13#)Nlpx~v`Pr)Uj!MlaaNLR5VV{iZVonZgB%>EEsp|f z>I#s3M3x{IIzV*5@R~j(X0OV-5(A;SD$|@2#An(zRzzlS!0nZd)&cFs{H3}Da$Y9z zsvrd5yetwkY9K2D!#0@M_rZ!4P?CX4NA}QR(jFS{37zvYuOGv$Alp z)u@%zd1IziI@tS?aEh(Eq~3(tSF40F;vSnU%Yt;TexpLbCLdFMoXX~)iT!r6(>gFO z95)MKkr8@6=S8HYw2+Q2VdpHBq~gTgO~{d0N~DWa4(XhTGKNONNr@;CI#9rZ2wEM* zo$oE_#Rof!4p`T~u#R9pMX?DzjpRASDS~$nLQJJ!wF;UdkSqhfIK{A{3MWHo?X{Ij ziQ-~0_P*CZ!2%D7b9SPvr5_5Jy7S9|IWkU43cNhg#NBBcGw|j#6G~tOx5?>}L9FTk z+3qiqoKT^DOsw8cQq->j2^7%6dErPcaEG&!8tpb3Wb|GhI4Nw93O9N*Z9-tMSnQ)) znR$#Cu6KKEJgGzSGM}MVKCh>mWa1`PF&<^9XEdU#Fax#Z4Pb*=cmwqishgS9gXqX5 zL_y9|WNh$2{X4Ffk746 z5I0d-7D8|Qw35MnG>iCCDI11#e23BQN@`W=I+)f#)WO z2gDYa!OfS^YE*d*rO}5Z(>TTgX}JaHtxeQU#vTI31RlPqS&|Dh8F>FDBeYs>Ugn_e zUIE9wp13c=*g*0@0K{hThTP)ZfL3XnaZ|?^n;JKXEN!$jaO9&9Qd}GRx{)V}ttOV{ zuU=0zsvbj#>BAt~hU}OoIVzeqw2HDrwJ4Sc)ZHppgL|=fJT?bpU!ZX;G%2p#2-%ra zYT1c^=7lEIXxMi{r1n1x!blQA0V1k~KFO-7G)bCjJd!M?8XLurQ@81yG*M(4qLB)H zG3@8*Xa^~^o=itA6b#DQ!_#xFg zcqqH=fM%08uGldo6@T`qkXHi?w$a6(z4FS7hEbBUb}j%+YAOY;&`xj39*LUt| zz;-ELh3sFtvfr7G?cIO3^KR^ml0>Vm#2)l+$-Cq^fV^G00&u$T0A+W$5_0VWRjaTs z%74AMY}2;M`^DK4XTMFd&rij1-M#-J!p$Xs+y_^$y1sGKukRSL>A%M9zVgD!vk%-; z-GU?^Ta(me)V(f(Z>uJ2?^yQy@`-O>i5>jk*$(jCIrptDK#~s(TZYY5p1*Ph*#t>m z{lHz7AcGrZSMU2~?-;K%S-kd+hp_X@+iyb?r_cs@D7)=U1;e@}f28F4J6}<)13{9- zD%IDsKu^VYp9(#d@K8N6>~S=0GOMa$@Ym0|CNey{z$}f6g2wU!O)g(bIC8x#1`)Dj za$ZBcN*Z-(Lov;G;lIuZ6`(BrjdC=7APw0$x#5e4=FT7xTNE)ibp?3{c7`n#7Xn8w zS|Bpc5;&e@H2M!kMp>pU3Fh$%u?BzcA-@oE^C+zIKP)}h!HE0iZW=Oc(r}i$@dcmEM z!f@t;>rX-;7*08P@KWLc=N^GO*Hm014*GL~$(6S+L8=Z|F_ZR-!Do<7bW`E*G`xIJ zfn)>F_cUa^S^7#7MquUm ziPJvx78ABof=rhEs%Y!77@ea$gO zW(`x4h91bBd!7iJZ~8;onlC5EKu2rM!kffipzNYQ5F@xP2N*^Z_G&gpU~`oSUIaw# z3oLtHEYIAM%;hv7_?E&+ppw5iUw}L`yIB8eX(UHZv-vdLzB?96gC@gZ^J0Fy5otA*Zicl6A%ZNn49|61AP27mbHlS_%{S*3mSGu3MLPOZbP@{upb z;WA7)2c2W6*SX9Q)XTmvBnz7Ue57FHM6Urt;1Umh)5Q7rPrJ+GVEL@;EXccQ`X3KO| zX%w=2Rm2f15}At6S3~eZv=hizATq~Bc8DrCT}eXnLC%4fky4TEURy9lh?`3!2@8htItdJCu5a-xc-rzbOS|;(=%iuWkHm?9;Z7yMDu;K_F zL6aFqz2?tum71ZqMzN3@ah=8;xVv;J(>RYYJD@Z4-t_2+3@acUc7e47XniPX4#j|= zAS=WPSA-bDW1fwR8nOX)jST=QH|8UCUF|`cK0~q5RVN7gAz_kfA^J6Q@idCU5kyCy zXVxeeZc2*a9jxw z)e{1vzSON@CzF{RQ(+7F6%)2%jCuVsAj|qAanOyMm>C3$V0nHmn%- z(uN_EXMp<#lKm!?&iM-Rww;0tR=q}OwLsb3v|{q?&8cX$3mygM1)FXgHv6iJCYH`4 z5L*aP;Jc~0Ts8Zns~a{wg83H8{JM#hWLqvF8|1|+_ETj8%I+$)FTVoFh3r?HI{1+T zkh>djx|?nzP28%9?A!wq$OIbPN_M!3ObEVhcWC9*+JQ@-}Izv z9Wd^rH`nw++k+cz2RC|DZNeKd#%`*?ZuL_FkfkfQx@c=#Y5!eLwMm@PE?2RmX?RfS1MzhrgE;GX% zs|PiUX=yYMAbT%c!iR?=4=4K}iZRAn1&-DD@?om(!x;i51q7!dNHv`~o;nRGppRrf zB`@EIVIY8siZlyCeC3&3F{&HlPSl_qIQjPe3Pj7&h%5vjEG4_l$k7e6T4O#oqVN~z zAX|7{!B`owbP{kGBOeMQ2Gh|T&h%;wXlVGLZPg0d2>Lw25+ER`5r$OJlmidaiG(OH znA=-VDB^`)SwQ>43e`GL`|9})$J%i!vummVB>w=qw{tD@dU=)zVxw1V#lE-?zJpVi z&01{vh{4rW+0=aoOQS4T_-&xo+D2P0vs-)BH(jq0iyF?tx&gPdjYol-J2bm4jr!oB z)Gebkw<{Z?_RUp;Q+!Nrg@r#&mSOTEpjBh>LFw6nNmHXdH@x7@m_*I0Dk+aGLI1v9 zb>tIFs%&pk-<~4G&V3GA-~&RC2jdSmak#@#9wXl&>D;9De2SbR1WA%?(!0HEmkc2( z-2I4{{Mq!o=Qz8+aMiX*I_fIwwB%gHB^^C7b(IKQ9VH$6YLl2g0v)tW!?&19w&b*I z+LFFv1y_$0dY@zk`dT>{YHA#?wFulWq-jV}T4z|GEY*r@AE#?rVt}BsW6@d%d?11E zH|e#Z#7bvjVAZqQJ{y^j3zj3nY$$G!X;lWtIV8dp3F{)A3EecAzfiNsGfaZiLLSY9 z;JUM_0o-wL3k^vsDU?fH=b$qaYGGY;95Oi^9Jm|#IMm}_gc3Ta{q$9mh)W_QHK91C zgJV6AaYo`=$rSB`+ar?&4mTCs+USielxdF7f&5?uEGCMG>3$PnH-0HWz|-5uAd+wR z@eFdD;H7?bN0Fi!~x%ZKWnF5Ve?;G}rReN2&A*We{TlAN<@}~zs!a#4r?>eZo{M+?oAH+{;{QIMCJ>yG zzsMoabu=L|w3!Vdvv*#r&}ugy#HHKL_z*z0ZMeDDCQWs4!-@&!?_YLhUqj@E#Q^r* zfaKo!!^9gt`on{>AH4eSySvZMh81fU0+W{zd}r^xPRWJJIiQ#2W05%2yrGS zUTMqDZ_cl8%}y#AdM9(~k$Z+bT)$zH@*P&vxXc3>>mPuz9>BZSH3m+st0{hBK@d^E4R)EG-SauTVqpo|cZyjjFPd^=V5_Y#M;Yg;y*c%Yq~CNEZdM1fZGaPp=#pxams}D^!$+;b}rozL|*ZxIP8g9V50g96ot_vLSfps$ctJEJ`jo=@?IOuXn@g25j;RJrh+vlni3?@)E&>15B!ua|HwRw7 zH0QHw%xna4T@!H})eu3Z4xj{48QVgK6JiW^eM5~8HtBH_yUV0lgrqnD$yh3_yqViFjbuAc0>0*48J-V+Cu+;Zfs;D7 z$xmW8x4IO{FY%m&9y$qa6(Ys^g2z}i$MYTf+{E@)fWds!$?2Ksf*BjkeAIh$iuWl9 zeDr|6S3rnF3_)mgmgBsuW0FV)I%kW`wGea3h6G6kPF-<~HCXh;g(9Xa0T2>0l!90T zkqqB(Bk0Ggb#5`6m*GP!W0n@2%Ge+y7_rzm^^FE&HdtlyKKu&&>G)0qUIz|NIGKJR zOYKR6w8}8oF%=6Xuw6{2VZEXXI%3JT7y8u#^J~4O| z@iFs|EK00DbZ?}3-l%miXsa3@Og!R`^gfNN5-p<-{wgo)eYW`@>k>^X5-%1V1TvmJ4suoKT9(;1Ti)N=0wk-g16Y*PwmUSb0Bf+XS`VPJOAaFGIT(d5* z{!YMHHOqf6{iCORf7GBG384_U_Wt72_D$J_6rLD#N^@2V@={y0(K>xy@!5&+4@Rbc zbH*v#{&~W7cFLXN8$ilSAY;OJ@G9U{WqpYI7ws$ieq4L<_3|fK+Iy1+>~TPBkRLcKxXV|fbTN>0LvpX(6)X=KVImY z1vahmT8F+_uy1z@v<|+l42ZHHG+VDP-#+x+;)}n%WY4cM>U%HuuZfl^iSai9+&c>> zyX$j`n(xKql=y4QzxZDBEopgX2_C0Oc%mlwtASRlf{(Cmn}LM8;RLh}PnTbx0P?l= z^6soX!K^*|(P(@rUYKYu{{i#w`?LQkx@C#mIs~WQ&rVDH_0V_95^EQubvPI+O30#p z^Zf}ENhVt69GqFR?Vn$Gh+X=F`ftZ40N9sWhn6`lc(C7xoQ|!7gR%>~H@GOfi7Cj= zfy6*k5Us-og#?V&;TLY}@cxo|h|wp<3->egfI~)h7J%r5K3ZT_RJ9IpI=yhrEr2PC zX6B!SPa+0P*}HE!U$^|lZ*MGL{q30t#-*3_UYq}&Re00EfLPN!aC>@D;+dR{@tTL( zrOmbMHSIay%>8%Gq}BmW{i)d-fmW-6kFaf zht$a8js)Oz;X53hlKAM9MHpFRs*wc*=+~x>Ea+t}z;_R{jVw-~kwxz<*c+>V7Vr73 zvvZt>vU?mLSYI1-Q!C2uh82R=MN*s8ApVK#|`+d03?3={4{oC~moy^Z) zJNeGXcD-@W84&sH$9KNE@bw3lT>j<(d;jEDfyujL&eN~mb^V&R4qW#V0Ca!zq@&;$ zl!9O6yACYcI_WV-p_SMS|Mt88J#6daKlt^#?_BI?a_!oM+aBAwV%O+}mp*>g zv6USAj0j)%7W{_-3i%+7)}wQ zxIOYhr)mbzizN`69rV<44~5hq8<+$J>)_AwqhOO;ld=gT7W0VdNYWAp$av>&l-nSW zGu9jB!LBsXyHzkBLd)$h=zzF&PEjTEiiY z6dY!hV-^Imq~YV?p^o0j0A#0>jsqIZipM3%uppSaHPvB4J`LQh)h8wM{jRsFCl$Yg z_eQM)AcZ^>QUE1|2|r0w_(_I>KEm?dekKQkG66%82x zy^;!(caBQZEPjH}?4}8P%vA-@D^^_Eh*cOXr{|_=@~VvOSg#q2N}zH@a+qwmrtI)_YvU~ zw{rJ$$wRZl^**|lt+z?4s>zl(UvM)o9A67)rnB6KLk&%<7EE@k4~_VbAQ<4$XW`X? zpc@Q#jWkia3mlvh{sID6ofp+L(z%1P6Lmq0tu4tJXL?+b6;CD;SkaKCmPsorHmt|< zuA*v@f^edRw2VQiLW(gHcOkFp8pWKI7l`31f>26OOgHekco6Ws-M>h1BuU2bzb#-H z#OE^bkIl7HzTLBZ?;k0?LkZeiwA<9=dMKoXKZ};P|7`*&Ns^r*u%xgKrDN(5;5Bfz z9w?CdSaUtd+IaIB6hlp~iXjO!%Lp@44^sD8NU~*KGCN7%0h&}YS&$@`nI=k}aR~(- zA*2HQDQsG0V~>R?LF)gj%Ya|G+Lw-cQd>F3sV7XJ}g|d4`B&GC4lC zn3Y%t3DJZ1Yg(S^3&xB()ZL)Y%CTDnF!Nvnv?X}BWjz*dl?05jW{7Y!nMNp_F{?^5 zr^6;q;0uqajd8yX(=f7NyV3z@Fu08h`=>;P?*Ii;D{_18PksG{X}YVL(e{})59hAx zwtg$$VM--64vD?a$U=uTJrq(r3DhhcJ&0kHDx7W9R$F)((TgH{`}jk|J72xXT>Ep8Fh595WT2@MKb$$?4@``iQT)`&Hr5zwi)?l7R;}8xcTnv3|VLL#++T8-)1~mhw_O%$-cf_OrDZkl2|a6R#pp z2K=UO-C{|9p^nTWWwa#$(!?w#1@YKNl!9@z2lX5{+EXw*p+YKG zt3XEMrnt+fv`}c(_)*-+>^Nk)+H>;y{t)6S2vaEqd#6c9Ax`yyEFD6R+T%(V6VKf1 z_zq~8y>N^zAdw!P5pWMPOWU2$DIEkI+`yBO?tUF6<)$kEo%rRc za_EB(b}Jp6^i<3}+{!CdERLfY7dXZZu0{pU_#uXTXkmOzF^~ohl39WYv)l)kOidSX z4IGid9n8<;WPQ@S%wzbfD^ z3PDGlYa*SkcgQ$Gf=ws1K%CM*;7q9Bk2^Rjd>q$tybg;T{cs^xoZzjbi&@%pAkI{* zRZmC{F?q+ljV(fL?9hy}{-=-KlKR24hF zJh67!rkh8-{q`%9frQ&y#BX$lwTgZ7U2?`n*tq4Mov)FC4cH@o?J(MJ#RbT1gX&Ec zG7{*(d%!*Cmz(#$xa{29M!h{<2|<$A1F9E$#Sr&x6A8h0_EoMXYxcAzNo2=@s};)b zTIjuE;$2sM!QtLDR#mn^Bm~)M<96%de=Xbe@-QOLNXjO3;8X?MGVhUFaf(|j#Njr% z>rDskCQKjIR@(GsZWoA~9=ZRKhxweGy1*5q)e{K8$JdTcMux+oyvFi* zwmIlUqvqt=i@y~C5|&*Mz7ldbICL=~+)q!j6~D(DSsZ;2 zt=klIY$Feko%V1H<+34?ommJsSaf^OkzA}#gOeX%6BsKlX3~$Ahq3z98{KII&~qHJ zB-cHNBZFh@_|`Zfmt4!{YCKS@V?~C#lyv8D5dp0l!w1xs@PRI|&ePJT=L*9#B`c?4 zg|6ir(khIo4>V1Y&m@TT&WCQekT0xsD@Hx9OHrrerxFjL1=hY!B{n?6Wr2S zP#>fFg`2U?I6PWUEU@A=)j9}<{-6>sozUvAUc{(Olfb99dN9+Ar!C#YVQ?kJdVK&na-IG>bcHf$mk$}TfI z)>w;BcIWy*wvyTDwFNuMc9Q)3q3mjN?({|$sCUOHXuN<43CBCV#FTJ|Ijd**ul0jE zItk$rtz_xsY#SsUM3B$`V8AgA2U?+&3>MIIwae=1RElC8or^pN(AdLAK!9Z$Qs|Nt zgx@cS(Fr1^*E3TS9HQZ{&_*_fG17n}e=3YHdfY06=^19|HJ%@Ve)g40cw{3->$ z`>XREwAy7!@;3n2tAs3eAXrP4kU6PnwOco?AUNG&*iqgkL&%+zFW7`F@4-EbvIBtJ zHwXzg3GaHLlS|qO|51V%p7@g5-@c2?6Zf1krO3|WN9U{p7%^q1eT|JOGL&=_Oab3b zCfS8-kDOYT%CIiV?x9Om>p%lM0vN-9*FzFJX2`5sUc4?4X{=fr2{QoM@*%#T{T+Gfm}b_|D^6*zg-v#Aztv)e4r@oDszm zqbMd1RLNUxwLW`Vv0kd+bfa1;dRG`E~;jUQ)3;x-=3MuI2M^Rwc*>|t@MizZ>zWRQz)c z8y-}x16OT{q@&YxZ2wWW{9s6h#DYXDLZ$@7of^6#cI7ww&fNa}W z*-ZMd!dNXR@C}4a$+*Vk$*pAx)!VWoU9!!L^(kak@}vvi70NblMOK+dXYG=KoWo5? z%8$TT7$B$NCWC8JneLE%lsPL*9E_hwM+p}NpNNAQLIjQ+N9c$ctDrMSc9<(uNFo%& z-;l{9iLW&~h??Yo;Y0Yt$VA|DXwOXqP6v;yEbQ7l)h6tvOTCeWsv^hZfX|!oaA2l@ z9+$ADrQ@xCtX6`=-9qY>RstS2hmaVAb0#y2vCgQBqEm5&Y4~#+wpw~LQv%1r2wWCK z;?yR9JAgyJp?r2C6tXyu5`XQ3VKAN_qqR`gPBoLnEqFZyIsDl~cN!DAmB?KOB#0!j zYrV^oY%ROK16OL*RnaYvcd;iH_{~_=I((?!0KY=7k$VQj>2dc3lXn8_{a$ij;n0ff z-g^G=$+O?y+#r5RgRKy%RGW zuIzXAw2@a}7Q!XZbM*#5?(P)w&L4Kly_4{M)l`O8k&_lg$+y}&)q*`B*IPNNby!J* zyDIwYAB?Roptn47JJi<&c}>fInjYIYI1>Ip{bJMB9>Nl#Mj6VhS`0G1w!dO14ZV9< zI@6+<4iIB%^rhc2ssaDl5%sUXxq$O)~K3 z=_l(e!*eOgv9S#hR1vs6R`p-Qr(Fh=-6#O`${?Vvp12@)wc$BH@Lf<)_j2It)`+E% z!mnT!g4M5_Hm@vJRYtWcJ2rIbY-Ik=(;#Hpyaoe8R;$Xk)C750SVNL{F|q-&&vNVF zhCnVLfZJ!@0;phMxY?0RrV0$7Mliq&Y$rPo3^2&Ou%P!es3Nu=YxG7IJ@K{rnb*># z6g0Bd7^}fTLY=dkH2BnU$FC=cUM(-|d9tP{Xz7u9laF;u#t6LL(8yEAe?pc@28{mG zrF(+GfUc$yc#@zz`#4*w>9Z6m7;XI+!!iCP1ya*PESD-!}qEGLd){q7J>a7uz4 zd|f1+&Ti&7UnL50R3SqRXN)$rK&^K!1@S`z4S~N5O^~1r{B;&!Jw}ry`0+wNSU?~2 zBhPne-#e*p=;-%1=uy)y&x2+u_qr-ihYVXYv)B03VQ4EpM3&F3+nPC`WZ zJ40&_!gdoWu26EvfRO2spR@B}~3Igx9RS3y-Wh=a2HI;E($}&}xE)@J! zlC!Vzc7N%IMz$9s&>do%lX~=)f*F4F*+L^9g7!Z#)ht0TWVX9SKp4H&Lw9Z8n3lZmxl2!o;AQ%#d!-Js-5Fr%* zz0e;P81bPuvgi*x_ErYfWfNa17}j|@o=)|!2GKgm-U`HhY5>9TSgN4mJPdC>T}>Xk zM9`3Mx|a0g><9DUovnf!VQV}L|t*qsWja{TqEd6 zci`R}450+Z8lbdmfl?I!CxTQ*brWLTJrnM4*FWiW2?;AD&}ey~j~37myg;qP-ad-) zZtsl+v{@{%-4|@m+#`w-&oAFB67$YE@QqR5YCPqQGYLhmw}DXTd@cDO{wOQa{PUK0 zQM@>-StNe%OZ?dPBa%t7i?+{*YlJ|R7_j-80Zw9BTZ)Qg5kRjJ!yFJ>-|M3y0IY3h zqvdEKmqr-Sae=e-UK zee<&t4-~ztpOo0{Pn6%t{QubRVDbWJ{G@o=BoJ2OM-JcN1~!&jhbNobT8BS=<8Ap3!07_pR-x7U zCZ9c@&D;}2>u?4mG9&Wf7JX)i)}i@*efv#KEbC|U2mJV>N&gaJXVf~Fyz5*{UL;u* zPan_N_$T2z=nw2bGcbf&2c}vFEvp%=gHiPM0Hq+tK}B(`C=O49t0d7n%)I!6nGu8e ze)kQ5EEt`%4yR^C^3ghIvuc~b;e|d~fQFGwpZkI5JM_tneYn|o;|T|vvuI) zzSrm_fG-HN+U+yr3fuO{v)e`%Ek%hfMe&J=_mU%vgC_^!JG8J-TM8MCER58VMT&y^ z&N=j^ujQFj&iGN*zLuwUSlQR#v70b?&;AoIc_|M7$gP=eJRCO@Plg|48Sg%WA;f7k zvM|-i!k0*3WRaD4C)qj-S{G*zyO_N9(O^b9<>I}k03oAj3x+3ZWFbke6C6zf{$`9U z;=Y5X+d8BunB??+dt`x&f2>*u4`nwMu=QABt?nNB@U8>buDux0v2X0W5@4?<{Q`)x zebprEFDJdaaNE=8P6W2?lY_pPgzCM1&AYFD-;sUIf!*K!$9u0P4on94?l1o4B)Rjz zkDgq3=fm9t`(6(a+l&8n2w=Rg{`df)({9@ZA*&ZX{x^WwK7G>)pzQ7^1m9P8obmnF zAN%4jUTBAH`@$=`&cIFAuX^{%$uI0)ar4HHzPt9q?>@XM{@fXpw>>uHk?*?pCVh?<`i^}E#K+l?+Kxp<*c6m8Lu2vZI)9;w2oy)daxkAGA zvoO9~%9k97vP+>r`G`JgGL^7xM`n`{!vG?blbva#G&iRBKsgRsnI!Ez5L~(^%jyNWTo5vN+(oR;)n5 zD#_N8Z!n7^0jtg_`9_zVS!riZu>!fV@v1`HKh|$c=nXQEvVg9Z2O%swmd7}7^`6U= zbIkvvB*%sc^0uZ`2tMZG<8taV4E9{4PGVUku&-RaDynP)!5wKFBRP<>>Hv{NuwhzF z0Z{mSxQdBi)KEgs64p3XHBG265+8{=WV3k7g}MJTmQjsuQb`6MF{EY!!B=sE?A34B zpxcLAA?1b6INNC*m=}({1u%eSh7`1tFh7#mDCXpF^~hK16c z&YZxL5nIrg&QhI{7RkVq5%nwDov9N)jvRlkn)pV01mj^XC>(OWDHt$z7vL=AMK`|h zRsl>-kV<?T(GcQ-S`x~xgD7hO67wuNsFNP{%5;m@=Z921gsf zBjgQWT^2w@;Uq*#teP~sq>`?-D^9N$4+n$kMx7D`=^?T$wJHzD(I$Dw{-!4B*+m6oZV)Vy5Q+mKKjwEFyXOr-@nzr=C#244dNoXyjjGCs&BpNLFN%yaogvR|l9YLBONi{%Z^u-QO}c#m70T|K)|J#< zd8$XzbNQT$mhjJYxZ)Nk+Y4ol)KYLLjN$R=L4en;_Dad`a8FV)XFeuQ;578W)5Z)R z9wiD=sGpQWuq`g#s1nlVw}Y$;gGqdK3GD8+Aqv-6zWP|int~gBhI6;`qjB)&fnu-% zB;9&bp)vt@216^xrcSk)9u$zytbv*LgQ7WmTrE}i=xQ(_IOrIj(1{ZelZbKrqaf84 zq>-aeKZ6HxH1qV`dCGS<9J3TqrbhzA=^~tZ+`X}2!+qEn<$*Po=fAaS?PIWaC(yCI zG3<5MV$T%^QTDMEpxIHs#pWt5&}=34TzP2ikWI@ncE5em#MeeAA#brYNVl-G)4|;; z#F0H@)4HPj=Mwq8dxj%BX^Om7RUg{hKA9xQ8paL1>ap z^8AUjf1iqktAxCBL=!v0vzfdtz@2EmgtZln!8k zQ*F|k`A;CZ{@N1NI)w3cZmiO#FLP7SQ#*k&#h0j2kK9kD;$<~A1#${1MgTU7<%$Jt zESZwub|G)=aA{~v;}VS<%LB8p8-9jOu(VNl+VfNqO9144O$Jtmhw3a`rj=p~ zSb*57l5b24KX;Ze_Uxs0(!nPH5;z{Cs$Eb~p&s%L`(l=>Qd>lfDGW;fAN{8n$rF zgrBXPYZ5J5g*I473z)1_kK@P$ zciHfxMkTHip$G_#&Zq)gx;VoD`m&ptB>tQr81}Nq0YPKy@h%gxhpOFQ9AO-jp%|Nh zN&#qqoN>W24Z-3=-20qMbd)Yz8^w!#j0Kv;sn$U-w5ydE;`RmJ)f3V~3r1K~g+evP zbiV^mHsBWwjbbH} z*zpc8by~9%^6GbLp>=NW!%E)z_Xkw#;Ngn8K(l`8Uk0*J=RjTdJEd8es!};(Fg~63<1DcRqp)@%)B5>z4 zje{j0WJz0di#8Bj;U>vR!7?fa$FWCHwTRJ~%1r45l zn-W4OyEp7~$^CEGekq+#xDW?r_pbL(R;>dK@LKWG=$-Ca_;Y~KJo3>(%8QNEjO9!5 z@*yxABWEi-PXWgD+1@6$u5GN*u(9jX2<9!UX#_2YISVS{8vLc>Aof)5mZ8=Hl%gWY@4m~1SoiiH#OMF(vbVg$sd|zd6}mZn1T#8bK8(;w{G;7^$f0J-PV3I@$gf76ym^cOi*mKKYZZf*#id9sq`qo`2iBN=Px4eIBkr$rUk74RoFf{OjdVQ)_o9~0X+~1I9J+87z`O=D zGUFYRB>~XGz^}>#PAP_)Oan1arz)hWqtgH|RtpwW%BfOOAv-1Dk{5bxfuFssT89tu zD!CQmv>)&}J@DNgAe_#-cGbDRp_lOv5^kSiQq`0Iz0n7P?>ms2#I{{=Mr#r8x_Zr~ z-%MGx=@FIWAO8w&7hn0@R_@L!#7iCP$$zT}gtr#coJCRy;BJ+WVN|Qy$e5S_`3>Oa zrU)qoZth}`&uvdu6CXcUvXk5`q~JV|lH_Z6T!YJ3>TvpI%zuS_p zR`ujfJGR<^@_X*AzeihLI%vYqxZVE&oJ2EZASDFiWOvk(hf!vYovjQuj z$;xx)jc-~UEok&v--<22m8m4(C(J&~S5Q3+oG8I9S~VF-Hi4NyUOLhIKVb3_t{$dI z+Lg}IFHI|JxMMoVt4YYxZ>c05A-UmD2X3!^fBeI3z51FrvgonH)ekptl0j~ASh{XC zo%By2L@Hm9UhQ*+&!Dxq606*FcbthN9ame>rBtt5aH1dxb?kVEcO;lrHAq|&q`nbR zm#-H138~ykmcdCpz7)ri(|7?vI4L%ANoOVW=7m$NLx9H4h{U1C=Sqr5thsUsT#yOX zn-9p9l!}Q-k8!xF^Q8`tdz76f(2m7BIotxb#692P;~UYwLf$$ZVoG1OGCZfDvTA|q zC!0oCxzkU^_Yex(wja7UVf%BcqMFPika~S~`2UjqB>4_1$(W2-a4E8Uvu}@I{UiGF86kNw9K*CLr+J9tGCXr-3GxC$zU3xaMV^xRduAKgsSye%I zkS18ca1H)cE;VRU7+VtfiM-1)&BK#aa~78=y&ZQ2zC#RtNmWS)=O&Mg+G7DTe$nhMU%r=C0eou7HW!{Ke_F{5a@6jCi2XnVES*Tm6F<3HqK zCpT%pC1K$%YzswwKd9Ke$_edu7}dk3Adau!mLD851NYe+A*bb-&Bxq1)< z#Nl0kicpDoHq8g%QR)y5BXqb9NgacF#9gwMuS;yjqsIvU zmcp0cT7Bp(w&>-DcW?D2^!F|mSuFz(-N=fXzq;#3l$XhI#nyVrYG#Q=+y8#(>Vt=# zU3YNvzIO&C_7!agq={pE>-^c_lDbckjB^TfnTHo5-0hsxMy z0m;6D!guHkoBw%I;-87h^@|RbY4?i69=g&R1fWXet!G~3$Sm;K2CsEEGD{r=u2&Mb zCla@=yXNw3hZY_D(~5;VDUA+KNY9gf;T7RaJPSD61BtAb;G7$taz)$?^vos|&uV!p zdf#L*{&%-M`#ZMzVEVcx#L*oBtCccu`d;2MS?@WB~opmoR>Y{ZhLZk6MTL(C9 zY8|qgrEh)OqV+7xY;7I%&7{Ua;P9e#Fs+2SXmb6OLuI}Z*1Gn7j@LS*7Q>OoTYj!u z2lC9kaEvYByZXjeiQV@-c+IkHhpK<`=Zmh{PYQgsuUb4gl1&)FCf&la0x;`d;SCmjf2>+IXDF-YnV_%J* zJ|pf+w!OZV{z^ZFlkx*>z0pkLT-Me|@%*5!#-c_gUeK7Gs-O~%# zC6w>*PW+4URA#^dXC;779%m<)`I^h`{77c4H;UG?qPwYeI8;C7+3k83#~tMJ=%*y8 z(cmroyCC1iuWcQ8xFWyu_qZGLem>p;&QSC|XEoHvvwIxj zYo}lHPbT`IbvWa@*1A0HM{N4fYcJgs%@}jLt9Z)D;(U!|6|qb;vbegrC9&OM(JpXV zyZ$+BWO2evYZhJ3zWVaxi)duA`|mWec=B}`StRySQ_$XbV65I^iG>gS{m_C7)W~AM zJA)1_^lf9TCC)~7Y#lJNXg1iQt!N#z1hozW4h={gVkfEAVPXBEXScJ2fUW~*WKpzD z4LBBUN9&;7RXqMly3!W(ul#*W+nEwOsa8Py~XiY-{bSblpavtuKY_ki*_;c;a3VgS{1*ek`0`^UkYaN>eb+Sp=)N2)P?v!9t z(o`|KP;rm#AiG;rHFi+&6JbFG*7a%7g#Cs)zQYj>Lp-KqWC7ma>B#;T=*lxX$mL9> zL~Em+V5paaY7GJt^zK_zYcC9wBkqtL#BtJD> z^YPWY?g*;pRV^J`2e^hks(&800|wiN_SJ12jzirJ_M$-&^kBDZG%8ywnr4=@A6cXq z*Xm56?4lV+8E~|VbF&1{++AC6eJ&-LsUD}4)}h#GG~!faqiv0b8v3+Tuot=X zgaC^7j3;_Lve0NFkM2V-*!^`(QGc$5$WF~OrQdBLnB(cwOeq4baYRTd9ia$^9l9$W zv%?N7_+%n=d;y6DUM;G%(2#4Xq@4|6Qj*aW+3y24v*;_!0F6M>4Od+dYFPn}#kCJX zZH>)B9M3zB#ODS_i2Ip_Oj6tZc1~@_@_9XR2XNhGUt)^qSrhvhIl7UY@k*LPq zq66ReIfAAAM3zqPv4rb?{WsM*gz0nBN*f=jdMLZ8$gIcGCfG0a(_9QvRiB|bu?_8^ zdRKK_t2S62Ae|dx-EjA?YGUeyzOrsZ**%tJiO1>FT$Zk>0#}_*t;62#{0r}zXMyf5 zAlfdc4l)!KgN!2&^2k{|&SPMKS*w)qAQ)QsO2)`{NC7AH$j8torBVBM+1r2gO1`pd z3szQH9V^@6+*;>0>4JRREZB7}KgExfHEz9X9Xz%2aLHap817)>Qh(8TaMb|fFOLhBb(eL95b%db?e18Sxh zJPY*20<_err=d6Z9BCS<8{Qo0Jsw|s3zXidT89g0_i6`P6Hunu7Tkq0^~e{|UhbYv zj;KPcJfpP*Vb%d6i#tjP6G$5iWJ(ws$1yD7Ao_QrHZ zZ%SvFMLWNLjO@Obe3^sbOQuT64z{LGR-a|9z%!4oHw#)?@b-2Gk5)%uOE|- z9}DL?b#UP3AvFsUCp?wVv-n}RrY3jT#qMRN-r7BBQ12yR$3TaPKNV8S9 ze-?TX0KRvy|_^RbwIP=rplTx5J6xtZwzlDW41WpH1ka z1q$8UTdjJP#CIgz#COaJ4eJ@O_cRi8Ke$XPDDj;pkvq}E=1H=^ttK|G=aer+CB4-d zBAwc#YqCn2gt%MTCp7`3P4*|yf~4Q^R#4gMFnhC5qc^fp#q-%b7{@qUvMoK;qN&ri zSliXsk3P^Yh*Wa>_+gi*8Yeg4=k0mDvwpY0$pcmEkc2YDOJ@%tH;Lo=u_&bdXR7DB z0zSQV6}0#amriLar1P>)adVGhF&u}I|0E|_|Fas^I;^Boa~1u00At4<0j;Dp$+^d- z7}Qk873zJ-Q7U=IfIP{0m%O&fRabDb!d2Aw-0SGAGx0>i7w{qz)D zSFZL(7X9v!^;}@m`Q|;p^*G;=)ci0toKfsyY zNhjgxyFy1^mTg`P+@Yj`YHq7t=2Nos$(3#W-T4a3YbHOh7SN7j#x+jDHA%WcQuXc` zS#&NWmE6XW>YSt&S_*dxb1L^|ft+GkHuN;rI&hUQNk_-IbKWU&A}~n@kKFm{oR3?` zM7HY>3u>k$NuQNs2z|D)Bl@F=`=y@rrw~>@#`7KMsq=zo0nY**El}#>S#+d(=bi;T z3w+)!;IUSMj`1-5o)8A-nP+a1?GeJ7IKiR?V^uaECay#H@$+xG2Rp(@)&kG%Wu3t#{Kw#PRfIDPG< zo0TSuR2}?i;X$`*er?<7yI$G3pQ`4=yAE9Uk|NLFKN;Nd9cNtQW@qD|n@%T920@c; z?>@QkjYqwT+_Q%pg+^uh{)PlXGZO)$oR{-(z^FhZ95CrTOy?f?INHRDum&C$LhsjN zkcNhmWfm22zmzq_FTYUYiMQRfW>N_QLf zQxT^hX)YtGLc~+*i3^acQT53L2-O&dl^IqnGoFX)qq91Kyv=Zud@(o1WWjVIKLYY} z-D-3yTa^)O2%m420Bbn2?70YQI!yzD_d`vngBit6k|L~Yv8y#3#)ugyHP+N~Rd&vD zaf)+NV1PA+f$UY}9zMm61?PRwX&q3UUO3(s5UmP08!d@Ia`}^Ny@H5vmPDnHjFfnX zDDQCI=TpOh%ryi_YPSU1U zOW8{&6446jSJDANV@|RZZ_{g` z7MSaUiu?@fNxhpL@*PA(XN|TQH{m;sWc4Oo1}k=&SyD^gP=+vl!KTv~&s=Za5AJUk zM|AU%(PljCi`0!Fo7Bb(8O#)~#4XI>=V{6qqpj>fAM)t_4fBkEd z^+#gZLaO5ZUpiEzLiyaike#C{LD!x1|h9%G*@Kz^9#+q1Z5sZu&Hv~$2yt_3@4l5#B>70F1-udeA4I!zZiSosungJhZ-@<8xN z9cmYY?u;dbKorQA|Dv=1VG_z(16iEqi%i(S&*>r)@gR;m$V{GzVTC1S9?^lci6H3U z1j*GeaesUuyXg2!=CwcZ06sqTPd`V_iK=yY`$}Lwy>rh!*jMs_)vJJowf2r>*w4=+ zA7`69O~o+b?JHj&HT$Yhbm^QwjH=HiZuMFJ#Gj$}xVNvolxo$ls8&6eQmaON0pyXW zZ0C2VY}bMNK5*arSi{w;1|41pt3YY|CmL2j9M^c-fHTiIr~m5m^>D(yK=9n`Hb$k?3Hse>a?HSt-aevRj*{URRvc?W$;d!IO zVxHajOWSU&3e?5)r2xfHJ4v+(NpgGj`{N&O>z5yRBa2V82biXjdz`M3Lw;03f62uV zMz1Fgp|Ht<*e+7Xyn=2pDao@p;Nf$Tf$K<+YphaY@L@8IS)6Wi0;h`z8EKLOVoe1P;9V%PQkX_cnVJTI!A*E_sETC$v2uFQFv+X87Gh&8yDk^gJ zRDfT26}guWxAn_MRqJ3y1X`C+2nWqi?XZzU&9+BA&Nk6krxR z2UzG#f$DQ6s*g1_Cs#0ii=ZBUT9{Q(t+Fg`#7fbGSfFY=6iQQ-jbo8xva&@On?iNa zR|z4!l?+N-)tpacnOZdm5;tlr>0m_&2`e;}lk6M`x_YhYRpb;49`VD??pf)LEKr3$ zxk0dMN4fm|*O4A76}bER@jtD%9L~zgpGOD?94vG$njwXWhf^ZX*;J;JyRSh)sbJav zpS|yakE*!#pL_Raxd|(|Spr>BTIZ6)2Ca4h`=k-cBt*JFsxgA3mTDF(55#9h+S(^p zZsI1SeLRd9{?yk`4L10v7P0;*7He5BrL;xhsg(XvfmZuKi$;;376SYGo|(JJ<{u;> z{At~R+?{*wxo6ItnLBf4=HB_TT*ZycU~dgH^kB^Abdye;OuvFSRgh#|(1{wFP)tdV z-=QeUW3MdmqS|$KsstRuy2bwaYw)*v+cWrEk-sxZK7%%yxq92v^Vd)@{CZc`^JLkg}bTxyDvM!@9-rlA<~@w6V}<&hs=iVk|sVCunZd1>4RFg>xZfRitLL z71=x{P%_a!tVg-DkZd4SRQ89^*RoTu2;22OI~O3{R^(@8!^Gwerm|c#@DAiSNO+R0 zYAi~p#&2yNk{ns|%Go+q%$U>bB8*@S7=Kq`R9%4)`&k?u(oN-oeESN#O3lh7kuD%$ ztibUPqYK?+4VoMQkk=m{Uq~LjPbJUQR~AHS|Nc@UGhJ07VKAYw48bsnLC_l1l!M|) z9{XYe-(1-`oMaa_G1=sv8Vi0=4~D`lP;tK2w79>02U%z%rQVGvD_c}((nH$pALT58 zzMK7{L*a1TCe>{|j};1khRD^Cz2RFF3@k)<$c2^z}7C$QNfH||(DU(=O6Is9n znN`?MBnWypN=8~>;k@?d@W*48E99c+HpS1^B-A(e#`#p$$mq;}^C;lKv&E-R(Vh)nS zQY6CyCk{v)|8d}SM8I>a9w2qFQCv1iZA83{l?;8h!1Hrt>u^Xe zPVB;T@BFoLcKLZZp`9eZedcU32SxDwyhePlaA@(0eBT+=gch+*P$BNdM-PdnlIseG zwl7oU-(-Jo`p>1154YVt`onE2ZYr1DxAmg3eYN|liO)MaD%jFJcd~w;pe$F!%d%nH z7}+|k#85C&BS)XZFgEKR6IxC%B)R*?R24Kad|Z3q=r1n`=iMJ-xtUe{Svrek5z}`T zRF*~`vQySx?|D43By2~$Wq+*qGO9jlC55>wzf_3DgE_g4_xQiiRJAk^y0P@SE9Pa_ z1#H`Jv~0?}TyB`vTC3`7Fq=>_dRV01Z{!cxog7*8+3`B{H|oNxS*EV>v)@6s zpkjk292iB8?R7yh5g#ppmctyzwt#A86v-I^$6x~Amw}=N85EHrozXWM2pz@=>MB#O zOt~isKXPc27r%+qcNQ#|a6K9Ar3I?h{tod5`b2%%zj|vK?}pB3pP*a{O!T97vYe33 zeqiqHQ~%!DtDb`FWWiKeJV>k%v|8XzjSN6SMreTM+JpGB`7_jX&kIbSKldCYZ%Y9T0e%ZO8u z`BQ1wvq-je?afKwp_dKnDQB0Rshf&pF)lwlJR7IiT6=blTiR%*vB~K2u?nKYH3(=N z{sI0Nw;k7k5G>V}J-YxER}gev{%DT;fy+SCmS(*BSHw=oL<8ZX5qYVb?2PC@G; z()n;Y-I^ry#sY&bOSTTZu~)zHqB5U2fJuG`ePYgDaHy2yPnqb&oyTV-NmXh?C_Jc) ziCo6j9k^0SuR3MmR2a1a7fA^;FmWvupFFB@g_A((Hj2AdX5u^5cIJ$@B&YMGB;~jk z*l{1V4j&wsCwcLVSzyeLg^!CxF<$TwPfNclQLtxnRD15V%IBE(-ER$OK3UlVT6C|& zvY3gdeKzBQ=|_%=81n>~C)Sc4YsUYASQP&=e#>Gp&^)oD>9Ip=fuvFpEr`nG!A^Nx zOUigR#D2d)k>xe_fTlp-5JejLK_(cxz_kfzY++Q39j=VA0kLB#cd{X|M}{=BX~!C- zfjf3xEKx`@-ct+c|EIpQ=qZ1a@AO(=OmpOMGyUibziT<$TZi$ob@=F9v<{vF+wASw8msU#!@w$^1#r2Iyj+AB3I)KYnBX_)r;WRkpADHW7NaAN+9jD4 zL$RTwmPgpOIfaA{>}RC+m;FXVaf%%ptO3}U%@)!7BKKmY0fh!kUT|AhPGy7045f)m zJA-e@^8U&w=Qq1`P*zPlgSe}VyZOzZP6hIFL&%W0&>7lfeEn6N&A0ej#$=d+iNRzl z6IUJMGtY)bYnE5z-|lGdWc^M+HSX%!Q7F6r?e0nK5H^q>AVEo#-7^3&++=HH|3*VX zHu(vMs1?bnimrc_ZPH-vOu#KEq~-Een7uGS#i8iraF z4W$v(6!#ScBZi}y6N13P$~DCneMrQzC*8vB*`24!ve>h zMG)v;^&lnF%7(=}ye>e8(-HXb5^)kPVjezZpz zX26XcvltVQ6s13h;R*tFsW(_gDrJ(hBK7_k{3RI#qqn3~pkId)(DCX7W3}?lZJZ)3 zPQhUW69Qz1@lY8j=_+vgkrq_v-5leuPjqvc$frrjaWptRmwu(&(DQk+S&_Ba=h#BGsLRX4Aj9RBK(o-R4@vfK zb|wVj+@Md!=MT|fTGSi>BuvKBis}sV9jJddxDT$-Pl;?6l`dz_NZ*Vd@Etx+z618S zuWT>}Ghp(vw3*}$jMBi(jLH-{waj`tlYECdoNMTs^|~f9&ICsOltWI!Vq3mj89{^M zn?Y_C*wAYDa?z%8)y@cPOpS`IOcgj`<}*5g9VgnmKZWF-P!okEe7cG_H?e*z-(l?F zgw}zoDXJw^L*i;Ln-lKa^vi`yBN?CaWFwhpRfOuZCj^r5Ko)t?XEb;}$vq*1;1{gY9Vw-Gs+PyzOc7UVX#GE{;RVM^n~MQJ44uv0NSk*4y;ZBj?33P{;0p(2pI*uB!62r{>8ORb0lcwLZTQ8N^( zz`dLXWlG4|P?3?#v-Oese7k3!^b{jsN{%c}kC)bEDp&?2x+5=&C*)7!!C?lSg2{=K zwYF%S)Xi@XTx9poZan2~$eokJ#|>Hzku5z+sv3w2v}~qj(9nqMG=z{JKvtulfUgP5FfWCP%lZ5twRz{w+*m0j^lc!Z9ufKoAmG>#I<98pW z?cE=5TqDWLuwBoYR_s8vUTxYJ{v_5Oawp~)eufF~BM~6f2^Hwha67K79z3-e0 zfZUIe9VKK4Hk*HI#?o}H+=$o6&+|J6DIGn^QBE5 zbGaoZZ&vkLWva8M&`zvL!<3n_bqLY-u+=twRGUQEC3siZ+YB%s-dEQTSG zB}Bg8GPMh5&lYymPi)#Q#gZQ}pJoN=OX%Y!SuVmOBe^5F@xmuBu8;Wh3$Mc+dAT7o z%$t^tWJZmDDz;)NEh%MI=l~fuyQvzR@K-IJ5~*R=VT4f5!rUxJ#uv>AN2>hB;-T0q z)^AZUm{IH@Tb-9sHG#y3(kZ81XGdyelS)$Xx}gCxsD(neW;Ypce2`dE@ErYj^xN9!Ie5=QKwzX@Rxn$Gs9Icf`_a#euH_aT&685DX1y zHO6U4lwEWxrDqhGby2249b&*MHDRGJw=(SP>Pm)=)}LvNIO7U-t|x^SRUf=w;2oNY zr*SMRW$+v9QfBkU)y!$~dW?)Bcmg|M*ge=7Dr`rFcty?QRy|%$SSi3gJIt9zLd;@W z2mRPJP8Gu@6J#_69jc~f0T-v5RgkGl<2JEv!FaqPM;K6cLzg=yoP=ck?p$amwu$xX zX4yI_+<2Xp@SSA8MX^46Y1qj;ZicCFY zn~*aNja*kQgeER8Yk_1We^8+26iKci7c?1RD#( zI;N9@=E^yXOm+4Y+KDw`*ZqcChyMKn5XGAey|X|&=U3P85SW^jP=#YkEtdb8#u3HN z2l9B99YQ5ip){e3IxBe&?CnFynsdE}+%k*%kNMS7PbEeE zz;7yYO%uDy*N4Z*>@4!H3{4z-9R!#rxEI?av15HOvUzDBbZtVdqRbN}FD5UFDOV;YOLyvtJJ*$b#zH*DL9&hZHq+%EEaI4VMYbNewKo4gjhrN+h68L{ zHgV|iEnyxo$rY-|cDzF~qBYiH0lg~<;ZLDwiiBs@OpIgOTIL_5o25k}d$q_!eX!iF zKQWi8FN@c~En$1CAa|w0Zu0swYO16KNegM%hOpffFZnAIeTPvH00*Rlz0b~ilBo9? zs>s&Q<@*jTTsANc9npFt$krRpF9nAVNpe5gM0SasOnO|ydeBiiT)r-Ba0AT3p?)Z)lVsv`U8lTqHTA`4t2-d5Q%?$9ww zj@vuICP6>>4$YF1Je(aC5UpyUYU4zGjG?Nz)_U!XM~rqFpwYwnfxyElKdR6}Oyi_F z0>?G0&Ri-G*EHmyr~)xoNe4FwdaiM33pl}TodOdHX)iEhA@}&)fTdlLuZn>t`sY7Beg8ayj(v06 z1LHw{Yx>)9GA7~Ago1MJznglpv$gunTwA_>{=+rT&p(8Pi9_2K-7)>huKer&F>fs` z!I0kXtf1`NM3}t8_x}|(`B0LtjAwq@dNS4ZGV>j6l4!x5?+}9TG*x3Cy$wQcTRmx6 z{-G;ZoIeG!p@rnW@@z9zHx@iA$qkpkB3p-*G=y~l#^ktcmK^pZ$*0ODTPj-ZE!Qj( z?2YkzJS%NrNg=<#B+MTinlL;Dw;6|)ljJjp@TUjxZHSo`9_c((G3Hm<{?y1~ z|8#=Ho2_N93}JDi3R4mu>kC_}*l_VTe|hnQhLt4~DjR{xYeaww7x4%3&Kn&Nz|BQ2 zF&&ZHShF;UxtJ^$g|C*XW@Il7y@$0z;$hw)L>9D(9KZqvF#GUzXV6}0hEt+7+>R`V z?B(|bd=nukoC|WRy_5Ajp|Tyfep{9G$&p1L-L6x08{YLpNNXp4u)tuNg9NAfEDY`# zAfkOI1IJs{sRZ zD9U^^BLN8%Frtt_^Ehq{!xqsRm5~N{-rka~s_hw*XI)$1{h`!4e3Wz&j@yX#f7d*_ ztuK>n7VVpJnzC=##oem8{p6?Gk=ulvRx-YhL`NP^vOH}6L1jmaJc$0?%PjM6{;{Eh z9JLC1&&+lrWy6@)9}u(f`G`WOA`q$xR+X+)0qjJI|8uu*hyp za)xr8q&Kh^mn8UZh7^)wtBSmLB#b(YTncep(h2VK!)>kpPSSViy4^g@R5j_?mMD5p z*{QmnC#LqCv!~m0+Vqq$&0<1>V^ip@wOF2LfTlVVmE;C+6Vs2DfsH3q7VCvHRk#HX zfx`^LiNbln?I6j_^SGnn#rx09khHF+CXrNPAV-4&K4rzxB%$h4*54yW(Co}of2LN{-z`o_?yPk53OxhK(p52wP?R5#EuU9KwH}k zpeVptb%j5BO7!Zv(7Carb6d2Fj(+gDC)kGDcle_0*g|%U2{tZuIG%Wx{sAjE;uIW< z#$s$c5biFce5N#e@QfEk2MCLOC}M1G3vhF_wXs9#ZsrxjogmOFA|wB-;1$ecNZ>n_ z^*v7v8u!g&Xo6-S zhGyXx*=PnBPj5CQ2KOG>I!rh=j#`KGX1p5ZwhoRNV|N3Sx5bkldoSJ1d|n*?GMmWF z>6G~>q)D<$QgZtWUT7+aj*X=UwzI$P+B$Qb=blS{3L#?-ZoW(eV=;xvi?ouVcNX}~ z1lc+yxMID#KgoU47BJWl5f>uZR_Qyue^v821+m}YSvbPjCztv6dc@A5WP4y zCg(Nwa&+r`-|$R&?8)@zb1s?to67{+DFaSNGW5yi{o$(1sX>5CD=Y+MwkP$QTIcnno7BvvOo{ff`xFUisV;zI#91!Gxuyv!j@*ygKdg z8v7DUDINaf_nGg7mmZ6;_t=E>&$F8`TfjXW*@+H`T5RW}7(1V12*Dot-s-h%(1y2` zZ-}ZPL{!4*%8`YcFtT`zl4NpZk+$I=jVz|die6*C!yxP%>~lwGWU+3pJF-Zk?Dnn3 zes+-b9g--!uMzNUpV3oy_ZU5O)4qJ9G`I4N1q3vUByY_JZZ7a=F9kWF7{0ZB{OcwgTz>PBJGTzHd)>zFxcdm$3Rv2?>)r&gZ|^d{Xt(yyY-Rr+} zZ1-p0e6;j%_U`}p;wGT%zP@5W!_CL8|J1QxU-IUWZ~gh)-)%Us`|n5YdVK={(%l96}RBg=)Oy@Ckx^xg%SJBC$9(iZrPrn1Df|M z4<2}G{Bd(Rl{7m^Hlqs@(mhIf=5%0nyqO+-QH3EsJdg z{%okssBBPBrba4K#-blmN+<@$&^Xrf{D#ATR;%MGxS2uZF1V|3y>HOSLX0r(?Pcb% z+moM@N(7K=tYWNK1d0KW%R+vSfp4hGmrk`Z8?bl)tlMhR8@X`x&e_|5UFMd~x-Xoe z-JHfE#U7i4n8+27aarXkjhlWW5*bnv`ZR2EZ$k))KnSH}L=9FE(uWarFHm-e8kFSL zHrdAab6~-;VriuBk*m$FYfnqV`QE% zz3df6x!h5YVn{8jLoRd8t3%2rP#Cf~AhC3<+GYUMvLYjS+=94@BsH_JyeQCwcOY=i zG9AF)9LH2JtjtbgE>B?C4mKg~+WrvIFPfaR5LLwA_`GTzSTdY03vdT1Exs=?xh^M# z<-`!ZR*fxGQt%YE1$2mA1U38D@q*Klpw8RK>cxT9cLd_6UEk(XM$qtXTGF z3uYl*lBx!n6_gjijqkx7iqo4CFapIjI4fpo1Wqa#yct~AnZ|K}84eD832L6MFLx%~ z({&joFq~b{!P}{IIHG)qGPHl@S%&>7@n=sj+~_Gy(vyw8%<(zdrfBmWwq+-J11N@U z-$t+**(|#j{i1I%LszVt^G?3QNfsKbBB$VZLN>}?l^|GyjS8(+*CX7mCyenT%O{^m zrkjyb=*ZDgD>z*PfVrvEkvGEonr!&%ZNv6WuoeSlce4Kp-F$_(J^r7J!ZTmA<7vaO z2TlsYpBjmt&l8NSL6U-!%vB1)Vt7I&R4;4-8-Xls5;gVUVt6qoa-k|R6dYxOjB}7T zDRPu`pUd!xt9{_a;JdZ|I?s-(Z2wq9twW1zRB9arj7}~s{gCI2B1n?^(I(OX)+6Cs zS+}Xmr;)uA{o+J>pF_pqd@bZY32J1~EjQ`ei$a?SZoty&T^2Eh46@`a+A<$U2SyUY zttT8FI~2?TlNaPXVa^D=fkDF~vxsaD6K?BZ>O-hj1&V@i!0n$ca9bw&_JB9j@epD+ zifj8tCGk2q3ZoXij!cCn2f5Ucq^`*t3l-4Bn15ktd0fL{ zjKi*lAvuSTh~tob!Pag4j<)5pHx`tdoSBCtc)aYmrPJ4^I4E0(3Aqx3JGo>1>GkNa z8IqfzA%BDFhvLeCHKYs5L5@m>_HL~$Y5jD74=;nJez^La^~ZwjILPl z{b^JngJE$7bcqNad%*cw+2q565J_OFkI__pi|U>beG%IG-v|BnDI!4 z6IwtSNqex2e20%tjJWxD2jJ7`XCEwW8|v%XoSh%8*tQ~T-%UfXcZo!lZ8PkBS)KI0 zKWoT4EAkH$i0xnF+zVE3%fJ5_?6=a1n}B9}NXEu0;D+qC4nuZFO-?9eGPb8t72=1K z`~}lmQuaQzZd{k zK`|${ynJt^u`G{AYL z#$|J~NR@wRWT@W~alwE;uy{q%Wm0sXNGk;)USr&l`hRXNJ zT)yHhCA`7mp_g>*{$hu)yG*ZhNgs{(W638Japz*_rgY9MIpg4Y)H=|+@X2s`ERX}Y zIR&304&~&S%W$ju$$-O+7SqG_cT|0UBwjVbFl-0NPC!gICLeFV6}w9Ke78uX^C8A*c(MW@u`>kOR*jw~+(` z!wRbb5d@8m4#|i^N5{=Nc8;Ji1NiVKM;mli1v%WH1kR^(TEuWLP6;B8@daM&Rzr#o zHxX+xbZY_qs{fR}gJ3q=R?G+|QFgIg$f-oxEk`{KYl3OqK%JNN9^9H_k=|Mi!!4c2 zf-qn+)gR~&?4;N?z$gqBptC{K4FoR*k z8I_YGEM`S|6cf(MXpjg>-MhfNNMR^cz)~ zrPKks_7-R+iv8&K1of`WA~H|?Ec!X_BKU4CbeMj5_p(o_&?=B-FH8P%p2F-DL!he$ zlx|*bUAbT*{NV{``3eWrKwcy>6P^S{2h8zlg+Jv4zRU62Mkc)wkx?q_k$Pbv8pwh7 z0+ZJ^GT}C{x*bg8OhYam%^;t`@WLdbU9AF})dZ+s#-63hHsVbjROX#xB5PJD`5c9u zGgg^G4n{<|Vr2s6>}4)bk-bUfe-cgZd|b8;P&gS*j|D8{G6Uy|DtThil!vWIhDJ?= ze*`hf`F5-=sHF}bzei^`#-n}z?du%AgCsfri&FUXbD%n7tLUKPF-*lNUd2Vv4h0R( za2!0RaFuA;fH`aK>na$_0aIqe`U732fRREaek}Z>P)G+( z2Sv~;WZaQQj4RxTI!zw?Vu3rqEnA1Fm<4wC|8_A;z0n>-l~9RJ9GCz!G004^Bq}z#@>mnOxb_? z>TOTYU$bq+=$%-d`Re{@mty;P)T)6Ls|8&9X*V~a{lkn=-hb$!7Q%UyzX$9>HZTTG}q&xw^H;J;_ z&Vrquc^74OfWpzF0bYc*N>3t*VLUkze=g`+zuRQO=(Lh~7qC!aZl)0iqO3oTeMftP z%3`QTF?d~akw1tDe)gp~d5!giKMOLTW5q;3)4JRzQm`zrrHkx5)S62qnH_O@SMv6S zSe($H4X|zf<)le!A*zp^Yt;Dbunp|=(59lVLT}Tw?Ffb--kPCTJNNjfiz0nkNjMit zrZh&lUBAL#=W~jtZz=NoYG-DflRQ)hFKj|C+47+*G_eT95XN7;YFdy@NfJrbSjZ=v zJdWiZXhM7EBiV>ybC84hliWlOg-3On%dbh<^>KuNE=)y2K7M3n*k|V@M;3kYx%zz1 z2y(5>?`e-<63rDtjxvbxpa#n7BA3KkIOS|a{5rgL$BoB-+b;DwhSW4>^y)Ui>8cR| z?&7Q%M@$sJ@yEv!h!>|pqRj+j=Q~)~G)gr~XMRMHBida)vl0i*p+SZ+frbbLY(9wt z{-T&v)O3?0iirn#j34xPq|+~#6o+ZTy$AbTA}R&SB@uZ&dFZhPI5>ByeI*kb=p+j1 zDeWqXS=!`64*|_a>%-Lly7tD#?OnJlMUrd@Z6JEpR&FuT<=z|bRl6^=tbp0M=Su*w zRedlz+*VCw*L;Es(L^s~pjVwd(eER@&BBaU*YmTb!$SjfynJ8>CfW94r^0qN`?aP; z{%^a6H7AExMIz0%Gtr+>9Vr_N1+9wkDj?o~yq9umNd%yYSQF`N6LFf-7{Ke# zsP(ddxf$dNmmDVFAwzl^K-m=)d@OduCm7(4xs*wU?M1!Hd`BTrvNiMnQl5r&(VwL6 zKn;c4&Z_1)vFmc^T9WMcK%A_hx}?6@#BVt)hvR_%2=bj@Y~4VC(}Bn$!QMiWolKC4 z8@;rzmZFOLWHjlskYe0fMt57L{3?^n{@*b;GxP=r^hwap!fB}(Xhgn-cOd)(Rg;PD zIeA_LSKvHEk(r3TNjG&rHiAHF!hy|8hQkubz~G#~=h>A@sK7f8S1TD7bUmiaVyijb#`pI9Z_s zKYYu5cN|(m){kK27?fGj~zrHK~1DVU+`B;HiQ@(%9>LozJg*^zDclg}6 zO|E%(+t0C_A#>TL-~o`H$F~;C^WVg!>H8J;^;pVyy*-?63z_QCvO_Qx2lqF(EyEg7 zTs8?wlzo0;F?384vfFca%>2LZL(-6s4ZZQ5#Y!4GRmpK#D*_rwl1!Lp3BYJcGTGxK zY{E(|=XDqdNA$4WRAUXp7~4{avIH&1jT#Kpy)IJTzmE=t3T>w_JhJhj)+C23Zl<_f z$qI3wZ?UfaC?8fD!$trlN?f4F!}D)n8)Ri zm&yO`m$0QzQn)1?bt5uk07lm=rSG$u?qIkeG**Ri1jYe*oK=F&7bRXD0|2W6*>ISL zvrh|S(TQ@(3H+3&&>snmf3MAVNVctQ(6OhitC;CGLs4{y8*M{& zwrxpbBA&c~c3s>IMxE9#YWKpWu9p7g4dT_N;Y zXibHtoJp)H%<>N}FH~^4UXDwY9m~4uJnJ%ZOzO%sT7kg(6d<#jtgP}`{;|T=kW0J9 zJ91TxSHkILnUFo3y8U@}bxnAcK|&%!%O{=JQSVPe5Ryc)6=W(TXUimE7e(eo&NHf@ zV3n1sxbdAPxJ}}wzczbAfD)1+Y>GF4l=h&>K=;eR_hcYg{*;<_N|rkamhgy)iIx8|v|k{#j%&!9*3}5&Ze_hxZ_S z@F5IhL_lV9qAXa4Xb#yyCmwu4N%<+Fs0tz;N&Ge0XxCW0jf}}m&Mc9svs6@JvZXDNyB2IC7(%0QBv5|_fIQ*)SRvqFpnszDYqlf+F~!RHfP-Y>YIUk(Z__+t69EqlaBrT~LdS3O>i`q2gM zVyVO~m@ZUf+x`K}Q4-v{!I%suwtz8A>jh-R*z@Ze|VY9xHaVXg8Z18<{#;TiepOHnxX-eFs|*y@auI7#sWx0A{A7v2>(x zF)KLcVChnV22Z%%mgrXchQAQ{U2Ka0Qrp+mVy$QPWShM5aFgEthz^e?9w=)$$ZtM;A*(P>r^Jv3LXAK@| z9WZN&)`VRm&^q9*SnRJr-Hc^RWX#C~z8ke-hXIaxH&Aw|b$BoJhL&*!O}T=SkeHbi zp)jamXK_Ik%WQ}fw*=p9Z5`5^E7O@#u*n$5tk@$Kpn5-?c)l}sZOmND{yL^5JJ~u& zBlhA@I9Ij~Z7y6d?oG1x!2$)*4aXR}uP`IJaSEP+|8`1KUxO|&sZ;82hUaO+2lPc^B2dyne#ZC5No-w zMMB=jUS*#M;dwtiCU}VLFn+-@J%f&+b&y|V8++iTX2kA`wdjwvQ0owDmaPL{+l*#l z0@UCd^KJ57^1+xf=14&)U=gD&oKx^2WTSnd3)DIg_^!o#6OJ`Zh>l7>TzLa)qSnDP z1ik}1r(kEvMbVstG2uNWohwDe=H`nF#}_T ztT^5}&{%<5huhi2wvojk8d)4ni!Hn^);#GyHeLXfUDZ0!IE1(h*cba@WU*cMpxak@ zR8``{`PD9JU>7zk?ktQfHeh5CHg9HYk3`nSTC|0w=SE*TJYO7QB6A$e)Y6abNiScF zrACi$2cGMU+fC2WNzZ*iBa6ewHhg4V7PZ#y5otgGG z5xe!4MgJ2=PZj$<3B%59d=uP{zxFWDY7dXUhqzY)I(8ueJ(t9}6ChYS7sG

_%bq-N~UZ9~Zs+66*8O+v z_9GW+7!i8SrLb8^3$Snj_y_e?4sS@|J6$z`%wVzv80o-a38|WJf>B zziam;o`x5}{cn}f1hPSX8F%km_w^?aEVu(g)@^msYC*=?4KPM;k%vj>DT2K1T$ZO? zK^JBBlAp-dApzdC${;XOK%L^}Wqnd@XGEAe=7&V2J8I`Lt*dOmV>b;&kS=sL8p(&!?(xPped>RP88+mytWUE{b zfT{TGp&2ZvvZ8!eC<`_8UXJWIh7G;6K(Pj!L^Y!_2%C%s8Rss1u_m%$!NIVvPnv3I zs;u9|1ilL)ttGF>bY98ZH0kxzK&5jcA%i zGzqR_NO&Ubx&dUN5YT{<l;7iSk>JEw(TuN!WLQAgu05$v>Ulq2w>DrIKHq z3%n`_i8w#OkKZq$rkK@evj)NvcAU9pQ2=^hfO;Z;ZI@zyw=6SK@2oK=Ju#XYHzSwc z%#;eqE`uhHT|2=VZ8h*oBaQ+u-+J}xzMe#5@!p=KM2&1LdxEYBv>OU5b; zjpElOV+VLdIS2tGh@%{nms(Ybb_iOBb2>F09D)#qmncY}#vG^X<$s@>9+VlVEI^lVkH*YY2eNf; zVono8dBJ5PR=Poubw+TKL$^8d=*q*n3WbtSij3P;S-9;i&f0^l$5~JE*Xeja??^b~ z=c_6bda;w*f*im zY8(aZdUVYfFg~)V&hb4SJV#jQAV=kieNptm8u#fz7xmR|0VlTyn)sHG12US>Dup?M z`bqAfiz8p6Q!cwflxg|_ z?6hbo?TM{u&Aun_L|0wtGx6@CZ^GngNKdJrc{zNCx<=+?hXlTFk9gR|(1grJWWKhj zt{yPJkfxiBqZqiYCJ*^jXd9hTzM@jtXcV$z!E3=s6zKLL#J6dO&VGellJ5XAvIjC5 zmO4bH!LX5R1G#1(llnN1Tad``VsO5x-%Fl+wT^~`LPS11y8_DvijvI zR=&eeMvD;cUzULBk*U(d6?=zZc#( zs74SDId3HzhQpPMZLv@n&M=(lPJtxH-SVJ}7t3S&gCpRo8$=624+@m1r!tBoyacF1 z2U1_|*+Ov{45cmO%t4cbSE=0_kxMRenZq0ryqxKRA*5pSr&4g{4rFL1gEASxS*m!M zt~!jgUySAp_xflXDNHZmvrSE2!)^!vkn$??C^|=MvaWz>&+*hv88nS&w z+P<5x+00{)T>$PMKlD>5cz*)K@R~=ZkTvsH|7rdDW!vgq#=^x?h6W-n4IOJV`}PR%F+LvO+ZMTq;|K5Pc6@ZPOR7A8TCEQ=0ik z`p|fiw=|@ALZOWu>vYoItFimbgwia_w0U_5UqM$_U;`9?CUJ{vhh~}kbA>2mMr8^& zy#7ocfsir)-GzAwpC%jCwuVA8r0gznT)*#P@|vNIT0`Y34WBz-+>i+&w+*#W>cFQOdsQkw4$d_!pax*EIF|1_bzd>(% zU-@7EH&Pw0s9CbqSY2~%7C{WxhV4-KJl^;#(qynJ+si_>HXC*^QW)(cYy4~pWKSGg z4k42gl6+{fKTEuXt$evXDWs82;4bJ!_1(IaT86Ff=kQ5vqaCTFPt0{`*if0=x7WW+S z3LUgGoWmy`#&M&(F5PD~RM71ZLI>BUR?+T`Hs?mbOS_=3NwfhClpw!48tvA*?oLu7 zT`N^*LKlupH=t)B^QW;Y+Uk+kW7v1;V6mx6(ITjw#x!O$K@FD4=^_Fq2&J zgrz0zgOP~Lv(lGkN;p9`=NLw?A?kJvUF7ch28*y1${GeF_>W|16oMc)u1HDl4UAQg zH9F8*FD4`GzHi9ZK`^x0)o5|iY2kzWQwua(>SDxxJd*@2ZP*q@`^*;&VHu$cUPQ%^ zq9qiA0sL8BF=`YyD!CD<89fxwO`y1x3HS~|CvGY{f5E!Cr~r z3#6M0ruDMq7pr=5v74lCHnPL)>?TDXB0PGaWD3i}j&y=)tE#Joh|~<7!u&(A#fvO? zD`VB(niMt#noQCBRz^80M0q%)OkZVJM;LNx#bulD9gs_IRGT7F)mk-c(YHfw;#Q`D zO@v_Pq-IS3eb=cL^{fUnA6|WU$SM}0c^sP9h6C;;u8^xu6GCLQj|c_TOVz%t4cPZ% z{4}g`n*wXr^|Ezn2W5&jo4N3=r2_GP5=c;q%Xs$deK9PmXQDF44Qs&O2I=hqMyw>G zmvc1Aj**h-cS3RaV&P!660L#3d=~@aI&76fhAN%HZZmJd6(RgtF1h`MlNkprEw;sX z`f4g{3Rj5kSW78J>JlK=pJ_pF;ntH(VQR+pncOP2%7n&PxDY*j;pIjNp3uxEpbBYX zv63$`KA`Y&mR3rYZ3K}y-t#DgB&J<%*vckE7LLP8kR*|D9P|oguy>jUD)C@2EC|+S*e(|hto?BI<`o`pQaCLpsGvtl+j;8pcRB0nZS?X zfUFDn$?l#|l9PkjgJ6D{F|NrwoRxLB!Q^z8?l|Ox_dd|m2_XQZkf^%IkP6&GzwqcE z!4LA(fD?`=-h3)z@}|WCryA~qv#&YKPdb4VLgqzPLgr0c_`n12;HYwnUFh*hOBgM> z0|9i77bvhHl^P)6Wf2$^*(B@Y3R6iEpF?NyKy3q(Bqb7qd`~Np@bQj+`yuH&OvS`= z5@ol4;dC**-VK!L1Nl3*x7yo9*P(p-+$ZcB~tIKo!D8v%R2agB;UDzX51!;hNW%q zuZ7-M#xwtk{ONNf%I@mvN9V8Jx@`U-HgC%X~ck>yLF_u#;n3+=S&;UE1fqVY(*Kg0OhlYg2tv5a<|O$~)d)Dab6p34p>yI#NLR9U{%BHb(|ZrB5FOhE{%e-P6VMPY>`El73k)=4o-*L!gJuik{%Z6bo^F7c++QgccuC~L-sl{QYe1|ag@9|ciVa9!UNE>X#xvMhB-oUoy zv}-+tklzsJ?yh$-E9|kRW&>!p&3EwnGkVgODcOM2?MAlBPPnor5)P9lHFqx!guZBf zitT+=;^rC^+^EUQi0JT;0C^h2?1OQ}wWa@4f63){}$^(F8wm&=lD^aM?;ZbVM_apjull;|?8@b= zUIF%SGHJZ)G7t?hI&%4TfP?gTV=%^(t7Jh(8WmuWsyu zIi;|TSd29boN@e-KaU_)F3mv3^cxwAfbKwZ=x=&7sLrsypx7x(w34BM+W@&|^2aqz zoMg+0A&(9n>AJuZlY^wVd|S%ta0_w8L+4Ab!f}RE|BKxJvjhi-H9F zb=SuSZnQs5mZ^ll%aK{re~K4{ZlV z?7OY@UUMa3#O`vr@fCtA&nphjMvat0pS*t=W0qg&0mG&uP$EHC0u9$vnO?bxy7UFjx#R zht<-D3A0$~6@`#pXh*6rpk{GEx24w!EqA3^ksHy&BQcN${2OL1XZcITNN`ukLc^C6 zCn}vu(7Ob3m)n@B@DIoMy&Tyo56!V_&AquNQ}TK_mS4UfnxjGQQIs9$6-Eis!i&tbmu{PpyyOb?m=kc0~h}pU8#~ z+c5lJ#vQ~>0&3fYd>@7l2t_{GB9^jGwUEW9{&BT#PreOx+Fd>Qk`KF>y9ukIBu3I9 z5R}`T@KTQQMeEoe(K;zl{&1~gbVVqiIWEX0cKQk6^9}*3LyQjo=*1y~zPP)X>u>`i z_zyu`5u$n?O>=yY2@ev8MzIf!kgrNX?N1O(>C-sS@(hgv8q_!hXF-QX3b}|;aO6=Q zG-g^j70i*dE%>s$U2w1v!HE)IkQlHBCzyqBD1*8nsmd~3@+FQYqAVG@wtzIIXEvZi zFtRw+4PCsIPiVJ4wnA!PXpeFsWX6l$4OxMPw3lMc=O%YIUOwypb&_t*icAjzh` zu4OMG@@Nub{^o1cV{0{=!eR1a@b$#?p;;rWk~#LuT6=ZGI|J(qS;J~5JGWm4zhN)w zUE{3?N4zVC)z)NL;0C!8WG%7?*@-Nbnuu9Yl1!D|fNnnzn*5jJ1?d)`?1t?>n4}z+ z3OW%u2s0!ZLb994f`wuWJvN58FIJ zKqZ(+QJIaz#R($gOHieV3CIi?4BVtX&V;uiU@Fp0QS&5SalbHDiS1-~+I#A_pp( zrfMH(sR9EG{NX9aXA^XWU}+qAjLHYq)lqnJQl)t0of44wOw6n!pMItbNN0?3{3Qmu z2Z7^HM*|C0;+a=M=%SK9zgYB0Bopc59klu#ubJ<*ut9HJIG~x0vjBw0V)|f$wj0>Q*tv%QUKJxvUKtlF8yxdAGtX8b zZ$w^5K^80B;-0f}KN>S`E1MtOdgKZ1wHL;0jT%hqO+tt}%D@d3KD=yT>@vvy+_5*1 z+tT5CeVht2oA3)ILcJvTC-b%?dFf~)V@fX582!yBG)-yaum9o~7*`z}6( zao9qK0B5(?`QE?r{W}WY|KEG=k=zAuc(#7OXRSjldhXGvCuY{gtU-^=jJ1e@_rCkU zd+c$872vt;O~5>1EBj?vFRG9ypNhzz^w^Z5cL9>i&e?&vQ#OVgB=$fI-M(il9%h2h zjLFPbWjZxG z>9KJ$Ul=C}n(rC3m&NeB?_t+fF8_z4-Q@ks-&o2c!@j@GMcAimB`N*LH=L_lE^?go z4RvfD8$-`~oR^JBmk)LUn#LEl#(*(Q!;b;ay4QWtf)CMr@mBtV!#5B(_x^=P9`U@k z`u11@7ADX-v?qgm`X1)C~7vUNy*%ln;&*ym;I@cV|Z zJ-i2*kHNe$q)V+ylc*G;sF3YOKIT8N`PX(one-h_Z$-H31ODw4jK!b)w^Ehx0NFYW zH`oI~B8+L)FtUh0?}0`(u_88PNBTjFq2g|j4k$?9e(Zu*-(WwG_4txowRbl5uywFj z9j%9Rjgf`-QS#6~70E6*#1_=`VPv82jvFm~%4cp(99azfaHpC6hw*idBcFSMeW&!2 zgHdfOjrlPN(ZViCr$NE9G=$jot615fj|P3f25yKWfKPBo7XOIL9=tViWN~{sq>$cH zNaD!i|2;qOZ{MMjMeJgBr*-$y22GAEjG-x&_p>2#WHGhk%hWoQrN@Mlu0|FG$&p3e z*j@eJ?2)YlP<9W0ym3WO7nJF*_dR#l?hh5J@v*y?A2{-@_1A6x*?~>_{uA)70~@w3 zAdJ{=0SWiXD|f9s@cO<>$M0SwK~-NJ5476Yt@#8U`{#Ec1Sq?}&3*CM?xzUEmQY@S zR*Rob5UhXt`xhX4LE}FbxJCS}_18ZD$lLvg!M$Mhn}5FLc$r^tT-noPl5-ml99g)N zR5&v44j=UX^}Zu_J+SWFhA+K)*Q*!Zv+ms^x30hF=u<75_Pq_JPc$6(Gob^o+q>Y_ z_0SBN|Hqy8J-qPEqtD&7^OoH!2(9+|-79|c`~Ns{#{(M{0$cdb7k~NN57#XC#*we@ zT5;f-7b%zj@gy?;+V~g2{f)ynLdfs;&;2E3=Z*il>kqe7Uvl87Z#-+n)~)`^-!Hvm z*Sf2o{10#+`yCYg*81r;tOMBbg1dH)xq;sd^5aV{IdJ4Vzq{*-G0^)UWG{SzxDn|& zZte+jin}eHT~KNF&u(}Ih9n^`9{J`+cfG#u?;zt0nkr5Ht`K}(@>Ne9IC$4<7yY>x z3clDeJ5ROB?jn~i%C7g{VhEVvI!Rnnqw>VKqNfAI)|BX}Cqg(q6PjdJk&S?Diytid zK~G!bwFG%vCCTRi>{ZBOkO-&M#&>~)n@QYEX2-S}Fk>A<i|>B%SGsJ+(arMNlz`|&w3%NRIoUi6f8L*qHKyQL}f?WTQI+IFUek-DHZf<&>NdQ ziLBzvJWUUkMUdphC27L)tx|e_H=Kc;nNmRJv!O`}adX|MymuohSYuQMXAdRW_P13o zGq4MlQB(X~aHslxm3zvIh1aNT$Y6PX!ztDpLZ4>VVkjVr(#Wu8=>8mNGGn$sW3&a4 zMrdp?*&BGj5rgc>{F$rxBvSx1n5XEIAS4n2S+jDWpdkjwZL$fG&{ERmc=bE8lTGYa zl-&|pIw;F-h4?D@WXg4A0}_EIObfPgyP9MfWbetY5a?Z8nM2&giN%m0q!U?{d##3kDB?2mjQUzY-!a=Fhfh>lt(oRr1jDUR& zUo~N0sj@T~Wk+g~SxwM!y)I(lRpGX{O&llHoDdh12J+=R4_AFoI1Sm+CA!rBQDZxR z3G_D_GV|8}OE?ecR`pHDr8yUs&9Os8nPnDmwJJhITI4|w0Q7?O2B7>LOrJ*x60e9g z=CUx!b|4F~Ap~Typk`ySHv)?Ssu#QAWZx`Imbul>XTV}jtTqh5EQ=#$yoU}2Sq_9S z=4iDLl2r{Me<%$w&BFt;tQtI^kS%QjO zRd$D5!m#wr|J}vWWXW&_EWl-&@5`f{2SQ9o$wZ0rh(SjUEA{l-F5q<^4uX1jIDVtc zA>7}DAIv%9e`+^V&-Cc^D)9E)4il`QlCZN{gHa!(kd{2$Ic`Q32xsco?P{!D^$pM$(Wt2wMzx zvF->uXATi?n?on`#t5eySfv93?tqXyj;PbXut$zo3qFH&3{r9;Q6!$oIG0C99x1&} zLgX7_?&ZWEG7fj&wy@X;P1OL>i^-32tMAZH z_?%^E;d+AlN>HXB!z8s_le4J`ogxHvr$ED1&kdy_p8M-3g@)Ap15wf!>Lw z;YCn$TZ&;N>7CSaf0_i!4~7Ack}EW{`73bx=270eS0N zFjb{29dd3acCty0w0A(7DjY&u;ZF7j8F;_&L~xb3Cv^}qA&@Afkc7B}Mo9|E9V!gX zxElUctB_f7A>=!xNZ*0D(d`G35(%jZU*5`HRF_be-D{#0Of4!+;BHHjEC}_*z`+Tv zgO223Ytos?OWCMsak5MjWDWb=6(v>(JoX?fBwSxM z31Jb<`K&pE9Q&`)6FjPE6p@2}&vb4zUqHT^G=K){84X^LXUJTJ;1>rVo0+Jcl=&H~ zkwv)%*_qUQfn0BE;Vh)=)vb z(>sJR&n8(vaGZ<~Re5Quia>-j`;lv{>MExJnL|GbA%&&5H^mkZlIfbtwMna~;x_5R z-kG?q3o=ZFCzP2OE3_FlB--pP$rFK747M3kNNotsL;|-gG&QV>gB?d|X%L2uF(UkU zk1I-+9^beHyHl`hy~*t2rt?(m&?849$#fDHph}n!=vx2;^7wLOEJ|*-8{CMXQ-=;< zZoNq7icB{%HrzdvCoaDm$BzI-I;heeCpz7gAWYjq#BPJb>5?Z(M;Y{BU29Z|xz$hv zc|tq8=)v}w%u1PUygn>7!9bQY8AP#L4#d3;s@Z-PR zz;!l`ROcxo$~fa`0$WltqENO>3}pmGgAhW$GMG9xf8u`aFK9N8DuYE_^?K<;72i~?KYu}7%`BUDOaJp+B`r5q4ZNCKf zC;mTsZv$9Gaqf-J?Ae4(IKbu*@DQ=ilEj;6^(Mi#6nSAzi1Y-h9wTZ>QO^OTpaA5Qw&kd)Mdb>$T6?RA^y>u`N=sX<1kV5W%e>YC?>sudK zyL$c9uaogNwtG;$0QS9h>o*_0bp2M6?c@DsX4zXd6~n;B_a)!jPsojfSlOF=X+}Ni zZTyX%f)Dob$;m3%%dr_kI$HjqTZbrpK-%cg_pl!*&@ANl2WGYeJJv1n3hfHL>`1?|{K|`fycOYa!eMK55o5)AEO7gk23oc@S{rfPJ5RObYa@kb zkC57E%r1zY@6^`b(C)5XoM`E&$Qnbi`qsNtlN%b~RDu|e6q4~~ zy~DBOy$LHP%D)1juddwM9(OudEvAL+^HuiPg6&V~_5PuWm{CnPWfSI6SgAfe`D1 zU_o@qS+25TqO20J>;zU1ijIJ#GIWNC`gmrPD~X5|S}9Q!nnYM>953M2oWwhYRp}74 z-V0u{^(W2Ve%s(-tbh3l{gkk_G@l70Oj;EdVQ;-*02EhZ*UT-Wa5$Hlc&{NMSZTe3 zLkuYlCSxosid`v1P_TML;oc(|2GuxwLvyuc(T|{wmlR3GxFHvZaEbD$daFA14+bHU zW6yTJZbT@v`VxV`%v6 z>QM}PbuqY1gTWdGRym3B=4j$P$*4~-qsJ|@GdwumC4y6y^;EkPC+@a!Ou5;4bEzU% z5jS_31uGkE4%t`@*A6q81qJVou`KIq%kUhI8^HH@P6CUqZ0d(2sWpi zY}=RnvQ5T$Hs(w--X?lpbaXL^_XJ20#>bg7^$x0yHwK6@ zGmg#i6(n|M#i(;6b0ljtx$*#VS$<67A^^( z0h5GqY#zJVY`p@S-!iK@H0dYOm`mIf@Sf!wQ(zJ^CZUytf~GddgS?G~NkJom4I9}= zrE`Hs!hIa(7;G;&g=aA)L{Fg=m1%P#W}_2i2f;0+y$gJdOKfr)@p1!+#+I zhma@u@}XrURrzKvZRoAr)ky0nkv4QcSWx?`ylX2 zkIRqGebQ&mm)msfa3$u92Shj>CesS)ryYVd)8BKvrP4QJlK!${v$Ar0trCr+b zLOEu}0ZZFcIzA>Z$Y})Ms|Hf=+J0cL6)$f`Sw5&`e+TlrVQG=&sgyj{iuW{`+nwbS zjhhR(TJQZ=K>pI-&97eCv19#LH(t7@;-x)jY`y)hs|v1Nd^iJQc*@JORsyQ`446X@ z!&sU=jhlNs1-E*ob1S$nPis<3tKpLe|90!fgO6g}|8HQC{4?-`93$)mT66T9E;`j!F>=u!JoHW|5pc={eOCqJ??%* zeChFg(vSOvA#?jEyO(%4nlvH;=o-z9{x<>Y`k$;x+_7k~+)WglfFr{av$eBX2+On< zvuJ6t>*ATk8oLbS*P<-6A-W_Ij^5y`!TUb&Ng5!R=A!A(b>r__Xq9*-lE8a20qz2y zj)XK|SyF43p3pumC2wJgSvM1iuCg^OONA9(l1JvOSrdwV->rN+T6t#p*^4!79bWPW z0B?=m+3;eFuzU)UH#ON_9n@r4c>}EUjMq!odSp*;j|`JEfSv~$%w4zKS@Zo6 zM&&iNvNCizU;r!`iKLCkvb#RSu*0HGv1y8Oca3eko8arpvEexhJ>sXkfiU~DY|mAm zf{Ek#yMr`&KkjnKCai+KvX6(!yQvp9O&Iw;=_}+k-Nl|`N3I$kS)6p_bqW_EtVLW+ z(XB=E2yB6jvuyVcj@b8HmfIF$%?i-cYyo(Z_(RH!Hif2~=*Ht#7;XDW$La{M*$xV` z5moCTJAHO&zhni8Vurv5NiR1;wKzC%nDIQ8=j5+zzYw@_AMQC+zzoXcdr<;0#&Cx z9Nh~fTu(?-6P|}u)qsp3p*-Qb7dxt|B2SGr@}gBZC7rXv4$R5oD_2v8h(|E9jBstD z5Pyzx_fn4ypWroxOb_m*9vgaN#rj!iQf-~WkR1h1*=e#pA?U?Z3S>1pl-HcinytzL zdaHx3pw6~Q3-S8*?FkMcJn8~^%6JMwBrs-q4#bslp{ETMUjBt_3Ms5A%0%EKzRAFr zj*v(b%tz8LYoJXJc|dMDEf_wT%n%~d=Fl(B^cQkMWV%`k1mkwl6LNPE@&c73PQW=W zBxD(an`QK}hQmw;SqY{T)}5p{VdfMo8$nWpjHmfSC`5T)1X&*b)JR=xLHz>Uj11?f6p z6GS^miZ;GEv`gZV6m3oq`88{JWI^8iuSqmN&sjdBCxkSyj{-JzuxsPC!ILK@?Mjc$1dqRKubJ!7P@`cxB?X`KO|maR zAA2_FdshgeP$Mg8hvf<2fZ$nXkv>)mBqgyS7RfBMmDsO`vuz{J@EMvG!UTx^{iXN* zn{E{8Wk_s3*mRbT9fnbMPYq}mlfr+|6T`32eDP4wQ}<0CI#CPx z;=msCU}+C_>%BFd<+c0q%mPB1)^!)dwTrb+{<8W2dH7N`5^59_dWra3k%g&FA4>#HMirwG)Ns3TJOee$kqxbHdqO+ zpf_d`^4yg`;ag-(i7o~paYDFFONg7ynNqTd6N6+1L15k%_AZuCinMtO+aM`LF*~wIT6khHvlT-q znjF~WjUH6Yf8$ev2`^KcDiAZ7iOGQOR7M8@*?sKo$V2)!8AEOs$J;@~;EfKnd&s5* zR~IEL;RMVI+0EiHX~ykAKty$dK) z94e|0q$F+oB%zP-bce0#bLKQ39{u5P>@f6J{`gJq3H8BC9D=+xn<~!gbn&X>IicpL z!&_$W6=pX2gz>Et)Ob5re!Oq&K(dwY;Gx%rUJpmFF9_GhpECf0o;nP2GOj zS_l~6CA@K^(-XxYxB;i9AjnMyxS{hRBDXg;!%a;(qzIEa5Hf*LmWg6*<@iau7{HAO zLH9OW35TsrIK&C?T|o6hlZAW(u23V&;1>Z@<4|qgA`z}mwEwbsZ{cYuVp5R)PB18g z77IOYdLd&`MUU|$q#(0a{j#SlGs!R>oI}X+eYovE{mbyI;5WD9@=k-X7{^1|5AzHdi)9683mh^V@+(scaeqkBnF=~gwQ$HGcKQ#j0>&2i!D5% zXvT1mgf1S5A_S8O#S}h6B7(sJCjI7fyh@>ae4FJWPk2aZZaV2D&4=AM0uDUGpcOn_ zk4NH^BqsWf0m;kD+drYLIw7v(F$u;pgpirXO=OEaF2^aKH2rlYKj3UmSY*4@9pYj2n&}J`l$qM`)^kG8>UPW_ED^v+;tCi+(hB#fNzrYQ>=PV`FUwHs^dyI-pNb;a7V5u1WY zW;b?C3y`aPArB`DQX6Nd7LOcr^v0tdkX=}2rj9(k8$f*rpX_4Mon0s8lT*RjGwvs2 zDN$RclC(Eyg7Gr}8R)rcP_9!xvGM;7w+^T5#32c=S?cb|%uH5{NTRm>j1{~+Z5o@A zdbsNkEcM#cM{!5Jz}|_C`OEz2JCoKnK+=ZwJ%UNIyHbBc>o6xEZf2kCy5OI_MA;Tn zmH*>i$8R0VQb#cB_VlrbQKNJU&Hxx~61!H4?G1f<;VHKczxip`0uK(;ZynkmqSoQ* zuKbxtv4{fd@t^T3HCh6+NUx2-%hG|aGc;80pLOd{g4Q7qBZ00&7p#Oa9`bLAZ#k?C zfP2g#=nbufCO@6(3UnFBPLrRSmYnhcxvFUA)*JSeePjCmv!A_r#?e{l5vXr?9W;Ss zJy#hpzAPC|Z49T@-8-gh;j!AgQh!{P96jgArfZU)oP6-^F7}(rT_@#}Q)nHeZU#{# zI{Bal>BD}%Fn*@?Nxw6vmGICQ-8vjUkU6b64hu`wcd?%ysu+RVntM;+Yi!0Bl4sq{ z9syqbDt=9up`OfrqAfV0Y{nmw=00@$Md^D4vBTNP;PQZ2p5)UmsMmL605kePojrc* zz}|a@J;Y|F%2dAReKTkIv$}OKQ*76a#9 zjNLI0e4A|poNXM-J6w5|3^cQgZE79^F z$x7Wic&NCDu>yp+OaEu2o}8Av@CkC&OFOr&ef_gnFMIRszuq+CX!Ut-s?=h(js=dr z&2RTyHEPE1t4n23>YrF@-OMrjzH#)%%Tj-ulVo#_v|W?rw;rrdv6)YItvD&4l#$&h zl_cVYo(sJ(RL^nWy_o<>-oXMh-lyFAUUuTg-k{|hcMZo5C+@RTnDHY_c#L`Aqn2xrOYJ(0kYcwC>@W`OMm!%VpE%~o zOTlDlIb(l8>+qxW$inJ7vY-LSLx*xRMivj!$O6L({2%R&ES`9v@=CT7Ba7V|Ke24z z*?;>N8dAN+|)l|Wbyo{uibcTtsYredSo$Hw+_uS zNVZNx^U2A#4yyOaVpY=1UU@%#w=n*aF822y^vL4uvvlj=p}zJ7;_LzW8~})OXegw^ z+#XEofz*M&!Odsi3yj!3KYXl2%g+cONgJF*ObtUrC@$aDy~2N0};hk6sBV@L0~574n^PTw;adg@p2+x*Xqb|3rJ zV+1ri`V02~W%sMgc0acKzWqZ(lzng6zv_DOr6V^#|LB1|Z$B}8PwFxN|4xVATMoPz z-#Ypigqus)w#y&+$&qFM0tF8oiGOkQ)$2E_eHY={#R7W&@*^w8p8v!fZ%%*xuMh%f z+;{eh14mLvzYCPzH*Y=i z`rOt_fzeyD{}O0&N#XvBmc5kbMozE%?lT|%&&NKq?EqwJD>ER5dmMYyksBaw%K>Dv z3;?-MP;=kp7x61Z-f|t#ftUT~&EMK`;E5ZyUc2Y9w_n_UxadhDKLPUkM{j!KOOIds zpO1a|7yG|DXXp1myYY9Ae0=xK>;L-pt6$!F?bVOG{fqs}rtjWz$)g7jPv5-^xW!ub zC#as>uEBTH6(U2y6S!SJMw<0S@Ezdh_EC19`&kOVa%<)7fs zD8cC_9P#Op+2{t~c1z>9q8QwI;US!2Zij#*t*b}r0I=0{m53JPg-M8%6*#N8 zUGpD-%xY`dREWf#S(bN*s9i;5a2EjE_Uj@Eyk84Uv`Lj>yCId zln2OLW|inHwI+D(QVJw1+XQGZ%qhCV1QPDY|AWlATWpu|Ok@d^-B7k09AQLT zrERv8Ip51tP|ynDeJIsl>-$7!nSeAHUqxBu*}?j@s0k0=eIW@k)f|qq`05J^nzA*& zz65L)W{XK=y8^C@R*}C&gu#Q#a?n`dNY;?EX9rFv0^ z^Z0?ZzBfsQCg+6Q%zDCne{V;Y>~fTjF3TNE7g{7g7i4_m5yb*nMh;xXjO@Dw&zsXq z3X1LEw%f_yHIS`D(9d$LMR3N1$(uExCQvXwfP#bQeH^kbOO-gsYaQ@BdQikod{B$! zGonD%akvK8F>yT1Jw%`6`W`H9h9mQpgC8ba0Cgsr#)ySSUDGQDOg6&b1|cGe5|Ht> zK)BLFW(ABI$c2QP3vP~!JWMkLz^lL=USO+1N+;+SnhCuvHpO%tAhkjWK$wP9mcZ~r zg&;t!$_)UI5)iV6fRhZgP51#8malgi6da`$G)sYli$}FkkX7cbVR*5j(!Po}wp$Xo zl^qTz5Uf>ZGBJ|OVSufx27p#^J)!J=ALis#witF(X)x)_W-y-Kpa7tjhaN^3K`5kg z^=+MHM*^A<-Y+ARU60$YF-XDfH3UpmSW9F((TpsO4y|_!%qjhPEaU>54?_A@`@vyp z+cUT!#J@=zc`Z;6$GgxZI-6draKdrCDB1}4tx$yxEWrpsu?T*vS=voOx4ndgYw`ik z#iO4ODUpS*$ZQ2orD23ZC?r%L0Dp0(WLCZlpM)#>;pP(A)1-Z{+cmDC{37ruN$s(Wv+9XZ&EVfu-Bz|@RJAgO1n>Avw3y+VyT?w!~BlD0YO zVSRv23+?!?>}0&HpolzafZ644QQo=H#7CG?w582ZQnxH-GOn-3J4NySaz08zB_5@M zNhe%5styD{36oA#F{_?QJyF_iKbnv9HCn~=1@yHy`~snPoeI(d={K+y9=t#av^XYh z73qZp7C#Bl6i%mo02%6Pa~`I?EEjPJb8$0S_#y(~3!<1x*kPqZ3TU#1 zsbc8m$5T3X5J3p>9BbsjqtcpWQb$ghylmBZRwZs+2!U+!plBWm@|_}R5NL3D=H1XS zh-16SiA-+NdjlYbVGe+OvjI?Q685DRm*nf1CysSJnj9t6uaRYgB!E&*fs<7Pg_zg? zg_sgBCqyw1>L6rgQP40*5qk)}G3ediR}-(~wGaTSYJU$R#3y?`nf^y=9lCU!)(88O zya%nIGZg^>N&GI!E2?>-AZWp>I2PqxEHzT&il5Mz0>2}iGBm^C0hB<`t3{83oY0fD5 z#vbmVY*tgC$vL=#O{+&p9Ct7x13@<%xN9Zu1e}eJ4wNZJ3A*38$_g&{rNIEmm9S!; zQSGYST2R)>R2Fh3&8V^wI&hCBEofqN>kuO=bF_kd)Iz}0n&qRS+8p7L6<0LifUBSh znUj!4zKIqUvT;vxi$#q}m$wy42vKXf_8>WyxP{=0rDF9>gdI*-lO|{+GBhD_0Z~|vB1+&`=C+gGq)C!AA=&UCW%*Qwf|;&5O=QYKev}%A)Vmo$hs@X? zcvlTf9;P1G1HFS)PP{t4M*Qtxr~F@vJ&_E31sE*`bXH9wGR2IB$rOIl7h=~-Qj^n1 z-fu>{*|UqA1WEQt?R`z=k)#XJ?c^u+7DnoSA<(BbvTp(t=SI}^uxoSUHihIxT62l6uj+fz`J^= zuNMH`^%)H0Z}#$?|Lq{-@5l`#o@Y zBj9w$VHK4(A$y{y_XV3^C9-TJb4Zi>q4)lZ@BV)C(v7o7lNH4Mk+~0^j|JP8Jg{ja zR>Fr*u!4$b&Uu-7Q$5kMr& zeYqfV=(O--Erm)5XvYz8i$`*L0T-5R8xl!d3Ue zRa^gU?WJ1^NE08Bcr{$r@yz2J*8cPr;=b)P;{Enh@c6RZszy-j@NSg8{cLpT1JYrX z-Ashj)9#Ziu<&YxfMx;F#zn_Dp)CFVu?LV_i(=@w)4}>BD2COc+|sMY&(Gz>vX&RR zYaROjcpOgI(aZ6PZ$bmcq0l&SuUZwFcS%hHll=Ta%M!)w`bE4lG)?}Z6+#@!vQb-U z54Gf1g~HpfvZrBr*{H;ND~&LzY3#T)!HTKXSF!ogQoy^$Kh|NEI&I@a7dEUg9=>E& zO}H{q46@%|RT?Ic zjCZ1+L3SR>uDyyDv#FdHhFmgdO=Bs?5-<6U>srFri{ryU$*Ywj;<}uhn?g2Zhik72 zg=23xk=iAtYsSUnZbvA8jcZSc&c-sZ3!Mp6I;WxJi`yUsg$Bn0G`PkHSEJ;iU^ZU8zk6off)NuitNBnTZC-@wm{UNwFq2fi{sk53n|UZWO1vEt-dt)M3x#%ot; z*{4JAwDI;??@;UT=MOJf8P179O)q#BOh^`EV^um7ho-^q^CG?(ul|o#OM+)0nlA>p&Phr!oNSg4zzfjFC zVqDN;1SC>lLPzdAg`6ZSH8^Dy!)^mOadriIySVsyMTC!Z8_d-&?=M3Z(uiDU;`O8S)C*!@^Z)$OtWAD1P+$0Ucx8%?$uD*Oe4~!)KlpY8C``|usB_4Sk7b|XFztj+_j>3+A(lh zf{G5yM`mRZQmdMyPdd^*nUbeYixjl3Bsg6mZH-o|tIrBE6pqZDW!3I&k1(gOvk|Xz zG=&}&CKiLAtE_nm+$*n19YRp{CAWD_+_{BZrSAr4LRo5mdz$pQNzmXWX|{B zM-x~%uqG!@wqLnSw+^QXDO94FibSyCx)1wcus{F*F1rQ(L1&7M^jt;#C%FoJT}-lU z4K%AgIEjt60cqcC$9AFXA;;Q$6m_EDM$6(AS|Wljg=b|mym3>7T`gpQVrZr;S>$4D zh&#+WPXU<~N}w2!o0)};ZP!S~1Sv=Wxt0+y=LXT!jf*{njyuAvJiG-+dS`zGPxKw= zT}uig5GWks3fqJ^+|yebvYHe$eJj!3l9k+uAOn+)D#FPkJ;T^SWDjL`S4tp6NoEnJrErHdV~0+ljw$+44sB81l2fdz=uR0hp>Clvb)Q0w^m3Oz ziB1iAarb4JJCY7ik+F@_u1;vT48s(RVZ8!{+YnjWah&<5T)~YPFd&eRVTioqbD3Zz zUWTotNe*5GVyw-O3`u#q3EEF5!?MFfwk1(YrGO}{v~El6N*hNK=OB~FjI9YVDGa(q zK}|~eicW)qaR?6UaT`JlEe3BO0_B*fxJf}i$)t;huEm|Jg^`7lu+-yN&l;w&c2^*5}NV|so5TUXL*;c40TfqQPZ?1gD^H;$`^hr0PQ zfTdmi=wyv3+s)lAB+adnaPMEbxpr{|i0#^;m;BZ~3gT%&w~)ECu=-!{r_q5gnz;Y! ziiu>-zTa$oVJ*n(x4yi3-BkJ3)l;xkKNeE`J`tx{0#1%LW9me z$}YHpvU`;DPCSYw^gQ08=reruboe>n*ZQcQ@hB6uyQpSPuxBJCUV**2L~n( z!hIaFkFwivm2MqqY<7IqtW2OTnlm2e?hRfxG@n?Qcy?W0{L;$ME`Y&myfjQtlMvMn zUY1W_u&g@4Ily2$JP=#L)eCR0)Qi!2Sz^43#~7Wf0o7}SVp#b-Q@vAYb{e_ueT&$38$#hZEL2v#MC9~v+wQvYz^MXaZKN3My?!j{78iCj zg<__QiTKJI`}TwbO$uG*@b5HL*c+Qd^P{s`wI+2>0!z5mS@YP79C||&_$ASfzl8s+ z#a>C|O8jXd@E{p)+bx^A$-oA*`uhmcAKkq9yw-nPe<^N%+R9g6AzQwN{DpGsJ& zSVas+<@(VlF6x_mIwPHGY#zB(uIf;a292GMru}VvLF|b#ItSVlqU+lrFkMuH7 zqbE9y*PkWrmQdb#-OP=r z1in)drXqT-zzj>8TW3~BWJ|AP&vM#?Wtw3)!)o#6VwCU6Cbl6b^LSTyCFpTrx~7}g z+ks<{+87?47!T8~=b94#SDQ{`ouvQ!0smAi(yarv#)GyagETM0>;k(UvaMN@U{3p#XdG5XG`E)}Fl->(B3HdZS$ZhD zUhIJP5;(!>f{c)&B8=CQiF=AgXtiG!cv4WVg^+VW#-Ho%^@V7?iCm`1i4r&4>-M9U zc5_phg;n<9!9IAuzLm%_T{>FG0!KkeBWZ%t!E*id7K7VK+(zCi(m7ifFJs1OC=0z`HU^`vg^-5|HSvpfasAe*XBa{}&9YXXucll=C9 z$uNE(?)M?vpYXoI61vY()D(H`vDXF*B6v;dFuAASK2@L4tTiP?zZJSKhriK<;1Ee+ z2a{>!aa|1dq)9RtbKZ0eqSR#U2oQQoCv0#l8#4NkAq_&}eaLCqMqh3}%M&GIA~ZkC zlWm&{nWOrISPQ!ZibzxF4p5;(WJZ z8(>zAK|Q{&w=@-JF0O-YGFSTq&j4tCR%x=uf=c>i&4LTSttlS4jQBimL^eo>e6ST- zW;4CgJW0PJ(#@?b&21T6X>M%#_ynvTx1e)ONeIMjt54<#`^Yp!=O!7%ivO@ykSfXr zvvm)>Cmy$`K%+v?)U8rD`67O~VVQ`gxImk4LiQxc9ziD|1fCJt0~c+`C*7I|+E=vc zMJB?@klB&X`XdW1VR-Xlc|dZ?$yC@JH%Y&oO(`<@P|f-#DNz9+*6e#?pksPmfhqda zlDO<8M$!XEuW}Myw+Hx@43Au;uN^+hu$iFh4?W-soWy?vY;*(pdV$iD^nvzSKLZW# zYlb4t+@iM-l1I0L0M@o9cpFJS^|Zk|MhZXRHlyT-{1{O=p0J!+(k5hx@Qyc-Tq7>J zPiQiN14@G3fvut)P3E5Y0z@K9{o8jlFtsn&DIX_(pj(H(==sZ6XqI+hgwtViH+t%6 zanZUz`E&5Hj8^U?DkeAzDsf{vZM5%b(sI&**#i^lNC+i7qU-qTz3+AS|7cbp-sYm|ZDVT$&1V7>%|IJg-1B1O43Qpem+(VPw z53Zm3&T}y5E#SoNC-Tz!fIo{zFkk%h!S&}}_tqO=|bzxy__C5 z0PlAzk*|S}+CLobAla|4pH48qz6bwaKlu337x5^AaEgiiK>sWU0N>U17%`TX0=ygip7wb%Luf34cx~#z|D z_XKxM=yACS?*=A{d${c(mCo1+nCPDu{hTom4^=iq_hJ$rnn1SsSZG%?yES%6!fA=# zl7}&Np~?<@GJD<6Saqdg=Pb_pCcY6d8!-2#h~u@^ecc(v5c_EC15@QK8%5N1D0AqZM5aT?dP!m$Op03PWp;$D))zN(bG*$(30xX}&Y^WkZP%NKL7@l3&Lz4Id z5u&o_&>whs<|K(#iz3emeYT7k`6Rp)DTCG=yoHG~ahze~afNqL^YJYCcwUkXjh(a}J?yONN0?FpjEw&b!& zcm*P`A$PB%Le5@%-2fq&W&46*M;E~O1aGSPBSMN^h_V}?q?-w+OZ_|Gbhi^34rnqa zQqNcSMQ!}{=mN)`wZvf!c{zw;Z zHOsV+1j4MI&bAKRh)LepRTci-0Kb6Sy4Y!If;Lo^c)Sx`U`!;vmAYclf;po%I!-Qf&n}~~$ z1Z^bfbOmi31yY3KNsfgj%OkG81X`tERhNWJ$|_s!w6Bd6ZAxo^Gdef@E#9Z?OoGJqgKXWZl8*Gcn8Z&Oj3te!5GCz?IBDMB zsCD?$hm+ZGF7N;fn5O{z_QZ(8N3*_l=9>G| z#a4MjcFaya4f3bDrh)s+ZHI0@{KieoJ?t@$J0tg#*_F7r^LcTMIkvgB&Q{Qy8Tjr} zlbwmCh?#1iT`xm{WF>GpraO4eRMfg4ySLb@lA*wk%E`w!Xda)?;JdL0i&=#%@NZ z1miCWWjD}OHbx_3f}nvu@v9S|>>@YfPL`$M6Us6JWj9Su0e|-Ix(=N&bNkpNyJlqD zO|nGe6#phgxVd+H3xLGNEB8>V|SLP%n{P7~)Iv6K$>F^~d>j8c%Gj~>uNWH{A(uPWmYPme=$)X$NCEArS zljZSom;Jfy4L0_jr(d({U-LMCD4V<{$tM#SEMkWdhXQ9Ox1XIl^uPA0vwxfXJJcti zuip=_bb(fjbQ$im>B%XGVp2tz&u2cF_J))Qw$@XOjpvpn8TdlC-W1sjf4QeU|Qb8N6&{+w!EHnjsD|km1_d*Zt|T zL!&0o0K{-nY7E=4u65qqBRuZvf1zS{vn7=hDfSJG;M<+|N$=QIhNPjuC_H??j7ti$ zF(k{*56G#8jA?T6LY6FIY*!HPW(B0xK-|e3Q(l;b$rvIl3d%n08`K+OZTjYL>_7$f zVWjRsZQxeiMaR%O{QX2Wqb!J#MR)7K=LTM)Sn}^sF_wpBK9Awv}W_ERtEOHTw z?@o?QQR^TBDRT!OJLBE64_n*zsck!++SXY~ch-O@V`O2iS+?tOY8`53pzd~$EV>mO z@cO<)OD*5U#u{zMD!mom^vL3&zfAklvRzqoUVJAN8#5o5SpUG$It1V8TD4u}Nqh1R zcKFuWH|!pH>w$;fYa8+9V=!mTe?W)aHoA+X*oPSl4H|5GPi5w)M;0(1Lx@~GvcPZ% z{~w}}MF#nyw=h;Xx{<~T4+n35C%zoqUGsLb2We!%&%F*Ki=ZA^Zz$_ga!f7`* zP+$M>r_BI^y;gsU9e}%ne9M*eeHr3 ze|O->Cyv~H_9F*=y6?T|1RYBNxrA-|YJBTQ_G|&l?&5#b5Ud{RtA^9neQ?i+ zo8J4}kz=2J?4~^jj{Nu)kRiJ(mr!;S?_B^`+Mf{0?qehGJ@6{b`Nu5>Qn%ce`|5rB zUp?@vWqVdGy>Q1LuH6mIo;`9a5PY{B*nQwk=zaFRK&##T<7c-V_$s07K6>Ei5DMcT zdHdC6|MBDJ*Y7y;6#)HiIq=zicZ006{G|r=1jz4+xtB+jwiA#?x z+p+8}0Q-Io=Rw~72!xEe2eN%w+Nq-q|MG(^}-ZN&-6891n6;bgEk~II9Ex zteGXEV{NQ#ATp3}L0&=NyCl0#%CS|%jV#?Puwnf%rezPgh@BOruxqY_kTg_pMgCfc z3t+%zWxa^Ac_CO;hn3Hea}KL+g(j3U7N`Y4E|XK!2AHddK!0Ft%MCk41e+4bc%)li`9is(lsK;g1a6UuJAlLt)R5iTBl)cGj8V9WQd zga@a<_zG=hG*qP>3x7}KqPoTm1=~sX6;+KQCl0+)3{EwcjaK!J@4-Ak+*T>G0p=KG zFee(G9Ww7IaTseQZk&bQ7mH}FteA@|`J52AAzPPa5oC`oBI5z1Ok~2%HJ_y{%ghxh zhB+ZKY37LP3N{z!fLwvnDTI%TptlWI#dIMh@2#qz!r5#;O9(-Q*v{l6lx#gT3pSYd z15y|UtPtCAj2|MK4RCxKyP1ybL6&1Rc}@u2IGZL9$gS&%+PlDO9TegBU_A=F%N7OZ zj>GBLHE}#l{s4TUT1uM%!OAy6Hi0lX4g>m<%M=H>hGi2u8(?r6H`fIwuT>c{I52r* z+g}8%5+GO?fV+iL=`f9jg__D3k|W82O#@*AoBfK{~E9RQw~dqWP4 zkGaLtF|NpWgKlEO0=(@2g%(F^!vC=}%Ly*DcbLivKE*Vk7IAkLGRK-7YJ`yHO2XN~ za=qGvS`((TB-!La1A4OS>4!B7C`b@lLCLZKVu#mHq4#LTK#tXr-rJ#>1KD^7!t-Fo z+$NB#$yingkg=xmO-rJZ)i5GQXI~C-9C%eQr`?yG&XV#XGQQ3>444B=LaspR)G!4y zE`lbBD9Cw)ls6#(s4mssY~a;~Cc@du4x4bS70buuByXlyQ|?TVkjon(r1UCa@~VU~ zu*{t<`O17#;2qRiXs=m_Nk*kGGHUhwu0l?Hjbg9idNvWCYVBO-AdWY|SC{F>U-y zXczaBmvBQ2A<=tA(q^31_qoWPmP6_a!Rk3rN>5!AvI{h$q<0|9295*SCSZiLNrJ?m zd5^>kPoZ-9Y?HCdmX;hQh^!>Gn61QLk`D3kpY}0Jq3AP9BuN`i8-YJV@9ACag}tv( z;RSM{#2=Dj>7ydrS1OLCK!0A%awKfRl?`;FC()Jk>JlbinF4ATH6A(%X@HwfD~~Y1 z)>F~bG$4tA(?;mxYMUbLQ4LI9kJ*--Mo=*iP49@bNK9KcB+11j+hXV9GjdE%3i_NB z=SEngxWbE4T8P?J0;wMWt zFKA@Z$_$f%aC(}2Qpk&SyPaQ-pRo-7MW4_u+wb{|PP{*80qzw&2te!64F$k$uukf< zeqDw>p*V<(%r(^W)z~J;+tE8Y0l`4dC^Wo)1R;S!U@w}%Rn%kG5bCSsGJi+FMCS`3 z4Ft(Jv?8w1dPfa=mBU4_5>;9e=J zx_g!Fp8xeYs$zaQfboo$e3s?>;}sQa*i#M*l%ZD*Y)D##Z1asw+%Z#w)D!+J>nzc( zYQud5p)TwK@+ft2XhCsSfDCh>iFOrPSwbkgfnp1NEZE>kgYZ7#Z+M8ek?~BmBbX_u zrPv_~y&YZ_eX-kv+EvvHnA;B7Pl5YRx*PD6hHjF1cQ>JQyevJksbwQe=EqZs@FFa_ zfh^-x#0drN2~AYQ6gvP4RpKqECX|k-%!4N9fjfjCAsn-E^GL{<^YS$J0)X!}Cc+3Q z*18E<;>Btl0f;WNm_=40?@GI|yW|ra5>c3!aTm{`@X<%vZ6EmN?nNfYTC()7)H?jx zua+c0lEoBa;fGk@k74p@^a)`^yY|E6*c#&}-8G6rTGv1gLLjNDCB@(lYnz~pVR0Q* z%k1{ik>~=Du|^yTq57sv2a$yifa(6vC^Xb4efx+oE@CRKx%Hi3cttE3$jFi!mHs6k z@JgO8Yf5xih@ieFMKEFI=DWfa6w;#l)G;gy?Y5h;c{ciE)3Fw|AY4Yv^S=Y^w+nHD zfV-H9b5V(zdp=>rvNeS{P_Uq>)No3nAVP!YN?I$LTX7T1@0S9xd%>Z!Im|4j8{6*C z_asIZh^omaF4V^kT;)LwD@PK_uIte4R0eWe35;VK;?z1|WMM@a`S*H(u%5ECjPW85 zL$-+ZRDtU(pkje+C%hn3W2<(IT52SO+%P}JlxpH|q*3d(hoeB*RSqi(&$gR*OQH_4 z4VNR!T(kyEK!}NvTzD4KS;9&T2jOS}JBCk4lPp*XpFju;Bc@`iT~^wYcq0!>D|b8z zDS=gZ*W8jJZZsye@gT?1wjjXJ#=~lmCCuR@H0>&ntbKCwWca|U%=(%aJ3znT^dWcv z@t_og<)i!)I%q_f$l?g61&9^`?iR#W>dEl17&D&IkZ& zK@5&!$Un(sGwAtPu?DW*$pp#eGD(?hK|yFFR2x1x$tE?7vRrJHoZ@9n`k=_LrGnHK z7>?G`rQ)P3vS|FFhcP6aE|BIe*b1nK??JHVU#KeRq|Zuw3SK+0~rry54^bV}*(c9lx&gA*c`$4|o6OCA-LnGlc(4HOQ;iPW=^u*e<-|{)zjSK5!2w;|tdA^lFvIy>yed^541@1T5`? zq{%s+tI~DwHP4)fHg!PA^k2PvsSl@nW81f(;CD6^Bg?G?jhBv_y00#+;7sVfaN{%I zd%O`o=~a>_t!qV^x%CPm$pB1(KqjYZhZmZi+_9#WY0mCzrGKP`$P6lUdec_9H zlhZ!w!+m1pw%8AJ>k!2Us&1o$?_onj#agBBN^A5NuERo3z=MRcn{cYDZ*a`D097S_ zuY~u2vWsuB;lbk0J9#46&}yz)9|}fa4B1$-ytZ!dk`4~;RiP`f21v^#5Mn2kOzw3$ zR#Kta`B`pgT4Y|UjdkKPi$Mdn)~ustUVOmTghH09n~VbBxPt7|o&=&S=UVUVkhQ%M zA4x|rgofUa3deNEnmA=g#}`bT6S1OE$LY+%n(Oz3%J8N2*Q@8j_|oFqNW9o>++5hX zu5~TebjgJ#;poMswbo*1)t!suTJ~!AWL9>#dNKK=&279NU~%ICkUKssud=6DiSjzQ zOEsRq5Zo;;um({Fr;s_;l2W(vJUG?>AhB)d08Tf|92RvVZX<)MT5b3wY!SBY8^Gk1 ztfMi(8_fWKeIX>IOGkUqCGLJn0y?qv<$Bkg7kv@apONC(QC=2Y7037F^wipzUtKePn)OF9yRI(a{=_SJ zTHhYVqby4^OCGwP@_lK4_K(9Oiy?$sr;nAsFYcYZ@G%P!B!XRqPaiAlv4V;nRhU%& zKIW(~0q9-e1)y96PvT|tb;%0-;UPMHJvqG{-c(vGI%)u9m z0ui~`l>`SRiANH|O)|Z(TS+j|IH1Z3rgcpcC7@`V%?$kFRb@(L`12fu9nSD5z_#MJ zRN;`MMcYi;v9xd|G=xBWIpqa)w`#( zxpbFq9VA0T)W{fGoP1`W)rsLTa>5xVpMIa%&2VgllfNZ(wD30;`hdUXVnE&sr)_N! zQ%z^0s)eS^wo&O5&SzNpLX%^vjSywgVb#Vgz~vN20FZ08GXp|GJcL5KQnDx)8HEN| zT2>H^HESeXi|Zn$*nu19-fCqKn%qJqUq7^xm!ydeSlY043!&^fSg_86j%{k43((+# z>RN!L-<1eVnv>ev8a_;EOW~DqOt+<)&sHqhs!0%&gJ#MpLMIu&g`)O z354uQ!p#k6bDAvl)_G@*1sOt0u$BuW4|b9V$L9+Z##b?LJCVGPakJ5MU3W>opparO zBY*$Nbewuu!LgxF+LOrN7NHtn&mG)+WW1Wo02&;r(wMwxx*YeX0uj>KkJyuRmJHn7 za*8|5B=n|2D+pIz&aD3pCjZJxH6K-yG z5wozgoytR@B~7`nA+!cqg}BqqW)|nNzMSKZKR4MB%AYW@CR}S5Szosu9H3YWftj8WeIcun~jD~GD`lm+{N}y*}8S;2gFHeo@kk365B`w8xEvUra?Z3 z$*1NMT+OD$q!MOiCUyaN3s_kAJ10v1Hp}cnSsU!+W>XYhQGTcs{?0>BCQuBf5ix^B zUNLYpY)f44l@3=de3m(vqJhjp3%bTU5>f@S^te&3e4GNGd7&=i3?V})VuMqyfNY9D z@^?veZIMU=b0ZL9a5Fz&Saa`)#lcb)k=d1~KEW7s0jF!W+L)bZOiC3};BLbUlwZUY zf70Gn&5YcIRd{`ae+-pPo&!`%A*TFq$OgPHHhh!|M*uDx`z@%N+*nLXjKuxT2sam7 zBZiGhrUJbhLiDtrsam)s=Sf5vIe0Y0Ho)I>I>xwQktljw(wjkV5<(v2>|zN?obrX0 z6ppmm(i8@fy}Kb!=%qXv)7&O!6+0ZJf<@&;`LR$;akReeRwPcEjLTND-Kx-q+|DYG z&2HdEVT7Bmpe(aYGmDpwLh+agPLQuDL9T#L4YDL1$gD^FyxB;VkM<}!g7xavTr zl6O#j6cp@T@(@F^p?BJxbUhgonJpYKJhFfrKg1NGyf`WaFEHcA!N~FexrUv*@0HM9~2G zRON9O>g`ZAf|$LFnKs9=7{(On%qSU>He^VYMlH>>*a}m&Y31?U(YVVEmKVpxCdKpl z0ar>^V3383U5}d?w+LigWCdPS@CFP9Gw2HFji)40#6!e_`yCXAHm1VXz^#sj>YM3G z`qLu4CXC?%2@)sEl|Bn~4H`snAwbqiVe2hQqYncf*;YC`B4NNpWg+yS!W0z7dwS!X zA&er%&TV5xsVHa(Nh3$aZxEyh7IX<_u{_B{t7_&9tr3quuW!LaV%NCd5@@M7pJc;;1{kDElf+XJzPb9~G;WrESGNvV zVy1Q&W!Hx?O=FgRpguX{Hs9ZwV6ZQ}aK_a6U%k2lv*PFD&qJ&Ih6k$p8%(c1yY#3g zYoOWNUWS5cFj$c9^YlKK$i2%Fkh*|({d#ZmGd@}^trI^0h;2V1(55%gtcKI|gj_kB zmejxc7HF{&qzQuWf!8QfRDzIMudkaz6A0^GN5JV-)d!d&tOvUt*dawuQfm}`2-8(_c#_vxG+a62z1~V@YLsdl3f8Z)}Md$ zB2w_B7uMf)&HYz=^_r)T9QySB!)?#3y{PDgSElB_efQSa5?61%?vAA!=kKl9cxhwd z-;Mqje6nTg{LQysH2yX`bQ^QX#+&JgirT6Vzi!0XO_!5@Bzg_#JACU6Nl_gpEg6~+ETjcG-_eI_IC%TB}sv`3V+PfKC!A3g&k|SW3B-dC|gz z^_jZj&K>2&?y8!YJD$k+i#s%ob=SllOv2j;a?7FyA((LRJ>Ku7ed^p-F@B%NP{IlKSNDHKrqcZqI`Wo_op6 z^Yeqq^7}TPhXC?co8xEcl)KLmJ{`z2Lj|8+9I&cya_~wQj0e0Stdy0llw~eJa$U3B z>0A@SlZfw4g(mZ&PYMeQ@SCfgQg=Q1q&2si%vn-s;VFl!$_rQR0P^takcH_byE=l8 zo>1y;sc=ortt1Os5j-M^?uA^ZymJ)_tsyiKLTcyS1lft=4injG<6AexDnoE=vF9pH zKH;()gd0ji_H`qNM;50s6gyE-iWm{vrjx(x()il2XvV&d-ymtK9D@bv=IYR$34_K6 zNJ4K>g}fkIQP7J6ktvc`JZ=X|u9P68wJkx!G+EFt!h4CIAtg^)$i_~bzEa>;S&NY4 zb?#xEsh~^*Ya_YX=TJSL_8FeL2wbKnCFzLFF$oEmUiMO84>D80=n_}qYbU`ZPUzH~ zC5{A9$`oxqGK(Vzf0w9!QWB3!qyy)WY@Kv=v`3D?Xb3uD>?R;nf`BCTcA!m;7)Otc zI1MYD3=7{>@EWL73Qek^jwmO3}M#JRZ&G}y5`yj?!;HHY0z}yx9HkP41O}^C6WIgE4JSCsHnWY0nnh%z8INGFHotJ&5(`a03^z4} z!_lA43fD#UhS?Hmve#*Bs2JOcjtjD>%O?b1*L@?gvdI{$2*mbM_ys_-QIfqET^t?= zl9iR@DknT!B#fN%t0xmq>tW46NTv zCx!9;2RRl(K&H3^PdH#@Qh;0rKU0<-h=S{)kZix$FQm*37l zbl{adY+Y9Kc;#L`E&B9%uFIWW-!bx%&)DJZUR& zO5Cg5i+Q$Nz&lq?nleWN5(}*Re}AW6eelo*j@9pJ_^10U_D_>fG}5E*Z>w2L74WrUEqL#kVdVrH(IvAC*(P`uX29CP zg13$(;si@_BU=haeVqbX;&a}x4Fz#bT_KX9jqcA_ZkW0mp?h^rJ`H7=t*0YZw@<8E zWGRs)$p$%FOhX@Qh-M?GvXp_v0qpG{n|7tCifmK0n~HJ43`7;y6pLYsjtPb}?8LWQ zjN+V`+KhA^G?me94rrL_jDwrZ_1;aOEOU8O=M5pSwO%3oGFq~y0e@E$U_3NaV5G@N zB#?`k5EQx)8FP<3iQROTX>QBoMllG_u@IurZG#L6LCp?LkY|gOwv~;k%t>+;gsO|b zg!dIxP$`r_027(BBl9hz#GW(-`NZ0U~Mzyg(V?}VS=^U zG?=|ggb|h4_5UAx-yR=Db@qQ|c6OPBC7CP%mlSm-7nJ9lovG% zN`sfaTrBpdymAsIA^Jg#Gy+;%lQy8V6=|)~7pyE2ee?E>gtlJV3I?>cBDYA&i%MXB z-{;J3E`SOe`^WE>1DTz9=9%X_=Q+3MoHKL2HY`^TPe)DD=_AZ-9eR_VD)g^n2yT!O z1RWB3)4559FGFSnhxLvur1Ab`2a|V1g~BCpVRjHOzm0SJ4dnQxKN*r(l2(o4DKChl zTM%^R&sInnijKq8l>;RjH}QFw#=DZg{CPqJDuRlvQEHc1vpWT&fOqKQlvmuNr>R;= zf~gB?B3K%%pqij^MpN=}ah*~@Mv&K?L`j1RQlISjmHeSsqXhnVCJwle94bYt(k(dx zzefP%1Mi1G5mxHKff#4QaAOiotOFGfYxo&q#B5X6}V z@sL|)3KOk6g`YwZTQ`j;D>O0SAQ>jN6pbw>22$20@xstwW+I7U9~fv4lAlR+5Ctcd z1;xuH$6C5~Q!p;2&gghBv znQ_2(SNv|)TA=qH-SH?m-vTD@!l!1grzr79)&~CLC%j>Um#6&_^oj_|2M#Q_uccwg{D(sm+$TAT3mZwnQR@_(9ook{>~9hD~=5&N6o!L&ccG2 zGQfuk0J$@@Ri$+el^v*X8I2K;=VHV>-_#hgp@w;bb9~>KYK?fD(Lj1`4)ap(i~v3z zkg}{{us1VSSTfV1t9=hn`Ma7N4CZvthLwb_hPU{wHIwH73D@DS@gT!y`L388$s=y< zEcBk!C5tgc#%#W*!A!y&xvubdNXa3LSPaq!Raf@cr_32XxY0s#BBoY3&tj{Mq_U^* zjweNXSI!fJ$%`>IW>+4F+Un-U*-{AL_HsM`$Q3UbehnW>hwfK1N`nC34O;dJtP~_h z`$&8VVc(Tt#m7kwZWTilKav7&^ObUp_RC=^RV~IVRT+9j@rO&43Qr{1P?_$O*hxOW zSK8aEe-nC(M6t(r90XWMNx6-pbbx(tHD>DbttW!`WQ1gUhJy?^ysOyxJd*VUpRd?r zzS*!0U(v7f^$YzCnpjMqT$)pl99%zruDE{UKObG#C>_Pe=t}0III# zzVka0PkPf|A~|uJd`YsA;rI^l>w3e#)&d&MZ#zCVjbSOkKNoOiP3%C3tDkbF1~DYX z-id6dW(+1LoLwy6lfqp%t_YZPm%nt5pbCvaKt~`kH2Z)zteMADF_eu5Vj>5X$35Nz z{j7dnY8m)23dRBZN-}(_kqNC48IR(I;&N72I3^wh#?y#ffGk{Y-^F;ugqjLHjC1^l z8x6H-O(p=(UsFt#)#@5O00og;iYUNb94Bfr4vaK5i`16UiGo!e zli6B6$AzmF2MXnkRmu~SWF)`LaxwUEo|I%cE73=uItS>0|De}3NmY%HV*6K=T0ZZ?**VN`IO;FmnJcnzU*C$u^?VqRB7M7(8 zeJPu)WMdFg&-O$OBP@i$rW67ik;Y`1FpJ7nhL^Ziwuh#AIJUA5V{fgUWETd#Fcy(V zoN&7OoPdO!W4QSKUf;p#DtfNTJ)=M6i8trKh~?C2MY6Q=M3fGkZYju9_PFiJiDpA( z^?zc*Jj{m-Uo!~gWGl;4i6sGvU4H?3>N7f7j<% z(DQ{XI3RneW05^y!H}=evEUU8naZj;Bt!v5ED&WQR+LiN$3RDZfq!nKZcWHimRClW z625#Hrq=o2)j>S+wA1rNB2mu;{|RmM(4F|G0;$Abv@&RkN`D3^8CoyWCd;sAlnRrT+Txw9xk>#d^u?hUMQkE3YlO;%@_4G6UFg zNko67aZgsXJYoWJ`GLx%nakmT=x~~h2d$;HXK&7Ok1wsU()d+q5k9_|XjMbWPA6eF zMM0bZ{4DMUOia7@Kf&zA@^A%-Udrqka<6ZEtEHM{XGpcVdF zEztu*E{C34e=zx2@={^yYzod*?7#~0EV_;Nl$a(&xdOLC^P9NK@yDWWLy5AqbhbkF z*v^sw-Ee|}=MkKQ43p=ADS~+rAEp(#q>$G@0iKb-dI-JjfMDX!dD?jcxrDJ_VY)Iq z!7XzDbA>Wq9AyykUnmC$f#VWFS)QcQWNe9}i77ojTZ^j8RVAd4RQfB1X->?>j!veR zmEf%kAG{+xkP^b15E|AOs<0ooso^yS`gj8Q3s;JnX(37lG-kDk$Ao+XF+x(gmAA4W z533r6ZV>sjEKZ5*$>YDD_2a1fS$EGwuiWai4*X0vdud;T1yFOSk|U4J!mi>`c#(Zo zl4PJjvg$Mw)sEwB`*^|E^b%Iqy=+i{k^wL2pxA0jDjw_>1XT-8qJvD+oLkbX@5c3I zE;Lyy5I?CT++4~)tyg7i@~QwI5#V+qz9>S}s9U6fDwweGO9Lg)AOKWaB$PKz9p9Pb z2Gv*c^Wpj9%;Y%8i0Bu=lt<3#M}D;~=;@AN%CjoQ@e3hwBMFKEmogAdil#%N;^0?m zL>z(+2=ajfjyRQETnhX-LD#D2M7AtRJPn+hVPTD72n-#y3Nh*e$(PN{e(XVIjJR0MqPecq}A5T)vG_%CySh-M=O=kOe4J~4zZKyWs z0~Oc;gAED_Q{rX9l-E6Zh%TVk;h#MzvRBp@SO5@rY-)3U%Hj5BV;}dIV6aQ5psH8J zzJ1RFNf(HmsD`SuDadp49h6<24Rg8LFM6pkwG}|K(FDrw)aH9q4p7l}HrARS+nFEr zooG^T>KZ#Rd0+3dWM@90M!jd<$S*pKiZ}x!T$hYtl_3wUx0eqoX)upR)WL)p`kXcakS> zZOz{r&HwoE7-{nD_Nt3c@YGw5GWQK}INeV{Mu2Q!VLeNUcd)R+j5*L=ME>YEo>)UZ zK(AucVzEBW<2?r$u&~a%H=Hq-=l^wvgUKsVcAx!pdQKRLhY;Umv7!-kFN&QAu6s29 zJg2u*(idPn=*aSGk&-TrFg()Dx|q!%DntKCOLc&Ks2<{pOv~A01$^Hdk}I>*VkH?TVW1t(V`yVe*#W zve=LGd;tGBYO=CfRCe6)tDgK(e;G%+=}DozvOdoOz^6lQ{-gECZGSrXaA)g)NA#;= zd#gx5P`-!z3g+G@5%A)6qI8{2UQwU9r^IN0K zh_TueyIk!jWXmJLccW%33^D>_Q!Q&nlyNv+=Ai6KGPMp4IVl#?T93GQGT>-_qoOuv zJPdNFVK>7fF=|cXO zQ7m?J!dvYVP9E^aSk{$0haRNXVHY&{%N|%H-a4cxv(QxKejTj?`@`jOWZ^g|l;qy< zwOfGtCara|=e;XaV*rx(E^aoLP^6l7z|Ki~s=ptbFiq{#+Rr7Pa@178^7rwZ;wZcBotr&-=*eqQ`NnzSDaYo?{Z5G{L%;l3W7^@U>!cQ&!&noO zCFdR*o_aE1I-aK~GabWV2Y%DIwAX^8* z0?&Vg#YV93aMq_v8Qg>@6@wV^{}2VY>nb!2uEu{)JrYg*=*3Hq@uB}U@3rA<_vPE) zy4!Vfll#hmciW5k+eQBCt*>oi%_Fwwm$dOS8YDipS4Y=Nsr*>OTo z-J>4$UKoq^ZE778X3rem{@UX57q+^_)Vc!g=S^qdn%25A%&uElo!YMc&CwE=yciE< z_i*a~kqEb3Dq9C9qTb`zY5~XMDEeW>Qk(B_w_J&l1+@-zhmJb&>|K^gTSPwiu_WE;C z^=Pd4mUkyC`M_1hE~k-&E=Lxw*r(f$vS92**WGuyXL5C(myNH!Flyj~uzP0mLZ<9e zQpf$1war}J9!+}>Y9!Y(y?x|GC*~;^9%ZgE@gc;a;r%A!c;==!ZV#sY`z>FyvS2>|$gwG@K%Zs5G)z`{7I9 z39hZrkF}4Qr|qWJAsHi!5%IYNj4beL z)qadDlKw+o(5h^#zvzG2_~xC57qRBn3C&UVlREdXK;MdgdfpPAcH?j>+kMM~?@xDq z|09enl4A{N19-pvf5gPYWyy`MU9Q6?%+yx(nW3@x#i?L zt0j)>Ynvc@{|zlK#5MsSch}A3o9;SZB9U30DDSAfC`o2S0D`ru!iCCV9`#&!ci0y&<;@p=X2gvFdCjM=LysbRAWzq3< zKYIS)+aGKm-||AA+Gn=hyep+_(`{Rv8;eMjyJT`UojAT|>@_W$4oFO1!p%K?cO3QgQ;D+s+Lpxx zF?_J?{#Q=^&$5GUmlHtoU2osHWpVSS``+IsQ#tCc&5QnW!IR7O9zQ@a-?0&}v_A&Q z?ro3HdHiO8@BZ=Nh#4)LCJ!g>l%>cW2MPDB*Y<(?78sgr^1?I6(~gX98P)#Q`+tUM zT1I_x-~BE3|MwQ4>~3LK6Ur`9xhXXh+;IhEUT*u~BET1SqU^?HZ~I^)Rf2x&{8>`GHLd@%NtXi?N|(I z_?*4uuVxd4@MkORG#EBnBAf<8qhV%z)$h}Urer7V%_VfK!m4NoVm%iav4*CYA$KOjQdITL9*olX)l^ z4<)hzLewzH#tD8R>G%;gB}e%dD>J7k;Uv4v#L|#YtCgu#!`Wrl)jD4}orE}Wx|tGu zcQ5I!W)pd;&qFq8^x<35Z8CQXE1W2+vz5${PZ%jbB<{3ym`a7Ika$E1XHp6w++2yt zi*+7JCIt!Tw^Q$p_%@#uZbW|I2lu~vEp}`Y{<+gS2tv)l_o@Kb2@#5pB2jcS0>tSh ze|4LL$*_$cpcc8TB-mH0QOK|aIK%;z1jIQqbUhP9#yf*VCNNlLN+c&hAw{u_g-qf) zc4xG(-O4Ngy4BQy8>p`lkXc%Vh5I0DQizk6_^OYD=)jBv>{1nRd$2=_{#|5oMJ93A zNV4P(hoITn2_Tig!otFDO+UR@(ckS2myH%bfooge4MMH8sbYmjnO*; z7E|REfl9YH9TGt!`Aozw!Hw-jz$LlS<4_Q!g)ng@src3C;!MFj6EQ(UM2Y)?u>$O( z!dO4LsR^kBo#KL+1l|Q8MGvjS+r&$zRs}vZv!*`JOo<|f^5?h&qTV-n@q%kvq9wJMC92WwJM(Uc8X^5mCt!t+7T=aa@mxa))u5eS7K4InZ z#yt?CC{@tJyPUeXbIW3iOOJ*M5VK;j31Pv++N1(}5?~KYLO%(Gl{l!SI|+#Kl#U=G zVOh+~E!g?GCW6URkocPUYcLjZuCP__hqbMD+3ezw% zc@p^!^GUYjm%wYRG5y_1#lzAC}-cpEz$!nAE&<9bH z#{{287KkD!3~#`MOChUk1>y$E=DFo%CwErYI#0fu&Y!xmOY1;MgK~)8Vkl^2J^JY- z_lQk`Nd<#s4W5HCGC&%ZHAD!YIo-Y@Zf}uSMI~w#WrOsKO%>S(Z}e#*YeYtF;BD$+ z{1~>9QeYIAGSg(QVaf7mo1K~Fp{i*z%FAj6WB@flND^hKIuzF+e~BzE5oQvvdJwX; zA*`S{orz&jKtZKa2>}z@XXkMM%(O_2x_p)u&H(Mj3kI_{|va^!qR__#8*h0P|>91p}r^S1=Tt_ysb z1~P?LO#(Sbq8eAZ8OTNucS~8=(5P2O3#ni`e99fEAbI~#5W_z-1E|PoG3AH|LWFrS zNAoX+iCKnP061-ih7^9ANdr8Pv0SiW|>N3!2|_! zgN$+d-Z6@sjx!#ReDatsP3r2jpqooNaj;^^XUZc-v|bz%LCBaHS{+cFm=m{n;zUYP zJ1%+r5yM2R$Y%_5lHw5?cq9$qg&{o_4K$cbaF&b#B_hBT$aLt7qbg53^#z&udmvD2 zcWSuZnU(B@E1CdoJq2#Gm)rsG`lT>S6Zo@_Vk40cZ$A&adN>%d5`tCYxQ_YY_So2B zz@#>OvhdkBdMdcbBX{lvc^`It->~kI5pmSljQyq9;rx#E>p}jx{x^8XT+8w~nm3p5yX7Ta$gvoozk-fR%5Td-}#xaBA3KIF%Pk%IE$CDX{ zhOWjo><9C(-^#jmYq5_zY|}N?2U0G+yy^h zSBDK@9?y`ebZmmXa~7=|tEgDh_QCCCGsYQ}t6di|K2 zkFQ@pc-wUwGM}0cU|(bdqP*ig?E6F6Fn@!mV&VJ1K(2WQWK!^W9dL?g%j8`1YbP%+ zy&)fo&s)6jx(z?AxNmLcZ+}#AEfl=}t?`ac+BP7SN69AG6y{SWm5@!ETqi9Eay&V4 zveX+EJPUGd|5WKagy@^q3X8t|>_yoHW+{CS+p{*Yed+b|S+XM=JC$0t+xnSp_fP+2 z4L<57pu5Ph5T6VDS+~`&!gqarAD6);cOVoh&8mOYGR11&^tCoPZ=mf`0Jek-b4Mch zh*^7~yhCS{Cq~MH!qk};+6biWOA1%Qy{_6Em zFgaAj=zH&^Qmf9c_!)h{onF7n!1nR@TsxwW-mI+7vR^f{Y>zJ~RDexmBUT2(#x0Ny z?t%3l$PNR+x5S!KJTVUztkaIJ@1lYDnN`-YBzC2GC*oY?-h5u2K^KGuU zm?$YJLw8+a8*B6;)juU_fqP=TeOp~a`O3>ztp?a}k+1lHVAhn$^Dn7?2s^Z__6@68 z;S2CT`uyQ-W&z)q)lgr}|T0 z%QbnQ(g&0WV4qPi=|Ferj{@fk$LznRz^&x2Tn=YFB(xY zxry^^ib6r670oQcwW=d=tX9!6Aulu*2*7iOckL2j;oc~i*^r@8XlbZkkAPwUA(&>G zv=O7p$_66}Z+3hTpb7@x91{s;Sm4100>=9B0O1t;h4i^4Ht&UsVO9cnU4d}l#dMd0 z#F@#WIALj6DOf6La!^A4d`GCo2!`oE-~vU^pN(%aRh&Qyq*0uv;5cHtmR03gzzEAB zj1Fe5Fs&RnqhYO3afv7yM=`-^ViAI0EL@PlF$sbYB#S~E%t4W>wL0IZq^Y@PG?*Po z7peug5`*Fgus3j1xoI-a1oE{8-0=WC&BVFKgB!DQjt&BRB zUUE;{WHOhiufYy`4@~!JEBzsiqj^b$>sFxlQ9;=-J*W=!PoBp5h34MIRnw|`lo7tn zbVgoAn4^zW+$J*3Ed;oy5#((=(~9G=!9CbQKgqGdaVSz20=a&GBb%)RcQGTBT_T@r z&U5yv$2T$yJE?>ga{$en(^){V-6r#_@C{%-k3TdW#b>@^00y}76@_4c)A22{KQz;8 z=@FA_X2h;z9OS_uk1ob;&W#fzDBYw<$o33O_xm!DoFtUNi#QvWrIo2BxG@O`r>Rh- z#Fs&~-KUUD<;b2VQFggPsbmb>)7Z)PT2>Bn1YI(G8mtPT#D9@ffz z1JY(e!HJwU_<<0`Ud9`+SIi?U>@%jg2UC=Bd&8G9(!-gsAZnT($wMlYN)$HDlaX$b zf?+SX^TKN^OZzE!Nk0FKd0H-nl%yd!6`XS3N&MGSU5)c!l&yn-mK04`5C;Wo z>(KJ6;dAy1IgcmlE+Hx1$r1>J5?soU3t z5hi`kIp;N$6WUW><1#r$A(VH7Ow^(}C5HvYBXE%h=?8*%55jW0H6@_IbH@i*EQtOy zI1SxeaN@|pqD&iX3`18K4r(St+LsHZUl0*!0JzuFR)!}CrbR%<_U+`Z43Vj<{xgs3 ztviM7(i&)D7~v>3k&~KSeZEXQxFPF0Fsnh1C<6nCY^Dnw(bIGE`mhOy5S!`Q(;=jg zY6Fmu!7Q?BU?mfVClmglQ8Cq$iK@*2l1mC|*sg_Dhj zyvlBy5H+eNd&g@5ld2R)Hbf!nBNs2EnkIBY9)>SxfSa;GMcHsyATuwXKA1IX)#05D ztROfMoAD*N*=2e*xLH*R@-ied4UQSgN#U6an^YOxFv^#$-0bcDq1NGJ$mk9KA`4K4 znJ6a;#fO8gi|+oP24a}8G7|-oX*k0wKB^Q2xa26aD0QHkIu-4BKnXi72nK*>P}6;! zVnkdhdosl5r|JEfFB3?64gbQVJ{K4>uzB=e5L{^ijhWycmEp`VoK!+l8q9D8T~uhK zD|$9s1BSg&z>VdOSqA57U5Gb=1E~|5AeB{r7(P8$3Uw2EPo-H*bMzJv%V>p?DMK(! zp+E~2)tx3VC6S?GlS|I=Lx0S|pTTS#P1Ng55IL$B({h41O$P~2bP9oLm}bC{;y^V9 zXSV59n1vJ|l%uhgkB2y}q5XQy zVfG9@=hun-`TwNst{Aaz>{y*SGlJRcy$%>`2NG@~cNRE1)?Yqdr z?gEn4CPizPe*&SI-yR%DBRS0P~uY-)a?lW&-dHBXL??5gIIrQkl9LX&;0hTZ};Q!#GIEbypww<|u!o9^G+_-k|T&%TR z4(?Ter9BUO+ut~5@!Uj|*c2X7{=FN-@EP@n5C=L|qU=s5o8*zHu3LM}^K$R}Hvp+C z5oN*Y;QhY0wh1=bvHD)rh9{x(Gc&Qbebe&`X(=Oa!CWkS#O>YYBt9-=-@-aPA;FHD zpUk+PgsexD`xZV=iI3+c$OK4?BrVLDxxa1gt2GNR0ckprcA?4myFXd9cIMm#&;K59 zy3Iu1I%e^{8%PL6S^wbkaSLuGAr2Wrx}rxy5@PmSdIn_LuS7OTOw_jZ8v9#lG8!7PYr+jHwG z%Y8=eij{*WPg*%Tq~LuYC|HvRd|Rv(BvOgwAW2T*Aw-f9Ey&^9ta4$|$_%R#NMRzC z#BI{#g<~q!NxU9$)h`Em;7TY++$*t^5x1ZvxrI91Ke?cKCOU9EDQMZubT^Q_ZC^(2 zc057w#SyE%ArFfxzOeSNZze?bWv!G^TH zu&2^DX7U6>5AwCiN#DN&aJo6sa%i%`Fs?3GsZS1G?uGn@<#|^9G9QaB1(xsx76>Ws zWPNU3gFupG(R@5nU3;(=TO`|fJX5v~J@1tCN<7s9a2OFxc|6mBGghTCQw}q9o~vZC zT=IpZ!n75pu$M7!i8%xLWCyt@N(aT|XM1Uf;b_$UZEfhGt5u9Lqwa6wBO z$vBfEGd<31f&g_8pU*|7^th0}-4Bqis2HXwri=!&A>HrOl^lMAlbM@MDRab!6vnGe zEt<^C8a=$0#t(Sw3vFWY`krfeya}6aD0??X@cG$VIjoI1FvKpHK3oVfq`l_S)I9t3c03z5|x! zO9(nvvF%wF=Fnw_IQ29I4dKDd0oM$dvhf7vCHhFGv-Qw^?iNk2pKBJEBxxjs-bt9` zGfJUgRm9RXXd;u7<}ZdOrL!&n4@mZG_zoF}asaMv3d8QWz+XBE z$T5_h68H`fvdowE3MKwk$Pc0;-x~pU?lz#+Qva@BBb|iN{@KHXbe!VefW*xuQ`NH>J{suo9Kx_H>&BT zPrl0VAmC2Wt!RR=>RQZ9g$j@{!qG%C)9FMo760)B^??*jc@%V%bAy=nq;nI09;au) ztIk?y77N^>bg3i$^b)>H5XHT(vXg`&QY5GuM}4e7D; zLiBnZWN1{I%<&DDf=B3mf=tZPQ*B{MiS5hg@44ctT~mqcf^vuO4b)1nY!m?3qLsg;2| zRm_81M}`y>FN7d8;?ImnS*@`&Hpzs)KwL-xZUhBuRN=}f+{Mx#!OIMI&ZGxfEyn>4 zmFWj=ZXx8G5G){@9yRekgaR+YVukYv4ZvH?OqXAcx-gg77aSbiFqz~gA$W=uV~%XP zOeoleoZzOLXHEXb0BRjt>6zZ}ud@J^#6+Nq#Bo7?3jUZ!Lp~c^XjBlns+p)l993U4 zFkvn;o~GAPT?FGAoy4DWPP-l<4xDkG4mMmn@mF`{J(u%xlr_PJ2r8k0_=8XdO`M8F z0GS9-O{V3l=}3yhA)6CTRz)J<5;rsxZJr!em~l2)c(JA-7gV^Abs3de7uoa_1ydg7 zfzwgZNCf^nfyaNl)&VKolrp7u>aE1YtD&4B+$yaIq5Wd0${j(KXK^7xj%bBqGWHc}y_V)X`W7-Aq!{5{}ghlT}rq#Sfaq!y!iJ zh4^fRmOOM;PYV(p9RqG^P!?LP#1Ifh(sebY8!E>_mBOawmT}y7RAUslJR-gx4!?R# zwhl)zZ5l^r?Er%Xsh9kv@n;=?-0l{fOMtDIN(M43CgZ!<`*+tkWU}{S8D-mLm@{7c z;P0+`9xve^lXK{CP}NTsu03$2gpU3G3YxZeP+y7rv?%Y}K;#v`&f?;m>7 zvC029niNYA+c}PcKLq&h8)I(Tx8P^R2NymA?po-4bjMqx9aFt0R|*dQ_`77m+Ylus zrz?ChabH6P`E^7&0g$(jf=K-Lamx`xxVet0z)9TGDe?OkZp9MJR%t4VlDOXl=I?#a z;?_S%Z+x#n=%sd|3jOY%xBTA5; zdUZ7rlYa;@G7Ul&V>zSwck|XdvMH7QXYTvx*~Oo<<-GGNER#Grz7=1q+yn)|DPe$j zyg1@1M0fOBKo^tV-8F%N_$uQ}#&9fNtJSF62~2rBbHur58H$h zx*BX<)!1S0Bzv6?wh)kT5O5NM(R#1`apP31-P znX3;((j$t}mYpj1L;^ zLub;vL?I#Z!8A&2Mq&wOjXz?QS7L^x61!sA{WB)ZxdCAEg1gcp+5V*-b#(3|OkiMf zVoJmm>*iWQ014OQt0DkBOadezPS+WtoIE$Et+12^&F8Q1sA>GW2I)LF zd{>@bGuK+ne0|x&cvo@`8(cisd}7K+id`NY(Xhccto~tjH2=FeUyo!}hwj316sO6e zD>QpxadEbJfB92_rIYv}WfbInV#$!FAgV}eAxY3*T;q*vT$SRg(%;JAX( zPA1biB^Md4xn|QFJn+Hwa;Ap(7&xAAHv_pU69J|Qaw`R%0FM*swVgyzI$V8FlATd^ ze547NUw~*6E2o3zj7${i2@~OLgLG;Ol9*y@3W;H9I|V%5fc82xRY*OG0V7}&?>kX| zx>_=4twUKY>4(>ws_8omf#Cx-XAqhSZr#Ki&?ZJK?BWW6jS`K(0{Xfn3W<23IV^Nq z-w-r*p-_S~1V%S8wczGZ$fQ&0<2xs;FU&@RdI~&#)TFk!fMIla^BmejEDi{&<|VRe z$}4$L1%9mfH5A5koI!{ZB^qhsJPJ)zmM;3SJ#2nmRDnn?bt{YNgB57P3I?buWTyo% zKtW8*#CxlFXgC(3el6t~4swjoCrz? zVGAd+n(mT{JrRx#Bj4fUL~Hrk?Z{^|FTLcBcAI)9`unVwc#!QuM>{J6@UGTxb?V;a zB>$j_N)^6C27OAnCN!TK|18JL4hWtQ6y+-4 zVCodnHKPx<*3gR$MD`GLEc(cM>Fo%DrA-KtnT8&F@Z=!*4mqs+^Yw<1?y1E44wOoC zw1`rdD7#N1%80$fxT4bGPAiW5jQaOiJrOJY)o&%D1a7XirZF;Xm+apSkO!uPW-)wn zTKi|{UWuu71G4FzhtRF?AA)_?gmM%>4CmRN3@Jp1slX`{zbv!U-nWwjLft;4vQ7;2 z15S4!pnCBaDSH~Xr0?L#0B$aPsRhzhjiD07uAGnWA%-OhTV-?T!v`q@+$EM)sU}t0 zzQLhO9m7Jl2Ez)iNG8725%OnR462CavZa(9U!eH!4mXbsvzL`9%a;|E!RL9Rct`@1 z7qX#^v>-kr{VqOdom{tulY)^5-bNX;3opO`8aX3*Vm6X9=+R}kRbMn9O5{mc6N%)m zLGj5ZA>gK*r*hJvcPF`frgd2?Uvv)(|E4Aq~$d=obV&cf=_|67>@CjzspRxWH-w-SU`nrVUaP z9gVh>=yc`M{VInnip)MvB5vjg`nLeqAxs9<0{W z%4tp?T_hYTFeK*wi7y_URUcg7HX;h(?Q__(L=OqZOtS>`Ai7Bh9;G7%Y+MNe=zPgW zh4|x=oH(e0bmD>{k-4S`NY?}|>6&~K1RYOlRl#aiy(;PzxHk29P!s+E<~?28v9Bf9 zk((5ghTA+yYWQ*)q9-X7z%BJwT}YiPpqYWNmSYQcx3N<-uMW5hpayv9WpxfQijv6$ zCJ{?E7I0PjhyG`Jcly zlt7MCO{0lzDikPU9RUt#B8bEZeo>Gp(054mh@fjpqr!%&D34#_pqf6YgCPVbu~<#P ze-cwjGx$&i{}ByE;!Le8RNqyOzcehu;1Lu5nV&{FD!!j~nlFr|1Vb?Cc^zfmjMqN0 zMz*fcVr6vOiOD23<~+buoOK$2=$%2MQ`5N?&a<>;40eMR#OX|{07E%gC7CKXCbsmj)IhSMC;;c-@%hzgmxTFgojLKf+)Dq z1#?51f~8A}+16M!mMk5kX>16GFT(^hGUV@+>}otF7czM!_+@Z@VcSFy@e@4K6QO;4 zukX+kcKm!9RAyqr6-zmAlBFIcUakVA3WX}xBwXX&yG}aK$L`qq)RA!darMbq>XC!V zOWN~y{qv%&TI`p)~;OWxcX+rwhpx8#dHC+>&=p|(}Z z27VJ{Q*g)^9+lj!hmHYDR&u}Yij8N3+w;e@vk|TBF;g<1^#LD*j)F(6=}b`YAE zlgj)qsgyQ}C!V-jtY~g5tgeRzxg^J=1)Fx4wH-n>^l3hl-*R-wlQM%SZqTmH99zv{%2W*D|L2EAk32JdD|PWJob0oO|h@|%wW zO%I9Bk8OXwjqPqpz3!i`d%BU^!vNh%Z5yfF(|$g%I>DU|SkCS30M?E58!-|VyzI4B zee1l#OGYUhio!_21uDGSQ zb?7Nue!iSob?WdrXdN#5i1;|LUK^a&fgSPeNwf|hc(R92ygsH^$5Kz4vnI6Xw<}c- zJQ91?Gvu19Vpaa?7)u?Ug$g&i>|p@i0xdT7H&@eRXdP19XUE#PBOBuLA)A6j&i{zG z+lGAa*sXEy*JsDZ^AZ33!|nggnulEL+9R3I`rz`iEFkR?wk*pB6zxaFTcdqrQT2Kw z)`XcgA{&Ho85=49dUV9Oprl++3mchn^Tz~PLebpRPH&j?B-lQ!wwo&}o_ z`6HGOQ#G)de{RfBrjw~s$tJNSApaw9Y1z2*1v{d(j?a&MRQaULAm|k`t7yf zZg=Ikk1J79V*wP1L>6P-)=VL9go1FK3Fo2*jvqT~7Cq#N^ngPD&x+VBT*I|y_zqM% z5Ky-9`9$ne;q7c425e7KHtX99wbaW}Hf*fk_Qcr0%lzNf4?jtAz1{a0P1)y-VxB$y zQTc|xOf>=JugzplWm{rs9ex;V7ndDzWV8H6=folBAGtPmgpDw#9xHLUUG3_fN0_Uz z|Mo_fYF?YaC;r&k{-91qy((}%qo}*7u_MX%N3YC}x<;5O-(@K;QtNd_I4FL;U`h{ zvdBKay!ka2`vV#QS33ZAW$QqdFA9k67&S4}I)DtD9AE#vW2LS`YhjZ?xa!6FW+S8g_Q`@W4pvlKkcpMx5?z*MPwdjCyODkI)IC4Z}?uzDbVLyCW zX_@X?)Rf-RnmTmj^&dq?{3^QRs2RI9Wyg!^g7)*r&C@?_eLp5r=5HU>&c6S!cJW0>gk8%@M(&FCU%Y+nyR0hey{g?cexCMmdD6J(`|l=U7dfPx;X@8=uf?Di1tDq9 z`BytSg0?Lr-yu<`zM90|r$5gEyQ6(#ePW4`1yji{M(eO!^ywT~od}ck!GG!CJW1tlJ1h0c)$ z$gs(M8!>)T4v|eia)+E(B*!5%vhc`}1<36U*PjO=AFXYskwu?H?v|4n6y%|v zJ0Z3mBa1%!QuFRS`tmU`{mcX?4~2B6Wd+ydsBC}rarvqpZ7<JI+sdw|3W~sFzokQUbq7wt2dw6u>HKd-kvvRARt)(y6=wh zK$P9M@4oy0oU-isgP$(iJOkjnZyy@_Qu8QR9ROQ*Z&qlb<|$Q{oe-g@$}m;SKn;3xCOUVv22 zIBIBPE9$y>9w~PZ64q9+`%me$KK!aoa;G&@9y5vjO5HYeof1$gV6)W z7q>ij$CiD^$DerVPpc2UJ#Q?GaqzE)J_R>~{E1*`H(lMfNMhS=Cs^7|ELx z2cNrt_od68duIO)TRiW`+#%V(`$aZL3}hLlaH1r#14|2t;oV)i7k7g1jyfp0e=UWO z-c50QEau#23q>&j1}n5QGc?>Vraxkac&Kms1JLC6KBi?))Bs}Z8=}N>XTG8URMoaC z3`aa4p(X)AB$mbO-LGA=>g(hWZnab?01)^4i3R3URa?(h8OY%T(g$2C> zTA4Kn-!j<@k(Bz3^dV)rjE6RR|CFnk!Tz@GE@J@5%}8ILGlhk!v+J%ESG8@ui zs_qI(GFcEGwBYB<e%=qQfML4uR<~0vxh|=YyXvSV6tybGAvHw4g@tL}XYq z+cB)71I%bpJ+>?9$0W`hfRk(nnA(>U_V$dl>zKu6`E5~^nFOF&z$!rq-o&v4atC8g z5%Gups^2albgOiYQSPKm1%bBY^R=tfzTW!R0`= z%FLZ)RDe5|2h;!%z8F$jfufl^Dc#MgEIpYK0#z;y8z$r|870U_r8HH)DL#9CH*zuX zs!9mT58PnW66G66dC;37m|jlvqDn4@1uG*q_H9UTS0=b+ayrPcH&U6fcZY154Guam z<-7xST#)t(6YKr6Mk9A3PlN0rXOXFdg~UQ{g|M(5Stql24Wi5&Itd#+*b%(BkvveC z%p5vtPz4h?eu?1~iOUuV6h2r4+;v1w=q)wrQ1G0{$6j_?2eM3W_}5rKP&BeE#^akt zzh?XbKUP8Kc@(DxGc~-NixP;ZxXOHmYQR(!6k?O^s=~l8$7yG9W|bHV(TmGU8S~0# z1C5g7jHA=ILT9{}kSh`=U4Od}<1*x3hpd(1f+wTpassq=;T<4d;V?la(TwsvI95SZ zbn9j=r4}b6;Tz!Bl*uyl81M3;2wsE0ivcXtQI&&Da^XiwC;)pQnC3#2Da`C3GrxBw z-2gEWh!Ix-lhLy{n(@5xGQ%%Quq&)L!Aif*MYMyIh_HhYKS|ghmz;73(glu0#^>NT zA}Sd;j+#`^MDvG0sSmagOzl7cTg5gC_i(hY~3&N_6crYo6p86{nPweY<=sC790 zna!eXiF;j&uh0@lI{Gp_6NXewcn698Mn^YP!uw{#`!dGLEPO}cW91g!2hycWkz3%m zHQ~~y0H=Kg^}*EmyG(C^>~HiLsA(10!X-pxjt-IFUE|2C#LZ+6g3Zh-Gze9x8%hX4 z`HBlU_l~8$n~>$`%k+XUfm%vrOe7~OnX`I^o)BoYdINEDBkIDNLGKmY(4=p{PIS$~ zGWH}Drqzs4%;npMdl@h!iwrgFA#B^^Km&yMXH_p}H4+_I2O98BB%mUT<(LIVjaDuJ zaw$1c_zu#r1&=uWdq6FsR8AcVta@hU&PuBQW~bDo!dg=TJj$9JN_<+PS5*MEy9b6v zI|1}cR4-BIeK`R1O4QYmi|X6wn@VPJcf(RPz$R$WYa;#<$gZ>mgbV=rHvcS;NkQ@* zBJigs!EpljEM&1AA>Uz|!!0p+^#ssoNR9iLgA#goixLGuL(a$ZlH5aN!{_TA=QiV| zstY#~PYSM=NO|qOtwTqKowZSA_MRm-{C#u-vx}Kxn_j}27ZwPr(GbZE`c+_AGb=O; z4ZdxKfSU_IT!rhC1cC2Podq~u5y>q`C$C!P{t2>-0N$0xAyp_0#zbs9sVisJ1mhCO zUOtIw1l1icIw+QB<~|cSQQuL}#&i_Rdh*Z{+yNr{w})kkiaKN~c?K%qLwG2?fN7Y5 zpf(W|B)6#A*2VnfS<_X2MHY(XETw^IfxJno%_{2r?~R1etg98>j-xbakQ81$IQ!al6Y&{HEE3Bu_j1R&s04 z6gY=!D!moWvKU@%azZp5H5mXY;OZKjNb^KBz77c*A)G6Nz+I?a{X?X+IsnbJlIRPp zpQ5mx*!Kyz-Rv69>XwrkFylahRH5LI@oKbVFOJ6rbV8~?;rPMT7l(v(JD6XV&r~^2 zs`3Z&utBKlkdX1t38p6*%j{A-GRx^k$HrNmV~50pr~f8mKm86UpL_F6PjH9$*Q2s^ z_|ia}K=Z^_5)%0IEMPnTf8|bEk8MFt{;h6dMf0Z;J@vz^8%MvM0Gb67?jf?^D#wD` zpN`|9V(*g8zSTR95g2R+fvUD2oWEh+(N25caU*v1Sbq>evnSWp&FGp9)8n$sDR*Al z{OFF=fe&xLWz5XOag^P!Bh&6!5BynzcO?baAj-{+x1YE0=Kbq0!6qdeDsv~Zsf z3GV&t|C2O1t;CAbnFm150r!@_J_Jpox8FDx+(|PJo`IX9TyVOOPBQdH;_pS3+Dw^t z#wa_<@0?n9?m4sUg(ugoJ=Qgg9oe&=eSz%#k}OrfFO>xXQC`<6B#z+wS(?;-j)JvE z7E|lc8lo>;D=hlRvlnHz=TUYe_!ziITaK+xLq6YVe12P6e4Aod)Rr)^_X>Pbe8Ual zsSzbK7@MCwglqs_nxLIdW?%=H+kp2=-w0b)J;36G=W%~hk^Eqn7tgmU%gouLGDEZJ z^InJCB`*h72z-Bh!$9Ne(yZ?QRn~U*XciAYLzL?73Pc zMn&uJ;dR*fPH1ly|4Y!aU$N?HY~O&2<-VDvHw?sX^>rK{F>4i(huchvgqff>kO?g*WI4(SfPL`|{3Sfp ziI|*7B~sX>Ky)O&E4jSWCSA#}^;OfUb-;V5aAX{BqWAclKZ0p?h>u#&H)uyx6X3CUCJ#a*Z8lIqpOz z@)A$zQ4z+Rco^VthyWo>yRi8=a;OJZ7{b&e!Ri3UzQ#;awY3Jqlztc!6u5SVwi3g03XhjcQ9nY zVvZaLbf$>20a*7G@XR^mOa_y44?z(+SXVxWm^hXR*w54o*(0V1Kv5VC#-tTa)i80v zeoCBOhZk{MCj{VE(D~`)D3Kv39-JXe{GyYbxGjH)oDd|SiEgITzd=TX>f*u#H){2g zd&VX~-B_;naWibgZt#!}!-`>6$}^fKmitC(YKFgXrjh24^hdGJaxQSQ9L6kA}xTwA0Rh7IZuLK?_rOlv6jY1)}GZOj10Kwj=-Rr)JdFij!uQ?eKp z2trVG>SVzW$Wa@`PiyW3g-fZSzux=~p< zI=wvE|6t)CRlPA{P0h5dS1b5~nr#dSszv@8hSz_4#F}Bav$THOcy(n)MFpkudcvQz zCgmVG520FCjzNjdNP}NeMV&3Pa_x#})P6T39Xnn4KLoU`I-!pHEUV!m!p+5t9}vP5 zdS}(Gs9-#$e9Xv4j3RyNPR2f-T^CebdoOs16*g2pVw;Wy&r};agusFwiBHrW7}m5p zZIU3LW0gXIFyRWZzfrv`cZ6V<{my!sfwwb!NmIhY8=4>C4E8=vlP=t+Rc<7n*>JPY zT<493336odrGYpVH??yFAd0p-%yA3`ap)y?vk4EvK8ljg3RRejdjyM?)G&7A!~iy3 zb8A8BOR-akAPWxHVL{Fnyx<7hNaVh0e2i;0A!x$JH-b}1aqNvnM6T_ffPPm|$P=M# zP`hPA#Y$GlG+#esmg+5zLf)ylqsvS)PbGH~a`st_j!bbEBLB7Yv`j-7(|AKBm(E6#N@HZfXD z+;~KXsSGCV&DgYHnZ;a>YM4Lul3}&L_B}{RFeuVY#hoIP1M+D$p}af;8G3h+zu2%w z7j8t!;5>EOyM&;62^v{o7YH}e!Hsu4(y6BLc&2%n8qucvN$)RL0kPM9XOvx2$u9hp zY#oqD27~m5ugL-`hhW3T`v=Sn3!EAt=%!VJ47Zudgf zIi5F3cv{i$1cXreW8zkZt(aIg<(woFv0JbO^W(i>q9sjMyD>r7*AqC24Vzd!&^c$J z>}*C94J(~UcM%ph6vZ?(wrvcU)C?Ht^KWK0elpu#qFia_9>dtBy3QHSwhvR>?2}@D3X~m;U zP|$F&YAszT1qGRCV;oW0Id0ozqQY`m{?ls1^fL&Vd%eRA1m8s6xn}id$R0zv?q~wwfYn`WLS#S~ zbg5~HDDgyB@;)qn>|1!0gb?^HQrN{*Qg*Y{8|01x5s#9%hn~vaU3OgWQ==qu?daju zI>b?SF@C~CCH}gDllGFovQ11Fm4@~Jxx-%sFW-D*+1{LpU0D>Dt&YQBRS&(zo0zgM@Qvn?Ts<^lm@N{e zYPi3+z-Qz}a&(=ztvc+-8mMdl)Sl|YXn(#$*@Y0VCpj$2u?m4y!c;U7P(eaGPL!pc zDUCO!g`40h6N);&Z@60lDPv@t(iiyw^_or^6bj~40r&<4?+#nvjBW>sm+l>D;v3;Amoqbxv|Rg(!{%f!SA}K8Do|Oz9>quaT(?SKBJ( zCXL$jfG5P-zs?#u?Ku*DTTGg*t19SbIG@_*TT7dR=ZD}Ve} zb#+rS3|P}N*i6`XYaZ-?G25WoC1~JwGhz>6O)~;ckZ3n3|3UKr-9-P2aC@j}#)RNV z1|;q#&X^e$jgQq346dQYSr!$6bv5k97bYv_W{`d@3~do^I{Z~@A!)FCL31b2sRNqDAG(nt6H;$f-oR$D&q(qK#!qxz zL*G6KooBM~>|6#Hsejd~D-AC7%T;IlJTFn$hXDH>6_IqLQH+|{$3;$2h9n_GAYnS| z6TmG!7p2uD4D7%S2_R$cf)3rmO_0uUpGLnNzxbn|yumnTJd^+;DP54Xdta7F6o~9{ zt5Z*u7tjA-R)z{kUIZ;=si2Z|6DOn8%``yDv#O|j5Iy22h$45~Ao^c?$)DaQ)m@n&_C-fi5x`O%0iQ0lo3gZsuWQW`bN|!rr8lHFc7F$EeX620 zfFxNMK(pnats%0%6lk>?3+UJYJsh492x=t6)X>Bw?cYt;OPxln6iiqBl3sb)1`^sd z;;ywdM^YA#a#41tLmSfMbmV*kcB^;LD5ChtjEl0XWG_uQ9jORfCzL9s;Aa(CdmY>< zXFJHwXQALIRs1=J#JCGP-be%AYfk&jWnDov#q+k!dDajF~ zP8)=HS^P=z62|9!QZR=+2)(V>&R4YqSM`RZqg%syRp21`H26ew+bbQOmHD=XNJ1vz zUo~Y&WXU9_M#7Ygb7VsXZBksdOWdGrkq#P$Ag1NIh2VAzg0OS7>TdMSG|b^qfDqgd z*(wpVYK=%m?K4sMIZ;6;B?KD0xkE%&!{-P!(78D8j?`i zXrw6gl6c*NQ=H3Ea4Rx_*e02U4=$2SHzY-MIuta~a4vCfl;a=` z2*EXu1Cb@Yv5Y{jK;Y`A$e1lfz~0)>pUAFvSRMJM4>ztNNzt7o2r5Y;Bjgn%nrzk? z??qNDxB?+wk`(83P(s`<$?@tu5x9{Qg)FB25oB|Q_h0mVjHM;4)D^$_g4MwlaxCua ztqBp7>=TmZfZVL(mBPqE$rwERMLeL&hk96%7x}>R5&Cr};3b{}!zH^b44hTs%_0sG zE_DZ0t`tp;2T`FgEXd)ydN#ZHnB5~@oiDgOXrC}@NV<`%vfPKkQ;4Yf08%Z-kVgZL zds(PUjcP#Ukh&mqB?fWM+{>s=%CW9T2GK=?Ho-VJB@L_8qJvaqp^m6x)UPfWc%YG_ zQ}+RNuShz<1xN#jdmX?10*yu_QSq&GLWxjkAkfW)Kr-@ygID5DfPe*3A{+S26A>MgaDOG8$bu$r1ZjyVj`^izNZl1qlI& z?fEY&A$gyi|IV(>Q;rR6{L2T9JajoNPCRk#ri(Y<_|BSjlk1-aw(TKu>?J)MI{>zA zzh~!SGN&)9G32{s${j3x6g=32tgKv2n!o`H8hPrUbMRn}d_v@2LR_CDAKDGaekG@p zbaVdNfw}t&dH=dxFWIhRL24UcqxudTXvC6HgAE0}b<$v3gWM#vEho!nNO4WG8^(F@eE)LcqBpKCY?@ zXtmC&5npr&{%w&OIQGg*2$``ch?j`{8}NFC699L>0)QT-)la}p1KyJ*{}4)oJ4OR( zN>cVNK4mkns@gCkYCDYp{!_;PSGac3h|%VRb2k+KPIEHJaUFp(i&`@wLLSCwzi5LA z?lnn!J3;ks!x(!}`Iz`ZwxMBKo4)=ktooRvn*tc^j}2O*7sDr*MnRGtu47GD8Qwvf z2ujlKk{0lOvEl>cF-rlcUT&;ORw&21#*fBI0Tw9SV*g<97mgF0lo)H(E5}~PJAReY zIvRstb=D{$c`FBeNHE>uY6890ng#jfqqxVa0#V>L!5ttWF1%qr8wB3|Mmcfhh|#hU zsDzLWPJ$p*vIDA|B*a)`g$Cp8fs>>=@=1c5T;&Qe8da%+CLWozP~6tg`tFg%U$BdE z+WS2JhY1|QiqLE1$ZId))ne6U#4B$2`hX5L!;4Pzv2rE)qXGn8jRQKhxaU4*(QLKF z>!c=P(cuiBswB$zA`aCh_tM_(?)*aEJmfLe{TznNl6bm9wmbT1W6~8+FwQ+SFdf2% z?vogI6N3!Wb>IirGa3y^775X8d>U_yO7x_Q&_yzpKU z{2UF6C<`cHz9xS+#UvdNaEnk898^B4Q%|6Gm%2_|9vP`eNH;fCb#0=;MSbL0^3-1`7KR%jRK@SLG?mN)P{l_ zoV7p*hEG0gC8KQ{=2Z<11ycX&YJ!(c7X^!AcEtvKZeYNi3^kvbpjp4j7jA$#GpA{x zZ7FWF5m_$<{;bt-A>S~A;rmQv9QnIPUYeHn^v&$R|6XTrYpSfW(S>L8>P=WkW%Tg6c(H5*l;+hPcK9=n6TK zI(%LhFZ8&R6G(_`o7aK@(R_o}Lr8Bc3$jm0HZpzs4u$e<-S$#<-(jE{WRU&|J;1x~ z+v7$j5lK>fNqHN!e+eU@W$D~(ZOlouUp?>X7gwsfRNWH>xp;A!yLK`|TdL@~ z+uPwSXpluSctj9O5_?Xc|n^EEX@f3<K_Q!XiZ*-W|XVl4*R0L>sO* zB2`^j8fQr^C3CxB(k+;OqoJoPgF%Lb4>kZx98dT4O3)hJGq&5?216RXEgT zR2afNB^Jtg_2Lf|YN4U1qW?_=UIpA>Fv0O?B+?+*is;IA8Qdebl4OQd50HceNT+H3 z9uKw4cIrnrDHIx|K55!BAOUykUZ~`;j7sk~F{2t61cUC-0+%o@P=hAH+ORgm45_g! zV^S9(+)ma8@v94^E^bFsrlh1)KOcew?g3Z7ASf{e+-Ov)2yVq-rAO$#kG@f$kj9^+ zNa2eV0rT`;{d&6GGawnM&fO!T%GYk{>VkKm>mpBvGIUOVDrayBF#$484IFz1wWR8j z-K7!kT^IPJF>5}^eB|`}9$HGZ!=Fx%ut6yw?E#g)qfr24FFr;7;fcu`@};M6hvFvk zM+-q~LuIYN?cM*;%E+q{IJ_ zWV|Hu|2e%QNqCdvua9H*fxz+Cn;elKaQyWqvwa|N{PiYB_JP3h*PEWh$s*|A!i&eP+TFt_X-482qQE|H+Vv(Vy~eawA`vEm;DJ31;QB*>+HvD#p{Q! zxFD)@@a<>vZ{=C!{71Unj>43FLYDLu^55!CI(VAAg9m*d zl4HKEge3Zx=%7O0oW4Hs?Z|WFg>?5VJ##K7aCkz7_w&gXEcu}nU3dH*Jmg8{<<9Vk&OT(zw8J8wJI(o9@;-UJ zK=6c2DiA!M4D08U8wxC5?z~bUctZZ6K*$SuSd&o{;~+w9G!B zHnZW*3R$@LF_BkR9KYj-?1p``ZxE-}>mGqf2iL-1%rv)Xn}`yyDR}jxPJ3 zUq1VTZ~XJzqo2Qc(>E@A>lb79ZvNIIZ~gYl*Is?=!O84SC&pqWOU|rt)(&s($?#-8V{_&-6{pg#wZ+_$PE4K{4^PZc3 zb>ODUfAss>jzcdk|Nb}EZ~oRle|yX2ul)Fr>knM_otuAtS?3?#|K=;i{fjHNT>jds z_kMNY#&7)SmzQ;JeE(a=LEd?E)0VlpNB+3>z%{>oY3sbE2asuBKXdC_N5B2cdAYxT z>-huMeE8hvm;UrSD7fhMcMrYy?Jb}E@uRQ&>cC|m-uZ{ioN(;Z$sEFA7lAJg=sly+pdXwMmK*qF;TX?My6I;`j3h~e}hE~UaU|FAo_k~y+NZXnQ$VHIQwrY||R!D~&AqsI1fq-9pfvb;gqrQld18 z$ImQHkZcGs(!V2YQ9}|p%qf8tD9APB!5Kja!FMXb(Lv~4D98XPZLGmLnR83|ykwlr zq4UbSKyIL7|2;mF&eJvkOoK*SM$;RD%|YXb$S~?!Axy{f@!EG5Uc`Xx( z%9YhjO9emI!Zef<88${jNHn(kdxmz4xRx;kIgJ%8M}s6b*=UStW^Du?h7?Hw@?9Ed zFusKGbU9pw5*vw6ggGnu4jx}yvXaBfiA3fz-G>|GAUsCo5+d&)@=E0@aH~=!w|~K{ z9TZLmzLduo<#9lD*c!orI0nuEW;94XEj}sI;YeQ<$tsa4GX`MT4xq-w*=)yVOaqxo z4gS^%6-p==t7!xeg;Ag#pN76}lkL!EsDy=8t#YRwkXYC^0_CL^=eD1l3sj~7lM|WN zPI>79I1+h1VdG$f2%Cu=dPKIf9-u5evN%my?ieT#gaQd*PhsleE*sns0!^A{SV1oB zYGHmi1id{WNfyJ}gMwLM_~Bd!x5OxQI+9#x7n2a;E~&Gekc1CHdT@QJBvBNw9Ik|9 zAT1t~y8e9|uz54Aowl&=b(8#_4k7C)Nw|i%b<(6tCZM1J1xs+QvB;R1QqY`c>6+3+ z4|Ukg#(Btb#=)wf1;9)}=6QPeEZ+npM#X-5zSvVD@F0LcE4-%x&VUfGnPSHbpz_#u zbKM@~CCH&50L{R?z;Y(w#e-O4XGpf2K2}#IE6iwo1~XDC?S^^acG|*=L@?N@kJjQz z=1c$@ad9{lf&tH4iEvYUiP%9|?gy?WzS);;)!0lh)N~h1?ueC2O-tQ7Jp>``EI^vH zLz7rYGRv%llii$*19ywefu69kdIreg1{u(uAOod`$mA0ek|%rIR0hc$ z{bz3Nzy`x#-UIZNAY*3lgisPxK(m67KT{-B8LU;s@G1b^W(gb&hPtG8;It)i&w%;@ zb&8_U+8^DEl|Y0DL~x1>6a-zs?XDtpCP)~qf|5iub@=sy0GZxr1i6LjoNiM=Ldj|s z!%ddvl6_pnXya`JVHu5_vD+lfVrd-*s}WzHtF$q!K@p zDg+Z8(qc)(nP5`72I49Oi;+Masx>Cv`0yA}dItmTt)d7zDiuA;MyUH0nYRX-u)HivWUbbgTCyl!Oq&;5UA9cG=(#SHLyU$ z#CMjoHHI#d%-R;vooxmS6oXr?h37bv_;2DB27xR?EdhZhD~DotA+@xQIux!f$8zu< z$cBR#KtGs^JU9=@!eeBMPe>exffYjNz#gW9E^x?`;a<3mdi(>7Z?k$09-2;iq2A&m59H4Q~d?2r3DkmDtVbJIq%2blVazN5N~l zaOJw;kmfO~DdZ5SWu1jiSricl<4a-@MjjN*E#Dv;6+Mf+>unblB6bc`o z`8;w*Cl9*47?Y_U63!ifp_VKP>qSm#bkeLSB?bQltv!?fYA&)^F>Dqlw-gyDo9xLZ zvo6ex?EqyrD}cJmA}u6DCP`g$6ybkT%91ByBQtn*DM=$h+`c(fd}fwow{4k>PluJQ z?ae5$=rvwQn!$MdgvrY06m=3(uoB?riX2kL&x#{0Wo59C^SDV|{X?o9{_GhJmVD2P zU-z^!2uSO8`+fPT}^2UnP33~5H`r9zAcn`M$_GK_b;Y{9D+>5^W8oCYD8 zrS}5cwlsqtPEqW!41_e#_?ceXG+_qNLiN^haICOaGuGZ5<36p6iaa#I;}j5VHFMg- z+o;B*qN#^gr;zLdGCsu!coOPoi%ESJDT*Z=Y(?EX!>vf;W?&XQPRdGEJLu?hyX6~I z4Er*;rCnXf28=AKpb0wHNQ=m*cJU_j+_mWR+vYqBl<>^zx*nEj_RW|kHH<7S+Tc%j zAd`ZS4NsX1@HQLQnrDTul|DBEWqO(Om}$biCfl}Ag(I(Rp_l2Fkun=hHcHxU_F{k@ zNuxbHy-eT0?0XyHbs=eUJ3f!NQ*oh>Ds$S+hWOmsT@ca;*Ek*RA{HDK#$#@h!XR%1 z8S_anR>#<(&r{q^WU%!i+aEzDf5-mNGB~oJV*XbQg3{7de-CB!#KCQmlnI<3#1X$!_OjIKZZHWc%PG^N) zjK`c#DCDg+NWojwW)WOnXmNii?s^>O9F-oIkR@^Oy5i6ki6DFqN=UXu2LYlClMPj5 zD1cv43Nkc+DB3ljI`*WGXvWLj;6^ABxSJ6h?4^TG(G4gJEpd;8AiR67>k+ydr;!vo zO!=TUCcP+XTuxD?HEDy;td6JQF!X?`9R_hU2f`WbMmz*k<2rna|7l!xE`Sh!JyHq5 z9>yxkr4MERgt!wZkUMYR@@B&oljlEm{{#58{=o-3FL`6TO0o<%nv-YVwDEq0>)4JD z^c8uc(&QRfL4~I1abJC@C)-1T{N)`ZpXsgl*o`EF;5A{+wBFpVl^=LQO8Np%P6JFO zB?&~&rt6+iFqIIJoO@#dGx93Pe(a9UU7O3^zWT+<^F0Oc>b&-iU60?fZnx583UR-8 zV9A4ob(y*2j@w9+2Ivj$e|-A7`&_Kc?>gAT`59>PGPr3Yhr3}sfG3+ke)DSDH)7+s z5H#ud+au(`rd_uJa&*OH1Kjfuoa+hcn`w8G>{st_$&{qx?hlxGVB9UphPz>9H+tpV z$;(~Y4~~V9w?FvtCg}Z}zW#p9m5oeW2JSKN;9Z?560(Y{B<}n7Z^Tv@z`6ufDtxl$ z_VeFfaOuRC@9$mM-UjzK=U_{Tjn&I~B4a8c5z^bmEyYd{D$~wKUYtkMH(7((Uc| zl+chXgZ1&c^<&}yhlHS}Vc5B_Rb`qLzZ6QQuw2?ZFU4FUYe+I>q%{p$JknW1=1c|| zLQaUiSdU9a(>VI5(NCXO#z)~Vs$_ShL0%mUl{8|5$GM|M$D`GvelhO=x6G^!H96J~ zYv)?CqLBTxsF3M#+}XZ%4)#g-ZgWsCu1!`o#8V6XP2+xY_ubGt08N4uC(Rf)&Wz%t z!*xX|W6j$0*5iA`nRTNk;p;^x$T~WaB*9oUmd(S+86Iqpi`Q+Zs)*y8@Q`#7CIJV< z<2y9FvwfVe1KiHt*pK>oY!pFOl24QnH_2FFk|INh(%UWe1!T;t?A1*h={w5O)ez!k z@jT@d8~bSlN3QOGKkBffBTzT-*MVn8t`E+}9cA%RqsnSicEfz^GU2z|#&o>pX_4fe5lDzbvO{Rs&3MZ=kcNE z(s3}S!l{BLl$RMDvej3v@puH8rpW3kp7AM5taa(4!I8yj=z^V;2-WRF577&nIycll zYUp(;x?n<5Clpi1C2mi$QWMN&p^kbXpd;BxCnY3=tFEo;TdEE%UFZ=a`Hc~UG?+@1 zF1Fz&`sH*uVUVB-cWU26KNqaf0BX{07+&j=?$t?xrPa7{!xGn`0te=|imNLzEH=`N zRmY$_RtcCPARvIjfQIg`E<+HB<$d(Z1y%tB$>Rh`Q%?fo1ds`mXvUg=vXBKOj5IBF zFO#nm3kAKp4aY!?E zDIpxIX~6=gNIS-Am5SwbR!IjL)9Gg>9m4o45}L|uq6++DdR!t38hl{gMP^_CBC&_4 zjj@!*J0zAos)I}mEs+8jSsQ2LDf<|!Dj{w?F33F)pfm30ph!vpCpdCQqN57LeJWwv z7F9b4h8m}d(Wd@`IGXVU)}>PRY4=GOdoawLWm0=1bohH+AAes}MP%8e5!@ploJ9Dm z34tA~uS#eWw2qL$ni@CEHgvr#p3tc=R0@t{hLc%48*@?Ncs=Ru@27W^HGAA0Zj!SZ zaVHG4WwwagcGEyPZ?LY++DkF3UsgMpY4OEXQ8vLQA+m9lg(Q(4BTW`qJlTQCJGrJb zl&ZkSEwe{5Gm1G0jgM@?dm5`IPQ;W$xM8*d1*;RxPG$VeY|4xR>Mb;}Y9w}Rcs*pl zF8xR{P^!w{4NJ@-G`2$8+z$ma8Nlp8Z;^~Zh-Ppqv78wh>pa4`6nmh|QZ97O?$Y2` z2cvYdQV4MO%F7rDSt3<7XoX@ATwn4DdC=n)^fZjhlg*U9gxNoF(v)dHuT&mne`6-O zfKMb8e8G7n#C*XGBt+zez*VatM90qCdD%RVTRKW7A+tsNhE!V}Gi_=URL-M6B@&`J zo@&V^xXqfFKu;s26$isNkdW7}5vWzY#}%>x6p~;-F(yW7fc z?t+2^ZtLj{s&-IliVDWh#Tg{LK2$P;Bn@SUC zS1chUCanAcEMeEQZeY?Mo+UW9E{vk6{iiR}5>vUu-Q0l?7Lw6_G$2)Fn$a)Qcp)zV z$tb{Ai)Rqrc-oM~bqO>r5Q1jPB^S8S3!o&UAnQ=j!WKm&n{XWsCVgoVQW>%)q2GgY zD8L`;xOFH27$OTerOZOMxoeCU6I3@9}IA8DKjJ)uLE_H zF#+h#IM#@OiK;PccG7St8<<(@AH_uK!cdE;wJ2AC8~q?{7-T1v2a##B8H-Gtr5PIh z-AuAW`fLcPVFr&zvn9xR7Pj=7Amd#?RIEXdV%98_5Y0ctoB(bZYgvhC`3NXT9`qX} zHYajqfdy2+W{}Zq09olxuIg7Hl#r7kvscRpM;374UnL;FG~?l3N$EHP`4%jB;oV3) zMS?R4nue{6P;yYFs)@|5L`SilgUqb4jEcL8<4(kEB9oK>0-iQ1Q6v=H90z*F5OnpW zlN1y`aUk^&qWJUfk#tT$m{7xkKnOOuNa$RLd@c#qhG~M2)}VG<3lfTe?94OJ*I*Kf z87waFNNM(1;y^RYSc?$cWJ_rHq8?gkD{+&KbH*uYoC@f|%`lt6bi4+|7#J9?qd$!c zDysjGY51o?l}CkY+@%zb&L?|eqw2;LtesMT zX?W`i*5C&0BLk}>y~f3gpfeLSMAtawfq|orKQAadEZz)?D0K%S0*er|4-So@UWA1qL710TwZk0Drp`Zz+0+Bq z(S&*Du1)y6ee#QgMzbKWNIOYfV1;HC#&QAN|0P22}BEt`Mf+Rpjdl6j|R4#)%u`_%*24I?4X zDA}IgbFdRMY4T~x_f4>}*F$n`{vp8vLP7S$>&|}>>qOoorOa`yBu&Q8hEE{moVn2C za>|`nkoQiWfA*#0=X(lne+L-{S4~$!3ORrI7XZe&Zt{!Itn_4GuaeviAs63%^^1M- z@|jI;fp9(8NACgi((@n`YzuHzJJ2}91Hc)?!s>x>bUJ+kgh_&u42SDe?WUR39OeQg zHLbz)v=}vCM5|adWlL$d|EjSi(z+VEs6B-Rig9*vZHgPt*;QRwJa95~0OMRcx;9!B zu3bo(R@IRQGm2ptn+JG8ekwAi>_IkDc*Tga*tUT{)q+ck3~m>uGS3b2^d3TN4o=Hy zRNPcXOxpDrU|ou=giNT9FT~V(ig(G%DyP-5oLe#D{*+!)8`Vm*#jI;}3PMI$MkW|B zW5EQ3u-c^+KXb4r=K8f($It4@o{rUp>r&kO{)JUgFw%85VKdc*N2Ogw3Z?@_7%vU=@rjkWTbZNC5aeYs z91Ajx#|#MEHNokyvH2zW+a)XG!7bqc@=0cG0ie{?#FmO1n`$CS%sZF@i$SfNToqCH zpE}9@wu$8o$Kf2Z6PS_+7ma6+CJcs|nWSAdL8sw83~9b6&!<#h59fi%c-bHyhqJvX4&jD9OuCuz>iHa$e$ ze|$_Jna06q8Zd_;U0SFxbS&yKK$+u3ZTT$Z-a#IC;YCZ{I={x{z<%<=nw&%eKN{GUYoJfsfn0A@Y zrrIUAW#%(z%gVw@kc(|C<0d%*cZAIv)f)(7j@l*bii~&c2g)64LELt7LQ}@l(0`zI za?;1J*js5p^3xW7tY#Jxq4Js82I`liZ2Pk-Ic=L z(N^hdg`;V?_@CIV8A6)YKsMk)zaF4cRbk!$;{nE*$>_Hcl%#nvAUdj2)bDYC779&9 z1OjYQ93F&ENOy4iG8t=vgJwBSCZ%||F$LgB`~`yK+;IG2B13N|=<1DDwKPvQ>s9Zo zM_%T0C#AYs=<_Hc)AK@LA(ACbRFXX%Z=|Fu!tvM1%CkW#mGmOXc5*CXqEeD*_F?7Q z$QcNMDXuxx6|xHLJ1K}ROWIRVLU#T1vPtN{goW~n-8CITNcME>kgd$gYl7TCcLI4S zD0h@kpdj9ThY%AMUP_aut_!93)ePjlk7W((x31 zqLTpp;WkdUGnvJKKA!-YnlzoGozsjqCM&TR*laLOwJL0!n%|O*QoY8D$vamNxDg~8 zTh->CIMcw2{{l%y6gOg61EVMjF(iio5`u67bF_YB0gA+5p4*`Kc)Xz@ShIwlI(>|x zo7i568yvG|=o3)0uG4!19Q`J&_;bM!h$v&!iLfw(XE6;OqO``Gbd*^!(oQNXp&)`O z!C#FxtkK$c*Q0QRIRRIQPM08!xS38I=!H?>psD|g z8wAXe;x-PV+u1U3Mr1m~Iq_qbk~5=`2qWTj6dYX!a9eOf%z({7#SJSTL8eLucD)Ev zVU8}?g*Kxg@rO?~OpTR-+hAFa{)B<9T^0(cCcn9g)j)PKN!*46JM(c?3MCNd$x^71 zmbL_rCixB?EGJEH4X#2LMCmP1sEC_01Vl&(1y4a0mvkI}%%1x|)eeer@bH)QfXV~I zO+#qhjTDZxaaqKQQ1Vn}3DCixWfVbnbNu3pIzvuNsS#OavnLVfCkk#UZ-FcXx(PuQ zKm4|+vyVUYEKQ2rm4+zP75V$oai+CLP`IwA=0j)b$uS&Iw`;B#&L>tz~pk%<^Dmb3o<_;lOTnP zdfX)`u4By*H4tIu3rY$;4Kf-3gY)0Pv^`)!@nyzB64J5jiJLmVdHd(z*|qM* z`ETx>e8om^zkgll%THYQ5HKTOgpirwo_&Clgqt8__w8lxy!HdHXkPme900PK&Hpy0 z?n&=ul;pu9f7pd}3kY~;+wJ(e0q!F5MojSk2wgBmW>MjUQFBzJ1>6Xx(C*{WaaPdxgakE`TEXZ6B&BnzjspTz>l3SX5e)?y=A$*4NsVh!;8AM<2h}(){(5^0CbYXUNsh&dFB-RFJUKR~MHkz56g5ByC3m5ouK&3Bfc6 zVRTj*C(8M#DiB5^u$dgJLM*~}1tdF)sR+#IXV!iZA60}eT!c3_36<-}I483df1@U3 z+Jg19R|e7WMqo~ru^#gdM)0d0_gpe2x+pj)x|EW%JJzST(-<1v(by8+F&46U6Op^N zgDmU9tD$#xkv<8lG720LLOKJF%rY`-N5_8yy;F8GjCV3g+YcnCR!1i=&M~zldvQgm zF*UAj4Xg}UlL*ENbN?i}vD?ZGw5|~M2I}2pg(ijxfDM@chn1Riakdm00!RqRwsR{m zIx~7%lmIhDJIIPV}s(2r3=^colvATP{8}h6kHC0n1K$o z3ad#$Z~nf9R6#PF>4_R$3hF#-656WnFivQ=uHIWRxEdNrW)vBIt^{$xSX(jE&J!AE z2nLflBE>O4yFyG4`#|Hkg&F#VOk1M7kYI`pHc{XTBqzpc7*oVK1Xi(?(CBjEYOa`i z9xBy|^hgB{;oS9Xek!N1J+sR>1baApHZu)Y8j2iJ#~M0n_~;xzJRQRjpxCJ<_2~2V zVulF`yatI$qnf5pye1zj!tGiyE*D!x0za%Ayxbj-%wU3HwkFD1d1Jh!jXmQhGbAlq zVVp8cVALd}WLmID#~pZ~9h$^(55rIt!4JJkB;7)3kVz78?kbBIkv}TP{KYkw4fY)h zZNh1)atG>tNwo3t1!}<>Nn%O9M=d|rvhc2hOQsftnxXJ4b5XFg0nps0UFF+gbs zK(B1d1XLSrMm6g5G-YgC4j(bvS?`NBEE;9bwA(ktlUh?IRe^T$GjKrIoL%-<9OPyq zk)`1=S&$p9R_{ANZ)Lo4m7_;gSICdP!&O{1qA`~OaOD&GHT&JhD-S{w2OSU+LP_Qw z>&ccGtBlAq7ZJX93Q4X6=DD_=xScxU{+s$#1$qM$ob4(5#mtD&O{>bnJHUPQAI^rA z70xcm4vhGPv&J=Eb+eW#y)%DT_Y3Dgu;K%5an(3*FNBpobef>vpdc)Ly?sN&Qu_t?BzuPS#Y{#C!BPf1Naie1<{+EKr*P$QN1%J+)7+xI-4<@4$_bYUNKg5A&3Qk=x|)=HiBpnnn4m0O#x=6y~}83 zC{SZTlJPzTjw)z8j;4cqXR7fqNH)!g32WakPvtsfoZ_Z z7Ss?~PnRF=qCO9`n-C^--O^bMiAZLHguc*SU_+ZW9O?3ifB}51Y5mDyX2gNgq7s|%G3&lBkl1RAg&u}c zp=6c#e1ud$D1}t3Trfk%a3&}%{6IGBk%(HF5`>t6zkKlGp3QmcN&J4}cZ0RVNx12B zv2Z<3qBvz9<(Zpr5;2R)1;b=Dj&86L_{Ai*!}Ms8X~iY0q{2$29_51Jha-l-@ff;- z-18pCJ_Ul3=n;zC>d6#6XoaX(9-$KbN9dD8qd_XTD-d*<87>3v6VNjhhwd6WyrhCe zv4*xF%YY}yo1kYb%{i{Y@KUZ(nm#rXM-}qgLySw<=3T%k7Zi6jLxk>hJLs<7`CF+k z-aDMom>!Y}+=5bt%KgLGXbVzALNuU*Ji&>l27h^Wv9_E!L?*-$Wb8lmJ)trJys`qEJ6EtZBnq_}#G;ByH5B(-~MQ-TzDPQi`8M(vw|HSf^sk!HUhhtN7U*7$sIraEMxsJ*u#=qrWxb3xU zF2{1n`6s9V4F4U-Z0ZXuvcBBgPaf;9T3L=2=h#|i>P{j*$Jj%;YmOhk<`^^oJ#ofi1Ga5_Wlt_QFUNexn6?b8htD_)Auo)V@F2+7 z- z@Gj|4qj$KK@MU4;uhb2=Ry%j2xl^l}-^C9b>#lBDnGO2eVrQ`U@U&T?@%aW%80iK} zW`lDM6M45*G+X02qbgynK4yV?&d%5z7N4@wyg;kCfP|!%5&4Y!6gf@YAV-XKE3zP; z+ZOYY5dGi4ZGfC!VyyAq*zb04xv0B#2xu$b^ZzDXoZEL%a++5=e5l2osk!&qec_VazTx+# zF3sI~xZPPYoaMHczP3-*4nkihP&??hL$$;971=MI`}S=os2!?v%v4EU^|J5w1s9|*V8-G9JyGodTZSC-S-E>h9xj>oVcVtI31@tezJhg4ebcWVReS_JHP`Pr@YL=sodnotRaR^~UkMBXt1P-D0vZ@_Ecnd-LwDJb; z{|FC!WoX+s8J-U9T;_jm%Mh<%8mzh~@Zq1&eXQ~`Z|(V!So!F0wJQ#5k(-MSn&Cg2Rnao3{U<(_MgM19%W}|`56Wq zHg#H#X|t>KC8#&VSx2+zCzLZbH0S$}o_FnvCBtA24B94#QSBfR->ETwq6FQHT($y9 zs!BuE4l{CfBU#C%AGXbv6IAW+?~748_)t5Xl^8bl#W)`9zO@591&OW@>X_t1z7EKr zqko6m0o|-Z?Etk}m`^ZWuwk0O(BM2jtMsuwXR?-%Pt4OrWEk>N6X(%f0qe<)p1;f8 zlN=dlC?PJl&PjHCW7NVXFvJaVDcf@q?DvTabda?LMJ(%)duZZv`&gx4B^fH{|7jxb z$Si$$aNgn5c=1&3HIKc!aO|wZ!?))4eI;9}Miv*Hcw_;?hAqRRfsuu&Mi#ytjV#W{9USrk zt{win!^P<4H)hS;Lv?09@1^V1MQ z%*M8Br~@Ns4Bv$$&m|9nd_RpWG>k0D4wp1&$*X0l8d*%=h>?Yck;PdFb9MK~LUw0V z@zyL(%)TRw;(oP*8d<=~!pP$3ODCZBUoq9dSRs9#wN3>6htbX7ai(U5G(L}d|10-f zX+dL!bD7Isbp>&+Tc)^aWU&LI#0xZ#!R=f}Ba3qngL}sm8?NH@jt%6zH(O{3aX41W znlIAE{~HDz2FU3JG-^3_C&)W?PXITDClwfnDA^c+`q_@DPnxHvc5vNQ_ES|m45IAz z2b%4H%=#&jhhJX)J;3V{&@7?s9t8|)Zu49Cd2sjb-#EJTgA0J;`qKV!yI$LT^RZij zgzF-ljwkMI`#%Hjp>59rYxSq;^@rXAChxPG-&(c*=olhD01#V}ebkkG^sUE9_NGHe zZzQbMw~k)FW!`^0+V$#zn{akh=Wz)6U>%Tfm)@vgY4@KG@+Zmp4{zOk=%4?uEth|p zV1QR#4%uz63Xr#(4{c4r%6AX__gm+JyyEfCJ-*`QDYtIk`RsR&{{5Ys7ytYI^QT;~ zdFWk-p8fFUDc`&2InsOgszZAYOMA!HcAj3za04fY7!lR{ZfL_wDafS%cX~{<* zC-LKYPzM+lBj8lmV6l0TVG+R1~AJV-Eh zwnmU`j?+r8RX9(Y^>wLIrHIs|kj4MM zT|iA`BTh)BX2$TrrE^ua1aj8Te3G-d)=mSMyb!`#D`xHkDwpJ(kFO)Wt2PK$2S_X> z+nB(Zxq!&+j9#{YzoR0CAIMI~RR5^=(m0yl&hPIsooNr>WJ zji;d+aC?&=o9$5VQ}~L>gHB3{h#tP1P$m_;p?m%{lrvsUs9Z2-`XrzSK1x;wS1)YBO~BQY?Z|Lt zWfBqSP5wuc_PsG{B5q^Jyp_|f3_ZouBB%BgE7{`Ni`~8hsW}+_DjtxO%HOJ_s&T{w zxC&e{ZWU_+Cpt$tVcG`wLP-MSa~<q(k{rei6fDLB!%8`R5hNG% zqd~B`N@r~xhdv?F=e+-^>`CJ8iK6*5VX-cg2|*e`0~PR5rK^E=juR+BC)`IzixX0= z+Vc^Neo#!{RWomoNR5M_*6Xz*jiRuKv1?%&qwHGnIBu-D6#aOL>l|@e;2F*Zo}IkxIe|=ACZN*mYcQ)sjLluxESFK?@q~Ni{&|i zJe*Hekna!#2(0=V5a!EHfQzrQPmZPrg2P+u`pnItP1Iv}u0wKr&;J~HP! z`WipZe9H37VQ5t`Qh_XL!CeK5(8O7p2`mZF;Ed54sg6ZpWkqq+j6neb9L*58wUR~H zA7>f0> zDJB@3K$^fjkOho)`)VZ>mNU+sskVs)xeBWkW<9XGPa4n1G`hTe64et!R~+2(1~V36dJE+a@{Q|itbnJi8A3SN=yAeNC1m3%)4DS) zsgjhGWJZwzB}+nx%)v&bwVNB~eR96TEf5Bgsi*6VLq8a79PMWk#ZuTZwuG@J4I>F% zU(Zom10ks~UbZ0OlmN6MW(9ySTP;ixavo)TSky+6KM6k-E@y#MNf!NN$0w3Sb!Dqr zvtOSP@>%PYm6kzui4X>pOOdiFtyxcR_ep3sr$3L^1#O_!#+YMsMr29m+z}(FUObb^ zoN+O9#T}4abex0S-^!+LvMU?hdlYxPNc8qeuzV7d6t&NT%wfEhk*yj&`D9VZjt?yk zJDj(hbwP40HW5{$Eldc2TU27(ILYJ}>l1L*_n|k|E%DX_KWBQ7p7-!{R2oeh6f7R) zv?F&C=q0;&-8n?HD3=TGsg^@uB(JQkhQw&OpJ-Vz`frW++Tr|+>D+;QC9Ba zZ$NkGB%pLY6dQP_jaM5`L>}g9fRzOoS(`l^HrUDv45JV<3GIZ^HVXxONMCuCuBo6@ zF}fAX(+Bv~aQjDvT(hbjx`8+cLfjkxh;xwaBAk8#@ZHM~Zu|u{cKvGd zeSl#7+QIwpoqymTKt6KMnhyy0^u{;e#NPHd-QP~!zu$;$N4ETU-P;6{ItROvc#yY1 zeFe5{>&5GOX_9#WyM=7|<;dMDtv5fo6d<<0=m)C$CdeO&wc0<6?^i-H4;*RRF?q{K z!p*&J?&R6`k4ppF_QCrA9lL!h^uBX4^d?N+TcGzncXwb@b)dfPyuFO{PW*A>_v@ct z_?Ciq{owI+1OvR_%?%up&)2=NW*6sSy zlsB)z{_|IF+_+46@CamY`4P6%Q3d&I4?31MgTI432=a?3XXQVG+x6g^N{E8$eWn*$ z?PBb*@A>4jP1wuj&4%kIU;f+i2e488eRpqqw-b7=TLi@9MVErSv3>sjb4z~SzO)m0 z*@C@dp1JyxviZ+GaLdfa5VG5~jTsui;cmew|RkiYRq=&AIrZE#{Z9_8_8kOfUC zA2uid`=+j7S#8}~%luPSydLfFx{9RHT0Gln49eQN^{a~(4~xfzzil05nl(xWAmq}z zdNb)E$`Toid5vpLkq8veoKPXqa6xu^K8ttMtwoaHjsmmK~Ly=kzSZ;z553+XYH8Uxg)}6v?^|12y?0zI*n^bI*qO2<^=XGNwM1a zV&0W5TIvj6Ypl+!y`Z)(_%~(>R#y4C(m=vpzc$pmDp(xXEuhr`*f+^L*4A=pQD4`AzlW5@8aqHN_0jg0PBWXLvC zn*QHQxszU~8SB?#4|*sEa&)OZ!~CuNvvfrYd*{4JvSCg{gR2^rR2WU%X04FDrsaZo zU8Kx>vf}Fhj&kGsNgGzS!d1obd6Os2NZD5lATc+wg&kSTpC(rY=OQm7^F*qGtxKt# z?`O`*XE6l0#oikF{|t^SKB{kZIR zb1{MXfAso1M&Hz%uGNazcILj=DwSyHE&4$)tXv`5@MEF_UWOBng?WFbGVnVN~-3?pRGocxN)X zR$?9kOkyIwmuI*EAF3BK)om+6G=ICUYzR~G(y zoKSY{I*>Dd+Jt|eVWP=5nPWDj@Zyl&?ljdH=s1z_W8w)%#Fw^1NOh}I!vNtD(%=)3 z@RN{-$%Fo`W-773`~90*1vHEG7~7V36bail7zncXER(n)`((;@y-*4&pX8qgWLj^u zT35j!cJc`eGu~jwG);59FIWe z`2^#fNr}~!5aOF?Mfdn;HoRVxELcgo(_PpKWVckE^uukfnyPAtPYlF~stvWz$-;L2 z|C<|FtC%1QVIwg~?=a{LPb`aT7s7)8v86Vr87mH&6-7xmv~`tXkh{=Q5VkGmBBN1e zZHPwE5a}#bW3wp820`B9b`AKmg=~lm#EBf%hIA15$0Jy%u`EI4Cqbt62(1id8vZFV zal7P`$xA_!($HHk^|xB4RUFI;G~@*DN#!Hzz-o9&-Ext@-;P;lEZ9`(i~_HOP$FerZUiw?@(c)=AW4b?YL?HZ(u_Vbay4w7xcm)S|HxGty*~ z?9pQ(!6N<*(BvbmWJ*CCdzlj*n9RoLgCh&L=dTj5WKo*bJ1~6X2$b0dFq};?dbyN%Ao0vN!~A5oMg)(3NbD#fXRGsydKj=#RHp z=yj`bi@MISQYa+X#VsUB(!$8e1x{?Zg@U`K4pQwM3_7(8k|>msX1s};EqHjLy1Gc+ zePogyw_0pR`PRhS9n7d^QZiGSjWgVi0ztBBOo7d$NSuK;JsO2x%HfhklvNf*>#vk+ zb%vKSu~`%+=`F-r-@e3XDe_n8`pl3G??MlwxtL611>=B_!luVp3j|n zY-CSlOn*bc$joOkqYt_Cx1Hwbd+S|)^ASR;eFvQV%vq|6 zO|N91GynCYoo{ctcC)8<;^1tc)lTbY&i#2!PLvJ(xRq?c7jNv#y@HId#|HV&d;ynM zA$NX$vHT}dnYn}({@TC*y*Iw7T1Af`P?z(dzWEK{L<{!Xv2Ed4yVSoGGn<_fjtRH{r z4kBLy2f%~4BZIu$zIpuKorDlTRxUi$JJZPDAa}z6d#)OY44h(cw>1>0+JVMqp9oB= z7uwgL5O^`;ZXXZuGTPUa{yfK8Y9mmOY^G9;jt%aKOwC-2a3a32oz+Iq>2S1at1Fo3 zdJsirTJWnii?xz3Uv*9ivuWD?wx(9d6?MO6sVes6=-HZ7NxFb;81eT>(vg_t$E!L7 zL>Kqj9l+#uwwIMU0dU5!4?U3!3Z5u0oBElPa+Kadd@N#x$Hg%GPE{~$M%yLNv5}nB z)%Zw#oCOoLQM;rlTD4u0l|ifN?jXQm=Z5E}suZwqtDVFwg|&LU9^RoV1&bP9KWCSN zHIemeEvIn<6#Pv6j#M%{I`z8aXm$qV?CMeT<4iA(|EU7Nz7>XJW0QPn0-sdJuw8!& zFC?V^G|M$CAkYj;xB)(SFBMf*8p>7utxS5EhE)i)@PSNQs$pdY8^$6p$6~p`%d~iE z9E|5G$wnjx*|pc$S}lxcWTllbGQlxBV*f9D-vb{-b?tvaPZ4gy5MoO)A_DDepTP$IVTD#(`aorYl+ud8 zvsn8Fiukkz0f|MP6axGEo;$lC_@@fm_ddVhFBdX9bLPys=bZch-kJMt7!scfO`?@; z3xg%CfJSbekL~nh;d7-6?;fhj%OtTpy2wU`b4B^XRA^3Mn;0~!xEqY|3%u-wga36x?DQxe4tjkTK z%ejB*>z!h~6-S9ZVxe*wX5a~0LAsD0AZKmUfH^{%Ia&ld)K~-%;ZjUK5{-~%gV|b4 zm@2r>q&|AeeqE!$$b(U)XbMEeAsgNCKq=Hs;aLjcO5r5N7gT|`5uZK?z7bMnVK}0g zf}k>vl>)r_lBrz+m^%Yj4d4?FLLZ5rdf&iwp=0IS^?XR#7_?bq@cJWPWr7rDNZf;u zX##O!n*vlp296j!NyWdW#L=v8GBAd?MN*E%zJOyAgmD*k-6tfJu|!#hW46F8vJWMA zk%HJr_`{|K34tbtjG{kbB14TJk~(R5U<#g-L7hB3-LsAU7u;Q%xcAnrA5gt?lh4pq z*l2#ps3ck^_MmB~98AQcbwbOBz02#BH-Sk75uUle%50)!c_bp-`gu__T)=Uii$?2e z6V0~UHy#xszwe+mslAGO16{i-KwD(2Rg^W5o5=O%@m}$rotyZ(xIb!p6=b|mU5egOewd%bRKNmfG>G(lY&t!p-7df7@rgQMpF1$({dZXQ$&lKl;nx^idXi@TBqI(r2k^p0Q&wu0LbAQIl1K+v*3)Jh523Qu-&V?nIOdJanY+?tz8% zj5v#;a!ko`D+&{e5wC))(DACrm_q3XST>(P2!hZ@#m7#)aW0quCIpbBjuPiWr;)-L z6yRg05D9JxR==Zg4ct)9+=>-Ii1C5BB?}SCC`c(`)|(B0wBd3k=W;m+E9yVlI$ zWSBhd!Y$AKW!aWhLl19#8J}M;H_&P^d%fk^{cqWUQX} zQTj_TaBLTDtezlB_MlH5(6Joc`=-6N<=Md+H}_ZP_}q^j0~MCCmUh>#+Qc-`>zcHzPNAjiXFe4vTXjd(^}^(9{x6#GKRtU8T(2O^5Vpn z`U&u0QE+Q-r2Nan$L)O!u*=Zo`(Iji&Elb-ftBMXopbrP=u{fDcj^zf)DK>^`O><# zw_wTZ*vr`r(W!~A_vw>cBG`QsJI5`-Xv=HlzxRa<>n8IkZX*5|EbjDORmYC~JpLFA zw#ZI0FdF;23^=O*RXy8|-x4muuv`eZ)3TLUI3|V@Ba;P7YND<;*C*q3#IEL8 zrwU!)u(dv<;BAZJ3C!xf=4P2A8axEaol3M1NzrNi~Ge z)y5YG^^(9sSPB3=FND<%fbZ5!t}Ke*>b5lA+8;sy7I%L|%~e3~ePtQAv5XOQ+j7E} zwPFdTc4D(5-`da==XnQs5OBJxk&5JaOke;e5K97PV_g~6EP%qdfYE_D(SC-%tWTg# zSL5H0Y$v0wjcz5J>Mt~PN%@?lVfD~yY0DAeBAFEPQoG%dhi^Ctpn|<1`%B@X=;SiH z2H#mUR3JSQk=8{p6`J%z(-69>)t_^wJs)rG{5M?|EuJC zsmboV`LMKM0X+DjR(_~Yoc&LCk1Wo(<8|5(N+tbgrgT24JAx*|6+(y{ou=$2!Zzq9&}hEV)e>UZbncu^Q35 zB`}+>yIDp~j+?}XAmDZ)-+(!hWc_c^?B}2tgSq*0J-j!Nnuv9Usc80-OB=nZAcGri zW&94j39y@c1Iam$SZIUMNzmrNq|V*|Tt%!&LHw&sUfRSx4fYB8C0JOoHTZ7Q#Ug@F zh7c?{d|2NB^1a60_zP>iUq8{1489P{8}uE>uYhBDq3LJ9Kvr{;B_0D3H-?vFk~qX) zqBa)0>fReK2RXv#L?!??x6beyr@G1l_k7d^Uoz>o-S!d)g5G6Vm^c?p4h-zu6t77( zkGlG@pSO-1yTW@jr*phnv`gUL%qi{WKjV z91ESZdV_R&WM}Xr-F=6TP>FsrEV`XzQ4*EDAGn?1Yu!dgKOHtCnpX-8LUVyPA0$=S z1}3`)aWhONwk{-g^!*1*}!bY*O+Le&?t#B`_@UpI?;?Zi>4sHQ)As@APd%|z`^SYbW5{sC}~9E zw4V6|jB_M6@|aqbCgz{bCevBkKm}rbj%|<6<7hxFmO-ou;Z~c~KqJ`a*)fa3ZH#~GhckS?TefSZcSK#44&LF0wY_QByq&f$5MseiKDl$dbF}PPu z68&k^0=X$+S*Q?{zi{E_YJ3EV2RJCsr6>r<8K>3|KBI(aBg@PDpGfGG&5;XB8_2P% z8d?&i9cq;e+rV6 zs30I#KgGisaM> zz*B&coB%Wn6v*_km9wgTp9bhv`pfD5fS~;}@F)+rGelc@{o!`Wz;Js5$B%zHZC#kK z=)BZ`Rd`Eh0JkDB#g zAq|kbq8<2euZ+?tyK5-t;2k|cIGteRrw^}aw`RRF<;~RDYj$o}bMrL^r}sM8u_ApK z=yLtqfXh{sz7SY+)zG_x5MREshAY6^0_+#Y2huZ`d`$J6LRCi;K&UPT7*+~cSk)ch zqx{1?1XVq+u8@pocLN&Kj=+q6hU`Fo`|zsN;Hnfm1g>Ha8aJ~h%lFXoRCvYVkqo~3 zQrx-I|Mm?lidoI@v$(2B!>^ecgMhjAj6e?+JJiPVZ+v}R3U*XF>iD~z>3 zBK0%Gi-Q3KcF0`&jJbb%-qBRvv6X|<@`~xH!)s})hA-}*vT-LXIj!;;AC3<1t{u+U zc^~;ffW`e4wZo`@_=?0s$bu-r6FN-QP7k*C8g;C7R@ISoUgYY*|CKJEkUpWRbnSrO z^pW7>PCA{sy}bH(S`A&AzmjW*p$jXHzDXTb>kqb8KXX#{47#ji*mrLCWozB?Ps}=T z!PTkD`W^XBzw~RfUcE)v4*4Iqc3}M3d)s@>dS~KSQ!-_#Di%-%jF+$ z@426<{u98yD8U;#3IiP`;>#yHlmdXINI#C+p}J!fN__q?6=H=k>VP8?1BV4z=nZBZgLd2-#P;VV&3 z{rqss6i~3|3Y6dz%$+`xk2eyw2DWYvY}}upPV`)R0{sS%0~-Hx_qf2TujPL$k$-dt z_Y4-(mVQ)qIM6WzwL|{wDfwxK+l3Cf=3ZK{BJivIfy-}a<1@9x5%@2C*gP=IT-(ue zZF|qv?U#O04ts|VJw-b;d~pY3^8PIoPvhRtKBsF3^E4jmW<3KBL>)Ly5d1C0i)Hz3=9-I(wyixsvR67FI zRi;&ed?tr2Ppx=?=xFpRF&jDTq-+DYxhVQ5PMN;L@vEQfe|73J{f;c_SFnB7tBdy_ zaug?I`B!G7D%WhSUqipxy!v$e4vas0ZTngOvTE{IQ=eJ0bJ?2oZw}tE_>HyKrvGBJ zhv;H;&YnxspQ0O9nAe5^DHM0^H814a!FlrsDDU;>*F(Ylv}LReUCtQX6-QBocLh3z z&Hha@&8@Re_Q*>VY^w;Q9FQ6>3mHl8&?!c5WFm=hA zohaMY$Hy#qhI-t!a%8&|NN?($LTN$(YsM#h^*4g%mI3&ggRV$VtsSV}(b^)SNk-kQ z4Qg|m1`iHQT@vUB<*h~uevDAC|MTs~u@sZP;pOtcwwJkfSp7pw0^<9%V48kNsS|r> zU%x=dD?JytW1J!SuAKEG{X=@gEvdkd#`YK?d$o@0J&lI^V#;cF13Upxk_RLtaOF&!>i6TCJ}5+?2yVqOH$YpI8|f5|H%qi?csa zdtiQd-@(raf6pV@_l~nGLZi~J=-MHVYX|g;2_uV3r-ag|9rhHZe-^s|UBkBqrj3&8 zoV-w|qHn6IJ)D13rcxs}?4|8e9BnOYwy|5tGlO4Tu{rTnM|>*EPA|A4|31;8P;Yx z?^X2Y&Gh5;*0b^!8Y@scwA%|)7+Dk*x1hX7`@LlDF;*OH<=SDctWq@e2+=pceEf#$ z<9B0ZVND6G-Aw1m@UFZB`VMA&^_m|+cId4gf&ZqevD1JIp+=x92l0d57N~yj2bBa1I@&otyTdcCZNu zzFN_bf0*L;9nJ)6^|W9+|DGVW|5wRQANVUAm;VEx?EX%B@b)z`UtX2|{ej+APCHFMXRb6UQ=X2!Ug_g;G7SjExdJJ%lA z_4*%X{^4Pb5&JzL55ErC!y#n-o;8T_OHUuTYf$}jTdg1d%Wv+w=H9uxU)=vGkgt8{ z+s9@dAO8HRAKo?Q*hFyO46Aotcr8@8^QD=8V8`CsYu5u=`HtXe8 zOul2+b6Z#K|J}?#K-vq(W*nRO;Nra?<7z7up79+xdhfB@(=&U&bNv1Td(YpsYRBUF zhi2{?z7w)$tQo%Rkpq7jwPxmn?_}Y0Im)5E?j6kNz(Bq=TE4R8#E$&g|Mr4T;#CLM z?HP2*KRy+B1&F?zeSGDjUb}v}_pSYx&%AkYrw|wqK;l)t5DmUNBZGvy`r=)S(^|Ig z*qvWp{K&?mUq5;$jDHzX-uwgYLCz5Sby{Z3Ves8UGZ}ff3;1pplQ$##)}N#i+D`*( zwO*VNY$qQ3a2(hF4`ky#Ay^sL7_r(XjTU|gllb>AbnIxW-i=aiILBlBS!|gY;=3|D zqTU7YsbjU3=8E_N?1hxl*tR3;g<*^ghZ;uIw*a*%3|V%9xrLUkECX)GPDb@Y%IE?z zj9hahfPFRDX71BU%ksEn=vZh{Q)DgFLYzKOaHN#0tb2q(o5EJBWt7DiM77>hu0#|D zjS7Q1s5L2so+QVPP*|*~$-@#1E9f+Xtwtl^Ru+(56l4V_g$taVb)DF@CbCb4+p*S( zIJk4_U2unqMi?!U5((?)?rjXdi-kl2cjE|{S46Cdge$#z7n&CJP$P^wM%fKPNL`#` zhGUU6nL7i%YmeYWay5u;V-$DoaN&Ibu^j=Ak&CY>EOli)#Z5-p6_f0^^6n{jX;iEb zBmNeA0ppyHyVxNXcVVU0yId#3eHV-(S@mg6UQpU>_q-&NI=?jKrIu079t^!=1s~dU zzllhMP4cM`ONVF$VSM?frvRrrrx=*LVe1}nvrkG18AK;Bq6}NJ5hZ>|JC>Xr<2^~d ziLIQi$-#QTR$C45Le_Y`&iF*lz1OcDd=wp^>HwRGv4RXn)$uG`XR+A2$)Ai*G@4zZ zVi+}i@e6;mqg^U#id8HO0T>N{h2)ihOwBEBP!LA!q;AcN4Kj^6NvwOBKzR*d(*S(6 zqZ5sR(a487GfG%ip%&uH4w)6+MR=DEWI|?(IiGE|k^uf253wK7obs+2f|LOvgL)%o z7BbJJGRE0bDz}LhY;*IZofBy@NJQ!w^|j#J67}93w@5y=eh6Yyv~Mu{688C0-H zYjO#M)bU+lO2vW7#X{lO!l%g)z{0{yi6=9%7Gk&z9>w{4JrwlZc!GVD$@Tz~dQ{@u zch3zaq6b674F@jnRimivXP% z$@{FQ$>*{V0va=01>`KwK1f@J+gl1NMi+S&q3$a5lJzcBpp&I|3DOd9dr0|_8hkeb zRAW4Svdm{Nd3_Js@-r9twF7cXCaCPm2mZ_z6+%wE^AOtdPI^G!t<%7ayA@14PK6P}41Py<2g zdEqAn!{-3AKzR-BowdNmr4?3p!%bri&(%QG(lSj zE`&$%hZl!Ij@RRfO1x1(gVLF!}XAaE%mrIXKAV6$^7@ak2A z%{Tc4H3Y>6v!XAKwpEOy&~Y}}_%J=s=9|o|W9DxxIcTarQ35}v0djWIa2rt7W7Oi| zTU(CWY0&O8;0-Ho#z3%hHMbTbS61N3fCL$0z)qLol@g+Dxn5}A55R2_AQ__ou?M+KncxQW zyD!_8A&nT%aX|<-B$@W6_{@^H6+$>lyTwH>rdXlTDw~Jq6Ogx1NMqX)8e=aBY}*mo zKv@mOmpWPk@hHpJ+0}p;=5`W&hx&mbE@ukG#V+3FH=jVgP=;?M$`pVn)kQ(>r1>bj z+rre?pGzzp>E~}to5RT<4Iq{J*`E7qZaKTkLYIP|f@@?w$i{<=#&!a@spVV1(G0Ce zGaoT+l$|;|(A$IFA@q5)zau8PLfF`zg{ZnBXrj@9@o~1tWI__p_GPEa(3#00FWH3N z*TO~!iNwxjA?05{l#4))_??8vRYgn&-Y2JJq%PZcxQhD@x3Yq{=;K7=b=-FlfHyr^ zij#ISj%)YIY~jw$#QKoEU6;1|SJ8%|99zp65U}@c8NgRoUlEK`%D~|i%E<z2z64J7%04Xi)Iwo`4g3Le6_7PGZg%6pU0EbG!f-e*ADi0kU7zEp+3mIPs&)6h=pCb_}Uem6W%|GQNQ|*1=~sE zPjYM74YltcSxEY@pgxSD`~HV|AfS7PU^B@eehoRO9|>n41kn#E{@?<=%=Hz-`z7I2 zkW`k`=kGbRq4Ubvw%LG3JA+U4`hbf%)_S?UBD!HKPds<@Ut9nvg{+LGnTH zfl?vRFfzkwtxVywk%WSHfBPM{!s6}iMS?j^KEQN5!-s4D6N};>bqNlVP$}@0#2IK>iZ|}mAhwTTbCriPFsZ$<(T7gUtPrG5Cq^u`1=;t*rcrwbU;O@{ zsvqXTHy00meEc~OvUJ~=z2l$ofZ+ShpXJTTMya#UT~9u;Z0fSDzB$LnkG*^x&}wTB z_*QOR!|<+m9tB41j(v~qy$R5-!rlmp!*#KlB?g9-G)d z`S1^?FWdZF(M!)S9{wg9zr26TO?wZmcQcgWE}e;)L_$ANA(A{LSin;YPd3pP)~!$49kMSNq#=-Gz;#3mbVWmeJp3a_yuEm0r+DwgPC@ zYg|C_FPz=CW^!FTP9<#*7{Y5<5cIIqN&Lp&IPv~QTt;25-gVo0Eg0kFK*)7rAkK+e zPD{wZD_A( z`?fpPTNK{*?M5?i)Qf#c_80M5m@>Bi1aIEiz2{lrzT>knr4kDEpEGBm+uE?;H(sOp zg>j>?V?(8zI|-URFv-9UD%hpPW0YM7o2$g}C3NcNxpj!L_dNE=jp0ZxtlWg}nu8i; zw*Z;|#MU>yrVjhtzXyExHrfpLv8B{DN48HU37Xxy=(86lE7iZ=6LNq_NNUMwpt?EivWm>Wb-8INFH-mEXsyaGp4 zQ43K++;%Lx4%ZkbaQ9l0B13Hp03}NCMvD@nr{HJ>$Y?248eh!sdE3lRc|krR=qrwj z1Kf=m5g`sj;eadQ|L}MKw{;Mtl)_nn4;8@G8!&*4@{;RfGG~O7`APWP^73?N{}Uql-=YdFdC$U{2WB%N$6)- z0^jcA1eX|6A^ikSWJVLHp%B{uh;u3wU=#Hnlgw1zm`yG}-y$2p@8R!b1v5BD_Z=j; z+$JzU$R~o&*)0UK-8O3B_c|8HtVz7^1b;t}^|u+R)3CG#$h*A<1QpI39YmIjL=(~; z`BtfP45SVwAm(FT0PO)#4`jSjTuC6;Ta0&NjW*Ft)N0vvCuR4kLlY-^H^t+-jaoyX zQK1+%n_*bmGXPSylkONlpIBal**jns*;bvlG7$`Nt4dyu)0@KBY(8O{jItYbg|`k} zhX&47=Fc`2j%bR%Wn8CR*9wxQ7^-)4j?Eyp6!d*Em&xb%RgAJ*3((-Ck@2AP(9I&P zx3c3C086{u^`0oSEoI(liP4exHc}wh+Hh=0AtOU}jD4aNgs0p&bIA5Kh6~;C;qVA7 zba3i0_Z-RD-VyKh33osk*f*X)l$k`E<5}R2)D=4sN;Ewh>+jZ8_7Ao$v|E^(xAw=3Xn^W&LE_3X{Mzhd7dqKobVE1YCd4iX?-}ZoNk&`Ka zU$PhjIcDv4=Xo~#4RRP8@WWN;!9)x=cFrx%b|{zt*}es`OUrL@Va_tjlwvca^Wg6H z$%DS}ep+U@*~)j5ox5i1+JV7I>4Q;7anA_qddzRoy1AohB+kqymvBqQsIS=SBx+)@ z+p@ULC=3d;!wJ5(n~%n~G1(O8)t9H7ICT^elkc&5;MglX1xGYS2+%|-0)j7EnUkrb z!FM6WQZZn}7E!^x$mr_>GO(`>d`&+=+tii&G*Z5fa;T=dnpD)q{Gd@prWkGo=cx5TtLyKqc2q?P}R!)c&SkZ5vh0c;?Nmv|)3^Idg*{OPtHfIb@XR{`f zs;|U(E*z_^tQXV_GK5G2M^`{U-&KW)7^XS>v_vDH^~n;JP1gw=ayi?J9PL>3A_!)* z8b=!y6@ChFrkuWect4j>xHfp66-C@}h}4lu7KK0OwpcChS=ecxtj{`<0+EDNobupy zt&kNVD<>D{&Y0IAaYHqpmqBZjA*{k?x@V!0sG|lmvD-D9qGwsA6STq+iW&DM;&mbv zHI$<&&qCjYtQl9}JrXr#Qb4fmp5>K6c!`408|zWN@f_vpm14TAkFFi?V0ZZ6^1wol z7%#t~N6wj@46I8i{34H<&BSlBn77&_Kv5uj!XPtdaR(OJf`kdUBsBsz5rjT=J@lqx z!$c{)2VKz-RO;WdFwXD;XYtbu(q}hiGfr|%2^EM61bQ>j8wJo?C<)^SzL3g*Mha96 zKfu?3r97cpcq1AUTcGfh64qLI0I9%+?~?aWswY%B4qf0-6W$NPSteNcRWXIPC(zH} zizwV;^WOCaDcj?c&#zgrK%xuU1XwIb@ddocszED79D?{Wl;Tr;z%Qg=(IFG0L3ME^ z3W1~LL6YLvwY6-41tBIw1+1K)hT_L8R4kw(N%W*Zk`@KXE*mpf-?P87k^L2 zJU6yV$L{Gbuh--O;|@$K8@I3I^({Y{aCrV)jrV(#cI@CR7;G7KtAAhdeA%eI(;nIUz~HiL77suYubwe&8Uwawg=p^6O8FbMEJ8lH0bA2g zg^)uT=-AcQv5;9~2h9ME>x{`j*!6dQ-}&kT1HYm5W^T6fdX7?Ow&hn31%T}jVd=6h z4`?#Xfozaj!HiEZ5wFR6vG@T2_*=E*B|O1c!mBvP4jHF40qHQ71r`l`Y4bTu9{R<- z0Lax*-nHz_4Dzt{3CO(XzgF;0E!%h1`}+iXKZca?&G8i+%Z}~D&4uirYrTPldn$TH?WXjUOgQC_{pfp4zYrB zwmdNWhB$C@CyyOE=7Jl@0}rdP|2NNb7x1v^<6>-e3;A0ftXpc!PJjCVxn?+lxp|^w z@L>SBK&OBJ!_`Atxvnu+Bk;1hdI%l zzA~BAvMrsKjyE4dF#OJq0tq)6w3I6#JAtK=GfQHfPZnaTZDEmv^^kuW1=+|t7$RTv zqU!IqrNU$_3SWnh%p!Gr%R)6%+%^SG9!9R>z4NcaYQY4cKSl5J)Rkqa#svl5?1Bh) z7J#XjXIHwdUcj9n8U&wUQ3XOKNAY40yGoRJIbqt^82&;{>US1DL z#KL*;I=rVe0c70Dz}9gxj7;LKCJ4#;q;d3;Z0hV`+4$?i4Nku_WQ62sy)y{DzU-X5 zX4QZVUaHMVVx3{b%9N@!FxBCwWvn^!`DE0_2K=q?ciX+;iq^SE25HvTzEwg_rD%hz zTIYowYi?m}+zjVMlY(lKW!}7UUWFh$F%D$ACR$E4Nzpd1zwjEGskLE2Z9KMMNPM>l z#ivean^#%3jAcXj_y#B$9hg@$86I@8b**2DC5(qTz6Z6HnR1BB&A>r-3PNQruqsgr&y8c@}hzr08thbjpA_t zN&FcJLg!lXn^0`5p#w=85I|=T+GjZWDs<9M zJ&~tg`d6ZY6_W#T%nNXwezY7RDm${ylFbj9%86UbRQx5WL(&=vbCh8V%$4x4CzK)? z3XF;~@|oRRz?H?N>Ut`muxU#Zf)rIas+bJ%Vl(98KV~^VCK}YVskaCU(ZZ`GJn=B; zGkNuKLs&6$6R#IpQnL~K(lz)hcBTC7^Srxt?eN~}rAs~1wbtn-f6}gU&?ur+H6wtH z z6&;W|ch0^}NcZ-ql5CYo7p?w#Lo2 ze8S2J8-#S^5 zD)hPHV>da|ya@INYITH9(kBuvnk85&H3bHdhwtnf4C)yY#YE+@4sR?dzK7{Ci_kjo zVt6^OF>CF#Koi{_f{fNdfSgrONL7rMDG#?x!6l)0a{x^f+k*cHLLU_$KY}@KhFdZ> zgbLeICSDB|BunfTz8TIV8X$5bWQ?Ezq|ovyCbMibkodNlFz;B0>HcPe0=^KQ5i}*0 zs}W#Ikr~Gg=%qr6KhYE*^0P`18eu88X+#j(02Oa$+4w9`n`6d>A#h)T0YW5>SS+Z} z+&EW!ZCW&sq!uEDo^52ZR#1z?IUYbr@j?3p>lz${J}Mm1y&?47_zi8P$xTQIFa^SV z)g(yCkRZFkEr7Kwuh_67w$A1 zcb@`=)nw6iR*VW2E9k%}#pDE1XEmA8Pqz#r1g9sKOybp+;gGNt2>$eCW~x;%!S{(a zN4u)4Ij0!kg_{O;BK{R5_4GboqzNM%AQ%R64fiTTlK_Rlrzl+t z*#ys_0CH~Z44Sj^N}mL^T*q*XLR~>fUWW6dJeBb@t^~Bf8%z*||LE$Q{H*eIQ#ipA zBx2wxeB@T}B7nueALr~Xp*2<%xQtoFFiNJIs#(wiFx>3)zhKP-U#E;}St5k+sg9j;`BWel{BPD|Nl+Md z4wMvviltC4?wKI#n(w?Z}cJ9Qt+4b&or?c<4>PJo6;JGn-GwOuim4!2h-Ej~PVS z9lUyid@`A34{v2nSiy~phwcFC>rG64>}$)m9LF5Jwi0^3!ku?hW@oVAOJ-;4$)86STX6(Ig*;e5FZe|7V zzjFtKbX8F6?L!PBk96vNia8%dZuwV#&0+};O&a;{G{Lk^4|=PcJByTOCxtrc@7hgQ zg==dj^lt{49*&kh{KTNktRH{`7`6D+>hD%3%UZo?5Ixu5wJkQ!jZQSbKyQ^T8QH(g zTa>&ABiqmOVA_{0mOzM?lTAy^bKioG9-<}Ri&p}$HCaXt3lcmDASdcUsZRM$?yQgx zLRZsc)y62I1&Hm!aBX~iIP$Bc+c$1tu$wHi=7&Fz1&X4YiA6)=CCQ?iq}wtd6ATP7 zd?EE6xgKvoMj?CmMXihK+!kvPW8d}t-NdjXcb7mD%pfFPYBbNA2iXkOYj@(jm3Z?P zTt*Fua$`6IL|H$_R$kPq%v{w69r5vD%;rP3L?(7SGcpk8ptGTohyBF~%zyy$7Gz9y z_(HO->NFlt=z%)keq^{k02X4-gjXSaG8Yn<Rzs!R(pY$(*9(&( z6U>N_bg`}=y@gnySQx&nZLS%)?rJlVJGErVq{;3>4O29kA%+vBVY2u32V!!Wr*09c zh2~{*EI=1;1V&;KsD~FBZSy9>v4sV#i=9y7g62QuPAwY}-wV=PC0OC8#9t)dYr(MG z=)iBr_ZU`V9ZxNNUeEJP#B9O`TKNIScOg5&AMYMnyt_a3KXYwlqTwDm0*}EtfNb%k zfw%%PEM_`a@(9y2DroX(p9r z(!c!tX@r%T$I(xwZT#FN`r(GC(67?cQjocuY}i(=A-@@Sh+ZLT!Kx#I{~8k&Q+$l_ zml&!qL^l~Fy8U{tWlDMGJb23{e2|Ac@p zHU|lRAXubq!mf*~qhSTjkX`A_?6yDbKRKElSxTzha+5U*HYqL4f6axLu$t$+N9geY1x=0)u-TGua}N;h}`QgsogEw z=H9v#Uz42M2Kn+vX9&KBT`~&MSvty0xrASM!KMt3y%pWhKIb7?{UvHD>OLBembA?W zch@}lbV zr{DuYm|M%N6rism32bDL#5xE6;8F*Hm#cl>7*P-$bZ6Lljwu^(1^MW@bh=xCWQy$l zPI8vOzoP5K$tQ(>igf{w0ebh;e2|YsYVn`Z#^BX*qJJiI0ScOVnz>?jqkosC7=#{> z0KbD*-|#DeD@?_4iIpCuEW9idhM;oHN#YV6Fso2*BGFUL2r8t8{eY@tv|cJOaE~dI#2J0~`V4~E{hh@bck{Z`k|B9$Vqsa(!l9a3x|R#s^_dSp zhm2BiIhe)I1YR!|T%Tg^^pofA5-RuZ=eUei(XxnRM5z+*nS zJWJ8PEKP^b@+1jz;5%Kv=s5L#*2*?f@Y!~~q(ONR6jq&P26y%$KaS|2l3iLFP|I)% zqQJiVI%>J=!w@ zs?yt$+^f?DqeZUiafn2q)xNjA>X1{l$Lt`PN^4ZOj^MS2^Q+n!WHDvloR%lj=CeBh zo0Q)!gYCeXf;5NH*L9U00C*dn_j2my4qAJ&G3$6Ny;H+j<*Wr{6F+d;p*r0GMA@nn z`!AjKHqe20ri#+QW=fj}kK<0Dsy+YMU)Dmw%_&SEq;C$Kc+|(I3nT#SyA9jK(yQ3V z&79p~K01s775Q+%d1`o?adVIR7_q~k;91+uwdw68<&e#=v^wNJRgw2(`!GUR1pu8O zfB6J!vium`o4!3bc0Ew|^3xh+m$9(4l`KS;N7|Z&*v&tTj>FnFR3McR8#pd?*}b>j ztjf}W$*U23PjOWm7Wm|R#uEN-fab2<^j%@8 z+!2^QBQHG#7`gM{lQ&c8*C_pzar37+IcKKw4^0Vx{L*?<;ORqwL#e=t{dp(a^WSQ} zXcj#9;^4#PnoF5AJtKc-d;Z#XNI>E<#PEgm;CAylAo!|0hS%I)bv$)&Ege1{7~T;W zW|V!wa#39odD5ZBW9Y}6Y1o?Rt{u+QcW3-uZkk@(y83Dq4Gy8IbQ{r-qv>Z+KP5hJ+mFjr0#@0W&h4f3Q7J)zJ?*Dphgw3ObQaT>-NB?R0yvJx}Lt z)DGu%m2D(~w~^77I{Q&t3qb6SSelV=0gzjj-q@uu_3$ndG*!=Fxq*a5y+@$!L+!8=<#RhKHs*#4 zdeiXqHWs2WVvmmnV5Z@~C#iopK848{werti8QQ$<3ZlU&N0VoBxk=|Ap`Pg@#yRlG z5V~2T?7m$Ll-)FBvk?F3&5W|kO*ltrR~;h8=;R>%%8LOr0d%QJeAoclfC0`5LCvG} zI7v=-u$7zIp~+{`>xu$v_`_+64Ca_a-3dxiVnRMxMOqR_5faft9M|9X`yzY$j7K1w(r%ogW2hr z?vua22aM@tZC%}iGc1Q5oQX>ZZ!o6sx3&)IaXcN*U*F@z6GNY|hhOpbI1=fqZN@m` z_^|w4?Y-Wa(l4DlCq?O5l+L4TR|d8RBw^DbWIq-~-!TSvl^vo1K&!2w$8jqa=up|(|O3P?KGV>%s}Dm%|#XD%Tv~@SK1$?5r?bOV*;sR z$nw?{qpB*E?-wUuuRz{GK$jm0DJn^co740us!s1g(K)M~&O#5NN>!yUN$(PA3Z!?0 zpJ5naNJqKxpMD_=vCFlC)undG(pi@-4&gG%Fu)lhe1~hPljK7cRU1-ODGB4RA56EC z(KiHc9%-PcrqD$|jR_>&!0iKju1(~hxPtzo2EEx1l-(O{UcY=w;PF+oRrG4#T(xu4 zWuvyK6SSY!p_}!}Idoj*{h{OReRS~+i5n7B@DHhf|L4G$rWwyk`>D{Nj?{ou`(N_t z`3pC63^5P%V+7x)YKNp#gMQh*# zKV)*x>t@QM>ZlCtxPp3Agn;*Jr)Y*lk4o$Cm(x4w zo*U3Zx2E)(SGB$OG%efrwvo4-LT`scDegN==aI$0^qzs~{VPt$73m{6X^bq)L%Xsl zyQI7Md3t2Q&%e-hWYG&F3&`;Uk1ROco9TV&3tG-23txztaTSj&P9b-M*QTK0=)Mu{|I}JAkS-jR%0EhLic=5XV*bnB!4ooc=@d z+xnxlX}bLWH_Ww_s5J5pjTut4eb9N^cIDHdw>L~jg=CZrwaU;}^G6mfu^=hms?$F{ zG*H(L-6*^NQ&7F@_EZAcG|=)20CF#$ed&QU(^roJdg>qcuK`)(q3-zVT#Z(H{>>Vh z^#pSR<@I|P&slun=#hv4Q~h?YQ{f#emcOTHXQHgn@mlp9Utc#&JDbd2~3eT)*coKnmZr?B}az ze*Ot_@$0|6@W(gpdiarT#|KUNl*Wkt#?BKr-+hpwdUri?@E3cI&b&F}lU}o1|9q@{ zXdd+b!~TC8{>N2gpZ*HWnfW|0V&6UnbB_Dw{L7E`AG>oWaB>g$=)n8mW998@p1gTg z`q%Jxzq!}0K5%5_dBEhIeB}0HPwtvK>wb{W19|U}nJ<96d)I5n9#79b2<}-AYO+S~ z-JO2>*u@XdWg!!HHE#X!3&$X2-%m5-15Z8iA18LEul+Gx^}FHEFTQon?Z+;DL30n^ z^;3|KK*+CFCDX3~iTJ0ZAmqQdPOtg#@wcjWUi|!n>37~fcEPSyd!fl4*S-Vp_E`s@ z$?uN6267LMat7=D`k|_0tRQQ$>(9r3z5m}j^3yP9<*fAZ=jR^y>(e0j*!}DM%TM%! zCSTzwpI`MSSgG}fg1csEE5W_$^>>(?af;!S!HDv&Rr@vGZ$-Z)e%}GWob{sq{Si02 z$qjJMsVJmp>=U4;E){X?D^ytT8uU4aynU=S1CZ-O-nvmB%I0|Z5<1=oFsaR0yX4dX z_oi^Ehs7`;vxajFM%j&l94*GRvH-q|_j6cJA0|~R=vmlhHtaa93f!^LIrVO?0K}@Y z48YFfR8Y0VRXw~wz@`Y}Pyjpd(p0@5 zGQwB}icu{-5fKGqByI-lP0ML;tb1@?8xeSm1Lf%(|GNN9| zu*n#`h8166;l~Y`J(N`sX45f)fa;ZDWt6%!5~D#cAfQ0FR0*2^)vL;3hofjj{m6LO zfVsl9;*0Pk6byR`+yn&+Q=rr~dWyh}Q_m36)LV;z{3#HO;&NDJ)eDZ&0s&FtNSfQK zS1beyl2waOJEY(}dfj@reL0qGI^p^JR}_9HMAEN0Cc{(UpMvlm~9I@QtpW2Mk^# z3zP(?snN!Km%rIWqLD^sJw-vhE9F8A?plp4h+>ci)QU5p)B7f|WxNl0B7_*OGQ%Eu z9J9#^%1w%h^uq2lmx8y4fmQB5gCWsW2eQV=Drle_vP>#CSD2i%?JoFum@<(9_)*2Pvbxe`&v znvo3PPB1x7lapB2jX4V$!)vroot`q69B(jlS9rYK3%E0Zhot>YKzX%@^6}1Q79tfE zY+V~5cZHTk#pPEK%a%D2mfa+CbDYg3qSR(`vm2R+?Qy(Y72YZVlPYF}FKR-PM$BR$ zs<9@GNo1cJfveZ#)|!qfJYXE#4F!0~A`8`POJXvjY~VyIh1FPo#?#F0vIiklb7O%R z*@?9AbjL6J+5t63cle+204nHH!W1P?)iX)*p=&^sX5$Y>BpvobA=K&!77|Yfkg>#P z_!sYYOeL_dDN#!^i#S{2v?9Y+m-+n&KZ+deJA*Cr!Haot9i4?O3_%uJaZd{oFyLG= zB!~#murGf4r1ZI^@LrT0LwF_Yq5JS|L#ZhYyIBdX33pg6 z{9?}-h#(`zM-uTEqBM#PzOc%{qgtBv1rsQsoN|H`sjqO*C;VziXRzTzye5(ZkIZT2 zauB9EGE|LrEt63`K(OWy&_6gOHi`~-DPj5k41%XE`JL7y0CSWr(ZAReM2=hkV{t6{Id z-(vgzMmt%C+?ZGBl>l0fn;}(}7jr5qQruLe&<+{`jTTULpJE{umTM<$vzeP_mfa=M zB)*qsvNRE8eI?*D5v4#9S10ClCUJi}lucrQL%t{FB{wV(g7^9$!~k~~Fu(ws)lt%t z^$94*%}^lG;O2r{!Q2tQ&$DE`GrFKL3EW(?MoDyqM*fzlo@jIh_EWluQWP;;gZR!u zIw4Zi>{qe3YOjGykUa}M1NBRB!F+(l#(_p}Jk5=*neghgm6nAluZo0qW6RtbbCB&R z?!XI~jJ%Z1iNGhFR>Fe`&kdB=u|jx|^EZ32Np4#2SRzp3JC@2vf~->~1*+2CM2hgFuJ?U}iYMo*sgz%4{jfdV$=BydD$8zoPHYabE6DLSs zzD7r~o2mA^% znHihGC)maYSw3!$Z|*e5hoOG2Ih|ILBJf<4CBF#hiZ^$p3zcjkB;Ot!>)-%F%hgQpKPlF zPZW#DjSR#WW|`Gzmf)lcmK2Zgd)(X({&qj6rr&uAO5jw-tkebG>G@6x z@}wqINN#a4#R|NpCU6&-b;u|2rkrNaC#I8Z|h1HdE>N!$c6Zk<3*scf=e@20OqI!yppOzUBO8=sFT5eeWIk+lN z_F$JGp*;7kX{BUKBBOYdmVzNx0yZ1>>pdV3cn=oV`z^8p7rV!N_xDC8R&1k$S86*b}z#woZ zSb1>cDUbs}kSjn>fBwBUv@=L9h4Qq zJDogS&w#C~dt}!-38&>AXfk=}V~lP4XW+PQ zSzW~YqP#KS9Bdu`*gZF6HxkCS-S}Jv#1>n}pEQ1s*8BdUZ;WJfav@~e6Rpdxhmh|s z1o*B7n%(z9kf#IkcJQ)|Yrp%_o7wEM99Y7Kp!eWqyV&>)S;Of9+twEX@|`~9EdxML z{{SnqfW)0bPAbTnWXU(ZKe;Pf?H^Bak6X@BeyvNCcXBeY@z|jyOP(`7YYub!iN+Yn zUC6b2ug`L`Ca-2vKFfVgHp&}yhRBkAy$76<@;Y@o&4J$cbaMZ!E4fpXjPYHfeCSh8 z>DnRAUvtiJ`P$LDcpp~68jJ%1wyTT1RF$a z{n1vX#adTI9<~(xwkoZPK>KV##HK}`3W5E8&b_mnO$Z2mYQKNvLT2xrIdkqg=ia$L zXYR+tXTu>gJbShq8(t$8-|7Z8G&^OTw8eWvXt>?m-<>kVL>&9EGFQZ0!)kGp*ewLy zp>lCtxdX7)vejOQCb#XF8vz)sE1L{_Bz^MGN64H@i&ioy7`v*nb2;{sT@otesX$T8 zIi-Bc?8?UTc#XGQm#R+FEc!wN3x{8;BqUsCxshOZhb(MSKm5r!ePul|R$MpAdpMcI z7wtnknt}WiN3ye%x@cC`V4oO^FA80X2@D%$WD5S|a*j`)L(U`Gcq(kyY(hcyxGKox z?|dtRN|WVH3sp7{_Y{1=EsrerB)gxdp@;0KheX6_Qy8U*r(`>2)}OIgjCO34gyg`_v+opf0(wgtiu<7>8|nGw*{Dj%KY?!9kWbdVY%-Lw4m#)*HG$5_1APJp zfe1n!p}GI7yhZVxcq@CQ_peSt)1?04_|asc2y~t&S)Jh^vXSg>o>4hHWcFfCp&a4J z$kyqqb`T78NDE_ByZ8@{uT{|Bz24U}xMqgQ4$GhY7mS<9K@p?PMOJEXVdB z&L9l%!+1+)iQ-0M*uv_qC1%hHIAMaN1z9kLhFn&83mcB12~&FGfplDM1uc66;m;m- z7Gv|YG62nD2M5a*n8I@*#AP;iUmMEJ3Lw(d+ji20BJPX#3rD6x(*}ROG2G~!Q;e_ek-$1n_P49lS4Q3~=YCt!S@5Nd4whlG+W zW7#AVSu%t1kbMepb18R>1bm{5-{_Q?ef)in(i=ifhTeFcD(Oav`y4~LN)oq52lkT$ z8JQN!TA52yY0`)6``b@axyn!;RI-nj^Gb*yO?r|Hm0llKwFCAMM$J)Ihl8Q!Ch`DV z2go0|PXz5n=KH(wZEVmGB2ZOs(RR+rfjT^A#&YcZ&gzF^%VCB%m|h>oy?1a4o8O|UXNyRmmtP=)G4fL8i^f?5^Gn%9L7$1 zhOX%n+x8qN<{%ubqnh*i95)sFEXuC(3F%Eq3V6NeX}~5dMD-sM$VP#{p^TEmCKBK# zD{&_!Dbp4*O$#A%K$De%JV&NmAOwm-2*_pAh(fT-h7p9p%oa#l8&n@GVhxFyKb z1dcrl`o=46UUva*&l=B&8#AN5xqB&?;|`*4nV%#xemi_%WC53agaK>a$UN0IH4K!^ zdPL1q7B2-=t6`)GW!Gw>w_pOuFn?AZl9D4J5W?*ieS*IxmNONrGE{2ByGB8NN21%;AP**vC>F_3X zibcUjQna^hj)-NoDjrB?)lBHPf6TX1Dw@@Kmw%1iO@b&W59uh8vw`x;51LFi<5|`; z6Hk8cAakdjVz@{BQk?8lrvio$A)B-o3~H_bs5rRv6}q4TY|-X$5~d9Wi=t(P`Q+RU zIzrre7UqaOk$gYpsK%8Ys&=>t^P~PA-TmWp5)db*sPlk0^^V>Hi1tK(dLr$hzGnI@qhIrr+`hSe z+;Ei*V+c-n&F1AmX7v$e{oESR0 z^WbuYYCLW4B_Q_*IS*FCRa$l)H^{gI?s3!hTzLP~dv98^r z`+{j<*fR-##|gbPz}>H_qRNBh?@kXJ&OaK8TZ==l;7ynw?ntF|afo#`18X%J`tG^2 zli>c;GXUQ$lcDE4<}^;8giYI%coU{3xWRL|Jmt4>>=Pd*Ap&rFJ$dP*3!HdtqUjV) zbc^k}k(TFv3gZ|?^P05J; z>uX(a8FQDdEq9isoMDrrZDHZM;i<`_=NYcoJesjbLzl&#!W}4sjdj@)>$G5gUg)B! z3$jvBLE=$~#S#hbSnZc@rxOb{0I?-9Ve3gtar*$dN;ala49_DnUPV(@nwndYY2E>3 ztP#QAlnq$40k=;EiO7l7S`$vjgBxoKQQQHtovf22yCCFrLhqO`huK}wLm_UQDJ zgmOKa;O#442glWV*!IQ-E^Akb~Zwp+Pvl3BX|1Ht_jD+n>RyH+UsR&lqK~ z)9TqQL1TIZNeAk5a*80>(r7DW6#_5#O9@E}qacDo?c}aFj^m)We5{1h38YY!)jr$F zB?%tK00F}z5?$d&xB!i#|7%Oj1I@sxg((t!YmvmQEd_WX$aGq1IRl)e7cF>Lcmasn zZH%R{D#ICDt@^{HdB8?C8H( zq)``bCi*bR>^W(tPV!O&$zdEvWE_#LG+wQeh(O%G;;6JG*|Z{~%u^y_7&kG4TaqDY zi#SCQB!s94k#$Or5dn$Q2{{*g@B@QT;x~=IyrAWSpatYzIj-oaw^Z$r*WLgP1l7wV zRqG6pa|kIPpie}y5{|`d@%8L^K*xH`p>cQ-KRk;tVyQZxGipsLRI?!!dWgtq$HK8) zhF4t284zW$J$*KJoESEheUA;iR9?lZ$HU3amUpoNdp6t>hyvp?QW+TpLGeP8@XBXxJV16oCIrFCC;Q*z{rmT>xg2;6wX@eY28cOd;`Fa~#K}s^8 z+(++ztvrhHL~iu0oU$v=Rq(eW`$;MVol|$J+JUR8MAA`LPWiRh0QrOW34KE^B}WSw z5{6aOtF=fq^9y385s{d`!^`y?Z7Xs`N^~e>A5E|_AkoLLqsTbF$q8{4mvkiVdIrc_ zd>2_oJ6Nl>Md-P<bM+7-BboB<|~L45%LtooGfR)5XlfA+ivDDbpx&lCNvqBluGyoSt$q}r8ZYd z_7VaPT;+6B2i%LGxP47PM$6r-$chfNLBs!qQZSw623_b*B9qkC=LzB9Mv(a9dE|bR zwDL8H_2njiBPcS>b|Y|glyuDF&P$T9&5?nTh4Rur6-uQAL~M^kdXfX|QmIrHhHWb|ME!MM{+- zp_d`t+}+egW>H*giEcZ!{8bwMna^Fh&r+}rnV#}xIL2dD3v)3W*TxvRIdkI5j@rrx*hv{&{J1nZa_%C4W6nBaeK z_-sP(-A^;u@8Hh|_I;2h`L}4G*<6yx$obitdm}Vif;Ef1+sZK`4h4ZIt0&7L(sWrJy`FlNO18I^4BvuM;qZJMXjeOqYc?iBQKyS@`UcTNhz$X`eNanog z`x`oEll)HBu^p52?k_7bQ1Vi0urYv?=L4gC7(Q7E+3^?5BU*O<0gf?EZ3BfY!Y{s0uIOJk=3DGz|Hz|OT; zqRATM6n4@a`qgSM-AnHkAr|{`s4>P?-#NLCu|)|0piKEL=$AzKlO#I6K5-;DixmxLM(Y%8mk#Ev^kM#>OrXr9eraG>MUyiV{F>B>4jP?uu!D7FX zgs>xI=R9a9U71o-2L2**T5n|z$fP$-qhu9S=8zEcNHXc|9F0s940dnX zAoc%n1AoykKFU?dVy&R_yWb6rEb_WiA4OE6Z;Fqx8Fe=WMix6wHUuvmVu0>1CHPa> zrv(H)>9u04M)gC5C0Sb1$N^&w3K7fXmc`L4HSydVem63rFZxG87ZA`LHwD%~sDDW! z7}(PEd)y(QIPs1XglU`q~Cr5tq3vpuiC7Bu7f1|Co`R#a${eP+!BdWFn6_BJ00iJ&yWsM6(1t3J&8m8X?_}&_a(Oi1UchBjkun{S(Za_4^Lls_UrL%Ta2b0dntJ z{(YJuOblOb+F~a5?xDUz-RYhw!yCMYFnR-FOu%Kc0ey#I-s1A2Mvx~b43M$bmvm35 z2z^#ftzS*>uKdoJ%e~vs2794GYASlQH@X?}3h?s5)uUTuhP!eKN0KutJ@bS!tsoS> z^i-@qCaPB!xvpHg3k_~)xJPihXq{NHw6!&9G&K{Pt~rdQ%*NQ4&9U|_H(b7}(o4;x z_8nlbp?_(-v0^OY{m!a$%h0M0rv}k7=h!pYSX6;4@e%1Wi;~G((I7T0j)uiwqIf}o zfMyMEW0GF47`90Qz?R^2K~}A5kH2T>PQvdMnXAUOAD@sA?|~y+MdTw|>>k;D9IJ#V ze#@+!}bAjh#M~e)?1<`Xc=e1m<=h`u2q#dcnSVGAYj3cgsCG{^dCF^V9 zo2sr9sLRw_(jk{LWe`8oK+V--w;%#akW}S@PWpy?m-M}M7%pBI5feVbVoa zjZg$Z`$4L>K4d+UCDFZY*%SH|`6qetqds9!0D-GxU+IcS2dQIOA;gD2I;H%kUyeVW z6&z-0p^DVcL3@ENXd$A9r{|KQ<;%(AqHBFP6?^W$bFsWg=yOy%yp=~8h<>;pDDE23 zy(u$h=a;tr>D?<>CR4Qbkoa6T8@~ChdEF{yJXz5@3v7AFX00Wg-y-g_67#n14r zE9vSkT-A*-0u;usA?Zb#r{8;f%A_6V@9!Rz8O;X&e#Dq}^VA=7)8XQ+kCnW#dCY`2 zGIJxF5B~H}Nl~U%fF%ncna6#!=%B+x{+g+M^^LQi=+4~y(3^)MMg|~I@j*bv>aN}K zHb9^grJw5Y_f7=S#T}rD!H;@4{gS%b{92(9>P?8`+ zw!yR}Gc!J>6(f|}=M5lN5qMR9A^1+DcpJM>qEK0Ml~7tr%w!mlg&Q)Yp^Aal}#-7M41#=Q5vh0m%~ z1NRx>JI^Xv9GSs>QSycX?lC8IoDXiv7Gz4^0qQE%A|>7Fzwbx~_6P8wWd2anf9=2) z9R6}<{W%NXTXlHP!OWi*99)|@-_EpBGAB?wlpMbLucH?n-t)a*JexUq_jQ>o0~tG$ zaZ0)?32gRnw`ICAPiz=*U?FIkOu;v%p?3JhZE4gFC4rvW0h4IIMqScH+?hX5?EYlt zz;{=@*H-e>m9Ky(Hkve#6>y)z;Bs{IlN~|18^o>eT&Jfz96?v$?Hg^pzhmc~ypzT+sc` z(`4RJjn|&LO3yo}9$LS?93Xzc9{5DZh^}|HZ>8GdNp5}j?}PE2POWEwO&@RGt&%b_ zM%A5%PU?=d1-j4fehS=g{2H~xAKAO?nyWp!OMFt+F`MqIMOlSIBvU!99Z$!(Q?A;m;K^b znS=LU_w;UqTbT?W)O|9lsoKAvpXs_Vv+1*UP5gU?XU++}(`_vL?;#J#!t=YXf1MSl zbIF+NS?v>DoBz;Ozv;m*AO6b8U5~HodZ^^}|Cv8OUHhjYI~jXRjNkrrTGb9Gy%jh- z{_NX;a_jdUo(4|!s*=I!z*(CZu)9%d2*2h*?Et)NRbx_8wNyJ$)o|3>;fMDC%a|2! zI(hsiHil2#&)MdtF`H#z^hZrzyq}UBI`yBgNnhii-f5FB%_mM8=sS=jKDsd68j;zw zeJ+hG4rQXZRItFI*yb;Y;_kD)^?Rj7;1EU@nUc2-6$aj_-9g+PH(_M)N4MJ?^WCD( z@kKMK?=a-4w=y@z<>P_wE2bQN@BA~TQSC7N-H*-9uN?-zwI3skv(R^)@Wu}GqF>(0 zGMCogBxrED`M6CkIEax2yPQTAhcU9q>@+y_{sS0UJUR6Iw`pXt;CZ0m)?^w2M(`{n z@YAni{J1N-}lq^aI-r0 zK(k*v2+Y{yhNV3w_aF8Z0hYGp2S79h%I;705u)rB>ka`R7fEQy+Xvd)_wBvt=1EBM z;J)vId&Cc(&CGx0@+O>LKW<1LO%DGjFnQ-6yyj!;_C3A(Ah2w;ki)k#`X41$79YRvihJlhZKAnaJsL(eD(GR+J8#uz?WXJ z?klhEx!@Dq2?iLT!3vob3a(nW@0s0aU;gS7r5&A5T=&ZSMeFuGcK!MZkhT4dv**9M z=%$DEWp0>vdGizdUIzCF;vT(V$2&70Uip^^ng@BAI_y!qnpn=jvf2(n+E|A*_gt^3MjZ?FHvs=k=%-m&WNxod8{^zwbz zwZFaR(XXw){4a-ht(g7q^RM{cWosVH%>Vv%?U`?_yZ^CJ`~E{O?m73mD>9d@+4ItZ z?bo%hn|=7q^_TD1{~nT@uwdO~Ywmq#{-IB|-`#!it04dMzqi4>9qZ0r_r}W;l$9EJ zxNkvzK^NO$I#n&J!5y-0F$}9NR6u%9h9(6to{7<-0}4*XMiZ8C*MF8{0drx+PAq6z ztD)c&$iDKEvk2JNYQncB=?1R}9~;0Y;EqfM%5D|Rv8cq>KqZjt$tT081kX~&ySMY! zY(Xw{Y}w4J>0NkyxyU^9YM%Eb?jGgblFMOF)BVP zcc>IG;1pYu6?SYg5rHP8snYu=)>CwTl$<{~pBs4zA)ij@+Cc*OW&mXukYU*5*)_-+ zo<(RtAGA*@nUY=RgTWSHBiB5TJDsFi_8iDc6TI6Hbz~X4Y7{hKKB#IR1%WYTi$ata zHwaiYmerdPnIKtR#)r=UfF5OWoB+0Lk)9&+gbY5Km2qqflGB7&!zWDEv_^re2O)K_ z1d<3E-)m4PyTA@M*)oozX^w?#4&YhOlB-)MIw7;ACJeG;UDd>^C&n$13!|Zs`9LK; zLOm&7#tmLLDReh`#t;lbyfr`%EMUJG$y|J{wKyn$d=m7=ZWgz|RSF-hsK7}vIkL3@ zJ4B=^i_?$|E6K4E9xQ?;zyl@^#tA^rh@#4Z9TV{y24Jip#IY!gp&*3dCS_$BoAN8D zUWl}SKu2W!To8lnzzwTCD@9;Yiu(fW5#Lz_e*>6`WJfv3HSkFkFm*wRtQNVrpAhvBY_I(OrRQs7gCfLvxLHZitrB!o=*F}BKE z9Zoo*a&LCC(O5LJ!w7;qk}3nYaN;H)$r76`9d?hq5b(NjtGLC*R=o{QDnXjyQ+rqm zy?fenO@!~+%<+a;}9BZAP%XxUp$ z0jYC+u=GVb;rNh(G0B)w-E$XR=m}g!Q3v#p`ox4;M--vR29BIpsd%r0BYT}5>x2{* zf|cood*U?EgsNXWiRhb9)Uk^hFyGUpH*T<4k+xbPda3t@cQP3KXcJcC&pC zSXf;JoWxpXRF?76B4zk;z16Bf@Jw}45$Ql=Cz@c@c#%a?aVcQ-y&;e}C5nJ<2s1cdok5`eZTkr_Ld3M} zd&zk4fxNy$1L_r3|524owGK5))Km*rW z%XcK%FA!QmriIvLq)DZceG8f$CO=g@L*dJ>OxOj}pb0O$(CH-HTr{>u$}LUWQ%W}` zn|SHO(oRdFeQyhyou4Xx&MsBGBoVb2=#eX-@#jB@M03e4BV^A zH_67^q$(S9L#~=nVWZ6*g#iP&p?8kExI!h#o+@otNh;%^_n@pMP>^Kf)hEhgj)ohr zi_ItnIT9vUZFE;Aio@uhFfP$u0rUs@c_t+x?24LHQu4(!hIy>HE<6LqQ>NKP(sLyj zQQ~$E?|Hm`Ot zNsiFICP!pZUkAt^oKNtcFdXXuav31Xcy8cta=Uu?7E@VAi9(qHnVtlzDvYbxM}^2e zwFB#$J9UM0)uMiKex@;d;z}hOMFS6ZYfcFDqD5aJ$If|-70Mtai7tTYlyM9SfZ%H| z_nu42hR~xbA_eIEL+_K~4CaKVge@l5w6g2Xa_QEQY>7v;Fxs{Rnlw%s3x%tyVf-1? z-d0bn@f?}F<&Ta@Si5JARy_0_=S_1s2mt6;Ra(nfvkb-3;i(fg4rIH+dWa>XorQdx>| z_nt{ZrL>Zgm4}A4@ah;6k;#rEDkLUbSQwg=u|#-Q7@+K&yDMinDVgFd8Y)0;*t5i? zP|$UwsQSDX!v@|jYB@Wm21cc%Z zl=zc%BC%d+IsRGhOF!zlQZO7g$zixt^$!)P|HFp<$(kzY$5IE5qo5cClwB44AANGn zW3gg&40Ku{ri1jB49^#-sQ&PyVye$dTokG*aWEb1VZtgB0!Kj6GmBG7{7qYB9*19xYdnW@o6C>56~Hn z21qJ~6f_WvFdk5{iy_Nk97Iddt&})IPw61hKG_kZIHW;W%>Z3aAoK{$zv(XhuH>=t zIr{=}4sgFe1Zy5(Yd$h7$Yt37-)nAE-ZhIa#kb)+H>UDAH` zA796Q=nBVGBeNbU`$u=T>|e9xq4mqRwCA9DvA@UzcLzzxOCV>#j#tln4=B6$XOqm5 zk0*;awvXF;&Bw4c$m(0>?V0hw11&eb_NoHkJ?+4(3)j!vd(UcYeEz`Qoi}uDT)%Sp zRzJyK15tK%R&OY{O(i*X?>)PTeAn8!om&*@>u6vJpXR#?=0L$a?bH!1k$-7VNE zW&Is3FJ;ZS?_O+|^20}gD0^NOe-<0ItK7+92|wcd#K-%+>+ZE+ez6t_R%MbH&g3S(l7Ej4W2N*UWt+3%+~WC1=AN zICk!Rcdwq?@zQ}iR*_@D4f3vHg~p66b$$Zb3nS{egb=_N{D{DgNywJ0tFpkp%7e?X zN&fpk#T~JmRNo;+AHKG@^fhNb;^qMP1NX_LC(};hjn#F4Q8QLs%}Xu#99XM&(C59{ z-wD@BV}%0}!l;stwhXL5u37+7=T5;Cm--!{iS){GCkwGebRRbDO$ zl~28NX*ob_8`Erzwfw5Dv*yqlR&&Uy4lV6TvPU+cdXGXbk9}oitZ}BhVL4A)KXA@4 znnP@pQ_vc#!z|n!x3kGDweDVO$%Ub!I^eh#?F`0ZM&(0Iki8`IytgP+PO>M@z87%4 zmCM#te*;^~UgUL}7fg8(U$*ZW)rgO~z2&1G@ywqL#TVk^VmF>r4p84%EK&LVt%ZiQ z3@e?frNhN3rfo+6?3;8umsjJ9c9hrx6WF%#9=$)+Q;_54rP#4Xxyl;_vPtFJIk&+X zYbRV5gK|M4`^8@2&yFf0a?5OkI3;AqF8++|B;6utqaP9sE1Cgv7bSFHpzL0i_w1PC zVhy;o%Z<&NQ)!|2Y*^A(=B=eH4h_HNTKAg9Ih7=2!)@6ojSWAyF4%~#xI338lbxxh zWfs8y#BZ@t4q%rB$b;R=j#RT{4GIl2E=H2O0Fb*2NhT{dq#RXzrVzgJoJzO~9>g7s zLJtF!SONRmsz4YLN#?9PY8Ll+P;ooPJO^^p_!(L z3a6SPLo)V)fCNz>&5({F|`vfecUB&Op}i6hP;j{)q}>@>dc9jW8}>^bHVErGZXMx^_;ryxQH65 zxp@QY42bGN3#aIR_aCM(R!vvnAG(bdH8(NF1x1;tgRp)=LZYirmO6STo(SN zynj=*gJ7sES|AXWC^J#(z(!Py1LP0hCko2+0!(FlK~Z0p!kVAj%(1+MP8nVZ zV32)cS=<^mxXInssaq|}GZ~;`QEr_AJ7#cUW4{$oc)?U?DE5Q9H5PYbb}}do!^OOs zEwL0wdfOM(Q<4qLt=<50fEHgSPOb!Ka51Ly+&bQrOtn~SQL4PuDnQfO$f(H|wlXJ) z-C2g#k?~me1i4}v$et>(*mW0Vp}EO;uO2O`k0sn_fZO+pP~f}V3^i+)y9Qzzq&)-O*t}X>|BwB?}(5$2O_XTwVZGf828e+BKqSHVPLtPyj z7$ay&aOc2a!3Z)77$hV}qI+i=wp#=DFtkk0*c-6_THQ>vR5c@2l6{3hHf}#cwk8k3 zimH%=ZQI7`CNjfxuTn{_z)K90^bQrxsbrRAlom+52vJt*RE&P%f&>yK{8$oHTzSo&nVkwUWB zU?_zRr6rsIi@ZIVlkjyGN?7BFoa)LCmHe1H9 z{a##n==dP(V;8_0*C|HMmn{W1Sb(y7($tzDW;#jsO2(dx3+z#?%E{5PSQQ`giSI$p z&E&y>kp*1x(FIfY6m7}~HWFnH#TZ$_qKcsOc#;WbO5(#6PDNBGx_`h){yEoVbV4D9 z9#}u%UIbJ9L&P#L)DTXvgLz;;jyfnsPvu4sdRLKCMtU}RCq>ZQpE1Xlnp z59J=KAvR}hsKMfR?MH$UBMfc>V;oPNK?({v%$5QhyA;t1g+M_^JcLHkvt2kqaAifB z5P92NVv$XSrX?jkM26{GYomf8S#X#iEN#3xlUm6fh3W=)*Ax;fkVM5VLNAvT3i#fr zgZC{<;v#ZK+_u}#|?jzLK{jZ&1 z76UgoEBjhZnD3^X$Mm-X$Swa8w$z`#2V^KWb zxoX;dN^h8h&Hei;_A}S~N|8^?6XK)n!ldO|!M#}_1R6|x(69Ho75FY8;VRj{&5hDV z_R~PVkg@?};`VWKm5|4$(~44$kjA~2v|S7Y-`KqhPWM5=SD!Bo0#Ioy?(R7k?o=I z6f;Emn5T*6PKSynC)OBKk|Vvv#+nVwLTs}aYK#@+C5haBA1t;g_MuN!vdOdEr@R`M zwTB{565zYo|8}-p9E-S?$7ut8#{)X>r5ke$xdZFk%wupxGc8qC|~wUp@aZK z4vP~W{&Gp0<(MqevRxv_u`+{Q{GD)h5<=z}$YNI(KtYhP!eIj=5x~9%UX%(vRbWOm z*$(sMNL%azH)o0)NMJDtfpS>halOUvNUY&F9YdVo10i6#xXLYLiPB|WWu|pnnX7ed6!jGb(g`2fj9c7D_Ok4 zp!-0U%n*IK-{-su*Cj1lJXMJK@(951>KWr0Xh5J{AK(})35#{qgHJGUAju9~FJl&% z5HR!=5J3MeoMM!~@j?`tMkIsF4G!x#1?Q(+4^cq9wuntI_GA25?5Snaaem8WMFOWX zB5^cLfunM$(u7VBEG1LNp+GK3KZap}KO!KCp{e;J3;(LmevF@7J`oT4{!j@X!Uw7p z#ZpNzGca2UYI7To0aLIRKa=4aC|a$O2UpM^jwofc*^Z$`qO&#Ib&Q$1jucLwd&Fm!ZaM7?FGj}9w2#W%zwLeV;h03vPK0KDF z_VBTDhiR6o#qfd?(>qk`%Wm;z8b2BYj2Y@X6p(C#UbFD51Yxz*cmT+qve6tXs8vlE zi{y-ig;j{fgqw@vL%6xId7&Dq+h7PtlF!jJuLh^~_jy@!;Hq8Jd}^|4_9@BLc^(Y;P4id? zY0-{FlF&p8(T(k{B!ry2IQ0p@0OOs9qzi5mf+QW?U4c0u(;s2-ZpbD%DfBNs*|U=F z^Qn`SCYv<(SY(B_0e{531OgPF_*?e-R5^{Sh^p0)RnuuLJ zLcE3?xktA4Nxp2YNy^Witlpj?JC}c-YKOPDs#PQ%b;YZzQceU_0}YUSw^5QD^S^f$ z#PlbG<6UD)fF`O0HH!i>ladHM+$P8rBprIl#7#lcL6eIZx*{fz+>T2fW(PLOR~Jt- zi_vNYxj}cebwqhCNeeo1e2gi0XQGW+OL+kk9X8r;mvN3}O=%)b?846so4OHcckDd^ z?@o^dE~H6KA+tTZ+E~dEH|hI&FfW4?S>ZN)}$$Ab6ZDbr4c$i@LfD3`c zII3Jw%isrca8|)2Cr5EryPQhCf{?1>HrAnpNQT?NY6_5XPfF*P@ z5;OW)1$T_Y=KvaI_wl)F2{ib;cE|=8>>-eM&Rx5ek_7opB5y;I8uIp)$LDsOw{8MK z^-h0~$a5cjMr%>6fC_Wk7V%T>;Qvv}{^2fy`KEKDHD6l9|aL6ZrC zl}~O0`7(I$?6kUpOx(+lT7CfxwRQlJv>6@NwGPziP+lE-+`$+>Y?C zRqe2nh9)UB*Z^u41~FHwfy@B;L-0vFc6|q6)Hq8eM_Q?70=a7>e^0I>OtaPA-E|{3 zq?QirL^d>Dy)-_hnz$vnX=F>eQ{F3g5~~4AI}ED@&uO?l9~jN0vFb2y1(q?cG=FB) zxp&4!1v`->Ahw2iWha!ycVh^9b*ScCQV_s=sk)IQd+`bkc&~1z#fi4q-?-O2yR!2$ zp~99G&C_F5_)?&*a%JJ1HCB7FM(Mqxp>=X}TzRaH)3AQ=5}30g^x5L(pIjEJYABsr z=YAVde%_#jTbwHWY-eg|_&1ZLQiBGAGy@r@GR=Q>mJY*A0+0y-Z%7Izp?4BY4ntW97o8d!2hvL0bvv7rES)mH zh^%Og{n;GOh&+2_I+bucmp~Kf4KnFXLjIwL+qqiLN*>Xt7$AIT9w?%&9_FdhQ)ZmL zg{H4(LDF42L~sFdilDz}peW-tAgRWsAR(w;Bt--rasdJnggRz_Ei4(DtQpG+OpS@v zNL%_WC-{RAMG;huI2fIfHab203j8J`z1E~cnvTWs3LG_ega|rlr)ol+NT#GC)POOVIY5A&^usJkWEJAb8w3un zZ==_r4OJ9T0+4+wEdc`3tPZ9bD+(d2M6 za7z&|kmSDIlq?sZ8U7!BxWs`1QqKjRi-DXgLp?-_34`MjM@%WRa^FDTfol1GsBZ0P zope)id_rh~e_`MU~;8hHxkW|Aq!5)a-&U9ty3<^ zws;#Ftm!8Po1>D4qu)Ty zrv=cxo9qb=LTJ>cegR{1cwq`WnK zV|lo7PWA6&Uv*Q>XcP-V!!~Xi7M|rN*~o(_D7X zhVi*aq*7>qb>HD zhT(k&;tt22)L6o#2?Qu}v?hu>H3QtCVb7mq$o+&EwLb=Dy@F~Zc%ebF@xtoJw$%A;N-zHYPB1eLvLzzaT5&{4J2hWV7Yxo2 zu4JOhFrlau93pWpz`2u!)ce%xChUp^_3?@V4!jBzvYfSH6~BozsRL{%exz09@B&1v zNgQ1Cc_#@P2Omw-dzBPk;spQXO*#oWjqP5-tZN;sywI|3bj5gCy=; z;JKI*q$HAdP@G$B;KY_SU@45DGht(5It-FIm;{4L6!3K89Uy^&1?{Wxp@ky_t77C&h_tJOr20ju#Hm_hPKP8G zr~%bL$t59(oEKzX9M7i{wbMk&JwT8F3Aw;kOwbWzEt@fpQ;B~)$tVI2d4ZlO=D1J^ zfn+wDRt!G^f9e{<_+58Rkhq}i;}lUeJAogG+QN*IZQ$=wnJ+tVv@(E7@9WWzv;%yMmdn+RVcd+ae|e+JwI&jUO2-IF71-aCl}`C3pBEa|dp_owFpR&(o< zT8Ju{4{90v(*V8#pxjmf%55*{mS^pFYx}{6wx{!@97o*Pzz%0l&&&%PJ~ZOcD`!7s zz4^}dZ)ScsX8Y5R?Fe+&1FY^O0AF^q&t=jh09LmHSan9G+nC4LNkF_~BVbM1VQ-rk z;eE?@3pZ7>l6Xm1WK4Iu_OAqOmtnIm>8?C9tt9hy$@sqm(5iOBb7uk=3qx_}9RWBV zWRLzOfbf0=_^S*%J<|=0L4}G{@I=~tB2B1RSu-?PmO69Z&N#o(baK^g?_6Kp%|4xG zEQ6;8IKkHvvKWH-L}mm1YVx6LyA23=u&dyS%!^N?%QFDog5Dq#4{C^7D3}hFZk_@K zRj3^6fh_ksG93!EMguIiwZ^VDD#u$qVlDh5kuTaDy@*KA3r{HuK-89U{d|T`Ju`M#hbWs2$G2^Yre+2Z!y?fP2!>Y6rTfq-)6O-<>os z{n_#3Z`VSUq}#gee@nBo_orJ?=--jIZ4P8Iw{@}h9f!Yk@a`|A70>Y>@N8u=Kfp72 zIJ4@|AHMg{kn7&L`nt?}neE?wY(yaQRn!iF1$ctHhGx?LvLMY~!1KO(8)^rGom7M3 zH-u^jhS#y4L6T14j@>=A!+)izcDShN5b;+4jX{g%a`jRI|_UI+3 z9RSk{@OyMnE3E4FV+o84wC#x7ZK=t~Fh{g&t}<=E#D zj1)2le%C!Ou;-G?_Puo3LxZn-XZCd)zLwd(=D-_Ij_79FZwky$2d*DqdzhU)^f`7D z`x^V() z4x4*SEBV{-q1Q-L($kKLr>AzHB#EtutZD}$lWcXNr*_cfGXkuN_z?niw9quz5`~sH zgQcqi?73prR*!ut%sK~44uvdzMi9d;2JB>q1;lhk?kZ&Lj9@Fiy8hi^*#fNI3tji4Q`OL+z=9E&p~{d5(4fS5Te6(-mi8DPGiOCEVugA zqaZP`b6hX4e3&QN(CzHrxYcGiMo zj4Zw-z;Ob>F*dUuhp+7(AJ}uj+50fED5jCch6~4RfAYZQiHCOwwjVm_a97FoWqd+< z%;%nC3-IsS1@9WrJ8)(YZLp-y5c?^}ERZ(l*U*5&_(g3-jL5X%rRq<?*jFcSg9=|z#R^}x&vbZ*rVE;#tEQ@a|JxP(rS^PrQG%M63LIEXv$i4P>gM-{eErO$R2t+`ebW?z680OzOh~27CB6LX=$(pxHkhp~>~*igv8qr=fZ;c^FW! z`R2T|`|Iajaq>wD3HK8VpU5V8gb=04q5jD}GV6j4X!6A+zf0{q_~rGDnRWaAbI&!O z+4029YaTo}|La2n>#`uW(B#G)>rCSAo`2iAJqNEpFk#1{eJ_9I=iLM`eE-4uuS~dY z-E1E;xJe=S&V2ZgBDeqM;2Dq22RiV7y?YQpH$C*N%=|Yd{KvXy58in5kZtSsJxPGX zYtJXh+us0X_X{uIbZ{Ir`Q5&Q^LDH|A1Wy0e{-`k=PSNBkAnQla6t7Qyz#Nq;HsD3 z_!$&D|IvMy&HsbSOQ7uT7!8!&gE#K@$rbDVdfEJ!LH^1?B=Y@r`_}C{aO1W|uOK*G zklVlVb4q*N{or1({n5+T{PpGYzmIH69=r+)Ke}(lzH8^N0_5#q58U|PH9$`Z?xTC&1Dx)vcV@r1=h_9^|L4+?f6dH4blviI_U!=q&|mfd zeD}uheFxlcei_`4@B8^%>&thf-n((%HSq{JMSn=l=%gymo-d?R$uP#ZO@ltWd_!`6j^^gFJ5sk@sg2 zlf{2z5V{n|CvPG=&Sr~#@)0)&$RD6juzwT$`TqWvmVs=*mWkj_0N1sYE8tVlGF$-7 z^q{9kRPIPCzy$zXNj7C-Q+{4Lp$dZo*q6vk$Ukll36b33R;bFe3N2EwtclkNaYLD8 zkZoFRN^g9`EIWNGRg#2+D?+7ZRZUf!g!q_sJ1;dRqnRb{fazFI@~Ig501m6P5J_kS zO9^O}$ad4nQtX3irR!No3>(3bl~rV=d%HV1(^2yFsqdn|l$7VUK$-1y2hL7vZ z?xg}Tx~vIyOkQeq6d0YD7ID~Wh^&Jn88c>uf=F^K8%0^nFgCkTV&^#884Zn zAfyZ_J;`mn07rHl_fxFQ>BX%xZOK=4?H~y?2isHt7YARrdM?T!ek`01kUv8#IO}tTd9C~*qI4^3zoEjm3F_4Yc1l^qsayG+BTR^vqGF(kE25!q` z)uUzr*q4eXfWit45syIyLUIyFlF0q&?X3n(RRJY|B*6p)XY}UAZA@F)0&po3Qihw7 zF|fIHImhN?&wvp4WQyYf+t!{$NgDL*;NAcbeB+BPqy=t;Y8;D)iMuuGfNTJxPr>Pm zSOT&GZX=8x++tvRx=@*Pnb;IB?Q|>MSTx>I+_L)gs52UDCryq4K#M6M9Y`cb2!9r3 zLy`0FsD&QleW`P&fN=#s!i4+9qW7I+$q&B0}fHsfAd0otuIu>6jl3*kGzxv_Wmf zr{?;iW=CAV2c~RPS7eaBL+4rDR8-KNMv7H%$0%p5>3GW~b{8xuZG%93w#|p)hTW1y zgwa8_HcA$-tRimInFcs2NJ!X~VR#doICsK;7`;@_Dh#Jd8q!2Hicd4)MQ9Ue1qp`P z9eND~SjNy0j1+`aKk6WG%zjOeXpgq)Dl?@1?R`A!^t`@qnrvAm`VK{C(tuuxc9C_svENQ>C|zdQb@rrX z;6_j5p<)ZYn5WQEE`Xt`v~Bg4PKmlT$38_*QcvAp`iv5U1iMa(1F zff}z87@fF^w8pH4|;eVt9nwH6?*P4!Kxvf@s$-IH?QXCAu$GQ zQMk(EjJFYYbCQJE7G=XH6}L*VnNfd=ntg423n`q{8*JfZ4CihiG8`K-oyA6e&TCgK zUYl1tn79+BkN~KIA4&&W43IxSpJ?!BsT`||4*6U3<8OE$u(a?#YKLlIE90r7LX4k& zawkBUmRIxi$~5Zz>ng^7Kq$$6guFi)dZXE5D}iNQlz@WLm4bTghC#&=PZ_fVWi8Mo zd@*_kva&E52Z*>^EbJ-R2$9VwH-~lFDK|Ew%nY@RI*H6#>`Go8<#=xJV8^57-WYtE zrZuUaf%is{q+jHTJ7*;xMuEzXxz%yxj!Y_Z?qsTVAU0KyQ>$@ZJ*wK@imZe%Ad@bJ zYyjl?+-6$}=GdQ)Y-B4&4WBp;L!o5#tipz2-ZwhX=Z+M>-0-F4;7;B-%Z`?|{21Kv zQ#Q&LH=Oz^tV~oVKu#Ibja?IxGBs-D#UT@QNo$Ge8tH(1Jx)juCVda4IrK2$%>al3f0ekB9cf^C1fhx!N;a&5 z47BSM5=f+&N$6n@p{pEwHsK;tpigqsh*L=GK@RKEWJ&{>XSA{5%4>oKK^QwgA#4YQ zA6&IrIzr@JAl2wXI;{p{hzlZUbK%bu3YuS=(EG-bjjDEdf5c4%AbKRc>j3$G>ytML z54DdFY_9S{O@8SGYzqR^*VCq7n}ta|f*bpE96K9+xqJ&SVz0RZJBHkH@4WZp?gyE* zPm(|1gI!J@c(4V#oUi}HBT(@5DYU=Hy+2c$Jc2#>*WWR8>#A|DUv~+SM@x3n|2K%`Xcl+2kPQPSaBf-)>d&2`jlvP5&{X>Gh-TU+Vr@yDI zJnxq;J@TtnV-H-bWE1|ZHvWz~mSdNb*RQ#VlFWeyznTX$do7{W&Uy2XO7=_3NpHxW zmdAab&rKM}r1zd19#~6gwF(B<*SkkH^wvT?Htt9aSEAI=G7$0Z4h< z+4GR(@F#Pbc00CWAx+LBO=ivgbbf(=2aE6Xlk|L_Xl{}{JZt>=J8s|7u2FWc?MFf7 zs+>=9diN%8&YF`Wcbt2YsvTnVU1^I;pM(ye>>eFxHVij?K(4|kxZ2;-)Caph@F~9h z)no_IvG~?Dia$R|Pv?##axa)v+F0Gsv`fJ~@(2YleyBAz%8h;T9M>D_Cav2-ND^NZ z_Y!iPId7|Svf3zHv2~EFkWu~+u+bg%nE3>B@Z`O zTRAJ19OW&VO;!dMTjk1lJK@GQ!KpqszTh6_`y|@93;W2rt7&`LU@Ejy+(_AAOd%mH zt4YZ47Wxue$p#s?xr1HWn@44XXmD1>l7JYlsq}&zgAlTaJQxbsLAE^yLNvEr5jvvXE8r2SO_3ls%oc;4XpgVewMq3hw zU*5rZG!8^=&SUL5CdubD-2R>_m$(h7-fN5X>Vw zrXW<0+OBUaig5`F0u4GC<~(p2Q~$&UcI6Z$9_Q~HjKD3-rGWqvgAkj=u?mo;zI!%s zKO*o;{zjUX1qQ69;5HQky}stuG}?I{?=Y(qXi0&({@T-$(>d#iV{d=a1ToXpISvj) zy8;oLNlN2NM47LSNhGH8vK1FOzP|W>?7a4!0@8=^Z8|xdtRRNoM)bSX3oqrbLPw!TI2RA z>~JVn(AKlH5ZWi^6i%_Gy(f83o8nNM%3}IKkL_2lWZywyaKvvH2Ls|1HfkI+6{vMa zxR2OW_S@ULjqOdXhp@n1f~A`Yur+>=Y*55KD0c|0R@5pFLRy8xLJl`Cb3r-D`Ot*G zbxl@nm{CMq&t6rIi43a>Qz9p7;U zaO=le-faNLZEzd2m*)se*spQ0V$C=%Y|0G_Tf3h_;>V4*pm+0Pl)>Z#lgesSV#y}w zF*lp4D0eWlM?#uNZ>L`SOXs}5jS`=2WyAIrd(2uY7`67gELhSH;go9nz_8RLJlIr^ zEnsOCxFrq`7Ns*NFKbT2SejGOl+mJI{SHlS`tUPtn?m%tv(VfDBNngWoE2!STpPtl zi!|1rO0+s9!b<=IS2$KYuCy>#EYE!&-ub4n*tQ4RO%g&fEw~eyys*hw%ubMgC7cIj z8rHVKdKeaDA1gB2YN*7VkV+38hjbvjQY1-}62}3%=Rxnuv9nMhO4E!y%$nE$_7%Ap zE0_$k7zr6vAP!c1in+zb|HHKd6dnohss$7Z*Fhp2;J<Ny@{U=AW${s$48iBl;K+V-a@{ z!lbK^P`oD@Ld>&pM*=TN1@7}25K%NOaYDhZByt!O4xA*NVKz7I6kc)AUWjBdAI>E% zhA$3qO8O!>M239r2yNLC_gb0^fGhoP*Y!#Xfkkg{BYjhe6FS7d2UF4s2P_!VB{Z(; zfIudZe2m%xXauNraCNqg1QHolc5s<_2F4l?`|WVYpz!gZa_w*-25NhxdsAWnEN4)PGZ1hW z;B+r|`NET9J3n7eSijuGtBjb_Vqubhaw);m`WRY&1a}~njY-Cc z{pV#jdA%J~saf^Q6PrE{1t(4N!3|RZOPHgiVSBcTgMM~2NDe1HAj2kuvSA{GND}fu z$2c!j{{g`G^%NTxmqMGklI-1r+_8ZU98c5@HWi{RaI?LkAZr400FY}T8#KSl;Ka|W z_o_(mG|86MhA7Dv0LVp>vJ+x}Fes$*=1@qjo7vGrLZDoL$tz;2WdfjjTfseH1CrxM z$tEm>F?szYI(Pw0AoNz0#Vtuq(KYCiMg%D(Hd*Q+KOb`nAwOK zyd^l{R|<1BVH`p!(cRMNs!9QML>U&1wXl~`R6<#31H3+*!^!BRm;@*Z+5Nk_b~ zdEZDKD%^xfGdPfRhQSZo(gAH0{qqr>9YL6j^$hU^w`sKAu6hY;%MtFkt%;A!R2^K) z;|2aXsQg=!Q?cND=#=H6@$v59whWd30i*nQTr{Eu!OHUf(yX=Npe@J&g_tO(qV@Cr z#ll|&I9)>7oklYz^Ra{qJ`X_%1w{mPFOnrIiI!!AaPH$kp{)q>I zFZm?}a&xu^7Nq7=qE#IeCGOGq1rCT&kb!-J=F_B-WXH$&8#$H4ZK8xW9pehJv7~+? z1J0#H4x^k5=VmH#2ME3ucoUJ>G&BAn3xP|~eR&Z#7WjwkMhMxEoq%QO(a+F4Iqsd0hry%Y=uh9tMRE9$O6dWem`}H|oJ4mizG;L8sArx1ang}E0 zqiqwj0{M`JMGI!^%`Kd9Ak^DuLxwUcXs-rvcZxbJkZ+4l2d(&#=4G3OMGN|bSlt+j zbhOY*1r}qd7n$2=fDMgVt<(K=yyg@LcAT~`E!>={6Sjr6P1zVl^Xvr{)C@E7d{e`%7h(e-$w@=d~*A~!_ zK0(2;MC9Q1p#m;x(QSm(fg1`^Z0zF1JL&RJd0E3kNL3wSZrZXCJCIE(R)ZKONAj_z zEo34vH#DK3X>$Ow_|D>yo(0+G-3}%{hK`kr2uen9(PU+C!aG%bLdSy$1qQy^@*2`q zrs|Za5tcS3slakb2chGwyXdwc5l*11!?SgitEZySNzsBwL0866=*bbLVhDZ*he6+f z1n_Jg9GJxVxC3Pu3PQZL8*l+P)BQmb9m$Ulm<9xM{{UjqX`nYGOG}eTa{~?SvpIrT zf-`W0fv*P4A#K23(|NQY0Z|Y^X#D62ryXsixes zO-ylHG>tzNMNjY7%B+qj93}L*Tf>^cvFew_9qsc6fuwFQ2A? z$^zmhNF(GBvy?`F15nj>%~S;M%7e~tbgVkL`Cyuy-Xt@#;%yuF5ySucHQPoE|MChy+=BB0szbN2=oyt(R)bK0lP-HXDu z>+09=^#r97h_Z1&$4;NS^FL0;Apc#EeLp20FAt~;1^~JDFq|%vui>J(^J#ih&=;lQ z4lrVeB)`nEU)b_vW7se+7edIwK*2!BPK@XePxe-DQtm(qz2P9eUu7ZdLh{_5OV(`p zyYufybOAtaDEqsFviq|TzW6Ca&i@kJfr2-XY}f^++H}pDpFuWWOmN~01p@fTM275h z0!@aeH|{u?sqoI?mnB>~tfu}=2lt%@19gp%kE%^>g{{fn&cW?X^XD`3s;SdxawkcB zRS!K-w;$9QzY;jUZ3W(NoQOLt!@bdD8b;h#XFfCelG(qLx4JJSf7Fq#^<(i*a;J1Y z_dbV}^OO6dtEt-F74gy)H`~pb&tR-OJ8|{Gv~)Tao#N=YwV~~iPtE#l{LESD@7$V6 z_n=haLlEzy{`L5};l<^*AUU3k?r~d7^7|d}(ywc;x$(sK`x?{98#6D}`fUqZk-{J6 zqGJ*bpN)@i$+kb}Qc}3<{ZT(^kFT3YdJ`UC){nMW7`Q0p4#wvXnjBd0hWPoS&BGTT zoOlQdi+u%sIk5mXp&^<&AmN(a@!CK(NO{HCfG9Da5!?{4Is>^K*&YqZIx_5Rz}A7p znh=)oI3N=)BWPqhf%g@w>1|a<_B-IDY-n=l+v8`Y!M!8d+kw0!jKpsc)?nPj4Aj-L zV5(@g){ia+_wr^{jVN1y2l)B~O*XkF{w;k&yct58G3J0MC%`87h64z`&_p3hfc~*2 z;6`Bp8N)xJ-q2(tX%jzw14`DcB65)UQU5&Bvlzaob@Vx{1Bs;Gq!5_uf}q^MPt=$& z$dmyaxR3S#QomEW=-O%&^#>GRN%8iOH!Z;(fv0ZHx@`21vDl($!nq4?xy{6KUrw|_ zcT1`qE?})2tkjPnTj>QxPLx@yMC05yZ)P|FHm*PxiNQz9o~?w>Uz!+e*ihcZn+?ZA zuLQU;77;O{GZ1yakfSRRg)s`z%>A6Y2u;w^h%Ii3eM?I%b-Xg|aB@HpSh@EFVAqk~q57k5@ae>4JlObuV6k?He zI7;zCR_Joj>k%-E(U@w9fSyr`_8dT$IPgThqp=Ty3J(rp-xTux_FE&qLs+*`B}!Fp zaouVv(W6Ulp!SAa5U#fm7Uh>w(`S>vN>IhW1FZ<~3b| znV>P657OZ$=RwvR?OC6YPW}4js~e?oI}=-6JgPH2NOjmhM<+$jBZ63GSnX~I2Y(dNC1If2za$-ftWH)TF7f|Ap$r>b_lXFe{ z{h70YvP(%x_7rJCra~$~=2rqiD#?PAR(FCsDxqNfea0!{X1|lU@opiMJ)g;&0y1P* z!CeW#cUNOlhG}d8kjvTNC0c?gmt`X%46vH-(JPYGP;f&>*79!$I&c)9U(6r#epV2P zNA7HhLddeo@HE(6S>61lNpLA}2jz~JnEfI&*)eH#2HXWQ{J;}gL6gd&EQS!4O(gto zA8r;iq;p?h_7ehk;+eNbe21{6e0TPy)<<0W;PLVJn_-byp^=Yr=Dgpws0~xNK)>R^ zBVTB7k`$pt!=o{PcBp|Hs^XgzBBJ51pb`>GJ?bs+LUf3w4*rU8XBPd1XR>uXsRG7HYR~M8*nZ(d5fj^HbT+O zN>~k!B|##M>}5uPhO#O4rXG$VS%5FbMV>`LsUVGLPIeGz7&r)Y1q$(Yz(x-^4^Gdz zain$_+A{AFRUJGY&e}r$8r~sqk%654yrw|l`yP#=hH8OIFv@Abd$>ExMfqmG7{ysZ z3c1F3bI~agAL!Z=N`gcIrBg`3Zr)fildh)v z#i!0ZXBuQNnKp<57fnD!g#aSmR5%~vKoiZabd%=e4Iv~EOdt)9AW-bO=zbh4A+*5z zgw6t)-X@1Z2M3Dw8b6~souBs#bK^r*Czi5$Fy@cL%pBtJ?W`D9j@*h8Jvm zFyC_{zQbUnyu*}y>l6`v?aQNf69DD`<3eTwIu^K&fP*?E|CT~Q1L)P8K;3*~eQxkw z2CDa==UVrngNHNM^_MLfees`=V_5Pd?Xa0;quT%tN0;Vb#fV@TG%kuY%(*3WIE~} zBCsI1MalW69cOTJjbZnJ%)th3Zg3ddyS#w1i>D1(SR6PTcGIH>06h+w<1BZxkSTM9 ztzD5*a4wExEUY&P^lIfIZz;fc88;W?7$CA7nR1r?mSC*PLC$ApScI}W*O5(*zkndJ zE+mMo%+`H`ZuJ)*+yLJ_B^NKxWq`7~h2V7MnlypNraI#%m0a`{1KMuiR|Mv^eHIQE5PIej5YI>U5!r1e?m8ytJfQ3vINdT5ViBC~ z)RIm_`MdqHMMTJBIka$~+M(l4t{sN(Dh^Hl2={O;fakq+kNA(xe;l=&%HP3mv$%Eu zj_WV;`!qA2`_@}C@{fnbvgqE}8B3nOR!rjBK^3FQf(O3C4Ee|W^{?I$`HM9h1XXn7 zAs*r>yJ`Q+_)eny`D2#=WjA+vKEEbk_H=&#s+aeapDrSc#+Rf~5A5FjD?CmQKRaIB zN->n=#6K%Bbxbb*arxUf$DnrT6xEBwvD;!Z-k(beFaH3&1>;!qtbwrFk=fIY%l*aydI&)9nUF|DZnQD_!_dI6>o`dmA?t!p*h0s zBsn*PvRhz6%@ZC6WU3tk=LS~}SUYSRExUxRQsLbI$fb#&+m6Geu84Bm0FYY@zFSU6 zxamY20ptqC<$XHkgZIGC^B~Z^I zzpwlc-5<`yww}Q#yXaYLLC<2;OAp6JrOFqNADb4y&3z&-&L5k87Cj4U$bhno+TmkQ z|1AIUOMW};^XOSTXrXo}*%mwFgxq9Zg=c)fh&=q4x`~9cJNoxujpk505S;ESsNJqT zWuHYoi*04=_dl{eSB2W)vf`cvQVCNT*T1M|VM=(ABNbc8Q+=b@u(#!ZLhXwBbzm6xzwA4VpzIdI(iXr;4PfiRVQB;W zS;j0qibB5f&^afp&2QiN@=v$_`E%W`0PL0VP#Hb-5TktLj`!`~zV`C&-vfNN2-x@V zQ~BzuwY&c1v+FLsaqX_(?ED(=Wh32B?7i++qpv?>*FykoeQxcp$6lHObw_o-@<#$} zecs?p^%m zbinC8wd-Sm(=ERZCbV~7x&(oU%TU%uRL_sGi%RXclX};&rSdNsxLhB#l83Z z>jS%f`O01Y`>F4qyY7i+=07q0=ij{&#MpP2sg4>#|e&D1>&w{?wt}~*N zvc;{>#Qnb90fw9bgQeUe@~pSXG(hz}EM{ZupSh19i)&_4l;5niY(xo^U7UGd&5d%F zDL$5H#9C*LRg)Q~Jg2of<8Z06pfF0+jl^A9(<3tt>U?MdG9YdntZoG%PHRdv)Gv2h zF_990xPC)vO=gTs$;&7Oa!&=OTRHx0fu$pkk2@NPA=#5yc1HurE{!`6%VLP(4!ZjT z7Gw)_rof$KlwEx`$ij+8v9p>9_P0V}y(m4Z6`;XZ+_7-A88^uaIWO*Xm4MB&;-f=y zO;QjU3*%_dKDlTEcbTJQqm$`kO_c3u)jBofwE^o0Q3}{ZCS?|~ zZOk}3-v-dH6hPetSxO{UJFPl{Z{Kj95pDvz3fU4z-^>zX4A4hO?+gjCT97;1$2n`e;0s+7oBgL@uK z)!9SPUP+K!+%_UtLlcm@0R(1OLm?i{HxMh`CI-*CzS9b5w#SSU$AEUQ)Tj zY)n$H(*c36@ydolWY!(^bfozdQ-hp}2)29=Xb_^QY~r8VHasd(3C;$E@(b8K(2YP` z)hM(XmK6cNln{dAEW5ytEB!;^+|j}PxUMw43((afDWf%b%DV8GB<^fMM6)2B$lxj_ zmqNZ4Om@0xAY(MEgCiwG4bPRref-5VCNqF61&P!6SAw+yEh9vVuHcZaU?Q2KoV^i8 z3gj9sFii`=R$`ll1tMFKXbEc?ZYYR2Z_Lo_GyGc>^y$AXMHHXFDvjyYm{QUWP3UC> zgq2~_uEwM@x(`ASpn)S)H)La49Bvi1!i_S)aeYQuh>0|D@S||iABubj z)V(1-r>SyLS)uA0Asfunch4rhXhAk@LvC0}EP>$1Hls}lvq6D;XqrS$IT=DsI~f;{ zjpTF~fUU z*mBVXCa-C1L3Wa5Fb&AD`UIC)k)c#ONuwa`f>!lWa7QKpleZ(bG~%A+O-_-J+#`U~ zjZ7ffv85K&ot$zg8-9*fw216siWbNj@&;H46a=?%g_Jr5WD8KK6&=osNe~im+5qnK zCx~3aPJ$0_AQATXVXFtBUm z^C*xN$a*4LRcemK0vGj`9g>mis%o(k+ItaV)ieF6c;-R&#`257Z(qr&Ne z_b;mI(Mwrf3V&Y98kv|`*`Zh0W|Unoqb+rxblXrT$`i|?@s2Ju=5|%JELqd+cG?x% zs)6i^jxKG%rK-1l3*TzlD}3uVX`|}vqzWl+rvVb2>1-1nJ+g)p-`UAzMXBuUK;mT$ zJ@1`&ARFTKWSUuQDkuoDrmj!CS~}py+-*NuQ%&TqPH>yrp?+iUNY6sNH-jRz9Nv<1 zNrB5QJE7+MfZKq-aJ{>5bjSxNo(N9SeJVJ^CjtlxYSEs$01oM9;>K1AkrS2@)=Z{p zTtmS02G@<)ONHoh==l?;Ra&;vN{X^%8mCe@cu?*WzQl=A>lsKD5KD? zkKr7TQX5Ek860wIBsS++$as=q3M z;2Q(C796ETLJ&aaJ?R)TG|~_1QBb@s-oWS-C4{7DYlP5IzYxkU5Wj-?u6SoLg0f2p zr+t{EE3q=h-_$1m$SAuT*HyJclh1wb;>pEeum^xiJvjNdpSyS}gxqlyQh8SoLEd%{ z{_MJSFjdtbPQD1M;op5nGhk_f5&OdCY3o*EO_Zw$AomM*?3&HwJ;2TV^@=SIOnZ}Y zbJqp-#u_*4ZsAnU!wM>YICUo=h7E4+Pj6ZI8$b-tI}eMLaPHjx07LcOG8XH&_vOy{ zhI> zSkOTA&Vz!*We~u=zge};r1Hk%qDiJ=@?8hi8*9)EWfLYF_+q2rh0{J=9Ob&zWU3`aR#`e1MxXW$gtkgw_tQmV$(*QSddLF_+++LzkTi)tkoej zS?)D1tZmLLJiV(u*@NX$D*5~4IsDb{A?OXTR>Lj39UXT>M?9vs*~e$DtevH@r}*g4 zc62O1tpw!EFFt}b`D-&+N#%i+fV|xRL|Kh>QRaAF5eTspi7@f_u=}wiEB02O1;>R^ z;!9{t@grqHlW~`alc6^f->+0*L7QSt;@*cpKGL%&I8yJS1N#^U z&M5`SPOYXx;}VvLC(X(5w^$Sw(p-`SXP9@xzj;VbV8eB)a&KMDM z>*3{2)k>gyE?Unki?@}D>%oT}f(1^Y%jh;w*+kQgq?D!R(6`tYqvSO!?u!>I@qw|DiUbO8=G7=k?l!~r1h}B$)zUFsW%9| zz<{}kil*;a(0i;+MYG=NEEG*wfsEN+AS*1e5-J#&s;p3u>%FA(Z*Uw+JjMcx%Xj;r zEC%?5c^Z~>OfEbPoBL!VIZQ^C801cNX**sR6FG|Zw@HwK83<`_*RXe0rM4$0GR+uo zflC2060eCY$mf9&w@)@H7y|naOb(@zqhtk*1&9B*k|yy=SrF30sVoUhMGA(=DO3gC z7mwrG;jn=?tq{!YA4!H8ArBXl^m3GGEOChu@zQcdne$5K;C zxx>)0Xr_;bf>y1g9O19N=czVOnnAG6Za_L} zXaG-)QwrOrE3mN;B|s;cJh%*=matNoEw~71moLDu4kum@%YqnlESL(ddnGK$>rp_R zeio$h!?c2t@zPRg0O4A)h+8Odqd{gQT*?Mj!R3U=5LiI)HL^tsji)l%6FNxFc_46< zkR9eF@P4VBSZ6!Jl7>tQ#{0@(NG`SsxLLMEU@l%hpMkVz$##>B_* zqKx7|bQ({ErA4<_=aa)KZJ|1a=Hyrs&Fy(@R1IJ~m{t@>)EQb-;4TqEHNr_&IDr|u zY@6ywN8^N28SaI|iEzCx+H3s!2NH!~E0HPfM$+wCoPj8@L!cNSmqU^5IN}4473jDw zSxmq~yC9|lMHY?<2LoAfK?N5F?CI1bKe*m+XEaqolq3~^u5hUA{*n;1+3L!+a!xYeq-1t zw_x4!fzYwTMNcIm?OUFneBn8(rj7sIVQKO#F~?<_dy z7ha4N>n{gx?pNBEt)#?H2@1q&kOKVK^FcP;oXX3;e`&ucKlbd;&+l)+n+^A{%iwui zR!yFJ=!st#7GwjEJE$P{kv~s{y}TcsE^}W9^7$a2hiq8923hdb#vh)9YrIV5+mEgYT7m3D(sxmCvUP|k&`;#U(ZR5m-j>Z(=bQ80)wXp@+T4zI6qEvZW zW7XOEU;GMQvb#Fl;y4qnrN;rmw<9i)94eME00%q1mGmnyt&fv~mF0`Gp|U_8DtGEB z(`o?&ob2_JRKCHDweyMG5}@oFGA~BU+?XAdh2DmI0|62Iy+U(GW2QXg+2 zm|TJ6P?SV&^?ivbZHDS4A$W6AEE|jT7Bs<-gytymT}KqazV(8+K@K%3aI+AQAsbN| zGDdETWqVN227+&>Nst^OgG89TE&VhxWLn=Ilgs)NpZnEF&tjlI^`1C~Bd)>;Ic@M8 zTLP`hu>aAaX((H&H6}8`CvU~dhMo98=m-M3IuHd{)+KKrK6WX#==i%*$VQE0TgI`4 z>*`4jcbAy3Z^BO43LCt`kiyY|9c#B#3h}{e7wlH6i_V2zhkI0_g(vT%ELfopoVtwq zC2*k;lw{AI4uimC^7e-c1v0Pg3J!3a8;0QS9!zsI(J-cA(SA_K5XDMi5eiAJJ=V$< zinuB89g+{VD>mVPPFyf(I>Jq?3FT>G% zaH1px4c3+jE%A=#v)eao=LfQJM>aWrLDsGDcL0&bCM4X+fh#l>mmDJd%MB8)X%J0` zO_JWl0J$KeRpsQEc5)_r8si(mxfDW%P7d1O3d{o;%KO3{!y&V0Hwf>y?~xjpm^~8) zfX{>c>?045J+Gjkg5Jc9A8|uDn2HTsMD96Iat@Hn0b~O94N8?BGL_!1Z|B-Ua#f;f zi%JT?RIiecHEl=8e+!$$$naW0&gD2HB7ApxQ+M%=;u6Z{|>-z?1i@zVsp3*20g%&`3n zoE%)*dG&WM*f|GLULJ6hkZE}7Q9#+^_+v3*Vj`)8AQ8rPE#4~hk zOW12Zo`&rO+2o14ai6L`upA>9qU0sxEMCRnQ^blDG&!yf7&OkPE7Yo4)3Mg2_ zjc5zp87Q|Af{=Ja#wAAhv-%PbokamPHIm>mTRo2mLNR5k- zjSj}55|Jx-#|jj9;Kq^0aZ%`_S#~$kZG}zWN7{521V}h|=(C!JEjjd&__U9#%ylTK zk(8p4;vte;5rq#y4nrt}&IicvJMEh&CByr;hrl;8iRPDuorXS^w>#>ySAjG6?1=9W zvwud0sFD*;qViX!I`0CitbflDXfj@9Bj0SatiGm#8kVpHIaIx) zMw9m2>!WV>5$t4efna;l(7&o|LR z=}|zG^)LD84fr4vjqOy-j_7R8wzju*Ls0zv^tOK1N2m=BTWpXg!%66`_YJIx0pFcR z+&x3&B~8e9f8!MpkR;iLyEyTt4KB{&*?`kE&1aCs)%2rli)IUAcQb^C$qhYj9=&t@OvC+GP{_2VEb-_pxM_^crf7ZCwpk_B26-ZY-E}q z;5$H2Un+}yhXUEFY#Z?%3RUHM?EuX#sf5vdA=KNpZ^Sw{gtyVST$>deqJbEE&)ZTI zb4b%Zahrvg5yDfgAjNHm=01=Mfc9UX1=Y3m$JJE2>1sF(R)cL(YpI18h5NZ7)XIy~ zJN!C~#C74CaS|}UnugJ63W4zIhzgIy=pQDEc!zEzizg9y%2FkDmh69*xtF$s>G;B- z@3J{E?Qsq#3Mtcgb)ZQ>UjD_&SvVj^AuunS1gBAfY2?gpE;Qk!D1fNx6bUqeL=4nM z@vV}$6mf>Khfa4OXviIxqam*xi1^X2k=o%vw)vaVX|3Q=dR2ka;$hN|DrnPJJPM@# z2Ysv|9TO38NN+z7-u8axI_SpX0?oY#UP9G~#HU7x#z7Iszf009>?J?>rj zK?`VQ)K$zsNDxyGxG}dL@UjrH?;7*!zVqUv0c2TxA2dX+OJ*BouPxtC&~kWy zrvfI5fap%iKWHu42Q<6#J-bg=EIZ$HXAW3cpEo#nfSTH$14La8-1h>QN{CYddUfv` ze_Wq`ax8Ax4D^KvkhAt_!onh8swZae^RV@+Ordso^Dc4mzR16a2(gDPjBbp4`>p@}m-`rHH}}!} zbKiRC45}UWp?1ho?GWMGfp9dh-`9!S;g~mb<$J{6<#4ChnHRgaK1$p;Ybw|7j5qa} zGj?x9?N9=;t!GeOvitj}F=DvkP9dfcIiF{8j8S$|fWYKHD10FjE}G4OwSz<8noLIR z@Z~$ilZ44zzB@K!&xx~hADy!KTT{gUY@Pg>&5@hVeEg===-L18k*6Op@y5W5F6G+6 zxF?1*vVAXD;Mm&B&c_rzl&J!xLG)>uC56k;~rt^{4I& zQFfnO^Jf0xC-+4j%9l@BWZmbWc9`+_Hq;GyAjUs0@-xbRxJaDt#x#_dWqG-0JL;0_ z@nAcu{5}V@!;ISJxEs~LSmjaeaHlv9b;%|?*fEB@MJ?G^J5XhZ z3JKg0KCpIR=v}U1Hkai_-+@_JTsw#vdnDk>r)+MWBAl(0oy}s*nVZI>#Ox7rXp>Pn z(~((l$B6HMg7w}Dv=vlbjvk>Q>@^;a>*w(eXe!c7J z>EFI#$$h)_&NzIOT_fA>zWb0tgZFP;wQKMEy&wAf2d@0oEB|)gCx7&XAN=l?g* zYOnm4udKWD-0uQq_w!eMdhOTNd@q!}Xxiv!)*2Y#2e?!bobGFHE?Ng1-CaQ0y)OUV zB@Yp9E^u70-20WiKfUaXosYdV?Yigwd|dag*ROl_x^MjO?yr4$@2OkYK7PrrKQ7tw z<1egz^=p9BePZnkdq48mlk=-~J@d-C>6a0l?%wO(n!f#qFYNsigV+L2cl!1z=dQgw zMA=<*&1fLX{`!^m!1ulC2RluafVkcJAsF_VSN;VGec=Z${PoP|eu}vEd}Qy3eslW& zY=7k$a6{9**FAk5@P1#ucJG(BpRw!DyNG-)k+n~eFaJpBmTJ@8MzO?SrPd~8!7dx-H?l(WWWX-N;=0A1S%C%R{ID6ft z+yCv#i*{ap%~SV(YRwBTU-H~ltM1?RoeO??$@U-ax_Bp2z4o$`-r9TVW7n-(wd+$~ z`oX2!Z@ByEogcey`&*xCeQfXCr>B{r+L2F$2{Y zQL^4f2;~k?cBf$2YXoIC?zGBQ;EXzLI2Z_G`?nznAhrO51!^4DQqkT3!=aP&=hSly&1VLX=fwU{6cTYVv(2 z;$`ApUS+G(*pRuo0c5*zOv7UhH+mUNdvYEoZe&Akg@(QT2FK1Mgcr3So6&(S!DPJ2 zh*x+gLLthnR{2etu^lWriK_&sD>F5jIoPqObb}=Y6r{5T)z!e7kZf>|t6=U1B?Rzi z37xjLp;Tr%ph;_WquVgqY3)whndK#2K0t$kn+u_tx=g%=gdk?^SDdzKT$|^#8J>v_C8wnq~=6OXi2UN23FeI7QM zGpbc1?t>TP0KS;mLQ^?bAS5)bNoDIcl~|2Gx1P0VL&ZC;n4FE2uXmNVkBIduo+XTlYo*4 zt#;EKTrEjzkSjYJw?1VQB&aDApE?vDp$X6}E>?kY=2c72o1UY`W8-_jT~MJnU%SV;%hG3h(0AuHy{?RH zguS&@hdCmY*c?IPQIvCpE)*Yd7i-f0DQ*uHEGEMk{m5iGS3QE^VDcSC!h661vY>-Q zM~m=^-f|Va{C0#U%5Z`@;4~Q)Ivf#wJP;-X9v%G5-FW_lq_>ImQ<&n6u>{fJ2%bxA zSaF@WibC-z+!0)vj}b?a=t^i^YGJk%C=`h(sl(0i6+BBefdf&}tcV2?lNl5pl@L>) z2^~q=r08C|O@S#0lK0x2k&rUni#FO2EL%w-diliTn-mGMgk=kf7=UVLBWZRj3Kqz8 zw1{wuh0q$L7`Ug>0VWtw%9tDXLwrF491?FWK1LT_=_YIi?&|KgSVH*0q>$Q)Caq1F zHU%M|rpALWL)!39IF5}eOlBfh9f%?+Q6dnfN`f6KQ~-^M1W6gE+H9+?1;Ro_{PCas`J*WoEBy2*E# z5B#6B9idK0_{dpT5!0G-X6|o&rm&*vT6s@jmoFtr%>&s>`ZZ5bPFq6H3 zO0?MZ+hCkiOI1dA9YERb#F^*+8`@xGT1hEnC;Ul5)&cTX0DyF0F$QEC-j=vU6TVx3D1;4|O}9S0pFbq9tpllM)ZS)tbb$2rLR$zrN{7 zNCPrwyv(URhBWz6i6!r--*Qb1cMQvIAWV=c9#5R@AL@m`F$WGP)+t%|VjhR{X zmA8JhrxrrNjcT9@Rc8+#Y?!Kto}IYXCCR!pR#j*R)ot>`;%)-p6e7#0^MYd4v;O*gjXEVttl+@Vs$HAW^7%{Yk(izfNw>*Mt6}A zuO8EWRrMxl>75+&#E)2(gNg*VpqC*&*lt1pZqX${z`0;F4ji&eO*ES}@c1qEg^$II zYh9dX3w;edk#sBVh#OOjp=>TNqL#R1gcO@qb8JGS1DpV!VIoNwHTE%dFA4$Yl1~&A z$AuJ$FAlNMNCRC#)S8a)AuEXoyU{w31_mWGO>u`lsTj5z@kz(h3h={pBPO87pg<1R z9v=e{A#xUkP;fwO!s1!VoSDQ7(1b4?XhZfsSg5;-YX^hoc|h1stOPL>Z086WsILdd zwmoda-uu8BEN8+Xw$uJ_&BXw*J;L01^$$luNRXV;eJBteckh9M502dmWLC%yFk%uJv4Z+Zx3`n2{_n~yCro>@KIEQ!dv7g~e{nySG=XfaMshbH%DzfM zHm=wMIqPnrRaDmXaRYpp?0pL@1`qCOm%jWxN#*+`ml*JJ?hMQn{E-)hDE2sxTW^lhEFW_!M_HVB#5khYUXS z^xKp8qO%x?Gr;E@ArHnZeaCGwwztE+??3CKRmd5o>Po`g>D`Erm_v-%L&~&YrE8s) zhpe|BAKU9=Y1wi3rDY~_WzuO+W*tB7^ytYz%8R<05~=88;1bBb-K(teluRwX zK7L+rM`c3M!s^+I=4L5~6zX?f*y%*#jdMQBsMG#w&Y4&dfzk+X1_RckHLmkY$7`gU0u>f3BPgIHeAaZr2 zO0Y934_S)McNjliVqCwDTx9ZLJsfn=MG{Qw%ol<(M;39S|3JIbgx!IDQY!IHZ{ydM zh>(x%Xg3xU(N0JbURm4+bIJ7r+%?Lvb2#(RYr#$=N{n=Sw0ZAJXEz$7ru~9r z&d6U!khCnT(n_LhqH%PrCMtaZ3I!E*MwVIPme!m>*9dgSYN3R=W!Navg@eLC0s>Z$ zCE+H5=ZV4sZQHf@I#cL2p>>02lOPjvWfJig@ff*EmWWF9lsFJc(@{MiFf+wui%AMQ z3K|Ayo?FfNVQxb!9`N=rJ<7g=5~%wyeu5gOfGdh>YlQr_w24T#-i^A>U}mLU;%>0vB=~rqZ@}y$8%Vfc zO_O1j1H<}`f{^jj#^NgHF+grEO7><1-w!B~-J-$WBSZ_x7%A+CW6diFS#&lDsi!D! zz#u>L77>$pRuDcVi%Js8uIp_yPZ|a+Srd^;`e`BIPB0*` zyG^*UtP~FP#&|8IQp6z}P`&V{@aZbZTIgloJNdYJC!EZ+Lm%R%LGvW+Pt+(QpHCMC##(H^y3-rO9v22{0#nvp}(q=fZOZEHbOcxXb-N+U80QQ8(o={jDMD;V$B z)@g&xy2AUa(D7{wjOlb-G_;n)M1mAdIl8MUhvpwqIs^u*WomRhVR>Vhp694inXV9c z@Xs!RdjQnT%A8XPA&~uXQ5vNvE$NKA5AFjm3tt&;jtar3+Tc5z8OZJvE zAUoolO$rnXLNYF%Z;jDI(x(Yz17B>B-UUsX(n3RTD<;wbT?}+jNNLdX^B~ohaULHt>G4+MS$5?IM)$s&O4HC8l!J5vvf|Ve$Gn zFk2Ym2OEh;?STFU4yY%e6ts$uDs3Sw!rR01XtJWW_ES`ZebgU}BCtN=M zsaMHVgebcQaJpBo*?judgeZIAmX(O|E41GFni#-lx2%k>!J_R99lP_g03+6{K@aYy zmaW7)izUPzV8oukW=jE1mzJg<8anpCiUGJS?J>o z2OBKF*c#!U33K&fn!z4ssq`Zxdrn)tsm`_&>4g(SW@oLVkwZr!JC=F4wwHw*1ki1$ zocH`Tkn8D9fplk4IY~;qDZn%!Cexy>F&abn7Xr|2x!1dj0dirJY%KG7a)WM}xS``_ zuNPf=2$`xDPl!Ffc(K1?;wiq4d$|sgnmf~uHN%4Gg~>L*uD1K;n*nlHmDp5IhMnln z!jiipo75B~6-~TADA+sMXA|ZQpkrHz?D3m|vv9}4q?>>MUs`^2IgJk_1atG)1CnF7 zNw&!}^E4EZ!L71Z(L=(TU>*`_GLReyp-X-*3u&S#k(_4c2I)u1T98uy^@#G3c;a(e zd{>iB_CgZ}@WOrvU2a(fBe+A?pNr7Mm5A+nX-rhCl z3+{K&I~fsuSQc<~1zg>vhF9uzk~4{;BMxTWQF3z+AsBW^cUam|p@$E$CfAvKehsG> z7c}|3o)+K41Rsp5gObFgCi-5Y9v5PrR%@J`Y^@?n`7|bvv44$T1AZwm%$%i!jlFOn z6JERInCWc;JaPqkktR4pVD4ZinWA~i8=eSdYXx}?np}9i83{TQq&Edk8zaQE=(s58 zqT@q4v^g=jg~u?AbTS)tAvj3dHt`{Ek{Rp*EqZaq8N=j|9Vhgr1KKK0I}UZUbrsz< zP;tX>RJJb~-{GM3$U9j_jmSQrr=ihI0A;t)N+vpCrUTXT!?mC{t3)2AklVOb?L?y$ zloJfC!$Tt9VI~ISiJUH0aC~MXHF1+@DRzHp+5%_L>=Sv))CF0P@T2w}t!gt?QYRr_ zod6+8{F=N@kWCxh%267}sYpnu$-rhmP_VC6%~6zcD&+f4R&Ma)*He`6Vu+K;#;`@Q zvxfXz5;BJ7JGe8Mo9+nEfsNkh7%xT<89#2wD?$e(L8vi+7$!2I^i&muWQlu2$G8f1 z%0e<;Wq|BNJz)F5Cjl996B+mofe@zyroy;x26M07G+d$Vgbk40NpmS!NRLr4)FdPq zo9cjt4YG}pVs7u4@6))$pCnf`nzlhD#stY*P1_N2zozS5v568GSi?ZI#N=e%uc4zH z8KV6=IJpJGfSOp2ATPP08Z1PTSVLAb;Wlt9uWD1;mZF=^uon$h2E+Ar3WwmuA69wS zs?HUe6RDY%cAXZYQ&dH5R#37KN+rlTXehzwf-=0slYk6eA#4Q>OhTKKG^@_HIq_fII;XvIf!S5I-C4~^GYzT_dOq>NFIM!q- zm;zxn3y?JH4V^2zo-yR&$z9+M1KZJV;8PtCSy5XBXGeecpF6pBcmw0QeTbVF01Xj0 zN60h+JUj^2qi(?+=N7U7-zz+Q6g1g;q(XRn{^@D^4rCL?h`m23K4;)H$+OTKi=)AU zQ`VgG<2^sY=NDIOp_dbcggfQ-Yj@(yi7=Jlp7!i(tl*2V`I;?1KEHM|1I>npy@$v@ z12^7q7|`H;aJtrQuTy%q z{N#Lk!|}$Jo2w}C;~&5JHQWL6)wNrmp7zFTt2mVx?6`XU+?|UVr+8WTy5Z?*Uxe&y zNt1tt0Z7P7e0cLT$p&|*VE@D)1#O|$L|^fk!wWAu))g? zWGWtUKfd>Y<*PH*@n#H`-(-~ytJ1{hXn7D@+t zci!cA)b5ATz`%eoVpkHjA= zOZj5t3HZ?Pux!YC&NvYETdkUNpm_NY3-_S?nY&kjacu|6&Fdb4REoP&k2nrHEM2c+?KFIqfg&q3yjwUoe=z`vk z=^fxCM-&7|g^mjE82B{UIA+h>WCP1l!4)fK4q{3M`s2JFKV&a;urTzZ!%r|YN2jJD zc96R;#G%1hN;4-}|CEK(z7UK?^bv-8lzJP+FaGCF(%QfQLq&6f-`e`cw6PjL%tCWDBYv*)7)UWj>a)Hn+OgOxdD=n z^w8QONX$#dn#nlA7@)E;F^tXG7>9J~z^k29SBWGxr&?$do?WFD=`%Nu{ z0}_X@i44z~SZKv@h6{>`w1K9!Xff6ch>lpUB`he-P@gBUbTy_A1U`G297Y-uARha?9 zCU_Cm9D#Cl9NaC^*xD8vN95;Vp&2dkZ{Zw)tdF~oGz4n07#u4j?~OSlwZoBG_MN#u z$K&iuaVZ?Q_RwKP>6FOBx{pdL!k{wfi5qQYUPmN}f!I8e3%q+}390cYj-mv(_)r8d zCoUCMz1K~SGzat{AXL%y8XQOxV8M~rM8VGlwg9VOJm_LQRKSC-iMc@r1m4wP`wvh_ z7^=O&uk6L+3a#8eA?UwA3-c<2?$TI|iS`JtGVfOLX%s0cnaCTclN`b#eGGj^UEoD9 zTRuehCJcBGO-fn34#~zs(o_u42u1>Dg;xp^LN#w`qiJJ4_voh|k&i<7&Hj;SJct#2^?I%K@A(kwtlnfxj{r?N{vUu8sb>o46^;CvV*?{^{G0{rUVp zb;9f4$iEKqjQo=pgyg+Z`!44w?`1N$EkYqHL`j;=coKpKYqA7*r%xKP-O2!=9KRBJ zw`b+*OLHpP>TbD0oUl8I6>UG))i%G$>aMToQls{{)6=4=H+lSu{35Syk!RgmAK9!% zy_Gr1!ZSbd)(v9G263im2|JQI_aonPw-Wi@eU4b<`AZO`4Q^B~n@xI;Y}==JpPa{E z9jP7qtnnT?D$l<(;re|a+mizJV;)t*5!VjFQ4$rzA&0y!eN>m?e{o6v_gp8Gq?Q(Hhmw1sAt3mtq`&Tk%+8!SbMCok&b{~CJ2Ur#uU>Uu8p}&2 zd_?{#KnA-4+Oq9LruA@4Wc}__f33qM>t*Xe5l$fuBnv1PFk|Z*=dEalw+=(CKJc!U z`*V6!#XAu4rtrzuVaRu=b-37^axB5nI^2iWVQuD^nEckPrLR7e=~$L|^-+>Oq-VN% z>j5X9E4xKSX4?lCd%e{EF(BxU3>-)o4N3njl%Dw2oy&%>uV(y*-$2C{sFRUL8E60e zm2@b>l>N-tvmEu^RH;sWBpvKZlfVqf)C>Sv*J~X>lgS}d>yXy~0zdbzg+;%Y^?fq6 z4qvWjMPKVBM3PB}CmRKTY>$lA!OM~~$;!@=bKIn$IfUJlYaJBrTHV)L!O|c%V-zs? zk9=JN`=26p9%^-kkC`Q`d9W!aHt=t#Te^xguKSuQN}J3fJ;Y5Pud9@01XD5^gbX$v z@+Z4g_DT_(yc6V7W@-!z`@R?H;(Q^_IBnN?h1enADg$T#qFeN>J?DNUjkx&g5AH>f z`Yu)TFH4^vc?UAyO#9@>V#q@@vY6bJIku2tWO1L+4rfOe4`F2S77R$de{i^&99ei-W=9ssYa(SkBMYBG$iGhF%)ZvZ z5MoH04^s3!+t>$>nComd&uEw@hY(-mfsOiLi-m3T8`>6(6_yxEQ?#^+MizU#R%t2$_nDh>pAMHyLguc`dezUnBgEa2lYI%< z`!$FAHISF=e;~`<>2MQy>D+v>%<}g+A-y!|OFl&0YstTF-G7|kKhJXi^7G$-CNF24 z;$+DlI&fmr;1?gy6LQmkdv)i<_D%uWFHqrMxLlII?g#;S>8~W&QE*FN**8()f4;a6 z*){B;i06Y}lzMm1$+_mAk4go%=9#LG5D#KFE9BHF_tzeGVh2JvH5EnxqM8E4O^j#i z@rTnr`H3E^kld@J`_$yfIj>SGrxW>ZI9tsOB3JArXS>@KQmH7e z4@&%5&j%-FZ^QI_*Q_9NwWEp5GONk)j$Hlj{QJ&xr^({9QkEeqW!(&!WvyFE=q(G+ z9R*Q%RjW@Z?DC-23ho*r-Qi)pdLcX>xpZm1N27S&+C-yK+C(n^(YnN`TEoUIo0W zX1A12kylLxxg|-^a#s)*mTTDXJVRAmtR@ICN-P2N+MExzSVeJ=Q$+6Nnla+e6N0ml zEe#uYvxFm$mgE!qw}YJ3#(~P^WLXm;y{R~EZHS+wHpHA#7JJ;4Gf0S&Wuw$2M4D83 zdN)JC?@MSe0?>nIj_e4s{5IJ{a_dp7(=Dtz$X4yPJ5l(cZE;htAt*ms5VI0?n-sEs zECac;g~*O3La-3ln?!c+L_7RMCkpiU&jP}H|74%C zWC70HiwNFjxfzk;&%uR}_sj98b!pRFh!EDJjFOikrBkK(z2E z8m(c^y_`76RI=X5F*4^Kb+{?_T-&Gf%0P5ynV(z^lK1pCXS=OKuU6-DnwQgSj0)U+ zn)7jb8aCNp-iK^Sa;}vho7X1{guAo7Z_FbxI$5jKJ5G9+I-M4}V%ce-cWNeb31BK^ z&j<3e7P@^VBMm*uKvs-s1pOq*_8HpoHtD~MgOJnc5Q|~&QadU7_Ntzc;@+Jt$2#1( z+mPQi1CUe-p$MrdTS^B8*ohsIdUT?yIN?gjPGZG}XdIhrQTfox$GO$7`v$P`Zj ziSz)_f!ACp9fD+6!X}E{_U}@`HX_T|p>*mx&#}HDw9GPJ z2>&oUfd7RWVL?FLdfpn(50`7Q zkyu|kctydc(Q9Gw?2i6lc)r_G=*`9K6Qi?GEeIL9TcbZ8wzal z@pT%>{#_U-yCc>~ZVTj^(r$8?5Bxs&op#{Eo-(U8PV+}rVfjWq2I_ItB-LL27>QuEXDHIcl&&F;NGU1b(*HiFV3&upW?I*nI~I^ z7=6vzXwe6x!2ZOSobL$7wIJkuv!EhDZ2wm7P7OiFCXWMY=J2XINlpSf7S1-be`hm# zlkxHO$y_tXUFU-D`g`97-O1sn@F;VI(r6D1R2HwE3>W$)0gL7p}zSm89Q)>=C%=^o}>L^DjK;sp?O*b za=|9=#35?4?$hRYl>-LLvt+AL8g}?`&W5()eel6?IY%y)S>_ZxWw%er+8|EDAj z{cdYoo?+k1T5SB#S>K@mr%O<#=wSe5ib<+0W+_%g9suM}oI|UDpvfut$)#jL0`^r7 z!+olECnq~BWyeGD=qXvy0VK}p?K#9S6h{L4 zYNv4$N&ozC{p7>Cl_|$2g$-E+4hpRDxJKKTQlrX8Z7&k}- za=|8xPTe6033mg*()M3RadXz%{+DDTHDCXl)SWY#J zPXuUw9%Py2iokedMPRtZ&DE3Rbo`}camE{1qZY7rGs4yLrH~c2Nz1Tj?OmNG+qn%R zT#!32OOb*QddlX2??QIgky}z{gN*fF6B1>Y3ZEK-*Q_MBqhKC4R;~8{^gP+8f9H=A z;uObOX&(#a=WHTN8NkxA&^t>8H%duD$bwm5U)*_VlbnLOV_17f&Q$rg!7ka#u>){q6meuS5SFGV5L!X~&bC^JYF9 zjmqc`>pSF=Y5%wZ+sQTWd->@fZ~eJ!9jK)TnkO|~rw7DY;65Il^!>0&a^T*bIs$|B z+{Y+QQP(_GcFi6+mBM?+fSs?C1){Y}z@Iv&jg+=|REW$0qWfW)ny|Saq5tsXB?& zP4-(aORw_3$lTEHW z71wkum?tEW^>5uZY@UM9CaVduJxOh7$yE}1U~|$+lFQNqqLW!Vejr`XsDy-TtoxD)D|s_?D> zFfW4ZentSqK+-8b7x?RyOghSi_1-y2c23cyy;3eqK*}e3|rTg1EyKm{HpM5yq-#!<|1@alUNpb6oiwEd!`1NaV!cV^N z*l!nq;l-akb5CdYt#6+Hg-xAbe{IVpYuj(wunX?<`r5;tnJ;g?ru%`{Ug}tU(S1u7 zkACg8B_m#6yS4Lh;`YEZ?VagYKDKoKMIZe3YvAtLe_vGRd+I>@|{mp%^ZC?7p_D?_1*}d%O?LTeb)%~Tn&fnLbdH%KA!L*D#_5&{W zXZN=s+~2un$$dZgWP3;Vk{2_`tNVvr&fnjD<2|o$zV(InPd@hYFK>GBl0)q`e*N0+ z^M7;UCx*Vh<oheC_|i zuqfrCrN|P62lt){;Qsp3mo9`Rhekek$7MoHI9r z)WvvEt2gV-SWs)gPAsU?ag2@&s@RMp@tO=`>!E5ZOIw}?{H9{cs zOYha{Ex%ejLu(9u8qQ=G!|Qc+#igt8;!lwR=So*AATtBSn_kVosMU`fp^%X3X?kqv zFhf&JUE}zPKpK(#ET;I4;f%#NS2e+|(CdPlAR+iLIzT^gWI-wsw-Sl5Qe4eq6*#UR z&FC!C1Z6p#xV6~1$XQ9mf`ddgUBrgtxQ5k{LO2hyt&E8URYSYejOio<+z`?_wf%_iQ%BBPy&5}5A+{K+8*kSqOooeEwdE{!4un9Y&dY2iG4@+^t6GE# zwiyjU&EHzfVl%F-kND=*l%Y8FP#2mg<}_Swe>+iXR{ckb@ZZ6A23arwQ)#ha$&-;% zQ!mq4wH*O>P+LyLA@vor7DBZ8ik;Bgc#^VIaTa-xC)p^KqacK6^{u5imW8h)A@$~M zS}cqo*bK$_(I1`G0l=qG1B=uFaT9Q)wx!Uqt>L&pK2tV{d$)mBD|zC5ygTngHqaj7 zCrl`J66$M!09%X0g!&qu7hl0rjPwpOka>cwuTZQz)iBcnTDS&eEWT2w`@(iP2?>gj zP@1C6A0;2$Ry&iGv|XAMGYlX`0iE^&a?KKe*c!X6OPwsGYtF!GG01WTpnCn1tfj0H z&tvr(;N(t0-azoRfs-8x;sk4G4%uhDC%dp2n$P6-78^~DY#sbRj zUHAc+pn99y>SkiGxKvV46yL=(D9EN7w$hXg19_OI+Mu@x0={@uWeq@sn<;0NYk&bR zQkj-yql#;=R1B870k>%rcLOkyA-mYX+o@J~9!i;N)rp%yL497xX3bU@uQzcUQ0OQQ zBW}V#Znl~j^oFVUf)6{b1I`uFnYIA$s{q|HN{L~(b#m_vY&hkq2-;avTIXoX9LdY)aAp1r6m@+x5tKsK)cH!hNxz#;*Hqo9WTP`)tu7;l9R z3Ta|87zIhEjYyD5iOikFx?t?@$9Lz#Dgx&|9rbpu{OIwv(NA&`^BpLzP zUpWK4lUCk@XdrGUWv2x)$x`)z4?zN?zEu`ol2YEe_RU>tt zVj{#~B`YY)@e#`M6Wp*z`^rBYH6GV6bQuM53bL!Jjg(pMH=i(4ng&fCHCU=#Phe$i zn<*xnX9!JP8m|qRlcqDx@vp!sNcdOQNbIB8XgK@DioSqgnR$f|#U?5G8OYn2QjX%4Xf3cw$cY`+oK3C6 z8}6Nf0J0u_7#YH*@B%liKz{#hQbhJ%;n;gc*4`6(-v)uW_>A3#xGX%+IfQhyUJ5Fx z*edG#{p3FCY6QTtswyc3dMjbSr3sdx0f!}`!4wN9v1piu$5{FS1nzQVF~iu!o+9qj z;Cf3>>+!3Id)++p!6XzQAB;Ioj45RcYDY5I zM&fS3@u*SMjx{w|=y^w(HrkM;hSHQF$y&1@a#WIqstSD+qJQdNtm`Ki^hAbHymC!%l5@-@_9HWM) zXnXjTrUpZNEKyNBFO+I(YWviJRij3&z79&=HB3N3L=q{n&K#QvM%Bhdk=`T>`$LH_ zdh|!@n+59i6P&eJ`ZSCkemlBC4wRrb*(3p*Ow&`LZ~XHpG)N0=Wa*Ocd|Ecr71Y?- z4tJDV%xSoLwv|VQsURe(pHmoFurr=y%*l9jR*@2)3w|PKVsj#k?Qd ziAfZ?_Dv9tRb@??gQ&n+x$-OUo;X5VVR0XoP?*?gF>DNu4O7tRGB%O<=>Wkx0&vw} z53v#-7tPF8n3@nQBu~l>t`xK*70_kuEa@OiNgQ>Yu{^q<)5NXOm0FmYsP&9Bw9-~4 zsj#~*gga(ZHB1>m<2c6|R71`0s_1bGBGWBGf@sdzNcD@)&b)D^j-J5^C`n9zaU%hGH#MvEf-8{wFZT@Vai7@j+wyBeS)Wp#MkRS^^SzDzRH+?#nta!*fLKsdl5a=h z&!sG{>bN?^afFJD{sKe?u$_22K5twW5K z)M>P6rJaymY-WaBl&C;H(>7T}YeU6i+syT=2kPCasj_NgRhHIxeX_(Js@bVkMl2XC zizO>-OKvu`)#%Jc{G&EHFOGnfkNrN^$5z_)MGG}MsHIY?##KHaEUU4ss%Z&jd*fK8 zUgAEf;gYEzrNZmA0Ip~p3)eJ;*@NMhGg&yEM3xEQgVkABdMP9VK|RLRa2TelonP*7 z2ZzCtC&p$DubpB%AbxkXorXbWa75C03xEQA%Vk$*$hnQYv{_!Y1H$ z6!e6Mlp4}p*}5lN3Ng<6Zee60gQ$VLQ7S7G(+L;{nwk`Bn}|$hT#cX|Z2-pU6enZx zcHOKi!U%zvR?|w6oFgn|Ph8u8QG`-0a0wNuA!6t(tn1is#lXT>4?3OoJC9=#F>F7mrTVj7@VY)AcO|D@*(F~p2RM~^-7}nOxorr zZ|LCATHQem9dX)F5^}5350|?q+?d`OJQoq(zBE#yUwWe#s-*J|IGLlXna^s6)_W+mZOpS9bxSV-WF zA51JJ;;bZ`Ea!@4iAG%@Nce!F4iDGWa`bu%)3dG_R8*rRc4ce@TrI)Aa9JDZ7m`GwH}4-@B5SR->#t z!zG#5=GPsaf}3oWN|1ud6lMvWn#RK1y~R}1vgAN71)ma3qyjl1Nd<*RmS(oPl7avj zBeEmJ2&t&W)2Z;N#W1W(9!{F@8N@Ax*ir~yFOzki6B!D6O@VRDl&ymyXJD$T(4HuM zYOJ&nHS7g4J7YGvH19Ux?&Hb*K)gE@Ap?$LKtI!{s={1km0#Q5;L}1urfUXVU$CMp zh05+}Obt~cjV%Vqm&9WT3495ZNi<@fPSskPv2O&qTFs_aDJCl#ZL@mAe*r|Z=6D)E zvhcdUf*#3V1uC+PDVkQrX&<$UJeHZ#V;i``U38Wfs|1-aa~wzZITo}fH_6WTZ?dBv7+1cu<^-p%QgiQp-b8#pFA=vcKt-55~i(WQi|FyO- zvLM?H?8K?^4M-J(j#dcjQE?a-s9~<2sK#l;{cuZA3}y?aa=bE4k*_nJvp?}1k>yK2(x&iVf|y<_omc+~^1ubs3HUbW=Vm6Mjh*A96_C&l|zk{#Jfa;~RIuI}JDj^{p$H(b8SDb4~s$H|hZrh&W$ zve(@1k(WTpl_0}Z&p|$flu>achS#R#hL^y-V-233%$fw!!?Uly8QhCEq}FZ*_h#ZI zO=>%qZMe&=#lNF0XM#Nc;W^h+mSn2_D>r20)a;H~_*>Naz((0RV8CI$Z(zg)*)Zu!s8DTF4q-}_q}4Tg6nEf%vzueUAJUJ*1})&#Gqi3UH#lX&w;8ExYYostG4 zZa)-^#GWW+v9V_Hw5rjX7#-2ptYX?7!T3&Ja3o052ek{w+20yvz+B-NWaC+gu4nZI z8SvReCfNrqTSJy9yzS?4Ct0{ApPPh8OsPEoHnyjN#f}iANZQ1ryVFbdbQ0o{cQ~5V zQkHpSK#EHt^DS41;s|lLNkN^s%MaT3!zQlYWD`AE1hSjugluv28*GF+*u1M`P_fB3nPmY23BYE1g3|)Bq%&Roruu8 z@csWaWfo2Jh(e9fzRp{ia3fiN%+#yZA|3iS@rFtaDHh^Ypombe#hZip!(?Z6}0flM_6H>^)4t+&;|0iGV~_%1gRN2PSPaflYogME=on z``n@AhwJol0}|<%ODNLYq}*LJJ7v#bFcJKz@AC9RP)P|!|Prc!EYDgX$~ zsYaM5k|SeDGi7u0{ySzU+f(rK@!c6(NGx}@W#Kese_#3!)$8SPG z^mwXiuo-xTfsbN3x>n_GOweH3TMUw%CbGfm1twReka-{{v>Z3R z1p+k;e3LN`@sgpYAzR?B>jr$3#)uo2K?n!gR7@P1kOwkorlIWwISmz51)9JnM7Ho3 zgo3zGMYcFAw`PKr#($9IY$iU1iilB`%bqgU#rrZkJLJpE7%eO~dZZ^B{%tBgb zU~d%f-VIPSvthS|iozWw$=52V62aB6s(`rGA=AfNGMV&C)v%TcML}y&Wa2>J&tz0y z`7DDjH{}6s9I`xAh5V6URpdLnLv^-JMrMx0z1<>Ls31bNYGB7Cf>a_$2Eioi>5}Q9 ztZb(o*b2sYjtmxJ1DPz5|610&*oQBJjP2@c8 zd~#lv`DD5RX@Y~neS{_|5ACCg%17|GVfT;mw{}v2JYZ~c)qv5Yx1Y#~c*jqk%Xgng z;69snZKmLum z|Nh9bHe5;1Eb@h1YxHy?p)0_yj}N%iEpXFb`xZj#GFT7B;yZ4<{aRvUKha6s&a> z?ANgKAp~+gz3;)N9nylWJ8zXEi**=>*eN;KI8DG{IbvBrBduOQ#|83xX_IMHW^2O$ zy*qH7vCXk6Q%fzhw1(h_?Wt8*RA@$7%qm|uy{bID^7_&2`Bk5?*9I@H`&}?T;`$Bu zR@F^-YH;#Gt<@N@er+%w`(03=`h9kOHBjax?#K3v%% zHQwcJlUW9w;^c#E?@U^$09~PukaGhhL@J1>0$H39E!ixUoZjHZ1cRpum6Eaypp;o| zPjA<-$8*b4qJ&t_&n%oMYCvzD;CVo*s5xH}D>6pLfuS}a_Qn6GQ1o;y z=}g~081w}ezIiZME0do&V3ox<&W)<%Y#i703bvi52b5~2F`pbohn$H665u9C=Zt-r zgd>6$&VbrPaE;6<7v(SX>m}GsKq+<9U{Gl6Bhua}DL`I@Jiz3od^2as4ztJND%2!L>kdm~%@hOG+GF`)ryHGKQzF>@?4zbum@03V{?BJbRO4Sn!)QQF8 z+P;B_{>E=vxFQ&qrM#WG&*XRdyA77LPCuxK)Is8QZrV-g{kn4fq$gwnzSB%vG61UsT?!~jn&it4ws!6MEq zEX6~VWrCZP$8e&n6(XLpEFXdU`7 z%K#%`nIwb!Yak|a+O%8axU2>kMmTQFG{nLmLaTxIomh~6P37dd_{d5vY92#%71x@? z#6qRmP~fZxp>pieDW{H_bi|~O%Z)sA&N&RuIfsmGMRw2GDaB_MripJvvsb$u8flIs z^CI$v+X>1^{$!>)fL|bF8Acj_6gj0F%xHw1ceQO>^BB2itI`cov`c4 z32geF2_?If2^a605YcuW9vI}wZ~WCXnS8>+OAD$UxC4ZCQ%D2Z0#7XO@wpXbps86& ztwYoH$*=mCWsJ;shP_oX@K zZsz4>uU@z8p1U)5-hJdYHaR^xlm28Y%S4{c^e7ka8v zB45lST}1xhi!UyZ_=c4HL2^HF5uccW0%RVqbs+8uJhCf;@B~6A02$IXBwpZuZopvUPCc6^B&V4mb;_ zpU1+c15PWcPz73txvkpdC;Ur#Wb43W>!6@@=<+}5dv*1af4@5O;MH9Z&pNho*0UGR zeQU$qUC%9hb@j6OS7h#*`^X#ra*Pdn_0g--EOVhR)8ji3lC1-y)?rs#whm|-yw-up z7c=$;Y8~$R(#1o+qzozkkx5GC0hr!D}xsYT?uF%x@t=&nA6kB zgkAL$n6_uUwu=qDc<0avn|`=Jc5N~!?F?Oh`g9?77?{;AU#ptWKE+TgD7_~`V@K4x z+&Y>RXP9qp(~wN&tbhBB99cBUk%cew*0#)%s5o45^hFw39ONz#vQX|>rg=19pDhdnKO*Cd*iMK^*v%mSptJiAZ=JvAfujVcn`!@tZ+B*vfqW5gTsL2`zrACB=N}gA-}=Gj z;68e6{{x+eW_2%pslD@;INpi?IkAAv+8B|!>bI$Vi-*(^HeaU?nb^Nk(Gq}I-*wMc% z{pKYvwErC3OZK-v{L@zs188dkY0z2*}$I~L#m ztH(dR0cgO#I)Cj&lfQf2<~c7u-nph@(b8WK`M%Hn>ijjEZrpOqsyX{N-S~XR=a%kk zzwCj|#kW7VVD00b{|g~6ZR(uSvH0^ZZMy8`&Miy->vNF(?Pq^9JJa6zi-R|m?Z4=< z4ITeG^H(y<3xD#t9Y5@RzT;nS-_w5ChKGUJ3-W7+FL)3_9)7;#29o`9XZNJ%Zd!X} z*P*L7&&*u-+VdTYmp#AfvIidOo^(?GzWFWOhJ0jg*RcPh$=0EOvTN1@Zd7Z~=tq|@ zsdQXFP{{1P(WLUdE@ds*2;W?d#}ANMQBlYFw+LR>wK@qdIS3j5UfK>`-rRfdnb?nai0p?)GyU*(sDKbslgz731SK?uHC z0m^Ow3pIc{S9qwjN_zrq{rGoU-ZY&Qc9FHIl$y|oI;{ht=)8|Ot_9h^W{LrT$WqAA zwnj)p1I*qf??gd{azt!RBvBj(T}>~J0D;N`L>|ZrA>|P)ZB;B#+d|}D#BCwkh+Az; z)&V&SXjVRD9bf^0XVnyqj|Y;Q9*?QYuJMpP1?`7z0l5h)sEh@=6l0qK#MW9JzwG#pQbg2E)I-cmr`TDW?>!>uAqyhynKZ*SI{!2fN; zWjKrXrmSKfG%DvJOJLoO;4x;{Z9a>D=kRn=pBh$&*=M1YAX|P3HHG37 z1L2QBR-!T*t_JvIQ&I=3$>Ly-*GB9#COs1Dg91-EgVrSqg<)b1XiQvA)I5eCc&6w!_B8pP00P(^P$JNZDW9OF+oyGm-Uhj>Wd;Kc^ga|yC7dy;A15!ZQMY}D# z3a1D8YuaQ*UY0=FrEcg9X_ANcdvb?Rs3S+u2R$QR>;Sz{MWTjAJvR04K&M5I9Vc?h z6V%x*l4Sq<7}tGQuK;#^eKfuv9eERk=-aJ-;IUEY*fkO=ZSq9Kxed6Cgb+Zk+wHSP z^zXpYB(gpQ*!9R#^`aORaR)HIRR=DbVuzHaCwmDYOkU_cPES{=5nBjTj~Rl5RAZHj z^%g}j;nd9_M~xI~plCo1q)^@Ua}CX8W)sJ9xefJfK^Q>4RpNj?DuM_pB79d2W~x;Z zeH69#LR8UU@Ch_6E2f}jYAF9l&Q!hOLMR*rnk|0R|3XyLI$|DH&)zonE>kywEy_)i zrYy%XG#0vGMp~~hTI)D#;^Q7->Bw2py~uK55yvW9Bm~XN524^$kX!L8zlLnY#NnixfohNXhBqA9hS!bdl$W{9mpC@!&tE8|7;5cF4#<^e<4t082# z8WvVc*FUE;DL}Rgu&_v3wZ*DBtP!n%UK~oCW12+^1QPmq1P=n>5#f0u(UvePYeE6B z257;m5eke2-fvB5D%omHOBl9DwXOK9s)odZ%9uW5*p7v!5{@o>NdO?5t7^Crvk24F zNaJX$lCXo(iWT$WSkkF&Ft|}1liEZZ+`2>_#u}Y^0%ZpBFwP1)*(7T*?#3xQGFgl- zA5$1vQ&vGAiLn@;X>^(K%Zk;ve}7G1o|pqf4isRD^cK}IAZ3||#^d66bXRKjR_ z8iY!W21qu2l)}c3U~CXXFjlHoq1d8?&aeaskR6HOm(oH+7z{B8QVGy@k#z~}3zF<3 zPWeX~F)(qNAu*gV_3snJ&JW>9HQtpx4$3qS`x8K%STiCE#EHcx3fu*o^l^xNlcpcq z*fyQ~f=(=HviU#0vgZerfP}kw_E>n;n|~a< zUP3x=UJbAMUx|cED7%Xuyq~ab@9iJy`6muJy6oJMhc*`Vyt3$f>pygO!|Rip3D{S< z=F<kPyRE zFJE?xLxnH-y;N`|$Uq)m*Rp5dq$kL*ZgE@%$=-cx@%lU=E_dtqkIB{{hOb5KMhoA5 z7EpFQDAR&XPQ@XNk<*h2GSzxNv$VCrt5(>-A63l+M%}@&HCT0ayMJSHMZ>CLwi;X! z3(P3qd8jj1TUEZjvGV)d+0YB@21D@Y8GXcDTW7Utchn{E74&ed$GQ%PvO2zNc5VZq z>;^+6^tCc!!~!=rT4k*VZZ6xgDrB?Z$eLdO9V=<+b;;4&8^=Nj<|0y9`6U>Hf@TeU z+iZ_jY&#f`Un|d$3$L=BNfYS6igf$xG6QI}&9P+pHM!aVO=f&8Hp3W!kGg-YC#)8- z$sMR9T5!^|87Sq;c&L!Dm||HiYgWyqb!204fCeW=^VlDN_p2naJWZ%kV7dPI@R70W zM}MyNp!GvP)wESJQ+EVE5c>s$Bu&_aD&Tc#s(>rYMxyW^(61jIt4)r^fF{wh@)se0 z!|?F5nPnrfxc}#3+|s^MZelh33!r=Wsu>1^jIE2^+_t^ZN@}U#1<<56793tS4Y;|r ziP7(@ldC zUPrij=iTjaD3HKux9R|Gl~#qB{t^-vqQDzkYaG(5Xcx3xh@Ie!%9BmF1SdJ;CP)Gs zoOOnJ4hs&Uwv$ZxV<0Q+;3~HW#83?c^45GeY~pb zaXbQHR>)M5tulqa)HF%{beXSefrqi7CImbxcc~6K8O~ek84&ruBj;2 zcHABR9YOdt)`8p0cx_eUD%C=-TJ1QpFIYA$PTZrVCXL1uwyk23DlDhZSrhy_4sP2| zZa+SRZ_G(=7E6?xeY52CO+1MgwLDFL*w-+VxFJO0idDV>HPER$Wu-fTvRj9khgoK- z(PjufEB_rj|9;#<{3DXjTC<*!F8pB2^kAhiL7Ze!gX=TzS)X%py<@21tM-(ux2j`e~T5*>V5KiDNU7M z=Z$MVAzKGR^F$L{g^l8;R>T7kEszT~IhjLL45xm|ai3%oeVgY#%!KQ}tAturC0KaS z$2D|=nhFnOO||4z{;D|BrZj4rs{U3&Iw^SK3(6IA8;YbRScHz5 zSo#{WSrt~kz-n_~HmhlEI=vY}8JptWG*!_;zya1IKrU0zuox2>@$P|Iag_mqakK`i zs!T)osvt*%ERd~frM+rH3FRhCl(H21p^|XS2{vl=%g6acnkiaI!MoViRVv$Abt!@o z;N}Jmt;vYTu}mJSl*N302q#UhqBsPtf_P*?6$9Pfryo;3 zk`S!kp{bk+%Fs!=9xY<`qmZL`vk**3DqUWI3l$TVG1x)5v_42hI>#9r|=vvI4%oX?`n_ zr6x0-!XG*;3%`;KOAFc0DwD<81_317<$jW6XKV2TyVq9sEl$6NB_WO`l%wvKtt>{e?!#UI}fN2%T1)H4IA)-M8KH~UEq|kwCRXM9mvZq?gO|9Xs`0nAs_+FZ1NaF3FWMu>1_QxZE(uZj7KT2e41g0ch z*K`()1(_$>^qNtncFE{Uk;3~v4VdbPhV&KJS~}+FZQCM8Hkx)ofI8zx810WJPFjM< zfuNCsY#yCKvK_ZKCAZxGkh^FQ!+MvTcjp~Vbj&@F?Cq)GqfjzDElQ6Y9CYAT3(M{X zo9(Yky=(E{L&5;t)@aNP7~9vtCTg&DXTsqYQb7+L7#19@Pph(QA%z(BS}Xypl5Ems zoLd~kQ^3rP%60j5f=+ZxY42VIZd~E&y+X={sfY|b;Ur$9N`f1Ifm5&Cj*yMd7Dg7Q z6KfTCds~14XD^v@7sF>n>!2A|I43G;z!7Vv4=I@NH<+Rr7bz76vxUk=vF|X&8OnG^EbWqMkl>|CdGP`7(Bp>foQECq zM2TRnRH|HRb@gv$G(v*BGzbFcr9tvD$X#>FdsBZYTL)@+3b8{Wa6->F6@Okd0H-(& zn_OD1r3g1S7`_I*c3tuY2uj*^6*}AD6cL;is}6>D-fS^)Hj&(jPJBYZHqNP=jx4LJ zahQzXZY#1Qr~ds!nh?q^6il0nEfRQAfKM(P&~*mN(6^Tps;q4vkb0*Ehk;f*Tyoz= zMOj;8u8rXgi+(SzRVh7;Q>UvU{NDAgh(oU8*Wi@)_Ku$ptBJhW< zSuP(x)lo2(Ja~r$*r6=3Tz^0fhm&m+fwXG_e3t-;?G$ixNf3&|s!AKhJSbQu$@Gf+ zrpmxVXVn&qqKC#sLXG1WpCWK)T9AXx8Ed5uP&FxbfDa1D#(sZ32(nr&7*dor#BCx< zQB3R${81iU;L``o^b{1JGPTrI@dr(aj0G$xOHB59WSeo5se`1D03Q30)gWEUhBg91h)`|PmJZ$G$ZS0!CnYbD ztwR@GSx5y7yaNk3HOIYhIA?I`YWSw>g4j{Y1-9B?Zo|u4FqS})8-d2bXl7Uf0Tgn2 z%(gQQR!+4+qvPHQbs2;TPd1lX6A3jcFS#1&@+pK#bt-#O2-<$;5S8OOgXEWAa|9Bky`Coo+)&P>k{02VCtGI~W=^(1 zt*3KP5L&1Sd5us&aLAQ(PBAHG;kFA!INM7TVnL#dPA|PaUydw}$hpydG&d^IJhOX7-+bwC*ATNtD%L% z?I7V!p~pLpkmtM#xCufwk=}dS%VvK!R{=q$Cmcuc^@Jk?#d&3X&QwH}++UpyF`tyLgkK%x=FU~vV>(vZ;rGER$Vc8P@uME zd>~w#xH%kKWHsJ#{n+{)!P50uL*aPjHW#)IlMH}=!%W5YK+@7?4ILqDc`I{>tff*O0s^9@ih$X!!-oHd;REj)2>t2-|q_fD!y)55G+G=T8Ebxk!AUM zAP=Kjjiln#klx#*kRPs*uyvDa76eDKEVret2^O`anvvyrJgfn?<$wmShmbMGI=~kL z!Pi%T&RnA$Tpx>cT3;N2- z_7VXv89EwzF+8UIkpyk%D+xsZ_>a`(#^yp1IFbT5bf)jFDalV6hKqW6plg`)ppuW4 zhjOFRj0|Ufc_65aP*A?yq(_Km(y_Ljv7Is_lLV`{K+v-kUkTaoQgrnM45uox7ntpoS8!e4G2jwkk1Jx76ii6 zlM~j(<|`oxS-o=j2zv)~{v9;2ypFsL&susR;ksFBDp=j zgU2|9Zz6jq+h8VSIsBW1vO5i$#GbN#3wt|caH8;#yH3iMg$MU(kd3bbBcyvG$uM0`m5)VdreGGHnUAWNSqo5q8^jw2n2&FzNqElifB`+DDokT1!%19) zE>daY=%_Uu%9%A&?wsNVdCB=d?(!RqUM&to4g6?0E3hMwuZkYBhynuBU}**C73Vz# z6ZtAQ4Ki0bqa+lR2b{vhfr>?G@XIwD2YA<6Xxu~)qO#w%fq<088yo9UD8LG*nTQix z6mNASfQr#+`Svzmg_ipiLa3wx(*==CR%I%bKP1*yfJ)&Q9{g|)tp=%BP7a4C5~wyC z8i|d;p-c*e4V+4cpnx`Lb#Y7|qeuhLuQVq8yj@azF@$>xtwS$^6b??)0$lPt-%p^D znTFrs#c*@1QU)JoS~qYA!Gz0_)pCkRZaZr!a1V%q-0W@ zA8%`V8DPgvQOG4`$fmr7Nm(FKUb$2ucVPx6aj1kOn+W=qxwX()j|02SBySAI#!IGf z_Au^=6K6!`>|}`I&{>l>6dflu!-BYG%`FK&Z^4<~S`qK5rr>#n*5OobRp9H-0-0S7 z6*zZ8GJAn@M<90@2jmU_b-v6lAE8(NaqC~i7j zxq$9u<(XHMk%|P=(mYhI^wo?rR^G!N=}G(Ifyrse(K7_TJ3n(2AiiJC96`l;vM2In z+Bamwwjqo(K}JrRoR(RF8(>{G^eE=+?Pgr7uQOKjpl?@n0-H8-qh6vs@|HF_uC3@X z>UnTTS9A!AP2L7_`6SJp`-UyKhqOlh3?+|+6!V((mZ7b#Gi)C8KNtnl#@XZaYQ^l? zZbn=ozI60z78^oB%xg$?eVtgI4*FV=Wo$5IY1Z1%WVy`p>NE+Nx>1Mhw=}a$Tk$_6 zyELPg5>B!1-X*V4{rpln`=qb@OQCh>W0Jz*=~y7*)nH%G=Y4YpT8AQP9rk{Rur9f; zXG%{=@gt88>3V1~T8E#eKkzfQCBi?5Mx#gBx_8JQ-Wax6TwE&Qbc>(N^sw|0!qKGG zAw44H&pFskrRP154Prt_}5FMD}vkz>5w$k6XkQ5g)A71sI#?!@z|dKmb$X`|4X5 zJPpQYmI|Lp;~AGMiWM>Um?pVdYuL~JH`8Nc#xSnb8-rP8K;skrEL_SCgjk{)0|P}w zTp_+R2`M4j;u?~z)HP6+tvPaSJv4E%Op}mmmVj(z2~9?k?9vR#-|lh33$IN5|Mt^5 z?E0~69lS`S|LY3Wf(1@!fyrCkQ(H5rW!fKmCjW^I`so2wr;kwUAU?m3{l1GCt&Qd*b3m>O!46^f95ZtV`B1l4(`k-AL`_DBdKB^iOALg?Mt8JcraRrtJzc3 zI{1u?KCG(*u>-+=ccEfWIrgYBlF8PALW)^wiT6uld_CB0^)ssO_%G`_vIPFFY#rDV z-+}A7g4O}Zpt;sTva>vHA7?6$pQX$z()a~_R>9cbYSvus`{Y|{m2nn}6tVOL{?-aV z+dEh^m-u*z$|oOHu;47XiQN409wX!m!Ssg$a#Mwm?LC{h-0UoEA(4GeBpX7QkH;aq zNU5ubY+q|dsU$;4T;y<3Rf4Eu2x{u4LXE@_$`}{@gQpYx*O<-+L?*UxwD<=#-x4hWuZIZ92B~ zPsT-yjAK7zo99lMaFpNIS@P4aLARBheXM2BpCz2`ml3%0=SI??;K;<2jV#JAvY^%h zBMaq&nZFF{(quDGn#rJbm@iugIkM3A9eZ?NdMNOIyO^Mn1qK|<-&LG9vM9$`;V;g} zLQh{D>EJ^#vdFOSLKEht$+4z|8zYNSNyZQYLb|lo3n@zsX26Z%3CNR>rDo3~GKMEUcIa(K78(lM zt95V+|DY{fhXTs(Nl{4iu~rF!^$bJa=HsCbAia+*Z|{8R*3E1*xeqOU z4wrRy({1?k?|<3(-DCUPKmGlO!F|*7TRUI4rF%He{-WbvFx~Lj>mYyc8KCOkx@8Q= z67RSD#>eQU{e-}GzqIA17u%11{k0cv{ng`Nc|xqr>4*B^ah!LM#we&ojTTQ<*r;c;NwF1~4B z`?U3t{qvjF{^`cy)!#Lz2v3#n%2(4OJ2Td?E|~M@a*QA z{c9f~^6m+jwH)uUkUh7(6SA|0z3AurfK2R?U6kFAoFiKY2ZgjA2%K7jMnCz8n+0;g zCa2&KmZHXjT+{p}o&ge~EFy~FwQMvHWrGzqD>7p$mU?I+99Q&%CgHeZE>NkA8PeHm z7=Ns~-pq5hEXpppK_2S5CIE7`nK5`QaaZmPYC?moRn5j=#f))oRM$h3L{PIUl;#-W z{eoQ0Rhz(fAu^@a18i3@0uWivnU+>c8F6d=$SYn^*6YzY=CmZa7J8dSIlUP8dk09{oW`1Z=hQkiIFfW!k*7Qo`BhHYTm>hnTD;Q#7-v2?s`S;_CryW7@MNnDHN^dUR8K%xWV z7~s2pEIk8mMNh=$g-A$Kl(lGp$eI^6!gkv_kPQu`To(!2x%Z3=GH;#RG?Z@aI2S^B5Gtp&~dd(NH72jA#! z-|lt}BH1rBjw$n)#bpa>NTb!D>ZI1Og;B*^G z(@9glVqDe73!Kgi0RyafO~OW!%Q`uPC>Yj~W7EZioW(+=*nv`JVx?wx3bh2WZ}ijLfmOGFK{Z3=Dzq9cOEz+=+d0SXWxiH)&W=)lOc$OT`PzKT)u z3M+!Jw4&G$*i%Me!&MzX)sy>zBq0nvh3e#NqR7Yn6j+@yS(w9@VP+S|+!hMl0f~df z4G+(oMr15wovy;(`icAWil|nuY++R3W_YS%Rn1AkTCt8pi7qCf3FGZy#ks+|m^8W3 znh~tjh?FwUtPHk@miMs=6JM-z7B?3n3(B@K31r%##Z0V?E2|2-=9)^?7=;j)j9}iu zXf`m~h&f5l)KthfSQoU#CX{%>z$Q8f7S>2}$Oa?6h9^3avHL!qD)5J-kUy;KJ|qyY zA5<=K*tz8GVZK8H>XjoPZsy5{qmcet+9Yxm@LeF`PNY`V_Xd1WOCP3k7RZeCqZ!gz zmjwWxCCJ;q(A<61mMjX`->EFnt`Izrq8ywqwqfzAO{e%-A7z)Y>$62Ojb@*Oz|(*b z!qG&_$8eX4RD%s~fB|LG;H8z8tU(|gkf3^1 zL91XDCEF5AY)IJ8vS!hC3Xq38c(Jtbtvjls)|u#rGxn24-P)rIZA zZl>D7_g#JXY!tSocvZW<2D0tdQW-?q&B;cU5*c0w1=T#N1urr=*A8H5k(MJsUWz0q zx>O;VNRqKQgb*8g7KI=iY!+#PO_?0!gyGMlc4*9^>?SN$@-0URIM->luDg+!1Kaj= zY#!4vPw?)Et+V4wdDW6FWTjr1HChY#n?VO}-IRkV@5aJ^`9r8PU0&W~Ee>})Ae<#= z;Ztcws5Is21ZxV*5-;I6cP##DTB&aAUKtwOl5BO^_2Zy7Z^iI{QqIgod5HQnO#t8R zaJsmH-YKuZoxR2=Z+D7Z_wrZ3?I_GeD9>q>p)z{Eo2=_m=Q$1`je`u7-B1{BKM;Ij z6UUJ;vbS>wI(VpWkNk2^By#&Od&6S>ud}y0-?KQ(q59(!`0|(-PW~R`ghfA@K}c_+kK4ND!EUe}NM!Gn`$-1pYvlIMCDZ z(HR>@XPd-Q#1An#2|rGYvhiWX#iQ_@DS&iMhO@q9;0fucG^JDLPynt1`IB_ivLo~; zuC*G9E*Lh`m$u19Y4xWX5)}G_RAhz)DN06!0LcX>G8EC$Y2g(@hC`ZxAy|Ed1Kb`Q zrNV)dr9kFD(DwDC`l!XoVT8fxA0E@S!y$;9ITX@7`MBVuc~fB%k!(5XUhp1Jc3TMb zwVd`Y+4c72(--XSK5O32FFuz3)$-mSX>8jwcU?ap+m85%vOnnEpR*vKV<#`zo&}m6 z!nQqH8QgWnw0VyZ_ZKw)^u_nwbLTsM2Te{V+5d=bSbFaJ9(Fl-xRW%wK?BWhI16M_ zu$LfjK_-;l1-mvpvS10w1nldR|9uy>O4;2F*^5Xv!RZqBuIn!w)cb*+<-NOzdu=%s zyya?SXYS6M!Hqp`Hr;aY)izB?|P^sBm zX1VbqY^u(sB}A}=iI(P&$xx~!LBK6>{8Cjp$-57*v>n(~{ipa09AK~(4`j<=N45;o zrtLnrkFx8N{oUEa%bfNCY~HfPE>-EWaN$*DMR7UFqWxT2CSr9l8=GD=6;Qop)||H- z>&m3l>@BG*2o{a?%AiGd5Be(;^(`$E?}yy+TJ}}g`abDgWzpM!Bv*!}V4IinoefBG zS*44w!+X{`PEWdX9+5Aimjp<-7Pfc+x$jPGs;TtuSZ`GizB{WzQ_|@*jlkpuo| zNAec<2o})3tAXx7GczQ~F{x)@^l0Z*5dq_BbkOduP;id1^DBZy9x-D2f(SZ{yvorO zMI?st>5Kp_@}2$7Tb4G#9e+-fP1=Q~MouWQn5^THrJ`ARl0hr`1u$)er%%s95!0lH z^daL&MDZ=PFH&o)XBLPwu((hoRnGHjk7mq)nqQxl|~2 zQo%TPuJ#=ygWu4?vPhw*h~msbC7LJaZE~W>tZ6b8d4g6wgS z=U`Z1s8pE*G+RM9ExNGr*;EEo$1j6Y@6M7dnn0o-uVHEDN!n~aZ9@pg;jkI}8LYBA zJoi$Ql8lA0JBw*ZY}!(~rV?JvGD+ligSh292qC?pi6-M6b`)i2HQ+T2!)k(p*z%r| z)MVlgd<>AcgtD8IjB{@mfV4HXEt>o|cD?ht+X_$mDN0Hdv} z;dqMystHtMI}j>??78kNkSBe(m)k!59$h>5P^Qr+sum8|PE?1bRPE)-9|oNC&)b67 z1`frigNjetD^e*|e<`B;IwBXKRLN|qk~3ka6enEUSyb38d)`v8P#H9bS)gs_g(X( z#AnjZ_|LO|fGA<2gOLq%jY5k*dXp*8SxRN=3mlX~FX{0EAy!kc zs&Nn$)3S_^pB9(6ekkQCZYsseJtuZqXxMPf>89U>h}e=`@D$!8gE@%#3WX|k6g7+v zhHQU9N5EE9h!}6L!a`>w*4~cKD`OHet7w0C)>%bIi9g+u5Y3Q|E1g#e3BVg^mVnfa z;)7G-za zy2)C|Fbno)A%?e|jya1fh&%V|3}$}!k;z$<-3MRRwF7m+yc`G^%~{OGAE3ALWY}c{ z?pWR?M=vJ@CO~X4GLC&@4g2}114z6`4?c!6(^y7HyUGWB*gEXs3L%w0Oo!|~&nWl7 z;xOHSUh`lX#HOw>Jvjl$y$%IoU}hiCKtrDB`UPg`d}C;O1&FHiR#? zz15^3h7FQfkc8~`*tQYxG${Bi{y-+~4yV#f1I~wRqJ?m4ZZs!5-T`uJ3gb zBssY4HX!(7?H0gyktA{n1^WQiOI!LjX>?!!5<5-@WhXe<&I0>V=Ck0tLgx~C%UCF) z5qwD#{GCKWhHRMXW*_b5>n;ej=-tJq&3_Fah71X&T1qZnY$#%oP>e@l*8b#4DR|8R8 z&_UOMd2+6u%G=~<1;R^(@M)tiGM1;fEjNl;m34D;OJ1y)c+}lpoU-I+Aqa)XIM~vTp3ck*c_Kb zodf;RfnH{0#Bc+l&OhPkOS5?UA>KT_J32rYp(E{dG<3969|avpBH1Tx)hRMb$+#A#cH}K5`1w{vg6+#L+ zIfx7W*@rS@jytCb)t>nOA;aUB>Du94J!HCrhBZ$Ph%?W9!kDFh7N*)ifNvHw>TACd zc^9MZ0Gb_Hs&a6;BgsDk7_6QW$dQL3_^!ujevX`*R{$*ST3>c%3=E6W{Ac#lKs@~| z*pN~P8$y)jXR;-)3^F~zw2(H z>;PexfPEhXxq!IeS^w=T0lr%dsNTjsxAtx)B-~Ylnhbw0F9!Fv^=A&+7A)2<}hI+wuv)!fMCFbkeA%I^Q!u4{)*>S?9vF9&soe#l3_*g82xt~~cg#Weeq zuwa6a@;*z0{O;oBM)xRhYHoYHDPPQ7<@TV*=gaUhjhX*z0j5BouRAh z7wf`?Zx%k?vkql2I8+8T{M#W#)9*~Tx(P?6lM}tmn+ZT~ygPf%IQOl@Hzl+%u{IFB z{hqWjb#7hAE=-E?;70lW3q`Wm0hV@Q5tZ*&D)xHppQbVz(DlwustmmiZIm56k#xT4 zMv)Z=S{J&~!sZo$|t9KnTPf2Q1o3G712_ z<@bBRWObhh*{edYAW8Zpgia47lXD4|(V9=DvK- z;zPP!`NxiE0r=-te!rT!>_hASH8bWevW~7|tVDv=?tf8C?)3?}z=BwFLF$OYQD6jv zi3H{i3|2P>U5rz(m{Kuu7OjD*AWu6$Y*+evBU*# z>LXSqu}Fo!@FA-*7y*!UZM>jxq-CHO`ap~V4M}tjOhcOfB28vuoB@;o{`?fs zk3g^_?2%o_DK_Zd3Oy+N0hSnm_}DB#99-bQ)OZamtPt&{YgS_kg>GF3qTIfnD7uTm zDV7b|(zy$LRwJ&4Uwq+9dEcQE6)7rcs?Lv6iRQ`FP7Z5tj%BKf(E08!Lui(}+YKSi zbg~BAcX;=O!m$Y>grL#-EN*oYMl7Z>UO`)V;y=Sb_&-__LOzBs9DGb(=i04JXlPdn zw88jL5`SM^jL!{{P6mH1X(x#p2t9$|F4j(h_8sucE8VI>xvyvixB9ZPUJR5&=C`87 z#w3ClTnO3rxHj*Lw!64I%#x{2H^KV=d7EkWi_a_IhJa2t*`Cc zAoTMFPVGh^zL-$@8VAEgD_oVkqI0GaBk32TVU*HupatT&;18=YnY%vhjP_7aTkj9X z-z z2eC?KN}M1iQ)E~ajin$cG&#|JkOnimiek));RYr&Y$lMfggpHwgQOn? zkguYig~UzJke+{{sAkBL=^6pA>R_NxwK>n$aqUDlBo5i>42nS^gmzQWn{BsO;n++R z`sf4!M!@uFdljb(1!}t{>q|k*_-q`<5LR`C+Nl;ZsfU7dj93goQcap6wYC1)o(Zvx z2q|0{aZS=S3_bi|!fk(@uN^*&-SW>IZUN3l|GqY2_V17M|N6z+{^x3o=Wee(Z4W>1 z>DNGh=XXo{GxX3P{9Pb2YGB`)&tw2wr$gXnAnW~WBF1QjT|DESv|fB&q5ta1ik zFO$`Vp4tDxy8{iiqb2aF-alj13WDkl3~ZV4vK&1SKV!5Hko(<%-`x3|#{&Z|F;+gn ztO20x9t66TM$Q6Y=9U=%_8q|M3GSH(b4a)gZBK^gKMbfTcN>9TRZjH)dF#Vi)e^du zJDtdcZWS@rJ`H1)@xgZs=K-8A3wqTCyedt;ViL$=8gZ}HcvZEnK(}&dJAknwOkN22 zcN;KPB@YvvE+Dc>xl`U#88KJBZP$WK=vMWY6LZ(!h?14aLP;Dg0`0)PAT zzkz(y?-sAf&{IRN{62GFUoFAu5}C34U&@TE9S%d;_4$0r+yB*n{>+1cI|qJ4wZn|Q z8JQOf2CRYk^0|Y7_s=jdA*kN5D>5^ldtv?nJEQiw9Gvdx-+ZrlAcN)@Y77ja?2g_? zaJnPGce6;i8m)E^Hy22_R*FDueK=jh&3zMn6Ob7vfZTnAvg-rng3JPU?&CfZE@M+! z2E7^L#sFzVb5{geW-4nXfZW+43y=#T|4!r*>ifKTI^pJ~Z1m88$%~qBYDp#>z_;C+ z8%cs3fqy|s`kMGCyE*XP0!HLACE14we_5q#hhg5+hmn$hCT{`qibwz9nti9P7}&X@ z|J{Ls-htvB10Ss06ZosAe-83Zzgyzh4l{gPaaJrx-+A!#57a3cpYpEC$N3l z^C2e)K)KjLe&n0drt}>JrorA7|V5jsERd0|S{E{bDpwb4#*t zx*)&XSfZ;VT{~pS0QN;vx}F*W-wjBs8MwJ-6G7gVXlz?U5r}P(LD;r6L}r?du0)-W zgnOzf3ELKAronevAX;t^_->6Rvns&p0+W{oWMeZ4L60airKFX(Aq1Gb=Nmxq)iWqW z2ApnyaC4(!(nN2gHKl+$HZMREa5Jq*BReajTd204Js!U#s>h^``fRMU9n}x zcK8nQ?XRCj0J-eD+kbQCzTclgJ&PjUv#6w=1@kd^hxIJ7aJr42W1C(RdFUk?+je@& zciiF6uhrzyM1KBq>RI?mxZZ8lDL{t<;JX)WMi;^4~U5HlV-ad&?c7e$or%nO-As_=zcZTj+U}Jupt=t!S5(vKgVx!qsXaWS^8HCBZ zQg>#cAb@?#Qjkq#^jfqg1=Qha{jfcY-yG1jLmp-KPYA7c5cqWCpD3K}NCm%=!_7Ty zEmv{5-&Szx32O_ddDj#Sb>!{_4g{ zp4bcA-08a?yzy_pbl_}Y+rIeDrrTb9=8`9N9{9@Z(|6C<`|7m^9((@>d+*-!Fmidx zriTV@e|`F#XCUOjV}G~t{TILR)J?B#yyTvp2X6nj=@*_0^6tlWoV)kMJx`s#AKW`% zyy^AHKYr%M1HU}**u5Jsx_i&pA>^V>gbsYpj|TP}_|mV>9N74s7ys!Wq2MJ?dochB2&+8ecxF5mOW zZQIvh*!A9l>2FN`@%sJ)U)nVKjVJr}?|JI>?X?%;N1L(dG>w6L|HHa=$fN9v6v2x& zn{?7Bq*=JpJo$ue!hvpQDw7KGljdXELbS&O&{+!A)#Phs#%jKim2&+wkIWcLaZS&a~pUF zxpG>9RDo8!fp-8Juyra2H&#>GXLqwqFE_VXb#BU4>J53zDanfDc}r~}?_m8xk90VOjf z<}&vb7?O*`n4rRkU30PSE4Z=?+@;Wj3s_K@cOdgDI3Y@Uhs{)%BPf^V*CTGo2?O4Zyfxq-;lMTE8l$XjU{@pTUBlbD?bh8#`=!c+q?gh-G} zz?}&DWw7Y5q8ZlUeCS!g^JbzDFjma#ZXJ?sXia8Pl9U8vfSO8Z+4>sfT$0cv81g<1 zy@An(=~fp)wBA-TG*K0J+GDNVq;~~n$NC!30a-;&%vP$^0kEGTOot_`=1|&U6;{za za3^bksw-5|#Q+9!r7FUx23aeIEX=(o!iiIgN=-*rS(gKxPl@p5<-yqZyIZl#T|+vF zv4^XO+i@>Ik}7Gv$(qM)CE0)hX02UeqckX5l8tezx!g-i!#YKCTQO#Uj9fOeU=q2! z0-vKQr{)4?uofE%s#1(w2qqbXI4~US%@{*26{E}}PGoRXE*`ywYZp(BcpLChy02s*Gt2J`efCWyrKSKSzO_XF;rR3a$4hlNHVsV@f) z8Qeh_Ie?B4I9fVEshD^u7l_QbCTIoxboH_a3s|`^QAIXXidIA!Qh>(=*>rj;Kxod9 z1&2x|rWl9;%M|d{Gz%HhVpctal17)wFS!YW!D%5E=zYA0agqlRi)xIh_2|s zQg4&V9)|3K%*+DArL`1=27;=B8bu(Rc9j8jRQovOL$YfPtgf)zEg?h&6b23`$gC~_ zEg=VgSUMpznM@`0ho%Y?|Azp@-#N~&L{YEjQFdX20%}nJ21}>BO#s1qVm1k|zFhG^ zGenIo8m*X3PY=2j#Xr?#LdHbHjyBlH%8sN>RfC5@tiRIAfZS3|LP|VOx9>;(8K!D7 zURMY~q1H(f_^zL1aUe28_FHT~NhYjJyvGRyn6!I}+lV|FY?j=b33<-UN{|bPJQHMs z0j{ru>?oi-!=CA}Q(|%Kc2{tUM2qh6lp|)xgJvHVE0m70y}#&8NEu1P?`E-iEvCnd z7j}*TW@ll-g^;r#Bsi|eG?siDbcGWFbO@30*&aK0v^7lhY2 zsoLTOJ8ha18z;1fP?Z%XnqqeGOzsrVEP;@v)*KeH4X2#B$ZR!tPK~v;nO-EkxP6Sx zoek)xjZG(cCFxz5@fhSKH2vje)jX4@XnXB7$j;;#Ix~g!thuzq zsj9#l(4O`Uj`eIP=D<|-R@(Los;KMJ(T+|*EEE&yvejD(hOH7c5Tam{nechQ?Y4dm zWKmTJG86=NmfWn#lw@nR>jUmst-rqm{EB?|?_dE5C8SlPuTz3%^Q*W_Da{(Uo7wC`;QlBNd2#EOHIj5M| zlq52V&HBm$$qA5!?&752Nu$Qd1;v;{aiu-$cF$LqgMv*lBUt=INkF{d?1#k1?~ssO zpvhsIRdPdu7(i76vH>z=qfQ9eZ-SX&K{iHTiJM0RW0!#>xisXnvH)La6tj6CFy26E za2GWb0~y9Dg;g^wE@XsJ-^BpA5cE>UP>;rKScAw4WHpm28;Zwq)MPrJV1Ql-<{{i? zuh8QT$4A^e3J_5*$0E|s@&hZWz!4yZUb8FO*^p7%aJi+ zcM$q=^>NL;P~i6I1gxoFv%d#!I+ zt%*T5QYQ9I{zDbk$ZnFn%jwXDUWEN*@=$Cz9?R*n->H_ zr9H*iSmi1k`>oS>q2v9~FPiuo`Vau<1WK&kL*$r&E#4iMB^}S}#>OzLtY>v7Tywcm zzV$lpX0YpQ%l+N>g1c066Si&AnRCQqKk-mS>J{H=9<>jQwB$D0H!l8Gl)CBnp;Ko<&a~5E;nHFaW1eEG+aU1aEdBT}P z-;%dD$q{65H{u)k0!{81HjCpX|O^$CobqES#2rAlL zUW@g~BaT@*Ams2JL{VJd3&b&5L5^~

T{8wd2@D9(PWPkf5N4ppRK^+D}yaBsylD zY%RkbA|D=8L_!~6M6i(@W0GjPH$o8|1RYI<0}Igst2y)$2W2W8vmvh4Q*=dn$pw*% zj6D0=kED&f^0PyH*^G_6eUz){xw>|c43%69ejwCQK5XZ3Jk&fnZxfA#>m0S52oxU+ zyRT94LGu;|JbcoT9Hq*`w?ot*8pat-=vG@v5!Zz+R^#=ArtlcH9El4;bxD+PpwVLr z5p6Ku9Rjfhc8!@KA;&73_INB+fDp3=N!C?pZWB#sNz@=A8aKBp5``wZeA~dE1@|$^ zck;-&1Cb<+k zJAw>rAUiq33TKjsp$0Eq2Doz~TiV{1=2qU8vJu>{ciS)pkCJ)VTMHSg3hdoK)wP2L z;>==}7EtYyN7?nkN%J;231n7k$0Fjwf!b&hJp5VTlxTbYn9lxMDrPqLN-6VXkJQX6(1tv z_&r2f0jd;c%%25Gg$1Y%nE54pGG3#&1o>A{E*L*`sC)w;7c3-O96|PAlBRweEW9LO zC+^`ez+C{})k3hsiO)h#ZNd`9#ArMw4KbwmWGy64ZyFa8LO7DdTZD5{gt$#L^t!^$(L#o2hjM8!%}rzu@Lh=3vO_vM+OY8F z2FZRdF?Rane9r=w%7_013&2MfD0C7V6dAN%y@78Bah(#9MOiP3p2WNzUM<@!iJ7*< zMkgND9q}ribR9DlMI1V4_gBVHWgrMe$D;&#n45GHl5mt1_M?n(ZPs)#FpY|`b%pCm~XG+u;VhrP={ivY1PoYt!yuVKIS8lCGl#1=$S4P6BO>WDbnP%7!@YTw-4jP4 zJqkGKpEY?WM)Z9P{%YHLY$3mK+Wh{zv0MGk(;D|?;a%5W_G#Lde%pF*Vs2sQ7klx< zaPZxEe@$c{%D%t6clD>2y}NDwbWAx+TX4TmK7<=3c9Z6YkkxA;XuS_KtABBAx%Jjc)`vUk7AE|kZ31zYI8loT(1Cptkp+ zGd4TS-CW%V{Mq6lK9g7pa&R&gG{T5go`XJisqHn72?Gfi#Zu@p zs89}%`ZLgQ2j(o+04NS{y5KaCWE!4HmMq^Svha~`fmWNg7r3MilBBVJkGMlw?wBUW zlK`LtIT*54kYr;U*aQ^xxjQu3=(GUU8z;S!d`(Ws16CMIjB>JDJu$H(9S8Do2|?a! za)yKmBw2=eoOrn#8xxKtx^uFQOY?ASA~<+vnc82tpFN*{5{_7QlDwqcu~93bKu+AI(1gmN`T=+uq6v8FvEN$)fD0 zHRNrClnYzgB!k$Q1v*EYWj7rx0k?r2>${O`N@=oDl1kTReR^Q>5_irfW57LVL7?pN zLrn$UAtaai!H&V4w}$Br0YgHv*|8t}y{;XEu2~cv{VK<=cm)xt&dQTvg1k+#E#c7$ zghb)V79TWrU6=?!;V~b_V&-Chgi%}25R%mi6G9{$4QdrFO&7QZKb|qNLUgGUATm?o zkdsYOCIppB{2wGMYStq}kO~Kp6+&@iie{xKGbA|^NBb?anvg1rm>>iS(KnQOz!cgk zxIV=OHRsYQ!1M|eSgLKXX2ykOj3H0SDq?Y3k4+m#dlKG|0mp!2x-ey!O3=~|Ed7QR zjE7Z$u@s3!z-{n1kz~SVu3-y|M_Q(`F^(EBu}B-eBw-t-C}zT<Lh9LC&qy(aPDT%EA3nCCVc3+_wk#SS#xT2$h ziUI4u=u;D0;MSmQg($d%{*OKgU6T;-=(MyQ{c4WLs8RF`hQI>|iVlNGBJR@_(Q{-; zWc(e8`?zGyz}OalM)O@g+PQ3uoPLOhL$46O<%4v-b9dXl@eXliwN4ystONdg9~h%XE?#YfT2Zb4d<|>P6-? znV@)0lVgKv>HbZgJ8!BZfUYgA>{}Ts>`P++;95*K?2NR# z&)wg%Iw{&#+gEz4jPdR#L&f!pN80O?)jdX1tZoYxwyX}t=S&FMRN;1a6Sx-WKsAaWVr01?C>En%tQ)OE&5PlN3?*!6?|;P z$vIZ07M94^s#NzWSaY3KWMKEB2=p97AC}^*jt; z@F*^i6wvi2vhRv>EUff9*B}vn6#n#J0gn3^&?Ewq2wFRdw4}b!jyIM$W-pF$5<~ZV zeyMvs0)irRs~DN0F$6ydTvNa`4NH)G16?SQ)mD@6Nw+2wp9`}oWHuY{(ReNjiwb%i z7{7xgpWDAwl=1(XP^S--@uH_rv{#Dukf)m0|(WNZEyPIa|bf)1D1H5 zaX{f7q*O+~$yU6y;)A7ZU^Bq#M(sHD!B<()g3k;zvH7uQ2)ycufrB~_ANBqX0|&2o z_3=9g-eog>_DqIxK;;hDqYi?6(baDP>gtTYAt8JqJTOrHyG-%+S~kAF_WXXfJObn{ z4nW}k%&6KwHo5PLKYEgf9gbM;^2C$1!0_6k7J!wyb~qK)0Qv|3 ztz>}@GBdV!@i+FJF(Weymj&-tu zXJ-ub9YdJ`Hu@lhl!g_OWPr}hFhhf6YVxTK0MgudMiZMckO@%r#jc1{ZJ#X9fBx?C zpJ)3g%-vrV=>KMIfBWdk*Zz^o9oPKPlRPAsw;eU}zP;aiLDvp&RPrHjfsbSX{>GDR z|DB`Bu|I#tK-cI`s81fujQW5#pmz8@Ilp_V&Yi(V|9;=V|6%7mnF!_&7PW|zdJi%N(_a=2=Fkw5Go!x;FX5kNeEZGOE8ZNq3C*>NqG#~|Y6pI>?%X%z=-vB1 zSdppyREE!I=gnZFHUxl|KtlujSn&)0dte_Qcy3^{3hX%bnOE5-7JO#k@7c{iJ$lcA zv>S*AsAqA>HZj<s%&!U!k7EJdn0@}_0 zW0E)j@srG)Sd!17dq`pP<+^srqwMBUb`Km5Vhi}!y+)D8+ZQgo`0Nv#t;7b ziC?~Y(>MS5M|a;y0J+=O-?;hhY14g_-P>Qk`b83Q>&1|@18}czY`o%oz;Pve|M1p# z>H0>1?|wWB)%*Gf+kdcEgYObl?|HZz>)L+c_SZD(t5&ez7Xl#K1Gj(fv9CY5cmL99 zr+seYUiJ2Q)8E)Qy5d(rtNp^)arb6uvT^Uv-hS#PpzH!V_V(A#S^2Kc&Na3DJ7&H2 z&u?t}#Qk%j!0pf7z4xgvzk2&xlKNXpF8Z-enP<8`dB;?}2#(tg4Cn@u{zj1D$>nE>fbBXM{aV~KqI~PCjlLNQy zov?1>PxgO#)79H+-}%|z-M4M8J@Dj>|LZgVcKyHHy=OOMzp-%-xX*_LEC1%e^w-Z0 zAoE||RQATkpZsDES@6~;UI+N@11rbu*}rVl_&1(B9J_hhACT5?vTyIDmp(IqfC(Q$ z*h~Rx(`-g04>SuKkTLQ$IckAWn3g0YT&9>?Cu_`$=Rm;rJWP`yDQ;4Iu4!t@FrGF`yZ3L%hW zmpNvlyT-6>ko8M~iN>v=S;{P&QhymhgExygAkS_Qg@(JPG-U=Hw}JGoaV@vVyhCt) z6De4@#0|-KK?-|jlY)XXCf!_JI~T=iSgC4gM|K`6^bIS8)eu(M!j{Ftij(ZZ29m8I zo7fh|QV`POL>+66E}DSb!Z%SGlegV-l(4P>dY!tiH5Q*n56ODW8#-KIj?-fvw-$n% zfxLB22l_dSaNT37WGlcBN$;o(UWFu`6!b1#=eXC~mzVZ9g;DoLxE-7M(_OId<-)x_c+W8D%Em%Nt&AFQYZFm=e~IK|XM8)EH~ zl}BWwG@X6V7f*k6Y$izem@Lez2m|$_Eg?A>lHk6{%R@usUy0o>s zb75(N?CoN4%NUEV;l-I(m8jE{U@^PMpv-T@_n}Up$}&n?%yt%@9gc+3NtSSfOfkIN z03_D-4&!IwRstV}dQOU7_Ut=PPg}At=+_P`AN~X_K>hKP4f0qIh-2M6$$K=L=+E0r zJ#c`Mi3xcLvK-VKD|u*q%RHuNLo&uQITk7W*pQHfCiv@+Al$!=qk*J&O_qW;Uyr1z zyYzjes2F_-j@4FJIiL*eJBdp?Y{{iKRk2pZSf|1>23lOfw#rlRppex#deV$oMd2<) zY2AUry7VdvTw;H19i)YI(o!eMX-yXeQ)MGe2m{}Ix8ft}Qn*5bR#8|igB2Zky%B28 zu^3b*BjFQlNhDk{hGAHJ#xZogVyI1+BK0TJP1#5{n}vQ(VI6p#0YW?7LQqJ6V1T0u zVffc{lwBY)0@{_hvig*=6_QnSH7qEKC+Ki!`1upVd22o?{Ve_QSC-wub{*w@!YkGSRka_~L2{hDEc_ zL=hS-Dr^RJT<#+whTR6TwGcuGe-^TFH|fUg^e_zMXMHkpYu^DbMR6NJ^%{|uO85>j z(xe*K(4@PjA!4w#li{LJq{1Pn$ zZEibIIDG}Zq(%$vgY5AOwI*RS`%}FnafiLric_s~baukB#`hh@&jwVdN?HrUa6l@< zFTi)`Sj5D**@ss=zzr4U3977|h97b)d#~EG_ z_^O($K(?Ybd~UAa_h7D6ft)Q>$Ys0NjoRT^R0E#lf*eNCLm{RzNU}YtIFVV~5sN03 zPzCpy-h>)(8|qYS2Nj}vDuXt&&2fYk#0#Rj!_Q)ywlubyQghMrXRRW*2vD3`GgdT&}^uudseXx(cXO0gKS zyEQ>Qi=>Lz7^UADry|&^$!l)stcX<@EdpJL;1uug6>=FOA=WAFs4=Ov2Y428SqSw( z4$mp|-$|<|p6+Im8o(E;cH|my-8^IwH8%2D2$f5BuP|St_2=B>#}>6b&Pdh~9gV_8l}*=rGvM zkBZNE7~E)H_EE4_|GSgF4J2H^yRN{FArC!-EnXgb@WK7PKI-e4yKcEcld(z3aSB9- z7NWT~XzuSXe|Ouac9Okt(G}A^w|m1KApi3Nz1Yr#FnO~GzPlgtxqGl(${+6e2FSZ_ zz$W&)9@XUk=v~yi?P1OR_L*hV7VKP-8mY-2G;Zz<8{Q`JgFme8?celq6GGl3y>Hn0 z7LkAOs};Rh-xF@ZUM{HNbZt z^cB1X+>_6TO)lO^WN<%q&t2O#-lpHQ3pYH3jdC9Q!K2H2z}7vNiRtHCaZTo<1_do4U^rq@vUw&hRD!YnEXt+tI3IaFHEe5w7T z2D`ZUt?Hjkd||yR!MzESZ9=QXgeUfM>5jS4%AU1JUc4&wX>V1?s&6o+E?m~@HoYZ! zz9A}0J3^O3wrct*v{_c*x{+$L#Stw1#5@nKKt1q&86bvbLKLrc9Iq?Hz0>e5`J9Hv z`bCi|>u24MQ4nWKb7+$H;P?eiq0o41bL1-ed>v)*fie@Bx6A8ihd$}P5aa0L$?~zM5V|0FLwx5giW-)p^5*_ zm*ZzRglzZbQFcf8Qu&LMu>f3H{1=?uRRw3a%P`4Tx8RUV?P!l-reZ^Z;#}aM19`_3 z;6vx4J^`+DvD98K4g$_IWJ=#(hEqNiC<%r1V_FRdk1TqhBSE( zH&e_!-HRh*%*J=`U=+nnb(IPbu*p3(>y$3!@qjei8Jn9y9IE4ljc2j5j>IfS9UjxD zSLn6bxXThO!P~S!a6v)p;|Uzj^0BZaLmkord!im;gai_27OIv!Id7BW6$s`osYY>g zde)*WqkTvJxV&Dn8Z`M*qEz99IJ8t5hZMGXtQC#8U)U^c6NiSJg{--FM_gypW)#gf zb4ZAfZL7IgwMfV=o1&;OEGcGgw5FuUaafC@B+oE`R@)SZCduwnCQ!az=BZFAA7k5+ zU}>8Qq~$njY=pSWtO_=5T-?UwMF|f%T$%GMFUZDM;BAPO6wupHXuxS|;S5{IU}`cH zz9=NZXvC$gokZ^rGw+^)K|n$W26rkhMP*-##WM6tk%Em=EGQ?(>C6Y=35+ZFX|46_ zwaR#9SrXjb>yuX1s(Dk-BM*WC6lB#CE1q_jm1Q5xG}DP z5D-X_VGDHTHQ2FS+JZg*|93o zHaQG}b*P+JW+{MXg?(vMFck&LuEDFyN-!d5#}nKyRUF{EC|h-e<7(S+M9mR}jiGrP zUIu5SnORZ*lwEt4DisYw`C$p$>If6zDd6jC)veD`wKD4X0t#x;3=Tl^*4;Mp%3yftBV=Xgf`R; zl`siJ&`gF4Ru6d$gOl(mN;x2P!|}N;2W~D}by;vKHo!51W3Z}}u!IBbLa1V(2he6z zq*=HE@EvI7#~6#8P%$e63~(Ltgv5BGD-8zjuK6Ym%PV-0d>KU+*cLi8KjDeZg6)6-D+* zHiC&itN_Q+jYWxYoS<`i2+!wU*1p4h3~e3-ZZuEMLYWdCD$GPf$$6WckWJ=qyBpB4 zS4_K&xSxmhj$*;JWZ3c{!`|GxVE2CD+G5^f{h2Rcd@tF1@@q#(a_9UAhSq6o`fhO3 zuk#ZAPJIMJ_ebEq;eu%k-k!8~!Om-X-+?BpeI(q$-*PVme6Lnx+YbK3iypl?i-Zf( z{CC#hGk5P5w|wqBB>DV`-e0`Dy!YD#d3*193wB;`{&<}vP;l4c@9ydUx_$j0AbTn{ z;D>@Y7eGNLz2iK*X}8P;obJ^SGI!_lp4BfeswUa1QL2D#d)p1Qy|?YGeYAfpwvhJ= zTjL0*UXa(#AX8nv`x?rnzb`+M9E2FY9AqEwcN<`UNr(>vTnCh21! zFYkKr)4Fz`Zk2ZgFs(cpb~yy1EpL-yHi?BAVGpaGijPwbQ`u@A`q&aX*1zQxM`a~G zWvDa(|EBH)dA8msp0<#u!lsB!+q6pK{Q4!=(SSL~0t4|_1{4Z68K+aR3|s8)L9RLU zXTV^*=T$hx=?2?e9Xi8h4OV@Wc4K{OJ=px@lwd{ONUs~%+R>{W4i{rxr6lwzs9+t_LRX z)?@)>Bl9$MG}+&--v~86zszA*C-OKq2nAtS z-`?6(Adf`KgAmwc*7Le{IK-pKU*;`vVipjZO;LBiz&Jd)?G$`Bn8hrCzQ5EYP4(S; zc+vC#{9%0*^puo3jt(;}S&;~^bC?MLs5xCkHx$dz`LN*2VJtzNhbS}B2lAlFTn zcs@5bSArBQ884H3sztI%hymxOEWGvN3KBx_GijAfV48$0jQzXj<#+JSBt%qoE#m!K zCi7M4F=6+DvT<{lIOAp1@iKT~b%?+8vo*|}eYN$~CEek#0%5lg8~g{yjQNj_Q2YxY z4~4Hy-j7}DOIp0&RIXYbs%>3$d8-Qr!^!Tc2?+3#$=-B1r>%xLkExQB_nn26 zSnE*Y-3M~rm~bN6HMJ?(VtSh$uh=MQC0`BO^~1$tELm-O3%PdzEI6Bl;O{uTLsI(= zr9UL!;kQCpB#Mqy`=Kt>N1>03j(Kw4CfUY_+Rvc^ArTkaKTy;P8`>eD;v?`yqm8rT zM7F2^eog;u$&^yaJ1*3o$iYQxLIf5wubP6AwX(C=N0qln^XK z+gZ#cS-hCxyA2OdRtZY7^=m7wRsiG{TaIP*L@ee^WIyaSTNnO4%}x}K=hcO1;!Dk% zW}&#Ln9)4GmRTPc_UEh^oB|ON4C9@d2&PD)w$l9cdDf<}MJ(H86w zv73-GBCNF4~rKZHapl!W@QC<(z-jV22%gvbbr4w^i|CO&z^ z7xO&}vQIvISPO`u2U{s>=(3kcQHg8jR;l19_lP4%6hOaZN)wl+A|IW@Wu{8RFQJGG z29#%75R`j*kUkAUL?(hxeH|%N-5iMlio{(en&IvGRFMd?8ZK~{sP=*B=7 z>=T20BCme-4bzasBj|t-L9K*fT^m4+a5og>cqWC4=Pm`=&GeKJ#yT^Oz6u3Jhh{qX z!3dy&s$zE`yWm0{NC!MqN5?Q(S7+F#($xuu=f9w92Mi8lV0Z@&f@aY?_4s9;oVUqw z3WSgPnk_z8@4R^kBlg~DzXQIlkFrZ3wkT0q)YqdIw$EvMU-sPv?*otb{pF8tTcziS z=F!B*?nTEan%^Ld*#3>vzWj^z3kf$DaJmm-j9x>>?gF;09=87(jpl!M!9%3U7klRb zQFitEd#*&1*E|GZ-=^h{uKvsAz1wp$1@ty7`tEH@mg^Y~!sOkvV`<|fJD$kCBt6@n zzV*R()=ztX^?H29@Z!|*o0kJi7_y(i1j4FK?|OGX(YSj@?Ik;Jz7yYCAj$I{#k|71 zt7!@*`=-6UpA`Ha?D6ieH4N~y1@A8!z*L6D`^{=X+!rsoRcHR4rw?^585XjGxyK1h} zwL>TRAzoT{HclF23!Rw7x+9Yp^5?;pTombbftPb*GzfJTvK-s{oLzF!sNA>{NG>#*pI^ zl9hcbbfq3i$Q3q1lU?M1bDW8J1-A;T6qoVNsATD~WI(Me2_wm{L?1g!ee9^yqLKwY z%@6|oI~QoR%;CDk(!dBX`}#U2pYKix#a+i5-=E}Oy3zq>*jyd4nl6M{pDoaazv+W zihd(a;|>}U?;rd(jkn^{j<)p7NoqBbSy4T*<4$y1>!YkExWrLGd)zmmySunF><=(7 zFa2dlu@H^kWM5Z5^T#Z)_U=4V-U)hHdA1{30N$#^4HLdF+*du&Kz&``6Nl$rLH@Hc z>8@3y?@*M2^8I!lM;}=WC?@CEh~pq}8$r-8KmNnH)-p)+$Up-UtcHAX#=D>kc5|xS zTmb0>nh)PXXa#XlQ=lL&eK$T!#&t%|wkU&P`z0y+^^^o=EhKJb!`ZnAO9ikbvIfs8 zgEq8bHGNncNlKZNiXx;whT#h8RLtR3T`VG42ktt!#kuP_m=Q5>O>4WXz-Bijtkuoz zFWw$iZ1RXQzT^~bW`(>alzaau;t>=csK}m;m>PM zI#@@c^_E}3CzeTPt8}@yD&d%E;|{<1tO(ZwQPxTps8z|cR2jUOQFv`XiM5hR{t8O~ z#8x<7y8L7CA6y^l9*r%LkmPD(T|>@23Nuo`dG$L$x| z8YW$}ID~}_PG>9TEY{%``3{ejh25`wJdAmqe?XRWlIbwui|xl_6UxKxEXYnEmj*H) z8kc?t+zZR;Ly%YS=cSj?$_w(<8ZF3%-pBuS>}n%FDtVF>YMy zEn-AowtAgtf?6L?TSY<50I5Yq;94!#7f`jAl!t>rRT4PgZ|yS)K?TvT_kX|t*V&Ns zSbLqd_g=FfYd?RoDaNjpkf>qO2rP|-3V5*^m?&^yDb;EeO<;`&6D%fEgJcO4U%(SLN)b91(udRQ z$+??uPt^`5r>PXzS2Vzhq8%j*n+0aM~WL!CRhzn!$09SWQp3BP8dZe6sK^ z$(Z3GFH`5Zk|$~pRE#oilG63UyS^Of`8UaPC6D8sBBwNPJPlC3ofGe6A6kDo8)NV4 zF-s}2pEx(6*+*7xwc~)Kn`67|&t1UKCFETO3_H3?N5n3!gt!T66OPVH8<1d^T^GBI zE7v_+{Rso0)tT+=2_SPOoVL4ahu*r=FQ5o^GK$Yh+d>B+V;Y%Hz15-Bo=p959R+P{5PtA7m= zf1k@fe9d7><%$GbKK+g6crL}e2|}(paz)9J-9rFk+_rK~R|Ot@?(+L|5cj24Qg^5# zb^rDnm=hnAb*TDs)>871v{^30ezbQYbL5fOczZkY_65lD)4zS~kQGOkAAfypJXa>I zuI|qsw%haD)w}KOP9<|pB$X_C`hy2!W4g!23|EGqUH#0G5AF8S%MQ=qyzg$R9bPVp zdlSINWBGRbcMFFqn#}`Uw^6tV2{g4BZ_sIl}BA%>Fn=IG*z}S5MCxa7c4%hXKs~ zK^!f*a{ks6O#d`rn-8-5pA+t<3qD4Ion5FF~zpU@IenI*qAJH%s~H*Nc>eF+U--0P%7_E zsHaUw;&mI`6Q&UPihD=2E}gE9ZfiXG+ToI=vS&etNy?=(@NG3PKCZ~xL8ID%$=ZRT zcDQ|3(7u~x{Q!BJ?N(TpKoMj4@eb+SwY}p}-m?-VhuGyEq>P}E$Q=I@b37l^e|5FZ z{%n8VtKBum-rh}`ARTP-4#OaE`4`tt$}%Sv{_e)%)%FDSvUYXCZ%1!Vi~)BE0J#ei zwnjqQ?_K+w@*6%)zk!`LjSu8X@!slNSlYJL-C)PSE;$%vLj}6_t6yclVwY^+sdhN> zLzbtPe8H)x=EX;t?Eb#pU*c@S6W!a{8S@jxS z&Hj1|x;v_JSkKzt{j>JI8$|KMgfEkQdiuH*A=k>jJS~{f@ZOM-qIiA6Uc>Bh>wn;L zZP-jT&w-7_Pw?WqrhoVZdo2wyF*02|L**rtSYEoq3(^o1R`1Gm*&}#Ha6lq+QukOg z@k?C8H)enFn=$sMV-h{inEy&i{G9u2(_XT$x!ra1WtR+p^%7r?No|kLi65iGj+Zk; z#}n?3mG<1+)VM)*L}&E{&msr8Y={-$xVXQ9iUg-7-*cELg)apm#{54!6=Up@^z3zzI!ye?~p zeEZ;v1C`>&;D4@F*Zi@xB=2!D#UCXLy?y%pzj>NlUnL9umiXoy*?+#Myu>pONA$(~ zyy3>U1iQQa)#gR&h!=Z)YIcAA&B!m}`E6UWeC#*->#(%Zb=b^;HE<(D9=UqbC zwSltx&g}OIW%rAJ63Xt!+jf6GXWJt;Jl%K* z`IX=7Axz#I<{f_bqvuMtHQ(^`ZhQ8mBb%Q&24(lZ#}J(E`?DofZyPXU2@H1DwpHC? zyW3{HfA+iZz2(tbn6N?nj#-ZCj#wF5@nZ_aZFVJW%mvb%PCWYPE%)!87K>>TDAp{1*S#HurLRh zyxKjcjvYlBVe&%Xkupe8vkgpc@G@>_0>kaU}5kgaNKG(pF* zvH%_Ac8@O*2vlj+4cTU(7sv{}#SRAu;iC~GqJ&>oXowlfu0;d`PeZn1`Uh*(nu-Xi z_3pXmR92;yfy_57bc-@qA;>Z&It5vvP`rVgTT}rgT*$7{c{XmA_T#}MyPyKH3#wxq z7_Zd+Y9_grm7@Wwb6RylfKYaKNOESiMmpgT5+kVI#%f;&w-Z6c8)Q~(`dK^|veSs{ zMuahYlB-pR(n8FWDbg%Ni1jIGFn4mkaDFxRY-~UTbJEQ~F;2Lp#PhNEsEcf90c1$S z4G&+J?H%st=R36n5PBpYD#7IdC&yAGT#hEOuyF81ik#93GDzf@4530Nm_C~fSS4ZA z5nfdvXs~oC@GXcJ9M1XDE4^X|Ci>x9*`2pPuP<~g-n6OdVQ3aq^ z2$Ha{D1y;2Gl5qXWpQgNk>QJ#9Udp%xrj;r)s;ittR;q+sOL)9x-G!X6%A7*=v97Z zc_70pu1GTna<(7`c)z30Q`JU7*-a+Ca!@Ta=)KBY*{Ak*yqZt$Cw@25!p8P!4g&(1 z_q=f;KyX5a$1!?C&BO#)14ylUJOs2y=n6Tt;N! zW*Qa_gJha89JofWxYOya0#8`xAh3c5?Y$J@1{r>MBgf?x7Y{wqir}wt1b)jP^{BHH zXC#eALl_G&R>$dqnI^|EDNNOw$Y91@#N@EhjRYvCVNn83!35A$04s@#c%~ZZ#tPVA zL`PuFlLtGjAqD};ebHF>l#HT)H`CJ<0Wn%nkQsJI&g(XuAfncF`z2JI?LyK3qMpyS>5dl#u z%MpyH3(}&A-P!4KX$Vu9@(PQ19bu{o2`pYu*CfuDd_2j^DLcE^4wa}t2>2AYG-*2! z@TtT@O_BeHbW*IZg}z(zzohRoCHa5%XUII^FYk5o3EFp+vbNJ=$1Svz$ksCZ02~sf zhZ=IUbPk!B8Q^wu6+CgCik`vd7c!JRAB3>XQeS1Z7zl0`^bOg_ij*fyJrb(-Xx5al zwBE^(y@+I+EOHj}odF@4@!|kC1|td}=OJb+1|euwEne!yz6h%*jx|#xI|H({8X#i= z!a_3<7CIZ2Ff&FPO&Wx*+XC=il;HsLMkyv_B%pn#Ma(Cs;Ab1 z2?wZ7ZGL`{j5p~-g^(|0wq)TxCJ#Ec!=}x>C~bWGL+H)VM(UyoN+=3p_i^=s5iR8%Vg)r+s0KAD7sicoX~aeFcbEg7Ua z1%#PJykDeVFsx8kc}($6O`rT+#54<+A-C5>Oe{*y^TI+;PiHxS=R>9*9Mr-@gDmg! zPfw5Q89CGsDJu1iV)fIQ+pFGJE4vWAKSI2VM*7ex_?>nauS_Kk%E}HP;io4PFXOHDTV&yM8=MNMgiy;FwajhllRYMO zU7=g`HzXFd983rte2snwQ%F^Kk65U%kQ|Rt>Pm4`O|4YyNfvIY?|D~!xn}{n-{8bI z_@}&2UIWs2bWF{zA35Z%6pkPN|CDor(oQNk^tZtWGZzl+FC1!$4%b1Bfgs7?mYm4D zN(VQJILI7AJSydC6j-1{d_-5{aqNsR@r3?L1UE^}Lk9%m%Ye=AkH+&_Gp-qPO(G9PBoO>?JR&6g z)iVh3ae9^Sh>1y|x}ZJX=dze$Lb#7n%MA=K8dI~7J3l@577c8P62anw~kid7JXl%kc?yEmQaxT~@L2MtF@m?im z%OL+I$?2^W9cLG@m6=<2?+C$=>_+P`&X&&eOPHGOa=ux;ngdHf6{zV*dB z5buZo-n74I?@J3GK)m-}b5ZWZHbNer`P4^EGaL7={>|cz1gDF0^LNQ0_d2+_3l}eN z6gzh3V`n1Bhk&w6I*|yz*Khr8=4+2VHoA4jQ_G(O`K7K9!;Zcw-fMnMLH^@voL2A1 zULj>a_v_w}Jz=u^b?CJEy~`hwvXApyeu*5r+&MWefE}HYS_>OJfzL??M0^EtGeu76 zqyw3ij!KvLcGnTO5>KgA+2GUva>9&m5#hn1-F!hVH@HC}9bwtECMzTcVha zj4mW@Y`&IIy%7k(>FmAl!VxlKCwPY8y!Aj}IGzQgjZt6 zau}OE!)APbC@i9CPIVM=FYSXJ+{#a8 zyF^_Us30NM$f-Dk<}O9aIZey1j`r$@p-JzCR@a2Ul~zl&WoAa-_?Zwm-`;TRft}`r zx36F=wN^O#)WS)%qI7=XFK!lSWr2XAyxBXyP+M+}jYQO62N1y@`At{gEMH^om6lkt zuumlFdpmfk$J=9?uj1+}Uy0RDc{s9QVV?!_@+Os+=Ke77abZ<_MpJyTmEIVw85`N8 zddtBb2wAKuq)>6KZ>f|zsdUrvvPu!WBDJ9@TEx>^fJBK8gqpbb!hyB5Qyz;Vm5U=F z)34L~&3Zs88 zuQ`sK9u~2n_d!Oyks}3iq9}-10mD=vO!FL;&*Ehm z!UQ%|=0+qGHa;R&R?1m@21mMawyafxDcBi!K``KnYj=QAFEty`Uy(fvrA#}8 zrTGn?saBV3oO%@@;e!BH1H}n8m1$)PH-gH4Wt&@AAdcx5=Hdv$0&ZW30jvv=mH*MZ z(PgiJ2SJ02bNFC(h8aDGg$<%pcaf@mhW-|G3+5X1Hi-3PoZ5Pu>{)PzI;0lbBS=s> zj60Y@*-h!>q%wqqvTI3{U04ERQ5WQvJK4w2(j-{}*(C5?WMYZQ+mYG8txB>k$%+Hj z>-O})9_ap6TR1`H9Q_%9ZF@9pD$FX-=Iff~fY{0)aR$xkJd&;B)Hq;V0)JK?tLb{U z0mGz9Br+8+z@ENJp2uK8%y?dC`7ChHyVwLbVxoEj)9VNThbxwq)4-G*cV5(^_QCOU zEbz-2KE<#s)?l*eL%IDGkEc%U%X?JqFsI}A>15gIjd|E*{H9C@8R*ZplqfVP+@3!u zh<8<)1}i4~P?|L*QtdTb7I+qAyKrbrRhhRcTzxT)gql~I6WFob)7v}hl%d>TI6tsY z;WKdH%v~7SAYk9Q9;0dodlV7;8)6bkLveLW4SLHbV(K+)y;) z1|WHveGD&hm1+3>0_5IqnzwhbZO1y9jkwb^LF60^eBX9T+TCNQha`$EPxW{ubU6arymgO>tpbW}U{hn}Zlftq<~r9AyUw z#*NE~WESK_aw-*F)wTp-4*1g%5vHKs&TGsuf*Lko7}ZvuqCh8BS`-FL&vOhDt@;)& zf~L!Fd|zBVznj)S-#iybz1&|P3~1$|+^?ymDi}nVr+^J&%DD6yerp59GD!zI((3r;neOQ%Swwp#Gxre;5$R0hk!WL`-?1I!!=RoF-p1WQ47O`)hDqQ>wZ|;<*0gq_fZ1wO^Onns8!$ z(+7)ZKC)@)@V6*yw$ZczpnAWQHCxB8CNhpCucc9g8PE4n;tCwS?fh3Mo%qnnmBFB$D0;W zY4A}5Cq0fJcNRDHe`V&!3l`7(c+;w3<3XPI;U&c+p#P5j4V#|%MI%V#H{E+SKx{8) ze}$3*Azf6}dimgqduHyN*t-A8O^a{)Z^UBUn61Mmu7_-yc+9o@P9g+Io$SI~PEseY zLdXJ?%U2g?OuXi1#JvM$mm-+^7Ear*YL!Be_^;FV*C>``$8N8cwFC9GfICJbz$y5* zlSUFtsVZ;`%5FY&cq2=2dOC34kfU^Np|@|E5jV&+=BaeRE()Co>^QMeF7y6W4>v4iL+RRbrq6StR#fSarMMZ|&-12Msg zvqTPuliYr7DO%Gdo{50d9U2HVIAn{)9gO;=hPP;B22OrA^#BA!K?QIX<4$!_s0bbGXm>8834zHko=7i#lmz0)`8;ieU8Mt@-y^(t`>ndzE5`} zvTk8jnYwUd`3x%qC(A#Pb8h}0mfY)WjCwLAVxLuDhLuSL@DD}#Pt5Jk8lSy4_+II+ zbAJRf4zvH`d~;As)Kia0QYx2KOo3vzXV+O{`$>eUI5{seaG?i;Er}Sh)HX}F@gcd!vQplHgYJnlbQnV zJ_JiUw8Bl~Y)MA**#b;ntOoGzbhy10R`!BOcq-(|7Q1Sc=efYXm}dj50C3kvy~ezU z$S%qIG2{-1SrLg`NI?SFw_jD@C%N|r;*=p8z`b(O!+o+}?v^|MZ3H>NYS5>K2Sy@F zL*yaEWI?X?r#R!DBA6M1s&<%tY7AF_=;Om)uyiDT=u|7D0c974lLB){;^tye1FWwd z0N=GD?m-=iubT?%OQzCiMYxKX(3Z;As*EXy7?E51Jsa1f7-Q&&jc5r*J$A{DB+%Sb;#!l$RJ0 zYw)PkA_F*|yvD%CT*OWBR+8K-273XmH`AO!Q;nG>6YJPY4>#5gUa8fUav_Yc4~?=W zMzWa3a)erG#0$&^I1XHJnd}OLKA&?0T8}w~0$pV1eV^s`($zf7Qsgl)m_#!jYoZym zXc-kl72fQ#}jPPs;rZG?1KmpgeC#(^?jL6$Rl~ z;hb_fCJi`|DQBAX0eTVmLm~N!GeIHa{a6=wUQPPK`3h1=FmnWVmH~%`kV>ULHywYJ zO+n1m$U`W$jXINk`&eCjCw^XUNC7P3Q*)!Y}vqR{P<3Qw_Xat1Z*Ud z&ahZW!E~g+9(2`o%zVsj01+Dj8HN#O^;g6py;MhypkTK))tvW0upz*$<6DL*tc)|2 zrx&pTbSH>`N;ct3jHkxkGvqXNPiRe3I0Yy}gE0YjHNz zK^kD~!2YCR&65SO zM`c$w5u7f*Xy3JU^~7BiignM5;$%qN*`xwQ_m^kFvSue}lVHx#7qOuS24ZvvBElF{wR0ZTViLz@PMcGCF9cZ;! zzo!E!FPHL(?#GaN$kIR-5szL(WDD7cwnv1BJDo6j0Yul|A)8`J*lLVs^o!IidQv@>@0NOoJr3{pfo0uu?}m z5TgEpi)uXgHAX$d`Dq?+xnFsk$jhnwjjp%5SN|f;mMMt$RKKNd0(o;zy@=*i@sZeq z0Z6>Sg-Xla{`}Z_<9>GD!pnX%#ly;HOzF?AOph#?k-q4WKKC~YPex^)$5$TCujhPF zOATf@)-6oeRNpB@r!D*qn{ig|XqMKvWLnY2B@54w#m0Lpu26s1BRukZqqk#Dftfv* zEb)qm^M?jTdWH|(mw$hHSZFm@!pr#SqSI7;qFW|@Szt$gqdTNTmV5fiZ6jBC-YyRh zat2-eg^d_oAXV|nSfUxWjEXBUKNPmKh(Rd3^y`oYDM=?T^TsPVsh-8j+F2>SuhW1t z!e69FKe={@lPT}m^|ZYjPV?a+$qu(kL}|{$-X(7MN~4;d1pa7PKo{&GwJ#oE)W_*| zjN>eaF|3rP*%I)g?+wT0#}Gjen7DxGBIyyt;vlUW%O%r1%yh{v3|l9Cd<>_oX)?ax z{yPcbjAMKxhDQ^Bl#&9N=bv|rqtlU-$G8_W3C;(!#4~{L0>Mm;jTWlX#49sc?=m(z zXDD+Et_c>D9TFwor=z(3Me`Xv1-6e1HR$MyD;6(PMd_8>Bdpf5{K9JN z15fA%rY~3!As`B>rhi{!8x+$#i`RjG`4E+Ea-;gjU-|@|)VPjq>sl?1q|jUk<|t~| zT6kzajeev!CH7?9M_W?1!#7u=T^p?w_c4Z(;VqZVkVM%f99ImlCsB6MI{76z2Ozd+ zojyl{@$d%xxHlFp1EO6JMiPHmqbD2&}9FZMsAldRgx&g4UM`I#`gplU~ zXi?``#Es??<^Iw-oglaw2WVAYDgu6kpSbVe-*3tJ<6{+v2TE)q5nxQ;L$(g56;)h0}UYU51 z?hjDH@h3dzM;Q z$<@5v@B#Ca=7Mc8z$d7i&Fcc0?6aNq~W^&L;x--IuhY$j#h`9SRH`8#a6Rj0s zqk(-J&teq}n74XRlkb%GlLZ9R_0!sh}HCOC7Rz|mRkA(x^LWmVd6_)Qt;V*&%RX<$P@IA=P5Xu%29 zgDVYSBH~r5XI21TWh7Cl7c59Mmoj=@8#_ecM^(;C@EfWgQ4kw!#47E_mY130 zH0)r-WM&4lP$NQ&h_M>Qj#B<#s{zWu$3xkTqogVJSHO3Z zn7o{^ZtYz#d5?kWh13CEP;<=VTp9mrXS zuW45#9F*F;A8=3^1Y<>jTG!Y;KpwE)N61;W#N;J%#m^6~XuEMm!rg4YS+Y^W>9UIe z?KP+U+{>TnZ67GH|I)nvjpBrwwc<>>NEv-?#$nOjUO8lMe8k@PXCJXs+JJ34@{<>` z4ry736F=M=PyZ8N^EY<7tz6#jYJV@wHnR35iv9_dT>xqUe3$*_Znb%qvSwxX=0x=@ zqr_fU46+^Qd$|147nB!bS-)HP!<(6VZ+zQFtnD+`?A@+eO5p^}3~JR^*H?3io6Gdg z%9+q$CvI-Z8|xDl5=+=Y*)R678S zb02vfb#tk9SgUM9r2**J<&)y+#e45*CrsWcgvm=lvn3llfM(egg6iD|@`LShLftG` zOPIXuL%Tii=l0$EeRn6^C3ZXsr_27--p4)H&J9-OX0;Rft;yqltBzYUSHf$eRCixt z6u%H3eog#S6zqNLLH^T_tV2px`}W~4KuCNAm3P>~;Xg6~e?V%qlhzU`*E8_=B@&57X%a#wtl&Gvojx?rPgs7quhBG^;m zZiNpe++4QZ#iq2oByMg`!p$xDe0>(k4-=fOMA>C(iH*b;+2g!6ArJrjNL8=(8~Tn( zK(QxZJ52wJtR18wa#ZuFr<4XxN(1AMgR;xkswUoE<(D+ z$rC8MvUV7R+QDY(B9LGde<_cSJhGi-u2WExupXoL51bS~w|H-Z4S5IV-VbQ*PqPv| zN)oS}AMv?H*ezM@vF=U7e|QToS)X{lf_>V5{jlAxb6i;Z#4!_r1!S<3D1uuUySJV7 zoxQW~bT$ddnETnrVvt7yOZdoTz!I(q_9(G|vTN8)K-mTIaNon%^ex@lYjft9?q7$a z3Ax8Q$4dM4oRsZwtX@)YC#eC-K*vMbua&ifgUMSWF?qWPAwX=#2xa%W z7*KYvBb40?svY1OkL_7pb7Z?~V|x$kS!7~8-}~sE>;?CkM-EJonHOs2vv1 zLC+$NeogV&yX>9H=<71M)jrxXReRCw;9y4 zSQg*uWACl~!ND!AIV~mYS?~AWv0q~kG{>*qsP1`QSsm-Xx=bVt-(8i(ud)pnZMf)g z`Pjoi+3jv?!~egB`7ZmgJNMTT$`;Yp$$&S*7&1=tWXG3Q19x`3x z=5Ab9yb(PM#N?X8O~4X9BiK{+EHdmS^elipe9@7`r@Z*~0AKs8&q8zJQlBSr8TUO| zJETx{Qz*M%#ajI?Isy3Z<)5_zeD}No^MJDZ?(7F%dJW*aH@BVr$#MtQ0{{YJF4Zj-=@>8#XeA90LpSx%4v^l44TQ%U} z*KeEs*R$Vvc28Sqb=MNfZN^CcHW=Qet*>$pY7QXl-<_=Dt!I6U%cP#x_57HyZO&UuG{+aFHYU|$b?6B zuetf%+3){;V_W<4edatnY5(rqW^F6!7Q4>D&HZHmO;16KaAo;@7jdBH>pr`v(DYXW8WGeYo{D7(A&&)x{|-S_rSC-B|fZ07}YI=IjN z6;e5UXeM+*+%{qIw#|P1>?Nx3BH>t(~C*hgLm_%5VDCv9}wN+`QSpfe<1 zlFvO#cEER&D7)7`ZNnw71yrjxtG^y`Gexd}a#G;C&;ezT6Xz2_1N%m{ftE=xW2#oI zV4pLPtjbs{Kr@&SQpVMsdaHwMasuD&kPY$%55RZRiaPWSNICc_N`*%Q(Cj%KWQnqC zDhv_AAbnl{Cn*-(lUL3I#ue3tY3As`T3iE;u7`OjTZ20SAryU~bt?)0AXmEwZxX6E zoB6R;s>~HDM+7OXD()*24E{cCQ5il`-I5zKhh=NenVd5TLPnD;|EXGa5q5}7aNlF% zoM=x3iPtu$YQ2_iUa0e4B#TjyCSy}QtiGTrR<3em?A(IxN;%g=#1#rWEsTO{6GA*` zcM$hr2vN-P!2&S}fh-fx$~}cdMsf_?Mmxn-VSpGG1xfN+DWr^oEC=|mrkSHX%&SS+ zy|lGHJb1Kq^zNWYkEZvozhy6--jhGE6Yqu*PVFEFSqP_Y;9!XW0EjGw-Xj{`Q{?|O zoy_m@GmP~8-}37KeaS)%F`3Y<3hPE1gtCiM%{st$>sy#0Y$l-W68xKltpY%9eKNCQ z#t!->h^&0YN=F`K0w+4-U}y=Thm#|dI)M;mO?ub;{3_him_k9;fg4qm2(!?Jbwn<# z0~sK;-MOI-LN*WR3U4V+!{P?x%^dN4z0{|w5k+T&Ze=NEQH2-W4J6x$Gz3Ck)!Wjb z5L$5Ue4qtim17w24fE1$$bS4+ZezZtSyfjJRgK!1H>9e)g(b|Q2_D3IP+%lL?f}&r zu9}deoe7=1Oov-r%O(#+1TCO+bsI~7TImyVz)d9$Xkysnt*Z;84dA}?e2@#L0&WjN zmPv9L%D7Y^ZuSNx9+-WZ8Dk?Lr(bqyEfWo_G^f%d!jH1IE3<1^zL^UQUaeM{%DpoC zgaXj0iNXy?VJh4ePMHr9xxr8GwN9CbZ2SzpZgFY{mU9358sJ}!0=2;Z2ONg{zJ>cL zw=;xgIx-w@4KH;t707Ys#m+<64vZ1m#HrPioWm5MFkk3prkR2$a3PiJ@+*_$rYM4B zm*E=-pmjk zh`!PBJxdshf>$X+Al^Cfz(NOumM@z%4`FhF)rvF@A?6(cWu?xiGnF^s1DCkd4YHe7 zR+!7^dv0R%P*-uJjDv1fQ?Ik2Q2j9^AtNNphO$0x3RMKLu0rKvyW3E(&Vm~SNS;mu zjx3KeLC;c8CJ2ruX?X|J6e8n@E4-=9Fd=yD0q|W#3ZW*hC=U%DixmNVUK1?6)SK&zSj#y4Bi7tP zu@3FzqI}@ws(K^YB}t4I3RE@g; zh|7Yt6hZZ;Q4`j{$lie04EG~A-C9zW%UEC`$jYCBJWz*hz-y+5bah#D3TnYi`$4wl zod9k&<oSLe-eeY*=4m+|00;jNyc+sh8%;YJj1<&p+QZ87g5E^nkSIDM+`09+0Jv z^1wnL2y+B@z*Z*O_j~4BW+BdOU}A~M)ZEj8g~dhF^zAIta0L*3G1#z3354?03DGcm zEtf48;h6I4%6?Hrgzxw}3U+NceFM&1$PDv>Q+RGIOAGS~$VyY{1a!heET*qROav7; zssrmndPX*4f`eVC3lT;aO=XJ--y5fJTIfQQGLU2XMTS}`i>p*Dl1D-caqy(tdogxv zR&o3cCiRFS&@jn9wiG-1M!)Y^GK4tX^7c_{s%LT3lKNkGl9n8qb};MoG_V5cQ}~cCKRGjXZZUKhQsZOX)EI)7Fd3Y2Y33J{(auqv&Eyj}05Srq ziFcj@c041xw2m4f7;J7gc*HeqxugfCFQlCjrs2l=Y`GT&G^8jUiNRuTj!#$v*9B9H zu=&+c<9P4tG(qwAA=WA@6J33}JabZPM|YwN-R$zrzPMl34qtk&>@r^sK)Cmmq0BXPb+5`4F-2D^~EpzK~f{wVh&`w3`P=E0qpkC^!QV+|9(nE6!n ztPb+(M#UvIO_9}v;B84MfD9C+JEq`Wa-5&lpV*!@EB1NDf8tZ&y2j#1mWpykdF7 z%%+X2-&ne79%SD#tlfT$u!K9f@7dH`@|9obWOHmR&p8_^Kzl!HE!xeQg40dG>4vS7 z&k(emI6w!+)()iX(;I(A;8Ohg&A@1Y?$&1Xk&;YrAUabB-;BJMZ5p-Q>YI8cN=hl*nUK^S9|8p%!jj|mwrf)fj5j&-XBj%Nhftm38> zTWngjZe(OPj=lHv(ZN}TLr@~~%&=C22)3vh0hRE0ttuTy)6^Cz*nDlUXpZ;$K*H6- zgEDXyO{59QajX63*B};`VuN(ps=ybKh!xW2Vq!Mxxv#v^O$W}DPS~kL8kKN$l=a3L z_sa@Lxrai?g#O{P(iVd|VvLRay*@X+a99uxY$%TIFDc7Z<)d z^;&EGQY)}VFjwLtF2$!U`3v-IrXj@b(sFCXV@>}55M#Fw8AGA zTfnb*qjzMUno;zZ++h{_tf~#$%|?)!`_8cD}e3IPc6GIn^J~9O^vX7vV75s$3 z>0QtTuAbvUQwfgKnV%k%I0p+R0q7;!f8ZvbiJ<6(3iyS}gP2$x3kstiffEbO%)zTk zG*;l3H@Y~USi!+%&_0#9@`N_156v3L0D?Xi*K;!!%x+-Ors5X#6>N5$)wvWc<{;b> zMOSoltVvQ#SpFlyEkYWj(`QZeAOXFr2G=TSIjR7ss_}ZCstZg@n1ZKS3TF^?aqXST zMB~n&h6UB}f>@5iIQ0)GiavBeOn1D<(2@!fh{72P_Itt;&Wy<$dMaOaN{6Dp>Xo=k z)()JZwyeeYF=3Xfs4asjl--n0zI%ok%akOXZsTIgRrjD=B;j|tlXqI7 zqi-`1nb$|tRp8Gi^I)1~Y7I<_2$?liELqag7^)CbD*$|?7`8X+iA-HQJoJzy@ z2(30S+9?e>$u4D0QCHB&Q@vF|f1qkwI25y7Ig!8yS#~@)tL@5w?hP{p8P;l*G9qgb zvH>Fph&NYFYd(a-Zop1(*gHX%848Ev_15Dr*BW_Iw>n%gagyFxEl|D#sxqzUg^FKU z;u-E@lpJ1Eu2?P18YE=mb8|SM16SpEDl8Z`ew1QVITR$FgkPzWswkD;sc;+H2g};w zn-MpaG^&P97N0Z4{co$PLdqv;0Hq|{+yKVbF7|Vb`1Kyu0lo`(S0ej4k<&Dsx8|Vi zCi7s(L@Td>%pwqiVL9+IR_@PJnP1A5c~G!QkV8rUew5kNYzmTTY1GIo_{8K)=2$Fk zQt4O)G>{%Y$C(aFnuy>%zG=vKU)ul~&fE{JZzKTL0 zsER`sNniOU41A-~V;l$Fb_9jxPE6&=Xuyx53=5E$frUa6_5p9aUiEW)q192*hZDrX z>4Ik?P4;T=2!|ODrZEK(0K^2Q8x++fBJ<;OaAQ5UWJ_KyMnzPn0Q!n!IhTqH^WbA} zD;!HQPD6*H2;afcl#W)<-I&f~HF}8YIel`{{iQirRIV~;18G-;$ujCuAF&LRqFG(U zkv8XZM8RZ6eh>t|f@&4XTqZcv2UkygoWOT~fw_e+goK+G zEIH$?sFT0J*@!8JWRMrUwP5M3;HE>{pTu+q$c~WXmWI`nWCRJsHos{vxIb8W##@;D z_}Q-UgD37FAv2CiPU|HX%=&^N_;UjGMFfX#h3u+bmt24;m?!&x@nO@ZMfvA$S~Ymw z2RNF3=cR*l;xn5tZ_%`=wpY`}t-f&^5%1QUF1Q_$`(^7b4?{@7rU!@h#^2a!m*gVe zP5AqG^HO}Vb=cUA58S%Z(co8bbH5$g!Q_pd`;@F5sC#l^)U4xxX{E>~#4PQKJNECS zs!|*n8@6=ax>!U^9G4CxTpIfyg+GwfDYID;lUMWssnjzR^9t}%K*q_lz1aM})qHR#rt61O7r zw@9-ht2`|851#)baz)t8Ky2nqZbt}35W^8VO~FJ8A*9k+v|L6IQyGvA^8CC)bn97U zN&Pat6aac7mGr$4RY@Fw*Dk@dI<^Dk# zQ_Th~;v1w1kCFLiul^L1@)-j&^ck$N#kBqy^{i}Mu&|1kdNM|@&CmSl46E@E1E**; z_Xf3f3w;4sFaD;(=ch9FYCz}y1Q;SqX)!e5{v>aqK%>ok^%#H0IJ+TrXtPGtRRzzfnY$q{q z(GkI+%TOA$a6@ENt}!o);2iMXp%6r}w-e;;+*~iasE@b81cGl!k!9y6zHEVW;wv=I z(3TyR!4e=(F(WT~vZH9o1Ecf2ft#jy16FplWlC7;B)SYpxVeDSO&cDV0=uMjZp+<$ zdO=nF`KCTqRWszzP#gJh(BGp*uWsT$y%m|B?5eP9s6HbM_~LM6R38MH>kV;F|EZSc z3tSnNF>!a|=90aG-_nU7X^@iBp;)ByL_dSa82h%Y9ZXrh2)d#UgmdalQ$7p2rpPIs zblLB*HQHkT?4e|or z3_*xd6XBex`FeF8RyAmfuKA4mNJCn}gn==VtAc0t7r#|ZwFuup5iQvsF`lWs;EK#l zj&&2)RI?tj908pu7^NMh=oy;H^t9>y43|k{K?9^nu~Y^r7XLx+k{mpnL^vUtm267& zETooFm+z(l?JJ*gNipdv=z{$LxI27`BsiBY^ArqaiyX(Fz#p8GvB@x3Io?#t%=HYe zLj)%Z7n)$w=`P%yKpX;qJqo}WQF+rBhY#k`OiyffZ z4)QfK=XI6+_*)pCzXU?|9~DBQ`Hm1dXF-UvK*C)>QvyW3?a7IcIYGX%{`+*@J@9jGnMGCUt8aAnQ&I+0)`QXKw&um&X z`{1Vk96E8=e+|oQ9YPWOSSG%C*a2Yv0@(M0pB*k(#OpZ=c!p>WhdZf$z?Pkgds7Iy#YLl6@j_?0m1R9hT9!LzMoGhnjU9 zFs&5%q;bdoT~vj!0Ro~6SU}kgo3(BSe3wvmLGF)?29#Yh5)C!92+C{=9Wpi>W2A!e zVB_3g=Zh$Y4gvqhz}%m^L{;Le*$Ns|i0@GN_(rNJWeXj)_k-&LNvh{)1 zuYWYtj6Cdrtfgk*#Fiy1hX?+-Fhd{2;(>wrEki>2vGb7l1q=0Zz!#T?3+n^>MrzN7 z(}Wx3m*vlpi!lczeh?`ZqaTO~7NJ5X@@H`Ljf5X3YZ`|Zq=+gQK|C&%TLE-{lh)6_V9mjrNfP1WRbT!ZE~FvbAmn;4~|v4P|$e_TE~VV)zOS7LuSxJ`B^ z21a1(8<4`88J7~kUc(d!;w)39h%+n*#hDa}a-qq$qi5kyCRF5QLtJ;pVfe#AAo@>3 zGZ7c}D-$XfPoJW(qMxwuYgRf(4+KoAr~zL!i%r#85znAb7yg^7VbTr59W3VpHHP|l z2PK4rV%IohXA&27o@msKQIRSa$15k|F%DgsPKV{3UEN#1O4$z7u%ry9v!hc}(0+ic{vfI&UIpi;)>?UmoOiU0|uTgPY2RHpXI!5yQMIQ2GQ_Ba=I{BmR z$d4?LEnijO=XqWwMCJ;be2c7s&IrB|4ujkzx|xF_FXw)*rDm|s_hJ`9$g0!*%KYdK z3k~gnx~SXBomO?j)Q}Z*Q>O6r$DcPaxep=TBcEW6#&)H42|I-#b@hjNhfm)bu!?GzhX>WlR2tsV zH^3sWtmn!{p5I9NcJ~5;uP2w11H_dW_hqvI%9fWncSCM5vp zxp1BJRA>;Dn=D4a!5KIbrcPtqnOW3;l#mzBgQ9QbnCeLFK18fhXBB4m`Y_Ye0oCiu zQ*LE17BC$9yr5mvfF}>I@meGq$M$S)->{&m0ReJU?CHZm`YC%FpH@iuR+KGv%vmt zFKRu_j4?PBTi1~W&J;ms$dYuw;bqd#FAPObr(PE38>;Xn^;7V_a6<*|Wt3dv&%-IQ zn0sLw6X*j_4XPQ}YKWxBC}Sq2$zbek&D1sXX$5=~+iF}p9nyi>*3Q>&^YHvH$#yvK zZ9YlyrZjK@4NyM(Zs5C8sB*6T#JNHC*6fwzxD$$mygfYR$nJ9!neW?x({;#G+8N-W z@=Fe`NJy03cSd%g?2b&L?7Ev`$qMX-B~Y+Ec<}iX;fdw2iQT|)-PHMXgx^CIvgz7 zFG~KKPkbKWyRPOs*Gs#5Y}=Cc^z;#X*$>~0pYk00#3mFz`#tscM279l{wzM?Pkh@p z*4*ZrGfTDGfx3zR1oYV!Km9Xyu-P@|fVx{TCp2ayvhsf6J&SM9QOf&UYv4PB@1hOQWmUPs%xV76H134^-}a|h*!IFBt@kE+PC9(rq_{%{ z_^zv+&EHILx`eX(PWe%kU6(}Jb(ge`Dv6(8y!XCa*hiaJU-aptME)XF*xb@j=uJSY zwe#)v$%|Z6$`YAW%A{&*{?gb!-lNS6WyDGB_GDmu;cH&#a2J=nmJb8b@*uQ z@Q=9t7Tf=n>--zl7hEE!+@0vDNlacAlMB9>`CV!Uc20w=9mpU_xs(R}MH=XWo9n>o z_LgwEw{ytv0=}y|WQQAgn9>2;HaEzo4(x<`d~^S&i<<39yW)~Wj~^W#D20F%RRfA@ z#fneIBzjD0du-Cj&p0T%_KkDjgsFh?o_^XiKAb7V8>@?1+P1;nj$C%3{b}aGCklTZ zC=};30epA!pY8UAiyUs&rFNheyPe6}AxW0CLp{|FOq))|e97kmb&0*6T~b`1)ov(b zvl6HVo-Em^m%J6UnQ5Og(teX&TMUr!wBQf1k%E0MRARG#oU%m~bASKpn1qq}VIyjX zVGkyLlE-!zuRmv{>-+&d-brLxFO;ko!zcRe{p|Hq*56(4T69C!fmruH%qsbipFKbE zUIlyn-1S4s)SZJ_Ng`wWMBx3NIX|(lC)+(h>B@rL1$?*NA$I}a#kat=JvW%S^&cxcz+ito zXIBsV#UVC&7TPv@Wk>DsF!d~y!pqUK0MP8p_usiBiL$%r>K7c8U48kq;M};&w%4N- z-gczh;l>p!4z3tBG55+vx!glNi_L(gtytu6XULufB7mO7<41cIL@r5U+ukvrIvhpM zrNs=j`9Nj!+6;Sr=_Pp^(6e~G4Bd(qc5LoWPxHoC?L>ur`7ZlLcJ1@7Bb>D#nEN+l z@o2+m2gjeXVN*5RmX4l9X8!W#cG3H_E%p!CkjvM<@Pcd3pv?Ao)=dY}H;WM;)SvP< z_Q@$D=hmwa+^*i3$Y^iL{*3=%>y~X}SX*NKXB*Y_!$wo9o7g;-s;Il1U-wo(llCF{+)UjY}B=~c1WS@eg%cpfg4ScyCSomgiZ)$7ihG* zpBpg%aJpB$Lm;;IPTLPS-Mg25BH>*fA%6wD>)|$l+yYS+WI)GC)YtdUzWeupjvdzX zg9q(xyAO?+^WvOUt!=X(_%cT9sxbh}z5e~xzdCi#A#mec0L{+Ywkj*{W5Uhd@Y%I( zH?RKLwpDwczHZIUm#w<|_YjhVjs^F$+f30>;+l`-JKVa3KwGtrrPb(qY3DP&X=jLbk#EVWr1YNP#wps6K z?CFo!On>KWL@>VL%n?N1E5Ubxd^hJw^@SYEL z&$)ivvp=$~Yx~*zd+%7a=MaQkPD0KHHy%3TJ^j(!WbNR#inl%9?X=(>SETCPe2*p&)Opg{H zJ2w{as7C*L%!yjyA+6dp)eVTZa7nWEnE6wk_tDlO$nsugNKV1%TyAK0Of5%AE4v5e z4W69(NZK&q=6bkd_*# zbW{b*!=9adQbVOqjeqNrN&-knsT7 zj;e0E$*CO#!R25%DL{b&fX}fMdXH!l7bivj|G7@q{Y!s&peqf+IszEBOjvaQv1LGZ z!aTqCIJv4(W;TG_QDy`85)0^7YH-n6E|YE=WzAqR4+0z(+(o7J9gt0GOd@C1M}QIq zl(?uW7~wu~e1sqYLCErfh1ZfP0I#Rz6ot#Bh8Nt^1@eo`3|T#vM9T-L5^~q z0NIGT_3vqu&j`vcEXX*+D-HRN-oeO^cw-~CyM~Qt3|rC*(mCLOoVPaMzO+!Wbdr#e zB@5$P{@TD1j{$RoARFIOkZi=&L2p9;Qe*KW@LGHkMJ|@mL~9QDfwF7+39Z)YXja^C zK$_Q*f-$9_WX(Ywbe3QmWC)c*qdoMD3QRzh&m!*?hd)MU%(X(4L~P<9bB0CHo%&D9&78L~Wg>O=?`;hzd}H@L(4 z7ARP5jw?VEEAz+sO{hn0s=@HY~?rv6hRpIqw)IhC9kCB79xxPKgR zkAOm!`4nstE`}d6wj>XxFzqMHU}9y24LSbcC-5!ZvAPm z+9Ab>J8e8r>>!Ecx&pG~tWEmP5KW3)*gKzaQx72j<)VD+tW%=`a%iq{xg(Uz+|1Uk zgrM9Y&#@FY8*91bKJp-1EjnbkYdv`zvd|h3AuFUg?QXf@n1Br!i^WZvDj-cmh>Uuu zR4+$KkgAHIY9K$MG~o>7ZrKzw1*Sg zgHKhPqkh9~(C+kFP665ux`mItI5{FT|6vA9CmYZdLYvZ-HZafC)8XYL1VZVdk?e1u zo^4qi=~8_{l(grF=(WRDz~eR)#*+}l&Tk1k6dd5dmHh{Kx-0sb{d*}|_U zl+vLgv2lYT+Hv4PNmf_Dj#C}QfXL+kr)E0i41vU&FbLYvblMY82EePDCfHfRa4&h; zAl!r-&~^Zws5!#ItmGVZ9SV|opg}Mn_B?HUfw4fT1t^lRh6@y}U{f$L+0r67#+mxu zv>1&AI7s-EA{B}iD-(zj_XP0uMToATXMjR_D^S)|yleoGQ5zu=T(n%R4M7bO5~`VK zhd`F_O36kC`ekh45xCC{W`Oo;W6=FtgcE_}F(7v#xQlqwEO4gHBmyM~Le(}uBU7Ea z8?k(^svSNy5N8_Aa|xD{$O`ETQFaoR*ysaj_H#fU{_!(J{2nY)awnneDiGT%j%vYs zvC_!{1T?$py*qD19(_wT~; zG|Pz_LZ1HT`KwsE~}DvTo(d2~W&<<7b`c6L*tac1At- z>W}xSB-S|{yL4|yABY;Y24h07cJSmY;@lAQz{hl@UBr0{H$zt=aaWKKeFkR znWP|01qCO)aZeLgmPsXN_@oq;a0+?&=eI8DzjV$kuTUO*9hkhc?pwY?ZiqdFclU*VPPyEZ#0zIhT}8Z9guxkVC6%E z_W%KF+2qk-Yw*y^XL%+I zyTqFl!_qp;=mdb?3gGsIV=MA#jhiK~A|692rP6Cz`I?>QN8&q_sS4ZUy1(YPdgRcj zW4(4}wq?=Fm&zU<4-2>*;9PmG5^gS9vE&!K?V`S1*j|xx7U2%Y<{9HIRl)-%S6TVU zF6r=XRh6X+ux&J0Ks0LQy5%~}m_AGg_==jVTQr;r^(44^ z2m%3#vMi~?EKrd(`UGPQ1{R|f(#Es~Bp2UeGifaXD?3S$LSHWBRx1smNG3!4K|)@PIjS&o9!HR6jVaN`h^-4DoYM%)iHOW!Rs zA|}>bk8P){iT;7ZvgQPaH=l!Vyx}_x)Zv#{nDrAZ!*WdJMw9S^Tx1dc>;x8Wj@cn? z1m*9l1y0dfhB4S*1rS@Tsg?*T*;mQ3&c? z>3p(jy>Cu zc;C?*TbFf;n<3<$j13Tc1L&)>BHJM;805T4JH=s*>@1KOJ1-(6)2lDU-6|vNcwb|t z1}dipPDr?T_ZtS{F$y(s-yRX@9i0|6(Yx5>a8|)AAIB;TH{xy<4HknX2XiD?8SXcw zLI)OO1!hyR3k`{KWfd@-Spr)sX{MHQvYCMFndpx+3uYQX$I+O^f;pJyp&3{hj%kIF zDCsR=4bQKPDu2b96D%$lS-+V8iDQH4g4Ise&`My1Sba{fxU`BjupLMa(=LQJfW*U1 zsX8x0jsrzWsK%l}D=k!gldx`q5rh!t8H5-uXMzsCUR42G<78VFFiAEXZwpX{L{`Jk zJxcEs`2#kJyI3y9Qi!etjv`OKH=~l(JD8nxMx~Y+gE^BfLN@y9X&`_T8MBj>22jG3 zpp=@n1l7U7Bx_Xt_=#!(5h&1-R!BkM5h>}6G3kL^T_6Hi2uLVlxdM}xSg8dTRgzHD z;cbBFDz2Ivg$y{jh*TFSiJX>gk(e1~8T~Bo4oMiKGB}VL0Y2VB)5b#N_e1hxu2o}qyQ+xLel2NHKmeAF?x@M>+g>x>6=w4y$8x^W{xD1_@S(M!@$}W1YkAS@WD*#*7 zdg}y`3rt>GKK=t_FB$!GPaf==@&s|yg75@ld-SY%3N6Df6eYNbgZ9Ih&X4p=IwU;WuSJkzLuF7GK+S$&%5R!LZM*Bl1mMXYH8XwRy?g z?VHw(y6pGM-gDuLN8Nup^mY}@7#0irL+@!o2S(zNN|H_ByECrsqBZ|r7+{yIG@0Fg zaG0tc(7;104!@5IruEUFx3b*Fj8gXLHWg5IMGVtv&}zmEN#rWaL9_l?5^u+xxE_)5 zR)3i8I4aH?8q|Q6Bl&q&qR}^5aqr+P9OH%rnWBt0rcicm6Kmi)XWAU($l|zFMA){F z?PmKj+gXmOQE}#10J+mZeo=EeZM!87s9yBuZ%A=(*kLbrQnHr7cA(imirD5X~H#4c>vt*GT-sRyPJGRB~e6O(pa`1L(jsW}yrVOkUVTB?k-3#gzps!f#

H^zf^D~rA{R6 ziP9gQGNNK4z;V#VSuTc15YRHn1g?B-Y*pauOAL}Mac;O`RpW(m5Zr)nM+%yO4X)8n z3O`eRIF1EJBZ54AmdCVQx-78fVz2erQk3NMlbBJ48Oymmnirb-b zY&X3S$Kj{E0q(vKT|`;tl5q(Ty|E4_J{WpqdG|}0zdJg@G-9_)$Nq6syhtTygA1qY zPsAD)Iy*XKTpvi><~Sck=Qnpi2u10F;M9*R@jn}Dx0-{N;{yA72&z|9`B-=d5LQ${WT*>ox7?5Jp**$1+|!bfJ>S^v}T9hd0))#jxQ>3=KK70USADG z*<-;GxWga*s?V%mGb%s4qr@Paczpwbc5^}97kp-Yj8|*`NtsFq_%3{halK4MXg6e& zBELZ5Np^G0ZbEXfwmo2gGZ4dcDfQ5-S$R}D{F$q&Lekc)WO`SnS#s7U^!`NPYAb2` zAvU4+7H0xmi5C^PX?u)9cnBl!=4`$fkMK#W)YTuRbW)uA+?6Wqit% z4?e~kb+by5p&cgzd;)C1ZQzp!VwIc=t}!R9aU$btT5m#)NiZU7XW@-(8lo6CF)1Mg zV+LILD{98FDb9oz{aAYl*G95DNgf6XDup~j3Yv~Ci1j2~MywbbX=lwjGMCrllsMs> z(A;hohXu=NK0*~@$!DO58(Baz%?61{>bkfP+}eRmM};< zsH`}Z9Ae=KqUf9=Op%GeByIGZE0ym+$Jvmzz;Uzya&an9u{f6CI>N*$3>0xH4Q}A> zu16xyEs0I3djWH&z)pFe0Bw=cX@ktJ3uJ$WAfzf5U{LuUBLcWnLI}>&Z5d#BGl6pi z6lG4AaS`Pg!;>7-j1YJ?Di=DS7*LitCkZW@QQ*^+0{HerL+KN(F4&2-)mkd8j*8JDa$gP>Dv*(^o2hnw( zTn+A#699ROA(F$M&Tz%Oe>NfEKJ~^g6hJP4@1}<8(%hY!Qc)@d-)ooCki){qW_O@~ z>{UY<&y0R_;{~HmVHdcT%#icpXBXnB8^BW0PoHVegZG0fvy9 zpSa;o(&U97BbCc4axTGm$g95_J$~2N2d@KplN{Ccf{GF!R6J7z&IfPYHH-9CLNGc5 zAw_jAI&jyfU!=&Ccvun1!5wFl1vlLd1ykf*v)hNdE624_V(ZFECDVt8RP4Mz*gV zf=&kX4U8Nbo(ctL*zMqM_4)wptI&ZndLzo8#-Sh$s!Wgg{XwnOifXKpkC1v}LGu|_ zTa6cb*W3Q_SKFOVv}CK1H^hkl_RjE&z6;R7(0XGTGnU56HsGrXbTEt#mlpk_DC4bS zXPFb96~N1Th!gsKUHIjtMdliQmk659(ph%r(nYz6&f22jMW;>7T1ZC0HMf$(|8nW)=Og;oufXjjX~hFJbAb=fkr2eDpCeK-v*!2}PVYrXazzaABAY z1quBICSqH`^xVKei1D{m+zCz&eT&l5%OjC;YWtgVqd58Ya=oxz3e4(B0^Fpj zxF(G0k%8FAhT39|1tau4HjS|xhdpbsT+syHh>mGp+E~S|R;h>Xu!M(h&jdj%tMgQ?gBpiwoe!)0v)rnS`g3k73o{Jb>VkM7;tfS` zx{j~zn6gia15cw#V&4DM56)~D*Iz)deR6Je3va%mfUVoG0k6*=&`Z%r-&**?*OQO} z_w|Wx`*z7HmjtW zK5ATqaRo1Y2d{UidfARBr+2W?xMLc;jMEmx2m7n_T7P}4WJ=wR`A*X;J3fFE^yjA% ze^+=zxw9|``FOu$xS{6d!T5%wu1H<@G)jnyraWepu-ii>PYV(71!+=ye~~I zEgI6|wdxqiBBuRZL`n8Er@s%L2K*_q;Gw=lSxwe=aO=tJ_PAOA^ZpSyy^9FlQoZ=- z_*jF#{D=v_y>AupOCVdSx1wZ1xUX$yaF@${GvXL;ak%SnAtYVU;Ev!8yn^FJ>C+h} z8iI}=jd*~Y0^Quo9SO4VnNcF;;MkQZ)!SA!u908|6}w{M!2Dz-2Xmbnpml>>2`l!H z6ikIcaA<=N<`fnFU5QdMd_iWJf@8|H$LG+Oo|3VlP)L9WKP6uWO+t2vvoeDfl6I(zQzJr)uGnTj^okmXTm z5OfqK%_NuJEi6QXRt!Z;^*gKp>OP2v=5Dn^y3m=xmgAQS zoW@NkWNbk?!CE*si`5mWsU2gLFJ^s*lqs^i<6;5iHP8F(^aJN5?_%?I|KIyFwdA_H z_a*uz`_KEoV~HT(N#4M;*R*{%v(xuJnA}adyK?K1cUJzkGT}Lp1kkPAGbU%->dKAp zp7L)yXH@>~@m)eA1l@?|VD+Sf;`F@(_FcthM3&6k&NesP@WyxdjOn*&j*`8X&HLTs z$*me8YFeHHh=`s3)_0R2Co2DFX^?$~=r;mLyyEoTJZZ3b-Et4elav4P)py?SKldAK zzAZ?{~Z{# znJ{sGGKPI|_5AUKs5t zGSN71-GTOyCplox!Li8$xtq7-R&L*WZeq+$>kbfT?@2$o&Qp28`TzY;zkT3d`*;%M z+ELIX+5b&|3m-WDC-1VEzeOs6>i6jLKnCmo8lDaeyBAiybKX|A5qh_b>ak#NOSkskiK03S7J2Nl&W0$0i*RDDV4XY}dF!^Il{Z zH2nOu@9uuvczX`3L^bdht6X21?DmilcG3Zgf}(tjCD&H|(eNaJnTN$ErzH=F%C{ub zKxPS$S@P!q&dq6@%mQ_TTkDg_gvS}1yaGZ7DE!T9-h_~-=OL^34c~ox*mqDnY}HmB z82w#J&Qtvo=ij^TD@nlc4!8iFwuw<+-JQRUYKKubu6^ksv%WE4&jin}sdngh|tm4F3I`dRYy z()l0YP>7ZW5M2rK5MC}9pKJn zL)HSv$pW62*#K2LTtKx0Q?-LWdGar;|GWBgW7q%`Cv{6-wZrMC9rP7c zKvsThwXyr{;ScW|xcS}5MFO=0Q{{cYf$xnvApha*0sEqCm?)aJ-Sd@(3of|zzPG)9 zLII(o%92k2Ti4|Vel7yY2Xr=X4GWlB(g0d+KKowsfUJC5!1o6Ek0x>dhOJYz1FIW+ z_q&%?CQik@PeT(niePvjxSMK+i*A&~XM{%WVV=9#Q!}-J2Rvub?EmCLS0rW8p5WMb z_<36g>^3ydpHG>!TmR$kdC5d^W#X0hnK-Tg#%8wq=U@5Ph(3J#yDzWNFJAXQtdvdH zo;kqsZ}uLH3wq~ z>%a5V$KPe1#GrWz&l_EjKHolI_0l&{ch`>Jv>k~rnzuo}gDVSqx4%97CmUcv_Zd;E ziLq~fA?rK1_Q-CJn*}`o2bY#w7M0YpfJ=M#K5}V~sdmt|1gHOt%=t^d8&Ny#1#~TG zb<~!{L26mVmtEg)^O!+bJbQZOyIof=MazPzmPNmV;mrpHgrH?HEOO_(-P-dFdp0*s zf)|b20kYv-Q_F%K+Ojy1!{%+Bzx&XZ#om(h7E{aOj~2=PqgaRJe1n~imc_e~%B^?o zDVT6Y&E3h!xzw`whi!XvFGyTeA-}RmKlmuye3xhMOwSD!m0xK0{IWg&W_kYpb+g_P z=WXrxXUznv_vuo9QzqxhlIPj3q|Q$BT=!pW_0HkGnFGGme){#Iajt&*?$D?&Y0n%S zyRq(+Z8Hb--#zDsMBe_y!DQs_!ToO7sK0&lN#B1cf8XxYzN0_B1+_!%9my$s(6UIf zFT6&z!v)rod0VwNsAbXbQ7>wTyuBsoKY~=EWue`HmIW-Bvu(_vDeuC9y>r1SQ&jDs zPE^YGLy*Z*R`A67j9O|CyQmFLH=czoZY@|)h@ z*6&{)+VS4p11dS!Avx#1whnr4CY$WJ`FW6^+8=oDWaJY7!$}=)6f*+rqRGL^CC-T zKpmBzS)0^nLg$*k46I8BJw@{RZdk0rLb$du{rAw!6 zL`E_BK*wAF@9hArzz9EaoZKq6=Lx~aab4Gdb{9t3lo}IG)L-hb;#vtFkP%cAq7|3N z)kHx4h3Q$8U~2=YYfS$Z$kKGd!KZ21V8#Q5R!oG@b%7(duMg8BaE$sxabsuX()0#b zd)bOZ<`p2T&)Au97RTHKD90FQAnPX9yFnKzS1V(-a)yBq#ch@#Ig-SfQi7$OqR`bm zth8`YK@_xgRRJ?5bknBKaEFV9rRtFr{<w_REz(hd@@p-RHdr$g!jo%gf}7X)=(P_xUA)Mr-4!)YV(||7kbiAOw~+wE zZ6Tnp%b>T{h}#-o`Qhgw;~ zdb_;Nh`^tBsFZcG#IXNZKUha2p*&Uuq+67mas_eSQ*M~|H*ve&z7XqCT4Vqfr0|aR`a|(L4be+%+ok zOjng%vj94o-2L?>)MX*1R=dZi?p(-#YjuL}%-;Z+bNV4MQL|1+-v&Pf0pbdOv zY~d=CQ_Qi&WazXLOlK6*-Z^>KLQ!aoERR4(H2;}Gv)Y1O3O@wLu96lPiq6;siq2pW z_mU*4!1s>;zsQ3IfpP#)gl8gpA?Ok@BLrxZ(f|aZRIf55C8dzA=>i+l9w9*+#?=U*Pf>+{khn8SfyNf$u$^9csq8&@<78hj+s>}SpUFq?4tdI_xtLKmCq4CE&=4+Hs-);Hzz|o0F?FCr0CH(9xEq+@p<}6)Q=&ZlhFVe}u3Mwcha=EW?VH_VvPaauh zdhD=(kS3di7_>Bfc(AVs2@h`&wp?O&fIPu54!6k&D5pwVjgqaY0@!7z3Lri&2ib&> zBTaQnIL5Df8AD zzbD_}&wct8Sz^`#pNIvH?&=<*4nO4V!%q?}3oYNOo2Hil{1er(5Db+O5==E3!HtF^E;@RaoVCdbnIW`~lw(Kq=FVw7-P#$k zq*!A|^qe0%9aRzbgVAT5%qD8)L?-KEC9wkAexXT33gf=B$A1m@HRuX}Hvl0T6%s7* zJ}AfYXyeWaPH_B=KUGPs!$H9`T528JZ4=8Lk$MOm*viy3U4m;c07(5;=-OhSlFj*tmh_>{XR5Gt}?P9ZlBA|eig@J2~|(a za^d*@%@70GMM3m~dNlMZ0tpvWm!v;3a|+83UMQ=XX5{OvFjE@Z-6*}t_6F0ci~ubw zcWD*oN2E0|94pNo#Yz=Ee8B`8u^geDo-9o zU0W<9<~3f(#K>F&t_4U8^##Y?G@5au;RkeJlX2zH=i(HhS)5p&yP^G!)3HgwF|A;8?!V^&f4S< z5Zg~YLtvr#CFuWO2DIA4bL>r6w7pOA8_QN7!o81RX=y?DB9K>4nK6l$k4Ltj1=;we zgn*27+#kWO>y1>Dw5oh2LttXnBfW=d? zjd&4r<`?;Q>ON@FMagj#%I;yZLH^*vExi^nd3C=T?THdq!Be+nTNY_QD!V@+EC7d` z84_+X`x^x=STQX=n`(VXY7^v1w*lcssly4EfF*c>(V$UiEJx^RcPz5~^2DQL|UB&e$BvSfu>>H@Z=5K=x%Ho;rGFYRtm^lyQw8MVtxEvWc$JX8n5-^aID-9?j|Ru z8F8N$Ul{O**IQWPJ!7gCLaTKK`|HM?Gc*CR`BsM`V|;ylU_wN`~ugEBq?$e=l=m`mz$a(<2gFhUM zAxb6NX)N;Rd3CE^39*Un!s(K1wJv{OkJtn^?w}}%+m(HInYV7k`gWKr$yHS$Y3o)w z)YXx$8zmiP$*7|#aJ7}R{nXn8DdLn6dQqNG$C4`r;a|{n0#X|P8NJmy?VJdQ zkvX{4+foWcngj^YIBuNRK=tM^3 zoDM77rO5_i#ox?4!TqJKWxn$W$}e(8UbBdeXuDVpG#b&Y zmsYN$Gru`qwcj(P!CQNW{AumwfR?*!@-7a%UlpYx34FJRMZwJopH91wgh1{PKm}%s zR38eCkEXC~qcltckUQe0C_CEfdXhur4by!X3*l{Mj2rPNAdG!OTBQQH^M^GEvC)eV z!*>?I)$3J?`-l?u$Ry{eW!{?cqio9}<49!>P5=u?a;}fbE9S?n?O~jP=Lx3q2pZfT z<&_)l1~m(E7zGu#MsBvzDpUi9ob@n%LgN~9eQ#VZOyJWBtGt{Q(4a)ByMPaZ9QscO zlfJgVRdo)T*mL?B65B(An`l=F_lkrThXpG~SKn`7ScC#?^BTxx>T?VY5oziIW99BQ z<*Bn{+@)`J*}Ggkix00y4ylY%HF4I{=v6^yZ9=cPzlZBtjJIkZZ@!UzlL=AQZkqz- zyi=hd-l}qNbNCEB@Z^Y!wkdy#8QxgQLWbA={6$uH`e1*4P;2w|+u`7~kI@av_8_M` z>8YASZkD%bNYf9B3jY0!k=hWOw>1;+ZmfjyYj0_4^ff4Mcmp*#!{9q`r^Pp+Sx zJ++tKda6i`^us}Dqd}DqcU0@A`||Of1&S+t2fD*IWP$twt2skAO|6&gv;zXY(|(D| z?fNCD28ziCCWsI1f^>FEZHlL+@%q$p_>a0R;d3caG~MLpW#)0?uj!_jQ> z`JsywC#jUn-R~CuhB_5f0TiXK!!KBFh=u{F(I8UA8whqFGztt&7(NtN6Mj0wHG!?F zEll0?F=;^C5S3<0OT^}?n@efTB}{CsI&Dov!%TIk%Do`sVF6+gRMjQbAvQ;pW4D;Q zWDx`h-NjM*aY5ox1&OWfXuQqbv^%o3L)sR9zr8#%D%A2vZ7=-m=|dMoH150t%*NA5Ko` zeT-xhhj2KzOYOCFqwGa+5A`US>WFYuGUhq+D+kJ#SiGA>?Y2_)p282U z{wYI93khT>DIps&gp`mG8A3|P_zWQ>#J4*`NeTIRhL95SD!63AmEYxnFm->J1=^d2u4qeQ$h-e ztU@X|Rq1n0gmtiHoD+_;>OBWCfm*`ldQipPiF4_kONhN9nN!j@&Edt zRqdcK^_~Yp-|PGTbwBN%0mk08RafGCZkGJnw8?+&+COI7s(<<6OZ)%%xIE&6$0Pgn zf_qHiIwB7~?B>DuuG{~eZL41T!)uRbvL+G$jL7}p`NM+O_q?|MpSL|e@8Q>OntSEk z=N^Ck(OK_}Ic?SJZ-497>+V*dmUEx`0uUx2z4@m8$yKkf-T6HTLAKvB7l@uedwu_P zdmeb=T8a`P`?bIJKi_%IJAc%{{WE?2x2@Wk*nS?kPwIGSKY?*BdvpKHIe8G`f?BGw z^W53@z>uud-deI&;8Xi zyS_Ph+YMLV^ZNel|99>)tDf4IDz2}+dh@o&zy8?$`{!+&`@4Id+7IsMfA;!2udNGVpN!udaIiw=2#?axPaupJNsf80Q21C*kUW2ZnAt7xq@E#BS#Eg{pRN zVf-3^QfM?9v@;1nGfd|VJWKve+Qb^#fF~;=o~urzM~qPbpMmZ^WIbt79y|-@nwtmX z<0QxhitJ|14*sOiaH@iw`GCmK!NW|149PVP$V*v8LVz%NJ30v!AgoOYlK{1BSLFXC z?t#v40vg*;TP4dHI64|FET8rVe}ih!G)=;W(l8hdpi`Ytx7nMJrW;d zE^)Cg*Kmzpn9_S7gv>7uYwYJxUt`i&DDyQw*@u;6mLW>4TMx1s9(h%}p%ri782(tv zt65d3&?#@Q!?fzeP* zni#j>S$>N-4Ypm{K$)wfL8?o7{>-TcKMW?fUm;I$qR<_mJ*mu zIpJBzj&cPigZvE;oKDF0PqjKVY1dCgW4h()=BVcNGsb!T#KMJu<5>Zq8ogTs#*8-t zpqfA)q1~`y^#mrrr>KNi1W@xW{H_*ce9C@AU%>C+4pgwT+IZ*(3R;SVQW&hZK&uZ<+ON2v_lS?#(jkCDPX4BguepYsJbi;24#bZsJ zU~GV-Q$CK6bZmmxY$H>ZBc?)$H7JlA*iW)!5)XsSZ`m^#p~W?E{v5q)+h=00QcU;vXA zDoiZnpleY|pxfRz=r)O8vCi7DBOL^OIBttV?B3!6IkXgms{1moT4 zUToHHWmXhKElxM~lkL!eVk+j$OG+j1J!remqELenJ;VJkP(72kbMP=Bu`%-u(`c85 z#kDB7NofsL*+~ls8;8@GkR~b##VLLP0h|t&X8!>+)D)_8kOTT@~DYVi8t4Yb9=A+5>2&}Jcj6H92# z6Rpu^Hxvv>c{ zsCM|X%SlE?!pTfb)eewEM$M8xi#9>-ZA8{_%8%(0tE>d>zj=nBC{%e*17-pOHBZgyt|rAfL=ha#2No0RT4(4hDF!(_Z+ST0ZVUi-pL}WfP|@bh;wigOeMR(AE`hA zxjL+)+@OHeOKMr5LK^qug_fKlZUuIIC(2E^?rS*%Ahx1F!b6Am4>Ci`f}na)JE)om zHYwCalZIJSdMhbtj=jT?IUUf%3)o7to{fvN#G)FTY&o*YuCMXwMX1%FcahX$+OUaM zljE!`hk`z&svhtCCc7QbotX6PpwcMv6-bTMhohFGaXaj_q;xvqbm)F0K1yJ`Hn+>i zL3Z4x#1}Tjn!_8m_*n-p1uQVU4Z9AqA=DK9JOOTWet;YGDRDzV(Hw^bS*dDjLIpAv z?fy;G4!dEOZ1^--KtM@}%Kt+G`HvqK045CTWLbaF@4?!Ak6|Ab+=!YX-VL+&Cr#QHjOoTm9 zQzs?^5)o*$T;td%{jmcRaZ{iarMI`MqAN@dQ%XGXu5fn=QZad90FLzp}crdqiCo;@A(7+zS=8}4!W&q(&YcRq5- zvZ7RuU4f+&9(bT@cIT#hi<+?b^fgl_j-GPy%9B9e)YUc0eU`wCy!e#20X#YE3Iers zW)ooM60BhT=I}{#E?Kv4<@mQ|_y1wnwW|jFvgr0r3o72517yq}t-OEAu2B!Xyb8-y zkGXTn+V9PI<&{ZuAY=vBcAzL<+Vt@1C0JlZWX`Io6Uo$Pq8T(d3hh=;@TlWPDMM)8FU+Qi%D-M@LMqx68WM;8Ke(9}6y2An`@j zGwhng4BolK=JK3HIW2~6K?RZm^zWpq0X{;@(A57eKxNePY+-66mSKn&%kNAf(tHNAk zn`SiVI6MaKoZA|G578==5e=G<1(8Y^R?JbgLoaV4d-!>=faWDQ7AbUof~8m}ec%*R zw>(!T*hsk2@X47Sa4F<82i-&ZY>SiUt~HP^jYfrVF^Bdo;k)+A&P#!~eI&G1## zdBWBvP?5rl_k|0KssL&^G#runfX)t^W$i?E78Q9hHtqk`7M5QZyFJ7xN{vyJ%!@^! z>Xp9iPgSp^l>?^8|b)f2948m&|uQ}+vvtFD)uS?`_cnLwFF?KS92w+{dT3dMFSrJ61 z;f3Yk$#L+CXI@N&Ff2>x0yU=uf#Mv9Y~PB$&@G_`O$K93FVATzG&Rc@{EvEf<8QWv z=VKvjDh;{hnE0Elp+@;2Riw;NNXBu%ABB3>84bDU3h{yEJGCZ}7q@o++1G1(v9~5Y;}@G$>eX zOhDSrf?7dB)<|qi^G$4&1>q@>6w*XHxsZ7fB@RgwY*mI}Pd=AzS&&UWp8q`M%Pss-Y?)ch z3w3aC$+0AZ6KO{6Q;wzEBP3EHu)$^&0}8N(EYu2qthZxCGslGYpM#PHF(h3#1@3MH z5ltRVx7tw^l?tZa&e}?)S!jc}sLIf$a*L`V$ffoK8Z<((Vze|YAxM9#oUXA}q@omp ziH6_Dy{?L?)}Y#Av0R+xlnL$JYfBU6xSI6%FI#r0`{ zZF->GP{wghRmE^2&ybdBa^mKkOe3MBriO8ms ze-!TEoZt1v-9=M2EuAxEKXt~>zW=!=W_R7{=I=?H7mUt- zV$$g6c8$7!=+2St3IcNhW&4p+c3p|Zr{C_Xdum$OlS^*82PNS$AXwhKYf{k_yKcIF z{EU*WCl`-euxb87mu+f2TCu=8zWY(0G zfAy>qbNn!FIGPQxO!R_a zaZ3GvM&}00^Xq1nbXVCOO2LJYyS8>Hh8xWA47a2Kz6zK87l-sA^|7EC-eEh^rsQZu zE+2p-0iM@)~s&8Ky~jni+aLRnS-mS~29BTbOJ`UOk!y?7|Q>iW?mo@a=Iu zkC_1)lR%Ja9HNAlpR?NG(}M)499LDk3qlkTZ586BU`QpeSTGgz#Y%`oD}Z761&rLi z;2}*iA;5%mPlVbjjohOkWO8*Vu|vuv!{7uqsa6SESd3LS7fZILf{2;0HKJ;VRAodJ zM!GU0QkMJ~#L@I(VlG}RVw|r(CI}1-+q}@hYR%(Lj3*z};&Ht|VhF(mdL@hY&VvIT zKt+cyVYJXPvgQcK*+DsWAl{Ya@HN9hs1Q+}Fk%6a3Y@h*zqyIt!J35^dA@T|PIoa< z**NO7n)QqPn|1(?az_bV<`M{LaDE8Ri|~7^%wIFj?re{e?1p&U@2~Od{%CB3zb0VrjvcQ(fn6qML_=%~luB1KN+L6hF96)dX3Ex;vRryfCuK6(zi zhiz$O?ep1urgJ@?bNCwp3$K1tvNerdKny zgl$_^4os!7{6-y4L?G8&%B{6mwVF;p^zP>9?0e`|OcYEp^&2gESqm~Of^N}B0h|Y< zilgJvK;I)cp*NAMl!B`F)#b(=vL}_>HF9*Qvk^KoGeoK7^hrocwigE$=6T}-5Kh!g zl#FR`HKI%ugNRh(oSrfQ$QqGoC$V)6>pSAfvnAuQEeo>G=QfzgCnr>(GJt~W=HtFJ zGkS=+=sD($P9zcBdrd*d*r?Ogz8S^bunN&cV62n7jy*;TE!B`{H5!P*CCYZ8WXx9O z#VQqW+I3d0tA+&HA^6j9FT+GC$S%O(Mr&ym&QapHh_E~nX^fC2I*K#}h$&!soJ?IV zRmDf+1&9(C@;C>FCQ)&)AK=H>?Ybb*G|b>82SOwX@qmbc#*^ABrqq$zaYfe!onV?9 zLXzGEEJkAQvE=wie9h`WE#w;GBPXabQHnpop z!BozfvP+TgQKM{+&FXq%*_@YB8Tr7gv;P4D6!&aeG6xFI+54Qz-*e`^N`RSP>)Lc1 zE-f8>5SOOB`s<2++IYi*2X;-*+4R_+vQ6iX-n((&`BU}-OY?Pwb@@|}yDp(9mlPqD zh*pvB+5GryL|M5TQC{)p??z8~V)lLGcg*T~;m0)IH}{=%kxChMZ5oVoKH+ExIW=Ke!N&q5rbc;WUu#H}`jaH_g3tB)C_rC}Wg(Jh&blO?<4;Q%ICeQl)s>gHvYA z>ROlG$^l zGg7UgY?Pm@y~Q_t6}ds)iYLGLlhq^xHAVUFYP;J3FxB!#0-h`q!QKE*ZkJdH-4Otf zq8#hRZ~Z`gSMXauKrN}ooF=0oeI7FNXfaiB-+|6}XMN007`~z1%PoKX^hH*6Olu7X z(dQ5?U);Fp@=k}bno^%-j^M4Ka@)~od03%!d8Zd00ACy!5Ht9lLh~4n-?oj#wL?0W z*ILz~MgLVjgFpGi3~n^eu#JU2t=rMf>Ty2$5adp1W2}#P(EIVf&So~Gdb(2Zj(-9< zewE!4Ujzk5KD%am(a?)sy}O|xbS}W)hyU{Fs^6h4JgZEV%k?Oi3(mO(@S4;e(N>>+ zXoH8eQm2b68?!>u?{GB<@i(=MuBch>^KZ1tCcJZD&~{ki5C@*e#Zh08h0zo9PZ(pd zRg{JUa?$*^%eSZohcFo? zLR%w3#B-*gj)o4&IfgSm?uY}(r>Ox3It8p3DmY4;ZsKUj3ra=c&2qrwGAfvCu4Fza;l&6jv z6Es(e$+K7?GoS`XtV#hx8Vq--0NF?sLPBlP8Nu?oIs;EB?%}#>i-3mlTZ#KKk)d^g zYb+okqrkn}-G24FtnbjPwxrM7(lzmCnp}ibV(qdV^DZDg$Kx2YI6=HdHBxZ2$DjuB zuf;ba1P%#KFW!6@#-L9iH%#SzGp4DB2A5)h1Ndym7a*4cZ`8Z|@Eg3>#_*|uAHxDe zH%zbfhhyh&3CFbVIEFL~>y8$Wze2oNTM=Gkw_@^v(HL`W;J@`^(WSwsF6&P~3ZDFjVWt^{|+d0P+6Gu)7uZy*9*irIjyn~w-4L+|a-da&V z9p75uwO=<2a$~Xe-oXId{(_&qTj$AbfRI2wy9?%u9`2ZUhO1x>LiIu&+lgOIjrTiJw?V=VHu6(WC&tB}WI_Ph8w z>vz~K<t^@rUabxsAxKY^(WqS>K^|ZTY!6#;d|+Z14$|W`S3k`{rjld#JZ^^n&XN z=xO6UXI!Xbyi}PY)0E=8;K2hz|)f>Ub2G$ILZ$m1ymU6<73P6CZ6E>{>IwR1b&O+Vxrp?`NG2h3{?}G1kl( zcSs8osKUwQKp+;07()<^gJRi=OE`oiLt>W-CSL3Gktt1$M!zC`ZJ7#?z;UR0CDAvE zu1va+z6=cxdGG5cM;9ayFcY3(4jAz%2`k1PaNQg`ghokrh5%jQz!z4;-kWECkgXkh z+vD?djO@jNDr=r#X>O(FgdSB|AcVJHv$!Nx`=;E z4IrokToA<1yA4&|q`{;>NyHA9WHCNxz#h{oBG?C&V2DuxA8mn=H3&$+AvQ{aaMiS# zj^*GAS#_A0wJ^sZ1+L(hRwAGYp>_$WbEP)3T1h&!6-XtCcqNWh&{!4iOKHP34i+H< z>Nf-DDmIBdeumI-paK`PleBS#N+>}`xS2*IB+d~H+B&IQO6e3qQ`_{NL~+C0&r|L2 z=k#fI|M{^%+H%48y$WXYr_sdjXb*W<_>>W5zQ*!8dBE`Ow3Y~84%2g3cuWJ$e25@j z2CLjUKY?|sMUsUJnOUguI>)w*mfe;kT(Ur!uS!=HWC~P3EXgSG2Q=8^!QIA^8MTim ze4~<=CTDox9OHds@u`nJJMb@yV8P1Y*N{zE-Z#L_9(=F!K}9Z_JXw)RoE!Apvnac1 zTYTR3mG90=nhRc8_kIhU>JumQt{n#KM(r@ar*_CkIYsXo6;)SZ4~i=K_CT&o1d=~T z30{{x;7KM=f3L3S$^-RUw7&9P;@<2@ZuBItAFw|#V0YdBXYX48qo}U_&&*Di$+D8k zvfvW2%;tds{cs^D{3DW^5a>dwt`Q`qC|MtTLHmG0OFu=t8zwPYiZSx4)w;1k#TQg- zv0%#rkw!(JiltT&Y_$~ui3W;{5}K-*8$FBdX9bLP&u=iKMH&tKI$WPR_$ zv^cF^?ugHH#Lqk9?rH8PTG61ILt>e)pmwNGXR7~bb*=K8rxD58;X@9x zRczW!s~>k0avaDE@$=YE9m-lMafl)7+UWY7=M2v0}aB5sTB&tTU7*!1T9Cmt~ zvf{1Rw5oT+-@l`&TmNOF3SvVy#(Tx5rfm5j<)sB@7QNFa_3DCnL&4kCcKw?9-?r}q zm)-Hv_dw3L?&%KIuFZDH-FD9+X=xk|sq^Nm9|Hy1@wnC&ceGV^pZ&$r*3l!b8%^#J zY`+!Q%Ljw7`Lz#?N1^+@di*h|8UOEZ-pk^abAO>w$>dF;%YbkF)OZCkVtnoRW4`!wD19v$3Kq%_jLztQ?> zt~I@T&!xPvfpj-*`gq;1Y3`QwG?CJxg9~1c4NGm>^e8QNuOCwEX!sLNZt9jdso*7< zcX=TGN810v7DC155Tpt^Lz{+0UV~F&*(tQeO*LFQFuC9zkYV0yAbY8%SS>WjwjG}1 z+93+xHMns*gkAyP6iCIIC8`D)&fwbNsB#;pm*cH-TNPL~X-$;ZPh2eM;kXiC)X=ml z<)v?TU-wR*1CJH7R1eGfbv&NEh+fWXy$ilSs=f4|Wr*0Er4muKhj@qF^&%$N*EOfhx zleVCC&jREP5#fsebxeHVBk{dA#G4A@k6tj|{mS(#Zdu>R=5pHFuRTOF2QH6qQC^yM z?my}5_g;#}Lzk~!w`ME-VwV;Vb!%&q=vfqOi5H{tX;c~=dv12U7dxYLfA7WOyv!MAJ9Zl;6l zsy7cr&mt?o9z6?dnlU%#Nr@GuP-9xMX94mfcF!V>dlrpnu0>zLKBca`XAy<(=f+`F zG1)y?I1Sws)D9rWKH0NCWT30`2=^?=?pf&bc9lF5Q^&_Ik5B!><{vrtF70#ipZk1# z#hUF~9e?32#8hhBZ1+PxTCn`1Um#*LCUS>ksvWJ#%dKU0?a+y`yB)ZrUr~tRSN2{6 z<&@})N%Yj!(>}g%^U6It-uwBa9V^fJC4;J76#>@jtd_rD{?wicc>XMW<#+<}wj=WJ zK}Ke6>kxy7ww-{<@v)m9pUVictAK60_b>0Pn%$?1jryA4J?%#4j+OsNVB3EG2@Yb; zYron1@$BaBZyNIUwtY>*C$IeGh3~X{@73XNEVk3KW#!)H@BVhqjt4k@-*N=OcTo~f z*RgY1%dpqGRXnh#Wm^Ak@gaL+JM(7mSgFdr&BI@J%B(%j1D%lMMFjbyBqlF2d9h_$ zezzU)U7j~*M;>@L&)ImGoJ84u@GrBz+g$MapxYtI%`KVG;^23FJLip+lOO!uP2YKa z_)idH!25-k9ie&$FnL;j+V&wY%6AypSBW0tL~}6tU10FC@7X&JvN|`ve&KB(H$jr4 znLrX|(oTM3W!L)=Roj8d`_q;S5NAUk-FCv%Nk-LKZ^z-9Y{X470vJ!NLgODGa^i=d zBc0^0M4XVwD2|wl5-ic`b5y0=WAvoD%+VsG-g>8r(~p6*nnM1dr`qHF&!l|rzmk2h z-l*J0eU(9v!Q<@IArH!81y2}$hk(VRCw_2ngGZ~e)F^&#Edp5=_gf&VK~J%?<_3Zu z#W*iwibVcaY?NJ1$z`-!_gpgw^0jq?F;eK!FvFP%-lbjcG{=(2D@IgNa1fIRH!^N+ zBneB)iwi{C+UCEUO>LHV6}AVby1miK28Q83ww93*ftckR66a5^s$X zp9XA4F-o!Wl&puY23S;;QVk{<^K3NO>H4 z0|Co|jGPrg{`MY;SojVWeInZ@lHuV$Bx(ohbYH+2K;}w1t^MYNzv8$)@W#Yw7Lk&v z#RwB;nKitYmq#0l2!rPsD*N1=VjefB_R$Yk{%Ex&f8*hcgdQeF_E1($US*8cN_};T z;DzD>8UG~ZikeKKGD?BxPdLHPrX7%(t!s`liA6(*83c)OJ{L)64X=aLDEPHtj@Wt zj&93s8udAfpD}n*6rd~$4iF}T2~bNQ+knjZSydWX%OsN`#thbb2}kVVlH zeGDca*|*CHz)ZWvuB50RxS5BI8!HTQ5rOx0Hg8)J4FU>ACbdZI=LWo{Tni?R2}uy& zDWr@j2RRYn&KfX*?ZD{3fWS0djJlZ+P=YtxC4L_ed~M$@&9+MbuUkoybEHi!v(d)44>9`GYS2St5ssh9om`>H#OUrN8 z1Cv)KYlj4H2Ra4q<)*HjZG(A0zIJ!@T6JWeS44=aoa!Q<6WG>(rFAAs8OMpVO9NST zHp(uTL^)!UWE;d*cO5R1a#1*l_!UkZ_t=Z&Hm~K%&RSF#yxLF&;yb827ifNIA{oF0 z-4nxM=G4LLP}8|*VJAZ(NX4ql71%yznXEkH{Q~ye=PIo9S2NzPt&YuvtC&Q=Zm%7R zj0PNU136WnHZW1R^N97}!tDkbUmq^>s2v(Oj@p?_{-4gC#b;YrpYvN7k?aybrhU&B zB-r8m&%TkL^%_jr9yO9H@=X%SklH^I?Kc!l-UayAk$m7EW^ghNb&NF$dS}@(FTi(!ZF^wTs-Haj{9+pk7Xm)kiX!#+ zQk=Q6>KB!E#9onq)$Z%hz`-q#|9$B!K=uBma>HHuZP!nD`t|k8mH`HMzytLkp`}Q>*JL#vpTnKJTw2V$t^3CR&JPcEU7puWg@WkcKfd{EX--3x~Z}% zwFfpacNHZizURXJiTYHa>^^kev-4|1+NRox!Iz8-y=i2ruP{qfknQJrw0V}NR4KvP z(eLL3M^7bdAt^X3#%c8gTA13?7=4{mUOrW+PpQkXHhQkGyv8Ij$)|9N5Z}$(8c8v6 zylkjSdd?Uv1_ku@9b?3FM?=J<6vtqS+@Uj+pHIKWKSqTAYh9%YfLy~^;PjLR*JV6| zvu}&W6y|CXzrTKdt+!ID`J<6&v@0hlW~Nw=fm~7R#pFePun4EWtj!q{oNhMsxQ2^s zO=Z;+IETg+NDce{?3+RaCgf#K3=7*2G`{2!GJh=^1wMKXpFeC=MbwM_aTidS9#;jm2P6>hCT0=N(F#$&_jy6X>?`Xi%Vg&}X zA|@&VcSkv0m$0%QVmtdb36DeqDq*nRpmO{gYjp>{H4___xqG6(NH<9rA_fz>X0Ar^ zerB)IR(v7_d_+tfST9Fnz7Nwk8aN|puu^EG(3ONOo(?>%8WRVkNFge;uFB4Fw7{+D zEAA{3+#jF{F;&C(jja4HlEozOxroiwZDyahUg@{5P zGI7=m&b#DK;L3C(8v;m8;sGBO6Gb&?iv>x>;uAeuRycBj39PJCq?_h83%LW4t_lq2 zYEaUM)&!lTUPd$&fL{!{}Vv7Xd^>7_c-kT zzoC3k#Zl(SDb{=sdi5DTz_#5U3{yxe>{^vV#SKo6SGn9{Og!CjqT%4Q!K%Yf9e~ty zOdT9~;CWgYq8en(5TfbSILCUhkiiuUY3|_89HID?=v+g?F)v=z1AzLFjXzrgcLj_Tl$5N<@fqh;2bpK@vn*7Sb z;y=vyR2r&BN04CR4_yER-%70ka_bNfhpT9Za~0xSt5C-=Irw7$*_Qc>O}}DUBrKer zuVT3pmUX$lH8Mw#saO%a01hUX=WJmoL!K~M5+?UFG-fguMZM5Jb7Z#Zu8c82t}orH z9jccJ)i*t>&`|u@4~Ad~4DymFp@~8qLIPJCakG>BC6AMIQKpht37d|giL^}ddMT#(JOb^gat$GS7NR8s80=|Ic{KRS z$evWBXkYW8MeUFWyDs$d@hXXpT@sX|>o|+Wdh`F4D%9$4O7+C5Rt;CG^4Ib(IiO)ZM~`*Mj0A-!CMZ} zQ5tH@Dc&Bi4UEE&x437akcydFSxIij%_R{rLXEetGe%s4abu;6)p%aNQG>maA6#vO z%7`S529v@R1=s9xxZrpH!N|zZECa z^10i6mkE4nm*QV>;g%v4g+EgT2LAa}cULA@nR>*FW|grkNRu$d6*U(kSUhbZC2A1>`>NOagG&l^ zPb5=QQ&jEt1+e`|6<^7ojRi$%a8D`sczBp{qr2B1{{jZZSD3C1M?Qm~oyESk**u zf*BVgh%$KUof%P~L5N?FFmchz0}lzkR6PjY_=2@5nxrwklxz}^krYi){p5nZvP78o zheTiWwM=w?G%elKHBsr5@Fsma>fD)_xS`9yX@?mJRIlS*KY*x=NBK2!K+x3|C#X^W+Ik8 z4@tnlmivZTH?n}ULH^5P%v)^BAGc{pUh8uc0*I5P9OR~jc>wkuK55f^`MsxNVuhn> z>WsIaUksRG;Qex1?w*jrK5a7%i{jZIH zRqy$8W05gTxxu8dN{+Qa$+8w1A#0ImNod3ARo-;eV?sqbYkjFjo{V5wPKRUw&@6-# zI7@aQ&}un#vN*>k5M@VrCQ;a=(P4BE2Kp{3CT};iQ zdHsGFo9i(es%rDctjCnVo1~APnxjd-KWc$oQ9Egj>d6O`t_$--mW7CQ4k8>Hd$s^3 zZ}0|2!p*7F0+>LkF=)O=*98VJremq2Vrnu6$J2YZG^`sm5kYnx9nPX}gmW6)IcEK> z9;3d>;|dmG(q^qmhyW`(&~MGhQpiP~P~$pJD7ZF@88s~M`?bZMK*JwAmjxZ3dBNQ# zhElQ`B&4bGo^;{%+Y!5PUT4pOzVgn5hB__as{@91HAdB?;jal*_!UVaAlMMsXoqcSQ{@4kKvt16(7~&J;ZztCAhL z)ltk_XeI_E)NjCq*Q2oAaoCEf!|qq^B&}QS-~ecPiBp3Sj~hidf(DLNu(WhCs|_=h zjUf&g@!fgM!rcvC@Sy0zR4@>L`HBj?I+KaTCMHa}Vyz-i79~`}sr8!4U4Y&KS1D4Z zIuBDS389I~@Rd}ALW&@K#kxwK_|W+ty%R+>>^Hg|@|v7z6idg@1P_J?Q=@v!!g!tV zX+(3SOIM{!DGu_N5xyMdB%aKpD91i5dETxaI#kdnUA>_0?zA+}9(?gFjQ}UFFX-?u zu*&=mswbTQ5ASP0AuKcV%ge%Aw2;$MvD_Hs|J>9W`03f_T${{B!m*M_3rEKnS9rv9 zZi8Vv@agOx8|fatt1&)rz#6dRoBqlQ1K_)0!a%cS{$ar|<`v#FF|Uvg10+BA^%9N~ z1F*OCFqyaB;Yr^*-!lyGFhCvl`^LO)T2`UI!8^z<4dr8NeZlEjLr0@Uwbq)j1n#mH z`Km`d!8;6W+c*7I6o2bHdD;WreZd%*yqV<_W$-OvBw%T?6M()vTTsSGxMroL`mIYm z=|)vLYv~F0^Y_BC6RW-~3$qXa--UHF%CkZ*^cy04P9+k}>Q>V|!s--xdIby3p+Uc5 z$-jmz0OWdsc2mk)PG{a(DfMgp*;PFONDL<3disjlH+VCX&n~XT$HcdBJ&q5(L<5RjEx?4MQ%AGt4AUlW;a3&*t=q@C zdmHv0xi>FGKgvie8Q#xSKW$|UXhJwLD6qgHFjBP@Cfi-Ol@3C zMoLVVN^A%Q4|Q2#*aD_!!j6bhP|NEACh6K(tRD&BpNRodY!Y+CY%OtqyzQILOYjz? zO3aN&v*tNyXgD&dQ8f)oF{FmyIbWxs#K19`Wuitp%tYkhf9bY3AWnBo)Yt-ih8W2H(1e{TaKSVNJ5!9t zMZ&f>`erl1CiB`KD2K_;)Ecf@id0%+ns}>f6(M`80$8QRE9rOk(q_Jk<>Av0r2bWRB1+)1z2!JwJ5~Zq`1WB2r8RmHNZA~ zLcnXm5YwaRS&&*J2-O_wIaGp*U6HVq2`6P@0z+3!CTJ+l_(mp}XhrFiotlkl6jB8x z9lz4a>|sAjfp74qiyFNe5+Tj)xAw~;7lm)lBhR0Dck^?0?QnpHHQPZxdC2q#K4&Mn zBb4b;D5OUaPQMJ~%}C-Kw&lOh>MWc7_Pb93u=O|j2j<<{|698c4#j{r)cFArW$mH$ z)0QD#!N!Lf%k5c+Lze^B_85?6K*v6{Y4NH9&o5jxY4M0>xgK!32R0pq?`;>g!S`&CFZta~Ih*dg@%^h7=g)p*eg2g#GtT$E`GdO6zsq}j zOrQMyo9^mA>4sNsylPqV`IB}v+ob`qcL5iYmTh*Bvv*Bj%0b?qAkW@&W_|+l7LocZ z7H~MnVCn8Z^`CSI*tRUmZ|#Vk1@cuWX>%aS>$`4Rx)JEW8y8=6aP!KnNt?H=!koqO z*Ppv->4r5oy|wASLHiTs^7o}vN7zjMfFL&>%ox03amJOacjQm%_k-n=-pN`z?{}A{ zy|Fmsz@`*n@}8NGjUd0|AfJct`G6SSw7CDIM=&q43%(O^!V@1X;Aa=^=tVc$wZjtb znpE*$N9Zjea&?lwI&snu@UGwWM|*lwAANv^j-KL~7z_uN=!Ajt>E~{(FjDKK+AGu- ztNj>@?KGu)nOR@qNtN?!DcDz?^kdU(mt=uGz<}w7t39Q@u;b67OtEf7XWGd*_9frw zYcZN&Mr%e-HMK5~eS@o4lsoEQ#~?u1fBWb{fBgeld6;TQ*XDuT*YmBQ;)uq&g! zC)C+cJCUZFcYk9l6vqU`0?)S^B&JBNH8L<4a<_QXU%zO&Kf0j%|HGI`uV8~}j2@A7 zdr&d!7fkPm;%Y>(L^IfcZ7E^@MzJI`Qqs^ExbuqI10%Y>_6oFD*hp z;ym00cdC9(Q6&7S^E82#MS1`qky6wElA@_*Od6z{B7)=L$A1%YbvG!~U;NaFPLqm6 z|DWhGf3EILiR!8;WTsgZ>DDOHT^N%XOG6-zZmJRzfoCo=#vBJrW&||u+?hhfUqo5P z9UH;#W#R{&5yiwKdOdu}1cD!!K$7z)isz7?o6;Sh7GjD7Avwv7CDPt8cN0aiw+mev zEhV(5;xbQAYK5^bv0zkiva%p{PX$+Y?!*tr*1`9@C zJgDA#f+PL1@5n5z%IGDXPFHkpmXX^HI@HNmi=RTZL*F9Dn`e15~@s}3ttjDqhv ze`AG5*8tZW1QKp}*e?NjYgLT&*H;bd*#K#Rf3jCFAXj09f$(edGR~4!XvD9!)v0Mj zkjr!Yi>f?>tSZGfaumpaGk*q2>Z^EO0g^0NeWiZ~%5J%TNi{ZNT2q0tn_*)Kmlj`b ze5bHHQaZw{tj&aPY^m;+anJQVOMJmX9AFvFwO}|o>v2G66&6@t<+-Q)*=rz4QcE_o z5Zn}7k`t4Ses6W!4oB+BulAj(WE-Ze4pPiSGlWId#W-BVhNOVOy}*Vk*DQpQ1|@ zADKG&6qT1z3-)ZnS9d0tAV`c5a$`(FQ8WrA!qbAn(1ua<8M%7Y8%xzSnL<64OKFf1 z8<}9Ru`4(^M0Y(P)AV6r;)dD6vY>f)Nc=(xkqC_fCdf*FpS*Q{k{Z=o6UmUOlB+>5 zd?6)X*;1>i_)uWn(%5-Bv%oiI1`SLnX#ycQ3KOC`K8Qw~xiB?G4lFBrD^;hcN53Xw zI6Wo?Q7PgJ;WOLu_owVG-Q8I`oN}anDL>%SVmqv@5p1h!qNoT2p`;)OqQJp2CX)Eq z(bB|=&)a!f1QI;;heb>sOzW~QEu?Q-H@&$ zGHcYDT&R{!HG-%XA4YuGhlP(Z_W%l<&{RzDnpnT6QiaS0YfMTC^fhh+t89{fltOhy zDtcvH^f6Nqija|M352Bz5>dkHDaeSq13d@aNZJxeNXL^C{0*FhOsXUeAK{7rFv(HD zKPErwQW~lVV%s=-#kMkOn3&t}vlmoknt_cby2Orb4X?pp)3QPLG6NsNHz>6@T`G#N zkXiQ@sU`vn@LTS@umky%&KC2NM1Fo_RA=q*Y4P$EqXBkumLvYOs%B6lXc5WH~mDUr-}2g)QeLA2bC88CnL%-%5ErrmSgi)$E>Yg z*96Yk5g2w*T(mL90D1t?-05iBm=>35AFVjAjSBY1e*PiFf9`0FIpV$PswjZ?<^nKt zLu|(ZYH6YG?R4yWChawuRoA*2R?Kz`Gs?%3=xmgT9D3)&q%I@e1 zK=f=%dv$+W!T!bZKdp)Xg;BXy0|_^{=@|&v^~u3^HpdnpjP3X{9lTe$a<;ma(weli z)@j39Mc;pjxQpU_fa>dr&t{BH2KL=r@LcTRThy|g?yGSeY-KGEZ8<+KF5VqG`w*=; zdxBX6r=3R=si(8!~jWo z25N_CZYqcs9GbRIp@JPdk^kQBY3IMz;c0krdi8VMagZ@$3+~%cAcwZa^|p@U=mon; z|EV}D6SV`w>9#V;Zdwzg>}t0(1)rw$c(i7r*+AhNw#H@>cf7I{WcNPC6Y)(H|In@-hIUXV_CcK9 z2X=U?V@RCItxe}H78ehQ#jm8t-*>z;%<+f8Y2OUF))=V1hTSX<%%JA@2#zWYt0s(x zjuCo-`*7lXhfgs#PViyp>n~3X7<2%_#vBcfJq{OYhbR>^#|mzWwJf7sdA*D>dmv40 zO4}K5?-Hk5E61h#KDe~$jDq-c1+nZl(vGSfw1RcgxixUk)94v-0cmBF-Lxh`@r8cD zztY#otGmW2y=`)H0OY>2C2EJ}v(|4~;rL;nuB%tLn_5vjWR0Kpb~QbA%ld0`)wlAx zt&gUyXzjjVTsSr`Gn3xEXx;J;9oKcgba_+Pd+()zvEk097aye$O4hgSQJ>kICU&Mx zaC70C8#voVjPPWDcD-+mPaO5a%<3P|lCf9MI(c{lP7((X&`xbX3ox+nP4%+_nX^ z!z>`mqGypnlm*J}pQKoK(;tg|qTRmtKx%KDfo4DG4^#YsY1Q#~N%Q3OTZcIc1_Fro zjBPW~vp9F-mYv(_sY{E#vqzovzGFtT>#F+n!J=>6n0Y=;aIK%vs($z4^Y`yeef8H1 zF(pn6_Y`XMo_WefTW+s}`k z`?}*9C3TCIa&tqUR$1_j@nD>u42f+k=$7B56$D%(xueu~+m@EA=-|V#@7Fl?9|9A{ zgqi09*mt%8VBa+bBVPZ|v14u5cqnb#%poIU7jHEV9H0Y}W7`fD&i+?=7H_57wFAR; z9*ds364;=fWW>P_TYz}F_r&0&9e`%PK)zpl@2)vJeuE@lJ?HfScR-zKALqZea_^3P zfBW8!mACY1Tg@1;PXXKZqhG~OjIw)@8RDX&p?VqKb>-Wq5`(ugR4*WJZzYoPqcbGHBfg;{%AX78S}&<|Hlyf1I> zOK;vdXYQ_2Jb7rst1nO5@#Kz`qnd|rAHKc&p5}{Rp0y)q zPcy>4@5!$BzrCyVFy8Nd7w!RW?!-T>beL~3oNmQG_qNRZ=<%D+87tqpXgCA=w%r7B z5;ymamAlrx^T?dZiyhHD?0fFY$80#=#Q@(u7s@-LkJ)746f?^1!CmbLzI%4h+5Wx7 z4?cE7kB@sIswN89WyCGYr=(4sK31iTeIYf@AGLn+_W z=g|-(xY=?8^7fN*-}ad?IJY=rQZf&A$eOa41xz=*_$d}e@PwYUM=RC{WW&gfm_Z?) za7X;<6f`ueI2CZZ@cqYx@*peUgqD^vEePCPZv=3PGA!DX8CR1`Rwblx|*cV6Ici4muQ}6~cF^0p(eQ zY&ps?7%00&b|oPh4ujZ6HAfDD47h)mi|rtTuDuQFjIGt83iN;^-Ikd_MU>Plxk#Pb z?3kti1{l*IcbSb~a$Y0=a)}kS6AhCYYm-{S_n7vy1R1fTc{uw3Jc@HwzjodXr|a?5A2+ix4xII0N#7l^rVC8# zFy&ZAP=`T-J*-X$z>}7r%v`)BislxfG&8gpYh@BE-$0UKt5gNN3WEU5tBd&MsF0;q znp8v{U)6MdXXEv>6zEsNsaCnM^k52wGAhe_)Oa;co(1&WC~vk~n)G+0gki&!Qt$#f zw*==|Qor(1#vq8`3kR`Y!JifG4|qN2{D*u~jL^iTm}zVbEA#x4!FQ`jn(pUugtg`G zk7T$EP`zcob(}i=f#O{Q zdLY-amPwS|!>C*kX;M*OkQd6MKJi-7_GIwGH*!_D8mW^6-)&E{M26^zStGU`jyQSb z_BeOqIP^~PDaXKZqVhQ8Q)Zv|KqE>rO#Ub&#*(7RYAi$U;i!=bZ=fi-$=i-UX2*w5 zxlgPU{*)K;Q)mfNV$93@o4#tn1E^yF5wrX0P${2B0ts= zVGRS`b9kCWG+-Mg?y<-r6Xi)!t4VX_Oz~rp!PBKA{8;iq)Qcig^@PZ&d%*>3t4$SE zi^P!_mLOiI1Q`t7gcLGL)fTBz_SXU~V>TM<+k}d^ty3gK`h^AG_Q5dNa~{IIX^Sbb ziW*$`S@IRXd{Jl=t~g^Ca_P!o2yHu+gd!3Ons|+s-K0kRpcLD$6Bn)qlWd&HSg>CR zCfm+wGTv`BI3NxjB$DJ4{$$SVU^}3)`V=6}&wxqo^of1$ETJTDs6QhnNhD3BGfx6UzGpiX)Q+noElLOGgC(sJE!&qQ+5_q>;1F0hd4aw9|9tC-7v8^S?0mZ`jQI&-4 z;A^n8^n!^^CX10VUAAwR&6`t0rL{J1#6FbwaF77Z#1Ylc=DA}*@><7awjh$&IGUWW z9nS99vrrKZf`iXalwCwBA_FONTH+*$hx#8#20M@RDl%f6|H=T;4%AlxI~jS~Pg9^} z-yYR$q|O7`B^dddwN5A>IHG*G1Nmg)glh-wNDN}x_HlC+vV1Z~t{sj9d66p%XRO{mO*sd)CA+yGm$ zQo)H29-rn1ut}Ls24c4ssRDv;2I_;bt_QF1TBfNmmbH`$_sxLd3xHf#2uzfTB}P@m zvdLJ_h=`qnh|P55LfPs8Og7o~=x<&9XZX%35|E^+4gzw|LKodsBgHRPxF-?>94J{p z3!uSETt3(iruz!`j{dSVL9P}!sT%5J17etvmbp^^wr!zSOLnWE9_o^T_;FArQaafW z$yKM_!zo|n@pv_so3(6@Z;+1@-z*6PRFW9nM~n=_+6kOI!TZkFCmjPAC1SrOazjTa z?R^9tD4AHjiy^cQlvBGkZEI5EBi>(t08O?r`I%S%1TXmh6HXj?mPc-nbLTz|-6lsr z`H~g3C4nr5-zDzapY51A{La2fd;$9hPbd{k<6DvvzvwUE40nw0fnee<`A$vTSWGbO zPYig6UwqH}_&xj!!k!Ke(gTWO;s#h6=mwC{h46AM2=KwqDR9v;_?Ci9bf7Gel4}#} z0MrV>4}6b41+VDV_&Mn+1PgBx>=G707@FYC7`!ut9OwX$zo*gcC*INdKUobIBI zK(qfc2J+B%Z=8U`K9=1!8;f-wTsq^iO{;GAUa~mj-RpZWc^Qr~dHjK2;#`!|j z*Ng`q$iY!5$4K&k4ZeG~4Fi0A`S|>Cz@No&CXYW*Y5RT(XT`i*j>GrgwaN9r@n61l z!>Wu*oE6g_aJrLr)v@pUA76US()aFPQu&6BBHbUbw2y#1;DHC54_wbdg2~n6^W8Tr z>o;lbjQ1YMxu$OZs=F&kEzbYz^%L@26Zz!#?J&SNLFL{46Y|IHTXr7f=AMIN>0e05 zz57Oh?;`Zu5hOmA}IEdgIRk>^lwQ%a^)W-Mtk@(=%f7?A^cR^XDIh^5^W#zq`k#h5b>A zhch}bPOP~f0w$R--tVBXEz2Hiz4-`)_ItMScFNl^xb)NFJNo*|cI^=4O`eth#FkLr zV!P$I6=KUctJmHp%SKw+QI%R&oJ>)PmF(2 zX?dAnQ%pZq)p+ZB0+EB@fS6nT4Iu3}YX+yf@y-Lv

1t}6v2022GHo}R&$ zv!Ya#0NB@$lrKxEuk@$m_!w^r%kA;#*nC}%6CtZB!+zQG_OoHD^v3CQz5wukX(t5q zVV)`;BN-8VYq z8K@6b#suHYY51wXuA!=CJPy$v7JSJwbo6vcQkc>JxwZMV<3M%=U&`bD!#EX&SM3S=lIM3yW#1jaUPKK=x#6gE<>q*$`EKw1Y=If{Le zrc^}=MgsXXN#5egN5f*c0iP;S%~OV7Hr|PFro5?`xIrk)t3UqnLPIjn2jXqi?$djri60IRP5RJIEqN*yRhsnHWN?i{RyqW+FbE{Ei zIeYdHnJ_2DVb;@5;;h5jo=u97JR_0vbyl4wP#S(s&Yr!4A(0wfo6Ha)39?2NFvx)5 zE3UK23nIy~lRyVX_EAFQ&x%?YezZK}6s)NTmSTuA>@OpwE*a$DAj`c)0Xa(;5YR4w zszz$2FKCq8c)v9{{bWjzv!)H3-N|j>@ru z2ILX|hzZWs%htU5r(xfPs|`+5}&;URxJgsup*;YtcVH#I;Eqm>O|&=B~u zCIMwv!B{P4`+&m`sE>hdi&j`kLA&lx(FWlo?>JPYbXg&dV*#bm;9ED+^(_LXNTEUs zU2d^}mKVj(ToQwCwNN0K%anRtv1|&?*dj>DT#FEVisGyWWiYElApt9>tnhx^YF=4H z0=^Z?@P*bD8-*h)4Ee2F7ZQT+p{*dp2o)=ib)xWP6KUxdF<|O46E4M08&0?BnI=uQYX?v}-B&dRbQroi0@ zu5y@giY|nyWNHv3)4?etTsZOtECXOC{j!=xb`9 zvfl($uh)xLAS?Kuzk~+~l#XnpLf2gxp{Zkvbv>J3u~S1yDH4w-yuSJ~yLK3l(bS`$ zOglh=9tViClYG*crKdZprY{AWERb1mn!Rg6X76{dd8`!}v71(AE#0ul^U5VSSbf)o zL9+qDTFS?nfB8~=>DZ}^gbUf%KucugI8RV;>P$~!+h$zOD7(8StYR{2xq-D@n1H<9 zjk$$gvmTqYyZI>P*E179^}_e&1DE6E_x`Vd_m#UR00uab@*AJ}0iXQNWbiI%CnsXi z1@drU+p;8&+Q;5M1^$aOKwfp_-U$pG061NcUYQYnV_W`&O@CmY7lHIx?_J=#aK=-c zoAa+^-n%AWg!z!Vu^(Oj>r0^JC5*BQ^3=<0CR=ysJqh19fIoxDvp-@1FSo&WH^TSk zTk|K31^ETcw8Mw%o2AxYxqxXroKjiIfMFG9J_Azb{UlEcn+` zM*wftpXC<2a|Bj=tk?K;)u6i@xyL`1xU*jG^@H5Qur_4$5=9E+?Nh6M`1ce{t`=NmdO`vBvY1OB8z?glR0pAJC? z*^fphWGy|$+>1MV7AG{YzT_ScW5+8 zQSQDu@Fz6%aZDD*7p0^#v#ChnUVyBmc*%#QjChm+6qmgYN^8P1pZLRu(dLam|(d{&7LQKqq=IN04J z5ED*2rt>J1Z$AC!yNR=*zF6(#7pKMkYDf}D*TemMLL<#KKzd?SpNwEJK;Fufp_))E zz_-g$>OdT<>5#Lyo&~Bxdlrpd{s}tof zYSLlF41*-S0Hy02b3wmnp-%l`kI_)!Ib-ao65p5{lMNipdNT_?yIT?m?MHud9z(WR{qpF2FAy;Y}>)K>ki3R)xNds;AExKN&W)FiHddw?q8CG z2k^9PH(E22z;dm=UlgeVtsE&P^&_l<(4@eSC3s^k@#mBB&ZE>31ZSc(J&~3g{IPYT zwr5Qf4;T$}+ar6}Yst?Nn@ZqRUIk$$Y#|f3f|o*o3^m!tFrlrL*HO3*yG_h1cLCel$GNQ}`UnU1KWrEDtM!xW33IrMD*9qPUNpeA6OEnGz zTSRV2%4h%`votjbAAdSkAR-JU6?nY%cp_GOH+C2LunP&_r1LOpe_=un1qadzm-Z`x zN}n3>v6_ycFxp%J9@HJ-1kyDrEQ8G)yq1uLiuj%VM+7pQTuZ6o+IN0Pkcnhl56x z%cJ~>9FGZFzE3($7S^ByqJ-c`dpGKZ)Ec~zwL)^yKqxwgBuw@~2>lJJZI zScAYc_#Lf^8d0ogOU0f^!8;Ooh48B>JA~u(NeaqVu$fb03d8gWR>x$nD$ACt7MtV{ zs);!n13vIIq|ha9G=3tg)Kozww^1=<0c(79>TY-fDenLef&%*!!=|SO#M$Zlbi>k~ zOrj-#W*4tQ5)U0ab=GoVxdJ+N`qK9pYjsf~<@YutEwLQ{$gIc=|7M1`_cNKyKT&2& zjG-SRNrL?Un0Lm3=Rd*ZUJUYY%a3Cw0$TFCLQ>15But)=%-=UHeFMDPZ2VdFJ>1Ur zZ`$N~Tlq6V-a6>@UERSXZ~61Tn}r~4+}uPVDOo=0O~Bp$Zbp35%EM9B+Fo{&%3op& z*niTp8SjDrKNyo2ynlzeg-N@2K)@dW@3(pBrh8}P{A4kJeHZ7y-Y&_DH!-m9v$iDL z@>}28cDP(V|GVZ}$1Wbg=);qCznnkmBQCD9a*$~C0Ny?L{NfvJ@-7=?w+>7m!yb

zRTTOc^n4-}3v-4Brx>on8*-Nfr(RIM2$Kj40=+60pljThyT_k1Qr@7WgUmRN@;;td z(5FB^%qxiSKx>rWzu*DSozF55-IOPMsbRlMlx0~Oh9jH?CMUYv;|^F9Nx{OL`UPcv zjI01a&jz_0iX8|`zA+VXQkH|U0S>aX^zY;wU0%0DXP@Dm4T~1_s;oM*xBhpIldL7d zHx;W2{O8yHj2ZQtq7D;f{w1m5lm=%QxVg2d(g}>>AL*D>sms7IFqS%9d7z6Y6^F!7 z$bq?*@W9@oALdw9h1h4|>80K33F&=3q2Mm_o-tu8ubyTCWj8gpz;k!7FLlLy#XUmg zXcg0Q>lbGXte=Zfgqfasn8LV6Oo!a%ehfwQYFGrk-@h-(NG*)rgSnQ5MN|7VQ~_&n zQLP^Qt7oD<9ZcTOsaL~=vH8%FAqY7JPbP_tLZ!23aq1S=m+cED35=z))Jj$vuhLP; z16vn|yqgH1 za9UA#t#U6K#Cxg$2z4ED4q=nzPJbg=PrT=V*h`uw3)MYde&4Tz8Cb zY$Zfnrhk(B$tLbBd%c6*@`WG5w1DM&6Zo?|4W7goV={ONL~d{=HdOfiXvF(S+eq;E zD7hc8>KZit#$ileD*S|&XxpcF(#IrQ)d68x1Besww&R4ODvaPDq_yY>IjkTCQ?o(t zW^oLb7-KSRxocpnv6j;EoGs_WE=jc5TNsmFesGqK4QOz%(E{xYM#JC)1}fnsUp{Atw(o=v>klHjlgd;mlW=Gh@n4JKFg#@`Q6>~& z@ePy7L}h5;i&%AmCYqwQ=fmm=O2^Jrd|(m@4DMkK1W_1&SRA6890?L!Fv=H8_@QbI zUYW1JAG>VZUqf#gC+rZ9__L=nm?xZxEt~B8f`o}*0UHNX7`i6$0H4JezJxEVr65h< z@H@aD!w+l@fjuzX+CM}>uNkQgqzg?Kd@7o_4j&p6i=@=h7PZ1zICw0KKvHa(6y}Px z9HyFTKv;~?N`mb0FK{bym+*m`lW_yy?yMb7CZ4{`e(VgPaRu?GbK+x2v< zA7@cyZCq5&L|+VdoGc7mAMzo$Llt&OZ=C(PNU#&UCQ0H(a?c44FF`0KEww0{_f)&> zc@L9JvhY`NE!H%Fsj$CRP=De}lVpM=M+x7V_+zIQnHs67s5oly2CqzBa>XyOXaqk3 zroGym_g&~@rt8@}1&Wyu0Ybtdek&lho@wIvCn3SR(3IfAR9vg!5AeFRJWo<&5>gBV zOLdJVk&SBGW!wo~CRnM8QOpdGV*SjDVh&qskXBk)(WVq3n124gK@!*Cj5sZoKPW~m zi4YP_w%?rS*InOPJDezvzU=P{UOE^TAGZOm6)0_IOdg!JrU{^HXU9ARhl=t5274%2 z&`Kd7&S`UF)IQs5EYhs5#5m?axj97N+n&#NKu?)tPqHAoFT@aUlyx$$) zux9&~JdnRGzJKV3R^^WP`^%f01@R39?7K9+oC<1`aUdVKqlqzjf#93cG$u~Lw6(fh zF(+zflO~%D8S|t?ivad9%AYz;N&C3o3~1K%H4B9&Wn&RQGBzu^SMg`mX9YCbWLUzJ zzL9-zS_a>J;*Va?M6b+QF@fQ9OHzsfr~8dF52bzhYnr_O7fn5Z8_aT_<=73#+wUl= z80W6<$FksWvG`vJK%W!NbHvA`J=>JlwqL@IDUgmADgLsfPlLK~rt*6eAb-OS#?IXp z14I^l112#3OxpIQwAWS)KNuU9!23;*TNypDr71lw3TDMx*3f2~+^U$Rmfz4Q*N6y? z%ad_A*LKzpClgO!W1` zApRq&8Wcwh_AfiVAlC8Q_S!)&XhMq`U_8nM$}W3KYZ}Lp;*B+FAft9T3VgR9X8TT2 zCe+ZzBbdAev7sqs#A&CS%G?e)QIR-YKIWv@P>T9eXy+)ij2w3~DKgMa6HX%d_NCKK z2bFmp5ySS~1o^0AJ#M;$4Y_5lEKg?6rNev;xZIOY#?3}N4{kDD(3p&0N{ z=oR+1T6~3jlRW=pX~wC0+;M0co!LSMn-qic z=s$(QjoQKdcjEKYYX=P&+|C$^7-|PD3ARa*_Q8+2c6e^*c#zMQ=N<*V3qI`ooY+Y2 z&2y`2WAYZn20IAqZz;y|B!|dXMc@p3KlfmaC8W@no@R~L0mJ?Z(+ZSUO4|S}_>k^NqRWz9xqv+EVpF}SftR4Q4^7^c_4`Qx^?u)-8 z2CO&TaeDP{>zjK!8ouw|8a?9=?qSo#u+|lu-1N80*XK(|V_M3vsQb;=3qF*2lL8<7 ziZ*XrKSesObqKve1W-G0Pve9R9TB+4`gYn5Cyc5uuLnDk3o3SOEP6OD&V0tva;VGj zx4OmK)@-Udze)Y!!$p(ZT#vjzdcsFa9JPb~B+>8Xzo~5^UjcRc-?1HBYhoS6I5*j| z=(dJy2bbNm$g)v(;cNK^KX#yJ@m!317H2EBpl3mL&%$N*Ea1B|e$I$l?>L5mjGhH! z@~(-g4(?eretoSzOfmB{bRp(88}nkGyob?OXzb}n7a}Xa9^{2HjJfECY%I#7M!UlS zGI|z~8SL9pUF4v~&1>~y*aqlXXxrLW+`^rilJUkP=vi!RTz<%#yCQV|&YqF~9>a2< z^FsVk(KFvwXGf`druR(IW8;?1&2;aRBL)t2wEZ?M9#Gnv?4HGzTPD$yfwjgk$HqhI z51P8JtRHqroV&ZGH6Hr;&RBJC_2Qe;wlso2&Y!(V{-f<=;^#B26U*${p%Z2IiwTf>82I!f;J7l- z?7xEdyZ)Wkz*coccdce*)(Zir+w#vp{$|%78k^_5w(^!W3`^S<|IMD&6JBfE{M3tw zgFHz%-Ik7kttTbm_n+AN=Q$s}*JI_QH%$X9?Mfiwt{%SQH+_EjosK~sj2|P(0S@a3 zd~q}5<^olh5oN(-<7&JGZ!r1&b3+c3_q=A4ceTtp`}fb?_tpn9TL$d-&EA(EUH#A3 zp1kyl7h7g;AO8H4n{S%gk{fT{_41x4FWkQJ8?|qJc=Ms*FRXlf$6GA}`Yhh@@}6JL znS9RXCmx&eqgRK$0N#V+1MXY7_wu(MnX|e3rB5thJ!kvy7w&qyWoAp?$q(*(`8$ua zPF{IQ?V*q6>?nBQS9?F0-7?^Xm3v>_^GI7C1o>#&oV~+$Ke_yW_BRiB^}c;~4Ol(r z?6yk>LBK;G2Vc9kWx%~v`(A!;)%SJ`x%7#>H_iUzg}V;k4Bi9o{l_~mfA5i5I}Tnt zXzzz}UL5}J%IkJdYU#V8Yre_KpPl`}%6+@x`xj5`ed#+t2fp$*o?zb> zz3|}P`2N)c4zAqu(jJf(Gw(@|d*!1aa-7`y=F59l&FRBIHV+5v@=C;Dzbr%|1DxUC0OdD&}N+BFwWO%a+ z0ZSY56i57uK*~!E-ad!43}Q$gy9?x4kJBpdiXhWHZbS|8VDYhea4g;*H){enx2#?6 zbR!!~it)@SyQ4i?%vMJ++;?V=Ef)F35(n;T@Le1+f*u9PxaJrQ5V#RD-9+w}#g&Gh zAVZRpknT&*4Bn++%HaM|sTx`&5Y!vlx1aRx*-=XgfJsRX%iTEboZC!C)aB8lUP(Aj zIzWmU)Wum^#B}%}e$;szjrCxoi;$(xAZwXg1_2=nHG0VDl+hn+!OOHea~)+2kXt-g zj}DSOy@h5_3#utdw6b78+X-?gLps59DCDk)>{PA}s#*=6V;+LK(P>(+wu{8}6>~Vs0zZy&ClIUsxy($cj@>zaIl<|_>RenHA0eh9T#F>c7 zk(3vonIXzPE1yLC`j}5-A3P^>6-mFZ#52gd6#Z-TLo5J1v4EQNgJm)gjxp*JD7%$* z9t#x&N{~}sUQ0oc zqkw%^6Lu8^R8h557paU-McF>pTd73P!&_AVpN<%aE-Xx6kyj&9e4_wz=bR2;Ul(l{ zD6?Io3>-XJ=eycRW>t8J@Kt!M>m=Ed*wId=4ExIT3aSjtY`vsc6}G)q{}sMvzur52 z6&Vd-nWKJdZ59`!>k9+ms5LKVQd#G8i+UnLMX91h$^UKVtoappjG49z#(`h$Q6iR z$^=H*|7Y)A0OP2xyYV}-v&%>bd$eB6T5&(_=s{(YQrXVKIDGi7P@I)Zh%5|IfRJ57 zs0|+kLlROR=1Pp#O6nNJ^+O>KB#;3o0n)VK#A(6;j_af@@FhIjlGL~jX$&zqe}{%( zgZ{sB?(AwMOSUB2w9Th$Yj)<$nRCxQ_s+fNKIZv>PTsM8AFe0Ef4K$J za1Fd7!4a}}KHd`)YYsg0Y;~iMi~2yws8xv_9uS3O^d#{e47cIm5d(@I0>q3OjF7{P zeo4b31?B?|ANZro(OJ}>iE5b(%b*Yx9T2bt0H03c2Q>qN5?*(Y5S|LHsbPvMfNG`1 zx8{LtKlXws!y0Byyyf^?OuKG2EX0(ANQo0QRy<=VmZ-D3T}R*@l8~BI-5MvcMwld1 zCPmuS87xjOvo&__tSFB_xbEW3AR)w|57&DWqFUkm?cQXWXey6GZTsCycMF+_fh@cv zKH?O1trU)f1#4x}J56Cv`d)wnJ4)4(5=rd|)nzNlmac?u@m?GqJdDW>Tl7MAEb~T+ zr472!NCbT(%4b?)$kVo9R>X*?l|bOEQvHHf#t|70aJX}SiSN*g3S=Za)JZZLwGmKX zQ^$h*D1@wLMA?Sb)PkcSCwmk57)6Qo{*R%lVE53p32t>mV1bM%LGcj3qgzDo%@-tWndu3*kb8@*^o0Zx~alS-5CU}VEd04$|&*P$@G_L4xNEeoRz z6A$O6$X#NSL+#B@Lg+h4!nzds&6FH&`CPjv=d(ovz+K!&__MfD%>c2@T2YB8u#=v< zG#PIpl-*{4T>2KEJQMw~)#R7NTY~J2OgiGN_brM29)gP1w?TFsPFTH4JC!-vUMGG` z9+Gupqlb^aAUoX%+)Qx8Nr(xnereK1-gadwRAsQjsuL%8t7Ge|+JW8^N+ket(NLm#3d!ijfZXe+iHuY=r!ZtdTv>_)4z24sQW7Fz5JD?#p4t?@=X=o4<2Z3q5cvTUgr=x)DfQB}3*#%@bp z<=r{IR-glt`(l@M-_rQPYSeknA_w)WGfCikcWJ-uvAD%RU0r?H4yqbtciD;B`-+q?(Ri)?BDz&7u7q=@`y;B({Mrm`(%G$N0cE!lhMa=L zdtX5+t6-|`u51O!l5NsK+|^{k?ye{smI^?}Z;bB%+fIi63Jaio!|&sm)u6Wy_mP6+ zPiXw|5dRqXuUV7Mc6MU8;W~`AC5@eBZ=?e*TJ(RGO ziqgF>5LsGjVaa?H9z4GC1b2mK#cgRRd?G<;T2nwHewZ@f4>N+xQ8}u|K1(X zY~OhHOIKp6^l#h`)Yt33UJ4xxL|Nj-z9nOlJs$2?084wx9+G{-1NUwsB-}gJJ+X1l zQIx^D>+gT%+f@%;vwrK9SDp;LzwzBAdoFw6p8o4Q39Z(^>4N-qN{)f*HAuL_qx@GU zUpa)_2UCH(Cd`Jb25myzuWY>WB|`8mF%`(2*tlhgkP$&_kGWwv%5RW@*FpA8n|?ez zl|;Ud?7e?fx z6heIkY#kN0Do%Vs6MV5uNVp>w%|7g;5|1O5Zu3HX@|^KSUiv}6+q`(2koe|{uqSI4 zyUIg|BWpTiZlX2@gsB$(%DRz@y~VN}G8M>f1|YVjQQ`}0V-th-OPYA=5N)!B$=0Ga z=FWnY2x7%|XA$<2<>bUnUaAWz%2^k2lq@6>Y%k$vAtXD@dF)&R7~mnAz)T!v*&=Mv z3)!;=xkB-d;xO1F?L;JC`b0iiVV~j24P1F=~dFV8cK?iKj z0xoAtR5+5hWHhQfRxP>>!bU9oaXOs+46cRM?U3JJ2yoR4r-i=Vxq}1;cMIJ0MlRnh zd1k1dvS2;x#L(Z^0x3OeP@~?#4L$s(BtrNdD`#Y>Y^`yVtu+qivR82Jpag2OPV_`k zj|f8Rz$TlhM<&UCFgB?(dFeTU^e(LIuot(rE_BGnDrkQ$y!=QyO&6WUX0L}o=}@FIc)Y9@g)XlXev!`h0np({E? zB;~stEOpR8lm&$n$+EFqN}}jfh*T4iKQKHmftyR*gJjNUE)bM>_yG_iv9q+vdB_GC zj~rsEQXy&V{4FevKCy!QQk@W>` zOAguu1*JenyyDB3PWCLw4*$IZ^6r=h6ma)3lxF2QF~@FKC~%0v!Bjd5gQf*FQg^Ec ze4mJSbp)UFm?{JLh{Tcwp_Z-85~czn{4Mp)b?H58@Ivxix(@QxS|LHlMk&Iz@sc6M z>oisjE|nVRdHh-ST{t?Z1gIXs6D4vu*&uo-P=(8a7Nul8c+;Fo{?X=wj)q$@LDLbD6@xTq&;mj8kp;G~{}q~@0h#x=r>PRc&BccBOrHPD8bAzll;_`nDKL4TcnG(OxVbl)#9zE=%|k0T zea(=;efr1PCO3h6J-~OjK7%JH$^v=HL${KUr?DeG$Zwb^p+y+wzcLw`ToxJ@(L(li z2>AvnNcLV_=yJlja*3FeN9m#eI- zNc++Lbq()y*4a;ObG)`TyQ)q75KDivbzJLT7^NbsPwZHFz4^-n)&hG^qmFvq|>q z7}hl2LqhNu&W8k&h9f{GA?Vs;@BH*9LM+#Aj3QbWArjReesqB1t30w3#O$4hQCxSbZc*Q^NeXX-um~h=n5siqNb+!j`y@C~c6;+AYJ4 z`*>WF2&4c3+vMV?n2ZzphQtK!bVP8&;%ho8+$1s5kZdSd;AWm99^U>su%5IO#ha z(-wOnH!Nc?EE<;KEq3k@O;GtGhhh_SDQ3cVFq$lnphbm~Fun20SQYT)?>jS{t#$MY zD?w3GGc;zsx#b%d_qidrOD%TBLK9c1sl{fpoQali+t_^vF0n6II#yK~bl9HT2w>~1 zHIK==spYGr)^C}K()h+853?Ym)QEER!Zv5y$sL#ZN!&7T8uZSQ zy?K>El2#cM6Hl5{vnFX$5RL+58}2zIfV2U3-ypf9u$6MN7enyHMcJ{zEVg$Kl39}y zA$S7TtLWw0L2@;s>1b*fQ;SL>2u+7cGFSAdh{+nq(k9d{98os%LsQ%n&xUI2U2F5S z0Xje*A9b+Wz-^KknX9GPo!)g8*7&ARXpI9ccR+Fi3n}9DzrrU?ScNgA zQWJL`f6A74%HpVeI_|XcEROlNH%E9E#!1!)I`I71R9`)f^<+?S<TP0ScN9AvXkp3<@O&XEuqW6d_1KqO^z=x!J2RM$uq^5QSrr8|NB-1=)038m0K| z+ zCy26FoWiw35BfJjjyoHpAe>H;882-t0Av5{Otp4iy1wQsWvPb#jumyQANFSCJMNgd zC>9gT=GNTd&Z-Nxuki7mh0DYCS5WUWb$koN?wW0Dd>>;DK%BGBN?$SkOl4Ud<(hGo z!Jr00;>rG8ydi}_0Fm{(1a-Sfc09Q)h$mA^;}|XoQTTkn8yIrbe^*!7)YI>ADY}eB zn$Q!_1c?WR96r>DWwRj7z_5Wq$^&@^MksPiNp>-dA%OJG<+!&$1MCC<33fwZ>I^-rzMl4kriz($cHX#LF=uJW(8+zl<4wHi?fcJ}AgKzN=A%=TU?@*IL zGUOJbTu~6>bzqZy+E;Qi{Fhrm9Y+nUCUP<1lsZD;ANtJ==u->TB$iXGd0}?|rrJX1 zq5{rl3(<~aAa^#RI09u!{5tAUeEdN^GhAp2mg1ko9hid;Cy5u7C*H-(PvK~t!>5j} z5YbTJ3!pd=EA*Qi_`VBQ+IZT0Ne3m$$vTE?Nt_8s(}F8Jfrs-rD+!ADK$fs4la2C{ z(gAWYfUHfFL{ddMpQMS~1X~x*3ckJ}3@01eP6?TEmVzv3V$!9;&LYSr6rwUy83pR- zzo(rw={pRqa!0Ao-ySlz38twiZCHv;#R!%Eq1;1S5Yn61&wn+YwSwG=$kRbX+3Jqg zw$yv$^V(AWKebh(+2rBxVuM(0SjHe5@=b#{*k~QrRA}FO=N7*KW( zQE(MEpUGf#e5MO00VyEYGyf-GIC+_26 zGUbS}hzGDojOJs-1E$b5MzQQl8ruSZ4}6`OFl{kW#MtXpcRfO0Oy=O`wJ z$~F`kd(gzHNQ9fmqj9{rcmX|S$PiVI4vUDJkFx1Q4pf7p;Jm{S;FF@3xM>9vC7cN6 z<;Lc6jv_MMECg*%=(BJWh+E^IqD$g4HJvgqu8~N$5ELKzfYU;Bbj@?6d_y?e{8AQh zU1CHO$V4jXhfu{z)hY$n2;7RVa4_V;CI6BziN9gN#4+M6A@01DYKPwrdt~zR56J=x zo_L`K*p3VG3l=J)e00B$f`s^bE+pK{k z$me(4uQrHNUlUVb19?G6ZaLv##glWz%$;-3+9|GY*tx&K+WGk0o%s{y7RdudmWPl> z;U0y|LJpNZ3imN3-!?Qkqsu-xLgEcKo8CUVa?*D=av}Vm&9UIEymjinM;_U>VBqnc z1LBb{PrVeiz|I8&^iEdn$^(%5gaJ`@1EsQnXM0>zj2dAeAE7t+RDfZA@1Qs^1#UCQMgAT9}^*?$Uau&n@32zk!|w-FZ;N5ASY!q{DE3vL3!_#C-)tA zb;lVWdVJu3_}M2b_W#-*5bsNU3$M+2a4Fsp;%?E@Mah3#YKOU^Vm~T~+JON%_qX<+ z_lT38nz~@$E<`_G-vMa5fUCb$+cgb@B97Vt$r%8$E|C{>i>k}1YQXn7wZ@Ff}U;pE-*B-tE3jSpG8@Jy19~Ws2%e$lS~-20O=uX^vUEg!A>kDIQ!<%$hkK6&7^A6&faUKEJtn+H}w_VcIy^PjHT z_=^LZzJ1=V4ZENH{Y|etx#}Oj_#X#u{lYcVw*2CCX!6`Da9=-g=kG4wboK9V{=zjI z@BH;!RD2#e_}n2`eA9V%mcSRIoEVhdKRtf{VIL4qop#v{#7(CICvOMVD#i8xV3hc< zp}jZVqF`p<@?F@#`Jzlu1H=-{?qphF6O35LquQ|~AXvRlz@jQeGgB7Lr`Z$89WOx- z$@E%^GPNjfcSbEhs(SCPMa)V8_0_jjGVTNg*`JfmLvrVHra<6l2>A%*q=C3=N62E8 zu5v63$?+Vg$pRDNZtr!W2^1iqhm^rb8%89PslXjHmEoaE&v{g)FNcjRiFAS7NERz2 zq3K#&6ZkHE@M%PC8lXB&;1-Q>Wno#EI}P7IEY{~@(7$3Dgr;UY5Cd+3ADxaX5o=eL z_kp;BZ1EbuNI#-BhU{n;&7SynfG^;?2_V;rb|H!er#;gqR275>0LVC)Ug+P3ymV5C zQVG>=^oEcI6~}&EGf0zir(I&!As)0>h<8(zvK=sQI0T3@Nj|1FkpwujP6Oiz2&aPV4SZA|*Vurhg2}Cz zw01?hwGt>%z(*y3C&~tc^OnZb>wfprTQ*v74cCz2cgr1!!B&Q;%YqSRoTZppTPi1gv zVw9q3lPo3d|_m~ zU(uR$Bv21qNfTeUdg~HE;UzM0ryIS*toFjojiOjEY(pU%U~iC3VcYOAQ#+s@nhbA? z1yCgvg0dY{16tFyo%ZMl2YfAQRt3|wK=C;GfL2b2QardJ_}aN%#2n=i&BnD|a+6#_&GOO(-1X((QU6H6};bLqrCuB}pTK4%RNp%w{} z&$M~2){rYC&CF_C@RBc7K>VNAHmKF~(P9ghUf~7TFg@|v()E5Ky7G~Tt?sr`5T+X) zti|v=?df{epe!LpuoGk(`DJ_M!UJUYwYDAewQaeJv=)x6#`e^n*QEqne~Gw7N;`nf zwv%7c?bvN6CRDdCoHDuS^TI)-wu2QXt}C%qOid+63B#|N4`i)2QIC%_mFU$Ss^Kq! zKaO8v+4B-2J|*p1s;Mm_YO&g>OTeE1!mqGnU0Ai^J=W_ftD{*f`!rDvhsSezlmlIS zA=;@3xl&iN!Mn-ogF(_M?8CowZh{Pd^;iCPiSIzw%_Pch0Sp$6n%g)s(Ckr>y8xK! ztd=NeaSye)Ni94u&@1X;#tq4W?Q z%etx}0wE3yA=3fq6HWthpN!^Fb~OUhzTJoKU|XAfH;-jW+wN9UJm#$y9q+0CF_4EV z{GN;(SsY}w{AMG31ImVmf)GR@9FfUcTgkJa-g1Flw6$=x<^vhxkiW-o0Lobo>@-`-HktYRf1w{$jIbrp= z@Q`eW@K#;V@pUia3T(<^)I5$4!-5-{kP8uNLO{wX#64(Oz-t=A!hbCxLrCa5yl_iN z?LaJujsyr|3H_Z(^0BpvO^uQT`!=FyAyRGzIL(fPBe^Zn2{Qa-6!|932G56=jQ55! zY{I!i=+utTeGnN*B4^di5B8wo`G^v-Gr-^lb`shY_a|0hAud42nhr;p^NY~#;IV}e zf&#LTRPvJ~a=TmM-BQTNxyU z6kcp_i!u@d^&te_Kgbj_HfLekSt3)nYDe>xcu3ZDfvh)J*w-<>#KD&+s67Hd<9D@) zP94;NOhT+3?nNyi*QM=-oW?ubSY>;4S#URc+onl>GeB&$*i77Bw5B5MW>DElX*V{k z4xj>)u^(l!JQ37MUoZ87pp#H`W2Bke1~?AC*Z+JhsWl9*Y*M%@okW%6_tK*1K-c=s zzpMiOZx-9wT(m^{zSV~J9kP>;RiQ^J-DPe$YAxT#`<>I#?0K1ZjOxH;?o#^~zU2O@ z&MhZRrn5~NyTUY5I35OZ66lS>pH?_JQ5>Z- zWCsKj&{Hm)9@Q_s-eFMqbsG30&qs`HNw~Z4iQ8MK$|#14io)p>6kVJfr<7J+Lsu4= z&(pcaU&C3^H&L9j5sSt6+q;MxiEOUk=O(d2$#OF11!#>z$G1tS7$}or8mE6@O z=X@%afcX!Rhdvg_HnvW|=m2^YSV)}$zu|*dAO(gu5x^lZZb_#^(-O2wHZQqvQV#P5zBQY_Hnfhy7L_ zc;KPAo6g(1_2gpWzp*)8#J2r1Y*O{oOW=NK5Z?7DOm#m)us*Qq{Jtl)u3dlL#;UIy zINkfO*~bs=sl!GhoDDY~_iXrNAvx!7GT65BS(6eF+cBk*^uFgFAmN@D7N65Ua^oco zG&>SHFmW4f+pkx3KCyA@OW4Q#(qUx~8>_r@<;NQgZte=e0AJtxu>w0TbZ%YJoo*-H6u9w8a=VB)dYZ!@8n@!Z+C9?E@21?b#-BU=oDC3?l0{9l z7wdHz8vvyIQMU$B0%x`Vvf0~m@g;R@@a^&2fwGIluV4NN2T=wAlrvE_x8W1>Vi_Ep zCfNFZO?>g{?XX^>-A49S5E7k51$p}FHQ45*kR0FFowI-n=T&0E`DFS+K_6e2C$R_p zDog$_HZ3gn-b^=@U6lTGO>nXWA=49`_rzyprpLWSDMG8QbDr@jO6LAEf~8%aZNmoq z+gNY!0-)8-Oc8m{d&u7Xn|y0qZtaqwqLD#to3k~9o7=zM_wyuVd%P;u8m~$(k1{vN z&V~fZc2#R@ve^`$Z6_hhTz4Y4jb5qg^O~0n&dVrka&oXL?je;`>D|EOUEzD}*=(?; zn{achnp>yOB-w2%YJw=TIkz@UC2vLxA+rbVJ<{vyEq`IMXECC`b-akK7NJ*)eq{u1 zpA$OTE#ZU#&0}DUyCqbh(Nf&?5H=n>`VckW5l-(Ay{-t#TSU9GBzAPRdg0b$-hyK& z#C`P9jVJ*wuPsh6JCI=LT`F+(3h~W?1I`Z_P55;5L!?Y7d^5>Dv}bxe%*+Jir5m@O zsS!;-79S;gMwruRSHiJ~g+5v}PB~^P@wm1VLd+~{BAo&s8*sYy^l;QT{d5XRcvuOA zGbuD5Aw=|A>Eh%|FQ^E16rD!=4$czcBGHJ0C{HOIF@pgWHYIG!2}CubZ=rqyb!1G? zB;RH_#fMnX6A>IKGk^wdr0%^>)N7(B{Anw0fvY|m_K`9eh@pGq2va`GwSy9cwE}IsWpVDPiRMlN zk|Rr!v$$2nXEwD4uA9M|fhZ%|c98R4f(t?8#}uhFj&t< zDp8cjlS(bN<>Ds`2uZit=OWWEaDr{vMGJ&fI@KUIi7q0O>;QwRXxp*AA(>7WvKa1) zgr7Fu!&~_s2yxK{8&lPaVAXWO&7GM}>PBpoqb$F#v(rH~VC;^gWFZ;O9gOoa$b-pin6 z9guKmkOkdrUrP8j^tw8^_$kV0f>icFh$XD2w2q<0!GaRvUSx!TyNP_B61~BlJ^VZG z{mUAz9ZH}ztthId!vctw0&z}qPry_dV{cJH#G@%#x)Lu$yIYH&a2~4ARydHRH99shs0Z6 zN+IHNzJMm%Gx)RX*kvK0*)gZm2KOl<)!Bq+oJ0eT?hd@1j8-ekDm@U$utIc$=!##F zY}a}hj@1c;)|KpSiw}H6V{Gqa_yNG=rKw4;rKLl8=clC2nn-D6do4{)UYwJ{tJnSk zq^2^ONVa3brzI^?`BVyyR|@ZrQ|7EoSZrVo?vD!;zA7Zsv8af8NhmHBFGiZI4@BYg zIhgg-9s` zd^#edkog`+SOx<>S%^9!Bm2w`7VTi69PUVSJ^mvebTG2b!4fg0H6p}YxtO9BDMT5q z#L4QgkAuZF$2YV{)DeCy($|d_66xZUhR_q^iQ0-<-7&GQJ0Jn783zr??1SSck3UW! zel7gj0yVB3PAg2KYnqCn2iVqx;hh3?F?t-n=p>rN9lr0OzlWd%NUA6_B#C|x>7WZr zNEts+Td+4pUEj?&!}Vbb^SBx^FnIyiw2*j|4d9A+2RM;CxZ_HkDLiL&_tR~}e>o_q zAnaNJEzqaJO$y~oG?_CxDsTx0y;D+yRZ%!GPu7}v_jFfKLfdqv*EHnOW|}m8wwz{ zgvomzzYSYCcNmZuN}6m~0wFgecRuzqrb!;U{$6k*cW&e;mm4HpkimU+X{O!0_xuM7 zse~Y-;9uNN2mw-Umjr;KE(kUK1FA8b4to!+d|A+9H9(a zGMH}kR*f_eUqhxU!M0@(TabWOi^Th6!6LRT1_46D&So-ZICeBv&r4@#VeEmpCAMj8 z309S}kY$uR%PqayulpwS?trO8qdha7>7xN7y-xOFNBQnxgO@C8jJL5Sm{3{g`;W%r zo|Drv(=!^ZGO<`LA|Fj zc`(Y*ci_bDfTsb@(09mkl&b(HvyhO7ftw4!7ih9A)MOdU4snVztRREnEM$NklgbP> zvoGjv6RzzboGxZha`CPmG4=+A*aYrMdf^<}-#*FiN`}0~cJ`;BcT>TFmvNNZ0e1{D zC@i}Y3f4f2X{)!B5d4weSzoW-P25%&IUpf<8H`HyE+i);zctl~ zrrN~RFonvKD4GtFzUEH#SWRhO6H){TH-7_&4$#gF1 zFeXi?v67I4)`glHY*Bx%7JueZvnz2O5xpJwt;T8C5^G!{XgU-$p>s)xqb6taXihar z!9i2$skk(@2ZRd%DuNI{=w23{pCya3q(xf_X-^_bRP-aYhElo%`NZ79B~@%Gm9PRW&cXSK#O6AU z^n*X>jU>>+cn0&f#7&7BuVG1&9XI0+bUqp0ZVM=ikUc|t3CGO@J}VwTqGEe=L6Qg_ zt+y@uf}5p*W}!SQ6e=w&W!{FPi)S29*J4srAYuyI{3>dYV5&j7VGM#569W}*z z8uWl1TOndZfoC+Xv9t--X1{+3rOu9~`JdAisFz?f62ci z$~`wdcqyk6WAqb{z4c?94L1SX_BxpAvQ3LN&c9$(Q*8|mdoK;!Kk@w)o3C$y%~CAO6tKS zzsJPK_s=g${Ml`L&S$9JC%=ymHi|acifN1oF{wgW!uK;`@>k~rPPgG_dsd*FaFh?d z0`A8U(-@|$bnRY}uLvM)pEc#&l5-jQK!H9c*$6Ozs-AbLqEWs7WG?gQksivL|RN10uXROXO)2H(w5;*Y3F03jXmcLcG9WO`|Qo{)Vdxnm|LAOy1nJub!t z94g<~m1%oCd9IP&)tqhUjQJ__$QfmqG$EU~%VY-?`!xWueIlORd=2L=}-tnj+qO%ka?WB7Mwn%Bi^oFKINEWFU}3n$qC{e;tF%Pg7EX;xj9~d#;6=fKvI6R0+)-GdPn zwPnIeAJPud&2a|PC}?`hhTo81C$UqK>tVtG4NH5i)V%U`oI=OPZrUkIl5iYJ3gOEH zJ7&nZlK2~Euj3MU+~ zq0 z^Y{xz@mY9Kj)lbzjadDFHPnt!5QrelG>onuRDXd)#}b(yZGNexbP2Ttnwvs>Av z>8=SD|FDz-Y1H4v@0+Y0Mm5gyzM%#qKz^EX%p`MzkBdMsHHRVMaw4I&AYE#dD(osj zLGjTH;^WGc8Ziy#rIihxyZ3>0@kv=vVYv>i-5`*^|ZwEcXFE@S_ zU9c35C=_lgq)M}mO>Ch#Y^+?OplHeghT&5UId}}*{0jTR(bS*lCK3X+e5D^Gg5!`# zhh;;6`No58L6DO4m4qTr=$EV|qBn!&W0FGC4;{$V3h3t)n)sR`K}C({B+d#!W2%GB z4Oyd;0oj5?v_Nq>udb)=PDvR8D}`>JNWqDwt3yJ_bqGBq-1L>n+F>-?93MJfg2)YG z>cIC-*k?UB@Y;j>oO^%_o38?(tbZ!9A@<0>d8_mB%C5$_B7HVC_O#?B+v-}JV5t|Vcyu6sfk=N4-(FgE zZ9z?gv-;n>+w$?bI~xI#vA}W@R?Yl=kPY|LE+Q}V%a&L*^MbmTC5f9!c4S{;RHUES z3~sqk_@2|g%v-PGb9;%ru$`lHWP`K*W{Ps^PH576A(3n5I}(~)@3kvZ5ZqG_x)qi@ zi@0wacpBtLzA+-w(>L3H2gAMw+4@(^{dLl0>TGaNeFNNNixI>}mN;o2Y973kiMiJf48Y<2_qW73K&ox=40*&-GVT};|3Lnfyr_tXH;^3C zWI^5|^#(YVhktVT-LoPWh)9bO(tzX)h{p#|57=xzLzY}S+(xxS1VEdGR2uFVA_wuW z{ZuCZTTF;~m0ei*@PaKfO%dHNaa7Dwq#(Gb9?Vok^jXZkU^~c>d@>?lNWhJV=&8SfZ1F4RW(6w*xNm<0+`|o6 zydE*%+rQ7ggI(mBJURXrKdf@*~Z4k4TE9A|GEt>rL^~frEc_(Eji~f&UW<4R~)}in)7hBjPEz z`%PfQJkd#xDzm`dMM4&85;ETgxhPw3l!BvdImt3Yx@MBsAsW{y?>xw zi5+LR!xgXlxC0LzT?lypQwQQvv11{1IQ*Fo^(J(hi zOF}+48$Ap8dLUaCuDhOOPwj>G|Dy{xQx{?%K9h2SE4$GtKtF_Jw^N4$T?oiNNZfPx zp=W_8Ap}vPXVHE=cV?*9vg3n~LP3A#HfW+v&w{wSru*-FnmS6*8+{z=aC9|ZAa+c( z$ljMAIiu#=u-d`!op2r34wERmgYd3H;6{%*`6qjB0=(H z%LnjNLIzAK$j|=jqd<(kc+-attbFE-+r9`0)~&YyQT9-n)B_MYX7UXOehpC7kH7i` zqPTTS?}5+!^ADbX=<4VH{J>|vI$@OE{bR@E{p7dz{?pBW@yf<6_uq5_sW7tMjIxVV zef)@czc&z6@9v*oy{pK5_VZg_9r*2+SN`jnlswe`chzV_m? zo3C04?tAb5?pr^<=9DeV5B&B!mkt0A<~vp53$j z=3VfRS$2X^zV?=$wkw`kaZJn$YWKELKt^*12zU%Atu?B0FD0Kz`t zdpYg09Z;K22Tq0}ZcdVqp-n{P%Dq^rD{(vs<8DC{BnRBLF?a3f>WN%YYQd&7W^pa7-HD$NIM(=N59O}qC|L;LbSrR$ z`%b%0D7%=srMs3_@kN>tzz|@z(pI*k11pn`W6y;zO4p@g-{9L&usw*>?wD(Pjuvh* z1w%_;Aa}ZcRC%iS)}3t zegE(w?6u%TW!`ftn=Gjqsux2Pi_nZa4nS&z7fT_FMGK-#=@eo*&1yu31K|qO9T~eH zQR-zaAJ9$&LlN3@`ed1CgaM_%HghNrmaF3;*$KUbniHVMA{J4ULZs;%c%;%xB^`}f zmvb?GKxBY8J1`BIN=uxK^v+Z6IC|k_rgqQ-A%vYVuun!BfH=NI*MWoUN%AqZiD2B^ z3ZNJ@dUFymyV_xUK@Ca(ejI{eO~jK#PA|n~$hTp>&J#{93tYE39*~?=I>TVFN0~do zQ}XLLixXd%l?Gl~Qr7@R?Wsd^UXD^Ax~QDwOgZU9IjJ8~PTC1MYqa7cx(S~OsINYX zYHK18g~C>cRK>k@#@->yF3D!{kfPbJ%?S)QlVLhv`1MOU%8JJd08dbGmXOda5E{_2 z4Yn2ZA(cx#;YZ2-Igzs}7LmRG8mYW89{A^A2NlW&e+DV&)SU!OUZj$_9jDXBTa0y6 zv+ql#GeGo;K(nd<;Jc!+rxm#)07M5NAF$kVuOgA_MHF5VNO_qZt;y9B=#_0z2_f13y_0OqwqPdBAt6QJxu?{y69+kH`0PeTL;-7=a3xKBXc}xVz8TGZ`GpNuq}A!vu-90 z8~MmI*aT$4DMsOQ)xS?QwS$-pZ{WC63xg+LsD!6a^u;7n7c4 zX(w&*w{g2WnA<0dZ1wGD$|8z_mP# z0o2!{vWZWPR-n;F0NRj%Pt))Y;H$~{$3pUSuD3yM+2%{bO|?3f>rlf2NX$W))Cp>$ z(tvjrqM-{>3jIzfWV>HLQ&Eu_DmzJW5u7esBQ#Tugk4WKnjmMpKt`*I_6T=NY(nH9 z%j7}s!BT~GGjQM?P?R|o%d}1HBwZJU^bc~Ek`eRJY%t)v;5HbsfR-%^Aq)1TNZ}A= z7c~gM=@MEkAa8~6H4HlgxVe3-3Dj+ItC3g&-~G}$(Xk?_97w}wac;!TWr1#qREiEj z3@78lnMFdp{yMyQ3!j1zAo#Y~?%xt7Z>HBKDybIz?LvGA0K}r>TLrzL z2`nfYmf1wM;5*!^pjW+)47Wvq=JOsMn+d3vX2%uHh7wZb<}Lz`8H>9F>EAS!pL7jEw_)H}EU{ zHsnSV^(-o}vmnT6cNpzuxwKA<)lOXoZ|C8pdba17G{~Ls51G5|3&5Vu3gW zWf$+wkaCjv#h`-q=X9g^$clVuL8=`L$}Xo;ab-6sn+jY1*vnudz2~M{&+qKMXSsY{} z5}(Wglb0%KRDP(^mwKJEvwmY{Mu35gWTeCPYO#@vScG>{B8w|??YV3l7BVI~VORGe z5wjp#?h10+`3HXtZ)@7eq|tw1WarC8|2D zr0d!#UGHaIdqxi1Sh|^byb7f#Em~32_^7^w*68{yjN9Fvei^|4lO|nAyhhIgO%atU zLl(un9R1~H3w~wAWY1!B&+53`(IgcvAOd_y1bDrc+obrs^dR9v!Zj=gOqn0cfR>cR zMOkK2SkR({&xakLo^5FbD*d6Xv(^&iFmPHzlwCv0=kXh%E(Dx*I(|y?CkS+kkU(68 z#N$NL)%b%usDUmTG87|+i%YYa@yZnfltvf9L_YNC_DbSWhEZvOf8hnmz{&t68t9A? zq0rM1NaswDA)3hYfqOP%`;M)I`a1um zWla7CkssJZ^c8|dqQMZ;yxlw>S1%|2mi7jd#xi%$OgPCqI}rr zC_z42*@HN)g!;;?tV_P;kzVuRMh^EsWA|W555GuKXaA!3~@22ly_5 zG=u!yJaA(Zdp2zUm`iN$8(-zxAx&S3cKYNVJaJmTyA~;>QC}fsJ zvV(snB#3<9Cs6E9)-mk--WsEwTNfqiZTN&0 z+w!DsBDW}7{ zQifcYcC(VXft%YI*j4H$`1l(079`|kAD@C_9n(GdI=nUBlin@U=Vl2Yw+!TjeOEl$ z+zRd$fYZ&^^hXiphPXqU*{C?UVL^O2-rot`JJv15{{PzYS|@aCsL@2eng|-C}PklrYK5BXdG+F zAH@v>JBCA#g?Vk^#WBQByhj--`1uBogL@Njk}DvXd{1?hvd8kcjnRc#*$Y-Ag(8LH zOb1)jaoi!?!@cCWRLQv%lBPwJa4f3}aX4gK#SFSz(}{u#UPahZQ6f*DLcopB;0Og_ z8wE9GJFS6655ee*vle;RYN1u}8K_+7)m~M>3*wsvS?`{9JjVD(TTfkE)ahw9j!g_2XL#cq^uppF;H_qD1Esf1nq z0Ghzlz&5kkfTs!BknMYS9ONh|XeZ(%q*auCcDJu8$y7!`Tdbv*B)Kf>r-XlZP-h7O zvGwe#E&$|W6TDeU)cL^|i+P!B#*D;p!wPH<*Mca02x;IK&>;nP< z7Tx8N1uf#Xwh=ca{wcBgBFZ!pf*RzWctYCnFw5cz0j4=pFwh~>nxKEIoaPo#5@I{}+m zf?#QnP^uVzmbk+*7>PQN^6o?n2tcbv8lufOHAS;_3CLQvQnQM85Fa>2ad6(1pyeb2 z%a4Grc@AFOGDqXOE@AaLqMIby7KBJE8pFAhI2rTF`BsJVb5a)K1ro0EVJeIjdQUZB z;l|>WkEEXL;5p~rkmq;+-<6bji!=!^%9!vhBDYx5qj#qVc?o#|**icM+f24ZeMmB45NY0Dr!}Si}n+$J<1ridmRC7$^wQ7{00Dj+HwqhC%nodw+ zN&|A%b1js`V-#4BSH{xdg+@@egk3>(kv>K-97c?*n&{mX4tP8|9Bse~r(!VjXtj_z zGdAOM%bEqM<7lMPtCnPah36vGxQjJIAcuCh;Cnl?5hMaVsqv?%t3W3lymRo=$h&rt z5wWXnwa_svj~AlZR***B7Y{XVC=tI#M`aO>;ajoj-{z?CcxGZ5B0pF?9+*CvH{z?gS?Y+ z=K|VWoXJNyD9Z6raN=$f-PKyl}c9K(4U~bT61Hv5)~qijGZOAGdK*YV6rVfiUoh|ylb4%s;5QBX#6N@G_L0T3 zNj|b+F38tyB2(e-l9$gv9rGdYT0;BsKXhH^6B|EUqBm#SPU!t(!0AH%l79$g6BGcw z#Rj{X(18oZXAH>+QFhPRz_kPQh=KrrMYJz;X0nGsonfuTB>Ct})rjsO88+nyI!haY zT9}1MrXz9T`b8`@kSo^_c_BKc0Lb0Jxl`u^35H2kN1Y94K(@jVI3xIa{a8*D#5`>A zJ`JP!$2*H>6D)0@5#{!H|8@0%FUbq(sV|VOydraUF61W0m-JVf*Y|faOQ5eEbDi!<|rF5fRRi;)(E^` zL^+#;$U#l)dDyMq#gu~v5^iSlXE?P+}{};9r9`Op* z`+s}T8_|Il;vym(n@|lgNgg=I3S1KD@{xs0K2G?+9hZ@xhGgwYObMh8xvvhP9FZ`n zF^!%Sg7KKmwPd1J_+fIXYD63%$O6rwlj2o@I^0;*tgF$BE#OFI4Gj&d|YO90vkQk4kM=9_TMJGJf^vOyuHx!EO7>>oA>_7*Ec?mRpw{WAHK85r&qafqx^Eu0AiGU7bWCKI2-$(6Q{s`H z%X(Y1pL?!*H@54qnzkx8{iu_3D4Z@QCxq%nO0zzta&=HbK96^m2N`zuDe){sfxIkh z8Jj@&u-J$G)NnGgp%QYF@CJ~|+5&eo8JEbAi%i=#JkvmSvOdU_K?ky6A?BdKeL12l zF;y{(cNfSel`%MzCOP27>IewIj`iRMd3LA?>)nNHV7-gj&4#-qcOYoQD2rt8pEh&t zAh`z7bmY1%97B;r5Sk8?look`0NIFsNRu0q@iQT^q~|pqSa0l5PeIx`?NTbSnH>`*B%7bq zbS$xnl0+zpvP5sQ;MghQrWMg8+_5l~Qc^AygrLQxuNx60AGL*Fn-&m|Y)3?KiJ<9F zO}|P0Y|?PMOr|I;$z(_3TzDPC53&?Vv&A)&g^u9Y#@Z8-EwLs>dJ5+{0%9Rb+-w3D z6$lGQl1<#urBU*grl?s6^sdmtmK2$z$!RTc3j|3AqxUFeaZ&YT&w{P=_8oK~D&xsZ zzFdc+#vd}7!_fRPR7VIE-abY@mLgYH3WZrW@>X?0F4alLFHmwuh(S&;MvIp$g);e7 zP{SpmY85aaLincF@`a|Ot#AkpZ^+$5Kn_4pV|hu0aLk{7c~5zA-led#23s|A^l-NI zO8%(AUR-z^(P>1GeAIMgHrXX=Exfy)tvK%$ksaZ65eK+op)!s5ZG4?8GSEchT+%%k z3igWA=oI7*C6wgP;5GMG*|zjt7$rVRhcw&52kZ2J394{%*xx6+xOR9Aqn#lN>5+gq z!8yr2L6qH_0DPLIVPsfvE7_aSQ+Xi%tC*Ys8H?qIXT#Ts`xHZd`T8fBJH&B4+D!W) z1iV;4*?7+OC@$=SsCv#{Wv=V*Ms zv><=Fkjl-2QJ%N{bfe%i^IPx*g2@ILz)WSd6|(qE#t5_o1DAnhN6OmgnM2g=dUAj-xJ?o+=-bgR`9A~av0e6mGw*p z%I@$~n&dopb|LZS6%Bi1u~hwP?%3_!+jR%m4n5Qd$NgfvOc22*Z@XWjxsq#INfEii956)pSzSz z)kRYoj*nsca)!jiXg(q35jtTI!(VQi8Kp3Yb3FDBNIborkn%9@e?`0jwl>Lu5PC`0 z!Fu3sP7%2-*pRJpni#6L1>_3m-c7GmHrEmVD32k9Sq$*qAeX_o!`c}%EhVm?sSL*C z-L@uEvn>bi+cAm&@LjdM?n{{b=#OPVPJC3~9`8<(g3#ODZ9#UQLz>*)nryzJDp{7P z17;tven}fb@a4S3lvfS#eu>+M-etiFrS>k6N69qrvlmbHEDq~jy-hdaMhbBP{>oeG zah#=k;plIo5o_`XU1`N_8hN0A?|v1ZO!#2&lhkw8qMyzqDROBs_Q5VMyw&3$tUSeW zVyU+~(MUseX`PU!{t++;hX*C-Yl;++qXM2b#y;R}t8bC>9mzL1`7q`DU3QasIxp!L z-4;c@y43WGz(V-L1zg)SVq~F1kf&Rb@GlhBLNw`|f~PC=N+h_6MJS52T=K{TaX@Lx zeMMsw?o4EakctSVqnDu6fech$sc;%WYG z>9PHq?Q632Yis|4)(!cbQnQULrxw|d234S8*%7WFQr%`CjCZZrY3F*%)br)sZ zyU=iHN@c7FG@Im>kK|57Hd?5Wy#a%=n}OcT9+kC!C8;f&kOkQrD9N;UaFoVL02vLU zUzDw`WbXTjyo!@ElerC<5>MPZ=NU2!sd=4k0wFOdxCYt4&3R*TiGyXp_-#*=I8 zQjEx3yW5($LF-z@ZMR}!D_5m1DgtZm+O02Pqapr8Ne zpMjh^=Q+=L=9y>a%sex5&U~e&3H;>F2y3c;#F9Xy4`GNC>fP~WtD4T0)NvbBJcd-= zGc7vcBTVYRg^K#`X;YjOa!i9OL#T`RSeA@oad-wPD(qrKHfe`Ri(8V&lpK)-E8@sw zh{Yg??h>rn7E&Ol)NX)3Bh+V_^wF(yZg5OQZH^y$6QYjO?=ZtnuZ_13!!*^{uu+Mk z+^8z~6W`?h!Rb`hn8^NvV>?kq;qFU%$ptk5?8Ir|d<=q4i7}T{k#&&npf(vyK(^9x z4AK}%oICL1^$>Ra9{;=w}2qr-$n#=k*kMZ(G z+h+FVU-SS8H-BSyMY!mvDV7kURMld&Pi%emc><40`v|^i1qXF}u&`zW3u~4SG0bX5 z55I-%Jhe4tD@Z(TnLE-45K*XqWod>h3pGx$kFxuOLN&&f0hveX%qz~23DuZ@sQ`9d z%uEG#d`^#n&%;3mh%NA{E^e(a25v4vWbw-gIcv=V!on(6xVcjZ-KuInk#AMVStSIK z6`7LI;JX~?R*M}8&?^n>t01yw5$KidQ2@E!Bpaw)#fK_tEslBV#iiiRPt{}R@{@WZ zI}~yj6#N|t$?pcvmX8knzK0|s1Q=fVJBU0fK?*{4H#ILZrHyptqY0>0Lc19c$IAkM z)BWBI_IlUmD|h6rTekPE@T9LE>=d={17$bO{wMv>*Lw2qPJeKB_oU_NKQ1Tm-Oy(C z`>j2|9ckJ4pSL=NGg1KG9i_5(oKm$1yZ;0z$uwYT0VbJ)KRZg54=Lj!4KYj) z_Sj@6rQvi{@{}EP&Pp(m75Hx7TxKzO2awT-E1Q_b-K@A9TgzCrscQ1{G#RZ!g2->+ z<*Au~(`6Y<-pdTawk=lxxe7OTiX`yeB1Ohn5eUBR1ilM0Q{cN%g|eISg)oKSJIer^ zE=&0Uxg=Z0iqn!A0N=H)PO{X@{1l<=p47u3qy{4yh4%|GBa~f@4s0h#lN2SX$&(aR zFJzN-{QX3gp^k7k@4KpXAg5$J92W~X>BoLCgB|GF{O*pt7ni;Ht8npG5B_-Bt*MR6 z^3&|a^hfJ@@~%jKct!Wb<>|f4Q?t^=pX+9*>fIB&e%bTnuILH1DS+>eTGLt7v2H=@ z@CsmQ0YRIKKRasSM-=eQFx!!Le)`Ynqc5v{dJM9I#x8|6ARAQcP@n~?)?r{SGnl;n zGM`RcdCcdg)?p&>C~8yWs{3TDiJ;w>$f^ri>T~e^nZo47vX5hmC;{XGWf$1Cz|94= zt%B<9#pG=#vWDta+{R*sgv$sww+LgByt*=zxWm1&f#3@`-IIw7OkQkbkx#PQzSQG1 z_?Wza($8VLFYG#RI*WHxmA2jS*UBAd8nw0_tDOYS-zU7Zy*S_*m7gGLqdf6RAK;DiC z`KLadE>Lz~y7ntT*?sHarJFDBnz65I??L2p`F(F4%z^4nAL`AHCV%j^2VtYZF?kgk zIJp`+_Uu=-0+3j7cR^^^ZRf9rVP66G?w*4;eDDyMe)Wwli@LU+|KZ;EL4IZ{$Oj*K z<=>Xv_12bUPd{@0=Di<$_E62Uo8nt;IQTDj-+k4u-ugL}&gQ2{$g@BD=1n&o+z5;P z>c?AdN^d&9=DDtAU!C>rnfngDyZ@oPA^YXc%ZdBBgWrDX^UpqZDE)_L0R#Np{84g1e{>AmNm$$|9e&!7HWnl#z8@8FdO&shH6zMua410Qv5 zn$y1bSIZ#ek$phforKca-?QcNuE3YuH~!ySy3T%f(~kXD-aD!5z2}~P>wz1)&Yb;| zy#PBt^i)IM;dURa1Cy+vRbWt9{Ggj!EPO*j|R};&Kt>@)Ng~M}qaiBBPSA zNGZz)`P2251iV%R-)LD93P#GHAT))LO3Eb*T6G{Vp03Cuh^6ubvct+yimO#W7r$MEzC&fXz$PKBan=|LluP%^u~6W|Uv|g^)$`g~ zm^9d;XrSEt+aJe5Y)!HQty7twjCTn5U(NJ?}8x<5-F;5V-OW`@pZG%GWA!5 zI?nN$|;r!5)rljPP2A?(C7Y%S;R`Ed#Y1rBbTt-@Vg+hR76IuR7oxP0mqjcsE?I z80`Rnk1@??C2>2fnl*zGBW@SucC4B3HV2>3%Q~YzMpuqsa7kfiQY_mj$ZFnBf2thH{W-eIAZ3hMb#Je?$~24cMx=L)ug=;J#CFFH+nPGN;6gYuVOngOWsAsxR~M^ML{h z#|e%U9H+6UgMh?!?Z=^aCOdd2RFW{eh@?O^cp%p$T0NPEL53XtP8&3-C` z{c2rc*PjDD4TH>Xd89YUe0>bElWt;~-MIeWEH7*?nu*d|B3Y!t@jhd?MfG>KfcrC- zJZd`LUp1v-=AA9BbUC~MOC~~#N`pZbZxs<%0wF9kFGR9Ki$aqPE51G^@=B8NTk}pf z!EG7#B~DGa69~R@&jGOKITh?F;?4t*=kze?Ed+!Zd4OT{tQFA235J#4DI)(f5IsGs zh_%8G3|sL~Od#`dV@6SZX;K#h$iM=MAbYM+f$Twufy}AW5jWIO>+r7c=~BrEVsViG zey9M!IZhr!o3z^ja^J|XjU0x?qY6LRw3Oa}Dt*|yo{x#j3>LjlR$5GyNZUW}pJgi__@1&xfgwz{IwEax6}a%pXj z<{Raw*^N`>?X51n0YzTi`W^OK#{$#7gauk{H&Qr-^JFZvc>Q;b7K6y8tku3By)%mi zc=h7-g$Y3Q2JA)PMiDobHS*55u-BUj8NbJ_ZY)W*wYujmB2BP!7K*%ua|?q>53*U~ zlz@wQ#&PR%JJu^(vPPt(;rQt5Ile)ByzR zjZVsZ0GY4kRF*!Onnu_}aWh2*q_b`v>OcI`_{aja;y4C4^_d{+GBF>z*1-^yoJI;p znB#y~tSO}E5G9AtpxB6lzEh{JlaM4Qj}H7II%oYiIC;K)@X2(hg;GrAl2c42m+@m2q4ywrqa%s+HI%J#%zFjVP$3hd6w&_7%5C-bVX{5RU_JfKfi1&traiD)jSUBM2EGDd`_QX zl(I1NjtWqfYz8udvNvQS_+*M6o~$@#=u*C5{|wuX{L9ruevklL@4a_yQFd<{s#YyN2}vrTS%rkVGJ_?2X@)%7%2u6g!8JSA z)jV-E$^Oxgkokx10k-X*#v)1RO|pA)34mO}%{>fxZ4NgeZ#(*PD+LD?q5yLH$bNt< z`1jc)SFSs)R};897>($}0rAa0J6M~!W( zKB}Ep_Ho#{DH1ch79-Dsy;oq{P#d6YXx449n2N#J=Ajq@_@Firz(=**PN8%*xXijO zn2&G1HTZ6P_^`C<%Ul+5fe{;L0Gd7Vp4%n^WOa(|K#1U^NkuEj8=CQXIUrc)D%o|% ztU}C=pW4X`RJJKjlCp!XSYVUIqpE{KLC5+w(crtv-np_B`9xg|D#<~s{ldP6y%(Ih zvfTj>$sCl<@SdGFvV~?qi&9U?#+Ln53=on}vYpJ6ww}n^CZ{UyoRZH?QY)CljStfG zQ#?HQ;tV(C(vBNBHgWz-%{z1}KVtlF94uf5l!wPDDU+>$yICGX6kk;5mmA+w9%a4S zc$OHLcKA7B7I5^i14xktUv)%*99tkzne-EbGz?v6SkW$Uo@cbr%p-!2kuv_WN*@}hE+B60ol<)^0-8e(*#t_^h%whNn#8$H7mRH$;Bu~Z?VaQ&Zt!yo0QU|?06`69WH1P!0?;s`Fw<4>KT%pxc z{f-Sc^Kkg=jO-k}8x(n3HuFz8rA|;MX+yTDi&zzd)+DQ-5@G_U*bFVsk)7WsJAISH zOqHJoAU+dsw(p zBcIy;0Vt%SBO81+7R?EQmD=<`8_h}0iy$3>cWqU&^Q?=oGl*=%Jd=UN4Z22KJd8{e zN8rweIvi|kC5t+oFk+dpTR4V0+b{*opGebJW1UM`M@eQ)CGbjKG|JfAg)xwQlwDFp zV>k1@+!^vwmd-hSl7x`eG*f44^27#o;(c<7YlQ2G(1+Y#NdJe#IvjU2!)-8@z+bOy zL`9!m(!~rnuEA8oAlWfZ4rXl9zzm*G5W@~}2bE21gK%@TCW6R@;x@DP&dBbYWY*q? zMKxxRR*$b)z<|dun0A~JlXV=gKB=f>3%rnme|T)gRk&udJ8`rm4tNOyRJag`Q;^h3 zjUu2i!rhiFaSQ@{jaEaNk)ja*!30b+ell*YiXNK-8ah*wXsfb~eiYuc%>>1dE>JaB zLA&BQu4JnxlFnoJi%T3RhuO^GjiD?Ds98D0cGxg{&Kxl!o32)Zadok`G-)NmU=CEW z^t*5BI&SM5=!V>!`d7IxPtdsm5hRAeo?2OfxXP`*)F-#v2wIUGZn}f9yO*oh;bP3a z9toM1wlg0G-yJQ=?iyI}B-;GEX8zllM~6|rsttSJ&KG^z|5fwVy$d&DGkb-#x{{Dt z*HY=6H8%^si%UcvMWJ=Rr6E{f*tv%5lGiA^V^qZ0n}6MAC|K6NR;lc)^HFveDva1M zN^%%B@j-0Ku*2X+>0Ib5sPF{(+xxtq0ev917%qHJ7n+j&Lbh~n?#<$M=E>}K`u#m&7iOJ1~Q^ZYk2xe2ujNdl(V=LU*zMu-9> z=oOM7Q$5U)J>N&!-Tgb&I?#aQ@TggONAE_Ap8)GM&JA;^{nlZRqqE65z_z`v0{guh zp2Pqz8I0nOrtO;|MqC$zBGV8VWI(AcBzptUEx&4;H#w{zSdT8rTy7=XDNSMvb_Ph@ z$MFjBRiAmrb7|vMXLSN3bXDZJDVhCS5z)@ zYpicrnqbiT+t&xNov(tWO=|8e8Ff2b41r#5%*ABMIYKmdCP`n2f;TM0#EY+qLkjvU zGrb7DWgsggT*|m@$KulCR3RK%%BY5dL}oE}wdckmAXhdfqwu(L!wXCY8Fi!{i%m*F zBuPT>a+69@Y0{e{yu}<%a7RW#&8?HnA)kKD_{c(gQOEH}eq73TF%gBUXd1#|F@Y22 zJBcdlAKK~Hg!^c+Cgn2v^rQbF*IExO5-Oca*1`-f6*(7W_vj@Ld50WuMN%G2LG&Ci zS)CJY^g(AQSB|8Ueq>HG?SeDu|0;?)=r@s|pKlyRRBkxeOtf^6y1L`as+>Z zWEx!i@x(a;e-Z^B#`sR;3y>rzVA5%E#KU=zStKVT8pN)(62HPyqQEEdf))rgD8pvK zAge$hW~30KB&+q%Q)N_f>ntNlT-0|+#D*QdT&7xwk+<8b)oE0%&bW}lU{a}p#$T?d zQK!8xsmW@|eDmkJ-6qR$tfpe zE`#9gXWB9JH|0o@fMylyD}l(RAtzKF2xaZS>`(+=!0XvO%~=TsCsM?(n@*<0N#TfY7fcC$_|)0+>%diW zBI&4`8{MdLBIp)woQyV_0#`>#$C20sAJZA!;HuY=kipCn^a8O0iVh)LqU9H3Sqynd zYBxTKAe{KWLZ@U>5pyi0fsR}nIC6@PqU4JpL2_ouULkm;!w!%UIsY^|R9vC=Ehs3% zg4;reDTy!=!wy&(gC{8lArm{u+}FET2+vv&Il&ig6eKYY zk{m)fYjbFp z8D3^k;I`a-`6b91Zi?n4ITV?lSusAcP_{ab2ScSLa*KzZ$ONcS{|bKER220=2Z0~U zkB{s}iy}F?La_w>7 z$#nF&DXO_ujIkXDRqJp-O|ZT=1Z?LxS=DAk@T`dtP4T(kcw*4?*)J9Rnv*VxXoT4=OxR)#+99Iun zfhJIH=2nr!DtoOALWWGbaT0=62+@1HRymA|D(E-Z=q_If$ux9g zQj--QWrBpzWg=tvqGD1V)q(UqnsE0W~SAhmP+Y+N~&GaB+NM?^l;x>}x)=Jet z|J?J;Xk~;Xjv$%om#C;*awncK(A&yCseY<^~9hFOD z9!Ryy-YPqb{mvHT6`AfcaC9Y4oo#uJ-W|xw)K=uObrF_Ibaq-ZvY(o+YSs35oH}wZ zxd6R(aH>>EVh6bxY(jlIiXz9}y(N!Jxy8@{*Atw*+38a{Bq*pfA@bs38dm!bzTUoU z=hD9#_Z^O=H61lC7PJj&-t|O~u&C>BlsmzxClx9I-Ta3Haq(=DQmW3$(6?sEM;|dc z35rNcyVAcM6eT^Q!qH3Za|d+PneeGfbq*r+Xt9XNbvNcG+2__#oakPc>0UHf4pK&t z_&XVYz3(O9WEd*YeTNOApBM>lgX5qAmA5W4u74>>TGSyT>;OYyFH2=Ej$Q0zrhJKw zljL3%aoQ&cx>W}p?f8hM$YxD0HSFj#H+s7^V#S}_DwkF3PpLpb9MUkWNIDW z9qiIL?O0gg6fq;6*unyDf7=4oQu_T*hS|06#jZK$nRGh(B%8Aiz*NR*R~$HVshvLf z@N$Ni)qntZPWll3=hePj++9?g21e{iG!uD;fDuawROvr&O}}u~)4xpv3#)bj(CkQL zAKg8(m)(ED3mOY6pb)4~zX4e#FfY<`bdrYRzN0&PMa!;8_dxs|>38t_)DnF0_J>kCVs>gXkj|uFA8utnvuXCY?~tuX$0IVeZAbdf8(9AC z%@cM2X!Zll&VBWS_G@kdoUSvA{cc^)!k)bET=CAjrIY3!>|D+WWjD=!pC**u+rPN9 zc=sf<4kH7e6u^_!aJq+Hu1%+EpYEoX=P!b?dwQ6aYLs1MN2Ba&1nr8kX&ne4H#aDh zU9>$4UUO3I{!`|rzA$44z>fd0YdXM=@0#^Az>epREjfJ*WsjBKXAhZqZN1GOsn&r! zk@0XmEKt?HHl3Koe!2V46M9&|H{U-=6rEqpm%lF4OW0b}UxK_XyrTQ0rEKoO_T?!j z&1R+9{&de>hnAf?vAeh%(6PqIS_gE@KB8<-_ZNZR+k>WorMC{mpFQ?w_|A_qD7zDD z(;8*hQW&|D$EI}vI&Pm#qzN|{6xBMg+WmZP%9ybuF@ssVrdvDM9p|7A{^eSOBEuOGUl>iiczyL-}BLVX>PmU$UC-FGivvHXS7 zYcZI)>@R|{ThKKvgR(oR@5thGzjeqRnU5r|YGjcWf>BG($f9s7dC_@lWU*q`jG`TE z#;hGPfC@gg?;B6G{Pe)Hh6LysK-`9^4V+coQeN1H*b z9Zlvnv$GD{=#6XFJIdNiumTX`E4VJ;mTlt*ANV%2ZpJJ`Si=<~%E)F(3JW<}n#D#$bGuSgK$@0G|V@~B> z7iAfoVpQhyP_R;d+9-eeTNwp|-kp^Nq{(X@l|0^xgDIFOv%E@!%?Vm<9xI=X@3%`k zDoMzd36OPm6gO0Wg7vUp!rks$kSc^^u=hM%P4GF4GOxJ#o`Dve3~mU)b;XSz&7Diu zNtXB{3rT=O*kJ+?LfCS|a}5Q3WZ`_AJf=1Qh6?P<2ujYGPAI#n?ezd~!n+PcR*+5e za22ahNs#lVhZf*#M(GknmOyC$Z&{blJVI_8taLgDCu{B~-Ay~u5uC2lWJSx8R^Zc> zp#s!J79q)gCEt-#A=nT}=8*9mA|L&QXbWO1epRcG9l*KvR<^2sNowv+AkLAgve~J! zQ;-cbyIit{(>0@hc3`Rw++?y&2(bgmCA+dZhGjk(2bm0u#l?W(Rj^8h_yxlP+DmcI zz8dz=FSqAlgM}7;8n_8+3Sh^MSCL;40kqVl6<7v#qGhn4uopl!k5wB>peKM)ZA?~2i+D^dEoG>`oroIvGjWgvx`Y6n z$o<7(76HaDBP$tt+b;QC5nX($6GqR%g%mtej1|YP7IXpw6 zTX_OjSQW#tTcu32Lz#k>JZ3vZ)wqLa)7N?n-v;#EEIwq<2oYdq1}9yt1Z9UbVTjxMX?7{~5)V6x1Fa~;% zF}8_OK~6%^BtkTu9+oc3SGC&}1axYuB!dbGcXoZ45GL`~6!jo>eH6_(_GF+|oz!?Yj9B&3pT)Ux9d+?`{p1&SQ0 zBXWFDAuT?)#ZLf7oMg(8xNTqdemU}{oX5M(4N zs_Su;QGcJqZO3L)Ni!Gy`wG@Ip9g9JRf)Ij~nOqWSgcq~g ztrm76X~L&!*_5OKO=5Ons`4F7XaZUFP8nPw_ztGCjayVzleo=p4AqysK!#nQgzzVF zP32=C4_Z38Wm#XUeTOSba#{zI#0#XO%!D6ubfDZgdF*Tg#N&DJFOzuC9UvCPVQ+Ht zL57(b&{IXIoGOE8oxr@SjL%QiBMaR+P+P)=EFF%zJ^Vsr%&lL5B$G?1h^bu?*j2&< zc?coZ_2_zrUm+Ud9uYS|^-?aO89jXX!Q+LF3S=aiLp}=G5CZN9Rm~P(NCo3XW@+y8 zE?NU^Fl;;aLGYMmw3+F+@2jNWdG!{ifU1MqPMo7wK0fK ztqfLYH!{m@cd^5N(j(x@Agf<(U=L7M+6c&7Rv+47wiyv>yOk!`)62l9r4fTLd200~ zWx{~-0zBWTEqLCMS#;XIQ$5CnbX04hq$a2?Y$+V zQZ8M&{U#iGisUQafXZBU1INr=y>gh4bdoQ%J>dU45XqS_9aO_e(M1dWJpHP@ru^)Y zcylRzzTu?kN+p^Dj6L$9zA2HuUuYciEw+O=8Xo32=CL<$1V*o5g||$?Fd@}VDcPwQ zZp5tum?kGc%_nGIE2M>U+?0YY>Obdp5wo<7@&p4NLFGH=R}lvu34oj-ZBC2QE*6?AMeas7s8`s27Bg}pV7>w`+jiLb7^>E>%MJ$wF= z2Y|9W1V-#p$W{stf)R^-+>cp3!G8Y(W$*34<|i9B5#;UnH@BSUSIq|=0L1XZ$8LiK zU;T*&$c>zdolfq*{pGzkDj~0KrqZc7c=bs{uAaYl|G#%`xDaLeiC><#rsjWd!X`Oy z-oIx)xHoRVE%kFsj{R@%gg`K;;|NYgkuD$7hPkZs~ro9~q`t~ye@=0Hzj+4jICY|`aHxiWqv90*Z;)VZe zwYY?yYE?Fae977}vbQ*wcdj`VU+11Pt@GaC%rDPNI(8fja`CE8Z%G_q{W|SQ3#hNF zMpZgfI-7%SkxTIH^pc7u;eMu6V!QODb7IHJV0iA(h_cZ!s}M_F>$|$LDVq$PWN#fD z05scMV^7Wz(geIguVtZOB~5?^+cman+Kw@8j*ah{E4hp9e5ZAK(e;r_f?tfpt1hG6 zc3Rvxqhgpq+uj$FVJ}lcT;5^@|H|X|wt05|aDMI_QD=0@cpO{EFd4v}y_c9aY%-^* z&h7Mg+)A$cTuZZaa%76*@Yklz+3x0-uBkBO>flA*N~dP_JkxIF?i|Q&PE=I1o2xXC z^7G7z)M2@_?o=<~=66mCvX9n?4{WIrw$6njP@3{ z0~O+Ps6wI0^FgXUbMQw`@iuyj@5P<~^YYl-X*@#)=V2c1vVmB_&izl0k1U38tBwu1 zQbI0gQb>v*IHqJmglX45^6?F``Bp_w7KKf7CZf{d@Fw9rYR3`&uJ5fXwxc}=x&iL6 zz&?f861_SljIcDc|820(sG*H=fR9#ifBsZ|LZ5S|&)MisESMx4xQdlX{8+Xj*xXiS zZnB^uX&Ar)njO~E2E~$wWO5=|zsNBKI8rHcC( z!w7I1Mi(nBLzXjqm2U}dG6M*|PDvCS>@K1>E6D#&*equyNXTKd`pHCLC3N5s$+^)` z4xnYm>SzEXjdJ>FW3LHuF3U?W%t%?LWnL+qaP)%h5WviYN}2ScKaNkrrJ|^V$|4PH z$OIz~fAc-nItWHhE~b&tyfBlxxIqC#$H{Sb)TW9w#oZW-?`dygHum_!Bty`STx_?Z z;l}{8!$VE*8mF8bFoTXLCE!!T;qIxWw<$c-lliDh$J0gZn5USLi%`T@v4-p1xYyxH zl)Tdx3Ept61U*i^Q9tc!RZtO41PsK|C?sX-QOR`uIL{Wd>==Qbpo zuqcUQ2vfDUqgE1&pD?WW3RU|OUBnJ-GH;4$&7&66p0h-u)po93Pxvw)cgnFLd_;h| zBg)WYd&V5OGMLZIvY8P~tAH%>T{qQY+ulP?sR7w@qOEN4JE%U>TyF!&PX$X21->f^ ze-oET(nz*2>#5{)TNkkd1@9D}b6u+?YTcQfj@PE5Cr2(scJ{dQkNmi1(3r)k@e$Wp zXU%HWIyg~kV)|fzs#a;7Jk~bhrEO^V+oIIm*D0e{S(X9RSJs9jlTo;g)owzoX1kni;Y)93g{pBR|H)y_%RpK$MbQkgsjJlnHh_GKvKVx^`+l zVyuXuJ1r;r!glxpbvC;8{7Ph=-jIOtFCa!Twd5A~Y+lN+=MLqvJxF)sPvTgKX-cde zK(;jZhzr<16_l<{STJlGGoy}k&hOB58!r4r0}2|J6J{dMp~=fqqpr@D6Z5SJj%5W( zJGs*qT_RbLTW6HpCHcwibBqSd!3^m4qS0n!?Kyb+qP6-q&*Y(pqopitwMFaUYt~)B z7{6eUVt{VOM2l5h7ScuD`aQ*=;TAD__Vn?Q1>Ex zqH1ZDJKSU}g!;|%P!ov`M^Z)TWCQ`?nAHZ+c#DoRyIM%pc5XI+Y&+{gK0d*zJT~b# zcS;Dh%F$wxt(viHtP&E)Wu6QB;^j+N69ET{ei3kuaY?Z-9azc}oQy(o48UM1CCnBQ zRK<fMeP-tiI@ZdL`wAqkwc?1Z0eKq3g1 ze-54zhZo*et;5BbsT~S&Q*W%^ixirRD@tgdm`Ob{Z0ER;jHv*X+Sh^??cMKNaOSUf zt-EP{`o)^BexUajPhyMrm3_I#(B3y!?c7xJ>$#b|^T!lr7nR~LdapTVl}%M-!iar( zKdR<+_YJ6;H$FH&4dvG0?`{otyae1I=*)lTXE#6Ct9LDI{b17}aQ^FZaDV5Oom-XO zm+xKh;N|zv*$kt+3D*$ zcdnlC`p&y&g1hFT53u;LZ`ya~@5AE68j#o3T(JueAoRAE{Ql5y(m?NBbKQm?u7iUA zRWtvMOUvdixxQt`p6frgvt`l$Zp2>}rEH|8BHY|~U%*xma_jLIOVF6oTWwUVo)paB zp|(Zi?KE=sJhzHU2h-G}(ZnUaH3VxzurM;MgUya_usq%&S3Ay%+~Bzt-s+%Z$zT*8u!Uw5c?pqK=B<^^Wf2yfSy#t3ceU$1 z5(LCBIH?$jdm(XiWvXV71on!bX4tc@b1e(9lWmR{2!32>ZX*&uz4HaE6s)FAA+Z?r z1nzKM2IG1=jEZfO9A>RtH6KFky6dWnBGamV3CF_oz)fW-f<@IXFN{21jM(uS*^T7*NxIe4E}%(gobeRVdObwDgwEkM z8b(_R5tDI&pV0+V-L9QYN>|8Me2;_o76mSh#s@tbwJ2HQH?rRE(cC>WZ`O7?Bdt6j z>uAJ)DM%Ic2MRDXtA_^vWYDM_p$G(D6O2OWgn}*`9fyS|fE{B63_P?IiIfeNlgBUx z;c(d=RJRI9Xcm@*^;8TBFlPbQ0RB*n_C4H^h?2#x;!wqibJ3$q&t5NCAiTn2(AE6{1h&MTuW$I&O0v?v#@q#rD;>OqdCPf*36 zg*4RH%&Bvo$P(^(Rj#)-I60a8#8~?dfYTkMBl71&N6nV*jL9n26`lx9X^qzU;BB_4_)QltQh$s>?( zK%|cjycj(;3T>v1B&`FduUI){@{W~LW<{PN_mGD~9msVRGCA)~s~OHmW3UL^p*gR^ z8^ERHJiL@KZ{}RMa1BN{gm)giRJ`K0WLZg|8O4AK5nEt(JW@Siim;Y~nxs@|}_1dE1L=?GD62ty(} zmA0(6&GvG=?YLE`gh|-jGZ|j8aO{u;;gwPvE($_eM!g|r6VtD;e{N>`Yjq`$ORSho zXKZEzd;!z2O(cmRF+pkIwF*Q5UyQ)%pec>YG z$~4F80Bidxi7rC_@+g1EwZ}r8B~iug$s|lylTnI2 z?Cqq#EqKll>ags?yqL9<|8OWP!PJ5oFe{I5H@QT6j%4MN{afDqYTeF(qeW27Zi~=;NfRZ9jg`;#{dIgf8)pU?`cIk zlnT-Oa1MTL!32KjPkjU|NtKB8O2bWB38IcHoWDc0 z4hJv^nv2gl2nwm1EFTC?I!-=noBWBOOxI%4`9&X872jDv$WtJ{-GyRUTZ2jGjh6!q z_SK(Xzu_a|rU~sAYpwu!l=fD0(Ho;Qwf>9i?}OZT@b~hwm_y%tA!LJ0bM$_aSp`8F zSuXz)wF>aXWZ3=MRIuQAx!GB`@nu?N%i#TPs+s>bxIei5J~Hg9L|$8SU?tWSAmrWq zuiHBRO)N4Y^Ns6j-rc!o^H&cdOKM2~3lqOU39Y;7z<=CbbNQQjHD5Wnf8*TyfmZti zT!Ii-asC4@&Y-fq=>7{k&ilpwPmy7Fom4aT&91Xf?)cW$iF*%j*!kV*8_r*M+JRT0 z#oiZhzU7TGH#U8+pJaFLTzmEbd}~43Y1(`J9rO2G|Ff09*uP=d)|(EjyvwgX-|AZ- z!1?BjXF#&}NCQ16sMdZqXIvXdCA%Na>(|!Ycw>$-VV?gSy z!=P~yhR3nQ`nlazoon~F2`YxC5;UGH1L)~`8#C?-SI^PQ4d8}=5fvJJuQ1i~=7l1k zg6z75D=?d%e383}04u>f`WWVNFa`0=0?2mUwVKDSvW3(-4%q~zA_&5@F~gI{rDZzx z6Pz+Dp5KaOJCiQz_vFwEYaL_GB6Izh<4e}lq>JenLjd#s3m{~%p8LnsfY+sffy~Mx zUkjG8xZ5TcGjAnf&^k^FxaT$oX`*N&3ZMR`Zf7 zZ|$nDu%uIFFIqLfu8y}w+$w8jT~%sru%y#7o!N_;XGZqAchXu*GR`}1!-~?n$xX8t z1t;P|khaJ)ZZ*3VtT`Cnw!$fk%z%>nD%MAqy4$8e?*MX{RE5?=hMm3e8hVPc{4!PI ztBlB^pA<$6K6E`nWq=T>5L$>T9SHKt&It|UBa4xFT_e0pO)xM?FW%t5JQCwxM-lK&q{Qt4?r5FE^7s>}FiC?0{vf8;k9{!L z!%0SdCdEsQm<*s7(nQ#t2|jK|aous%dV)Zd0xtUw zx}ejT`ifgo43Q_Xkbn=Z>4F-+Fcck@BP3hxupgdSAo7i9(5fa+pED`3KEh4uBuZdh zA@J!3)(|YZ*h-@V$&r}XFq;d!vS|t`T$_bCmNEQK{P!5Fid8i6c0=L?>$cNOUVnqY zh7rufos=cWVr&u}v@!y*u&yu^6($q<0#1%T{A$^_?=W=RnrTFbXj?}@rrs%Tv|?5~ zLGAY1;MA6Tg0q_bNDJ1+dWIJpl9(_@Q!bPE9N^nQz|xM)NgyEu6^zA|?5vY;DrMff zZI4QFOnnD?Fuf;-wret9b+}Gzpx#4s2ZA5#)APl+2ft)nGj`a56-A8tclS}`(o1%@ z5}#HEh`cDI?2Ru}tt4Jg;m~)@L4pM0(Db- zZpakW6`+-u9D52fgVU+RT0BDpJq*qf@<1GAP<;(#AgMa~!8e1H6sf^XI#8;|E>MSx z^la1eQyYC!Brp>=BBd-vnS`YT)+~&OTKlI9lr_xqBN)&^G6R`5Ei17DMy~)>2wtiu z`-lg|km#Djh?19wQ%Gd%^X+#0P?SO;3@0dJ8qPBntk5#e>S~(njk5$SY;z>h5KJ_; zG0TIz${0L>1TG`@99KB*4KXkJIms+uhXXT8AextmD8$k`;9~La$OI$NY%}k3%vlhu z2xCd4m2Rfx6I{oi4nphHKxZZ$^5_6I=rQ8(()RJzVZ_E8$&FN4RIYvy_$@&lQi1Dw zmnmV7h*-eFcS4A8{Ea>c5}|63s#*>Uq7E|_qYA4ibp6-`ozr?W5{PA3(|NSTj6$JS1?j>AJA+O@a+_X85M>%}Brne2UG9lLFQY!D*otAR#vPnr*X$ zxo8nfjSb%?4^&-ofGz*8t?w;m=_j|;$_eRWK-QIY0{@oXoT^Pfd59pYq7x3)J$4KG zBrtIguv%~ngHSI~b52hm5+he79mTy94t+g+!RaaX*}ZMQUjD+?tuOq-Px9;O{~o#M zVc&Uk+pRrR!N)4#Y04uI-Qx87Y{qL}-T(WZR}we&B=0&Tb`_Ofp)9!kg@@BGu=Kno z=}Yc9^yT71mtEE#xI4qWE3yBFGH^c`_LB!HYE|i^Uog_Qe(!^n`M{**f1J^EVB`D$ z*0Vg-`n6xF(z)V2Sdh3;I_cUcwx*wa_YgbuQStQG^8VOU`^#=X@}+B2r+j4o+^m;u z_LGO|{-yc^%2wQFhdKX$X)7l4F{CIAyEXohyc$=pV< z8Oo}y0#UMwapD!-6<=6QSB@M812}%b9&a6vY`L-IsC}p9igy^WW#79pZ^!r0Iu!l+ z%3agNUtK@|(FeEHrvHP0b<<99548@p@7w`A0pb>UX(vssg9d#Yp-N_&DiF{*)6p5b z*`(Lld+F3!Tc6yjS{{%;5+f9RG`IaHJ7v)rr=#k2ary&R%&#r3=s6?tXz}e|?>Q&j zU9}Y!e23LO6;5ws>Clq&)UWj{Ebh6gC0g*!4EL zZu`PNJVEH7<_QOT>NfSv`fb=UyQ3$j&ZvFzM}KDQYsDYyVY_-xe=b_|cK60w64LVu zbpQvaQR~pO&9;()7>c5G(1SJdD;Se#6PVfR zx4e1ms^#yuE4t<=IBTE|R7`X+CyOZBU$vaFo)NO|q7k|i}Z9l)b`~f`g>6ZI? zj3tY!?&vN)&<+dEsKxVMxg>q+lFnP7>{AY z!BVXQH3pwnPcX()XdT+QHkv8!?he*PpVwzcI$}yZCy*e8t#@H?U_gsvzLi#(G z9;#ovy6pS|8$Y}luJ{TXE6~Vd?N=hThnCEa6<*P!xL^C?uEdRK9oEpuqWAz$tJ0xy z$dedZOn7tB@{dZ34{S_dIk9%P_lvfM^koOrm;YXkEQowy``?>epG~~-JNEv2MgP&t z&hE+UdUs=*g|BWiwmjLx>KU8+-l20I`@2tey~Zbm(-ApiKidnR;^W0H9;*M^(!8$l z#DlW<+tA+lKL^-9oWu5q*~Z^Yyj*O2H+;pnDEnq|Ez>OH#SLT&}yGu_8Z8)=H{$|x1{gQ7Q;0+$IhCx>$WSOf8+0$ z-F^MF5AVBaS{#c{IcYS;B2e$1i1CVg9LFr6-WgXcBSi)J^ zRA@lL-6!8TYkAk1{m4I6_TEL@FKJBPbeOomsWMOK!26d0?|0MNTFBdq{0Is8=sA$z zI=J-1K-Z>pmiyoriF;p~G}-j_Zc6h17IQqVl=r7&=s{B&T~I1I!5Aw^EX;)^u>gL@wJc z=FTA@ExnrH5rS-+$VGd?O-xL$e4J#@>r7!LVLNd@PTbQy$Tk4JD;rQDvgCOIm825F z=MwpL0^glyCBjrs0QLt>KxT>XT$Oo{3nvFic5ZfZgbYT0y;Zjkl2D2?JXQvCr{3|z z;A9~548}c99%#YC*#u}yorL7%V;sTW0A<4d9_B@aLsX>5E&=EPKMeqGR62#ko$SCX ze#CuTiXqfTK_p3#O{8G1-hDOM=1RBv`K*F`MSBMHO6#3+8G%Q6RkI-kIJqOp&Wdd2 zr{!wWOD1SJvY-UEZFn1#9rih4(z_^C05Sst)l&`w;@pn}Glhkhr;E%G7Itr70cT}a zw$YlC%bi)W%6t&HM0Ubd3`I{8n%F-pP3B@n6s7eP$f0@o`mxhkPnuMMyIO=ZvQ6(Z z-`{N)1|>3IeMwmh6bv;IKHUZeZrB8}=aB_F3JA7tO`MRkSn?VOiLxYQN9tD-u3j0q zFYp#3$yi&Z0mv-8bIH7pGVFK_s9p#Wp$*`+mA%~`De~~`{mly9IAjJ1q@p< z$txF8rffD1ddFzzcos_FB2@ea9esH+CyuCaIF6>k!MGI%X7D2V>E$-mdk35(@pihz z%sL$G0-`vyO}%;<4L?}$WWE78PU$5L`l-jkqEF4?70^M-h|*o)BrimiN;;$@j`hTh zU`l%POXoFf2-+7DFLcq{7%asp-Wx=3bnw{k&OV&)pjsW(Y2`N1$Enl$|3i{+3WRM& zJ>8r$*t-?Ttc5ES+1XYBa7Z`_Rx@1C-ePF!5XZ`Q*zIegiPc zcR+`j>pL`ts4w%KN%AyQcGv>y`3#V;Lz>PG2~pXROmQcH?vs^G+!-NbQ}VHl?*I!j z8TS>0Y<$|_vhc~sm4(hV10ssSj^`Tf$fe3oBiTFNsufgh*<7xsjvOwWD|vyE?b-_z z5-w%FM0NZ1$|ePukniBGM~{60b>ych*;S!MK*m&tEqp!+GCFo`SR{FG2icnuxiIh* zB*{ahNsAkbZ9n0nWSsG`#t?DOucy*+$uEJPjI&Ehk`~;RMWlDHy^+1FVRdB#%rcc_ zKbvIhV3wPNwEo}m)?uuxC5qR7oO(-UumVHX(FKN)1fwUzVJ-LIr<@cL_Cm)pK!z+r zeI8`ocQkqj`;?T$4R5Ek@{f#9=!3$`qz0Y@A5eR5|nfF`LD(uAFh zkp(@Yt{JlckIiIPSYP-eMKSD_4>Qd9} zG^!!Q@l=yO6|%8C6kG()sC&&WEDf|nNVMr%aEBT>$Y1yoLz2>kka~q3PD4!trGu|E zg3TOWB3Gu>~E>K8vOXNK0kDs-dV;L^#<;> zy+UM;`|SAji_i{GO6rwu#$JQd?>6Hc*cCXMshN;swR49ROjkx$+>*_#WO+hB8?)X> z36@{f2<~m_TN|dnq7Bo)60f|IY>uG?lBOUexXX1sQ+4!Ns?TXF5iyXHLSH@damFR8 zbr>EHCxLvf!B!IE+_|{9V`3A9`bv1Hn*qK{+l@bT&wbbf1URnB-q;#s%#$1wy@wL= zv7CAWSla6!`ss%2Z_8AlWtSe)>|8#ysT3w}%fbhDKK#S)?tHQ4nMGeBP1<|jy#B{N zI`CaP*PYeBem^yME?*_Gg46Z0qoI0ND(;*53b{}}J6R;$T!7phQ)S6Mkn%x|o2$uH zR3Wkt;8#^HFWmSDVF^?9*>u_)3vTo^0d{i+s&^QVtLDy;?N_$;k404L5TWl%TU`1i z^e{l2fOn)D*At@;mSeuCqFkTy-++?L^{ny_QQ@`3rgZ=*Xp8OGft?VH?NdV~K z4waq7@eb6%cyd^EkUmL1VvTit*^~R$Sm(s=;`8;{mzm$Um*hw0KJI$??F(7bym<9J zZAFo2v<=_a_sY%@vQQ;S#gLQBP%AW#cEJY#(z`KJ*4Rf$v7J0YIh>uAuCop4joKNYmbUhKwqt3~T3_ zs!++U9&Qmk7fWg#hVwerk^PWyj*(ly#@-?DH@Cyd#Vqqgfw^@nEScvxbpUxCx!lp; z>ASB-Cuq`TkzcW%7x7(LkM;lJZBn)T2egK*)r{8WuWG z;Iv;1l!Afq5-W66H1(@iNf-@mgyd>)bS@X|T(Pi1^&|Aj;g0ff7tK$UDc?abG>I+P zst6qmGtr!5Wdv>1IQf{{#Du-!4MYRZ93vJprk(EmfLUnxPh{r>?Y+AtuvGF;3?2WU zDxEi?tTmF4>T_zlY?+B#RW^l{mpPG(Oc%aG-Cwk_O_}F}ph-TD#H%AgH|}*<(n{8x z$OEYMziz;;^1Oew9|rR9xoBMcXXhK)XUE7bG?68%C#^+sPYEpsdAt9lK}ZXHhu#M; zE||erSJ~EcUhqVj7YsEhA!v*I$2EY*l?4mtL}ig(*AZjJh@RppIZ5U|^Uj>xRO`?m zh!aSm)OwAR#|jJ<#x(M&XB^D1*zC+&EwsHQR>IFg)F|THiOyBnOcvC;&c z&Cr@4;lUIWdU1!x{Q+Prz#cLQ*0hRkJD0|7;f{t|}UVKnwBzXb751QWq??_ot zfSYR}nmYh)iB~0}A9krN9r=whXe2w z3Ia5^4sL~!A7G|YbWun^_pD*_VOT>6L1M(6t4VGV3tc)H+2G6JX5NFUbpUld{3R`5 zw-qp_z>td>YpaGLCTpiLhV8@zS8vv!to;dSj@YpazDwJiz)?H<0{7Z1hNGc0z8x`U zPEdj)rX6Q2mS9ZKWp#z3BMt-9%}PQm*e?imZU)gHz{+^jnRAKzj0XI%_H*kfKgr(QVLxrE-RKFChSg1gpGc2KK+aO|^_Ewl@Dk~tN5 z-+sS-YX$dSx*1CunauwzQw%vz!+9CKbA;dy1=Wk}{7I89?-kNF$&6uhH7O&T&>a~e z>PfqJbH-FeepNq!mmli2;ErB$ra}P5K-H5u#7$ram(u?B$PPB&KfK;5$zItx+)X<3 z?XQ1JwGK4N;CUF#WFS~I2-rCJnA>Es+Jk;>eHjM470TYy#ZIVE$CDTb(-cq~uj;#$0&J)2VBHML}jGbG@K09{I@I3Cy z0O1rvI|IS6#D=tZg~;ay$Q|sR#JfYv-k2GR zkr4kOaTF%6GSyYnh`bu5gP8=yjm#?{R|Cy%8%LoL8GFdqM?>N&*S4>M5KYERg|c@n zKFyvR@6Zom-y&XY6u^RYtCRDhUb2l~fN9O5R};uaJ&7v4s}zGTmgH?J$FN;78Ab3S;aloiXhj;EgMb+2V{3706d;{Z zx%mSX)9!eNuH9jQWThtM`s5j=vHA`Bg0gv3iKXZmWrplcrk#~@wTZogxPr#hd#~x3 z=tq#5^I(p-!c11f|95Ceh>)U7lvoj2St6oKl_I@?iX1k_PfERrCG|?gj4TK6cWDUb zNGobJQ?&rMS_#>0rZP-|A_jH}I?OO8!+UhU4}GXw2Wsqc@i_+~Ze}oIiF2HL)L^jK zo?h9TFlNx4i$;2}0a)sFD24^qR%5Uv*$nXAMyvqB-g64Zx^&Q^HmWWTW!XWRpyw;W zUub)lJc=Zn8iVU8J9rboYmd97gjTx}$pt9M%D;5=L1znlmrzBXQ{{RxR^bIsN_gHp zvI+S-83oa|_pA0s??XZsq!$~2N#s8}0dNPx+ORQ~;CeOpWM2q&#E*B-pSKN{`pIt1 zaF>C5xVHzY6-vHrAF{UQI@Od73 zF?=i_O=f~DbTRl}^Nm62NSP60VL@IZU6efkf9!n=d=%C7|DD;%I*EZymVisdawiWP z(Aor0X-di65OGN7E zjJvt4BeEM?ND{U^^GZ8Vjw2BR-=2aS?QMt><|{}R72CjlX&90t zB4`L8V+ICf1WAV^8Rwh`A4q0fCUy5Ll$OrtLHkIFRVQMZ@gg2KWrExVzs89?sQ)5Q zB%SqDnJS8IX;e&HpBbl|NuDU?XU+bM4+@RbyP1LrC(afKRI?!JSYKo?f$9n~Lb$dt zv8iFIk>MaB%@vCmOe~fX8x>Ti4ku;720!%IOBdE*f-sHFh88?z4&iy27 zsM{v#3M?d~$Dhkc-ATrF>k&meQBIfCnPZQys@mZl8oxXnAkKC)&yG;09Y8+2$seRx zj9Rav0ds=9J=!q*oxe1E^TxIpX^?-_q)mrcZ+~XaW82mjzw>;;I^+I>^!*|M%?@ub zRfk_!P`wwOJ?d-cGJhk=H*~$~#`iIr|G7DEq>uGr!I+T0QgePg;|;D@{ogqurq z3#T9tlXb?$$#EtxxVB8j&VFZ1!j=gpx6$OpsYw#v?_7|xkH%0SVuw$YWHu%zKn}0k zer(R_?XlA^q3oPJx$(k*s&-gTeWwWhod#X4oBVN*+s;aZTc-I6gZl{6j5pUzrPcO* zoqK#w1pkhXdVnuC0dVIH(eSsDL`P&Zb{*-&LDPIG z{4N?rd&oqN+ucZk}@b&LDu_HcFZJiBnb_YBsGiEgMSCUZ3z0diOgE`C0|5r zbJVmf6T&UW1cl>ub>aZ9keF;aQQxkrlE8ni+=KeoZ^lr>G;4Wq>WS9b+%cChZy0}; z5>QMdPPxFWdyOZT)h$E24JpY*$bYLcAz5;H68Z z%`y;0)-gf1K{``NFya)!%>+(_+y9^pQ%?bx?Mc#9Mj{BDNSby?1cPnCoJBIU$3$Pk zWz%lk36lhXo-{El13WQb-tp*`f)((h@PzWyvz?bSAh@0+j z+&81}Sg9h+x~C~+1vb0KgMMUn`Hxlm?}F*(U&Lq z8VrN!D9S2W7EOC`ItNM6Z@cB)tIiw~$+$c^Rgz8;LsL_<38GFXs2Ny%T7XkQc5|t2 z(d{k^ZZ=K^siyZux@qJMQOhyt7%BOa=XHG#xzbhjCv}cz1mBf#&OLI zN#qW(iFoE9PkYPwc;wUah!@#Y@12Qv`|H->88 z-e$nf&c_r`cD*FvsJMPiNl1_mIuU1;os*cHSrU$z@NaNT76_b6{3T4R#ODT4Bpo`J zoj4O#892drASa7_9TnUZ)G1HM<=*+=0CNk}j$Oj6=Z>X^j4|NgKGwr7^oJuM6u@)b5bLp$73A&F8& z2NmK>dY__`Zzf_SBxyHBT#_4-F%nEp(aH2=lz4X9rH?bA4X53ZU~-C1stPGPt?8aa z*p(VVyFQ(=Gt2{^aaw)E*!6T!A;IJnodgpx64@D>B*Y~dpCrVYWSydup~)!m?0kXo zj8`s*_BT9nNycHz?Dw_S3pTK~3{J7LPSHuh$xKEi32{j(lZ1pMr|86=j1rgRnj|64 zq&So1}OK(_n@TG%)p10?z>wfVV za5V3%29D;}*Y(^p_~3}?>u(~Y#y8sL?Yd&YT_7LvZtt{pZg1Q6(i(;9`0|?hE6Bue zHaz^*b$1*Y_T7)bd;Y(3Ir-L#hoIP7@A}DC*WJEu-La<*{&`>V-2Q8>Yuf7O^574? ze&6T^)*SrvKQEgPeXl?G-ta%o+f#F}E&TqFJ$D?u_l=*z413n>J3M^P{5`9G-+j{GQHCx+e&CS@p=9q%O zB-BkXx#F&09&Bp`GUm_Y5STCh{PDJ%UY^#lX7o!_*G|0gse>=z?&r`rj|inTK4`%f0rFJy*Z+;=Z<<_WbhZhY7TG-UAJ9 zw0*k`*?XhIJMR|FS>AQ7@1T$|tAVj+)EM+*5-?(ruB&-BxodT@fd@rJ5_r-{(V*r` zUr(C{WfjOd3NW+ING%Ndcvc>?A4h@I3Vi6N`iiw;re?KpkmHV1uG~}82jp`7vF0;$35xe}}@zM0uyivaZ zs#SH)XiZ!U)o5&b_QHBcbHO;ZyopToa;mupya^c-8l>1%AnKh3JfN9<4Cyr$j;2-p zWY7}z#)dpi-?G?Ef$MH^SL*~{@F&n$6kG}OJ2uOerB(~8 zBYj`Rmf2VVg^nE{8Pg^!tQHuB5RoLvSs!Sf%#UESKyV~Ol7xBEl3q(cm3|a}KoF8> z%JPv9vV1+t31C$q(itQ%>}uN_aZ>Rq?-(236sf{iab_lG;Qb+FV9?Z+T7#Gu*%_5a zinZlP(zmt{xnu@xdEv~gW{~S9gZw?bL{{MtugzG{3s@UZKEc5dkPSZM_Ad#WtZE~v zD*vY-_jH&^yq(Z+N&BOwp>mu5S?ohChmTrxXYu|@Qagljbkh@32@O`n`a zMk^Q_tkj&4#tTaEy%q`|$bFoqxGe)V1dbOU;O+omCwq)rJ3t%V;XG&{-rciU040Rc z2#?0JESwqm;}%JPDELn_HRq1a2I*3)_u9f)v@_gzTZ=7vOE2Qh;NR1H2GLyT565DS@8Ba-t)22)#Z zlz~DDQ5mVnIX=3i_nT~dD*ugk%Mrn103YO8A*jw0EPxFza znuj5_PvK}H5?0J|l>tFR)tQFJ=NP7?_o0WmpQ;+$MoPL@f-OtKRBp5|D84Be4?!Yb z^9qgiq{t+YK?_3N5I>QW_@ziPPR36{v~RBI;5(pEqZR}eh@GN*2Q*Wt*t*GGt`h?l zHhBY%ohpLmh14LzcR;;e1hS8{poyc_X$l(hjqRSsvv!>bqVRUG&d8Iklj)pnwD2jm z44FBIqYT`vS3>5gDkPbs^KtT!Pqsg6AEc8#%A|T&a1FXy1CbJ?n?S97=js1l6V?13jlH7be1m3U)2b?i6nLhLDU`nb& zpHf4TBdxzlrzseyVW>2;z2-~{zJ)DAmLX-6Oe@xXkq|Qp6FJ-%DuAUW@-Z3P;G>^m znjI#e=_EjyYR*JkP0|IppDfPw#2f!;|s=tAR+N(FhTf_~J2 zPU0a-zW~AE^xOF$BzS0Q>j=1*?Sd$(VxLZKaeT>R6ldufSks*h%9oBtaqU$!Rll%S zMNURiUN^3y9Vp4u6VgmlJ9!d7W{@4!0SIu*@k$I-=p!<35s$~uv=(3Q= zjQU=X8r?eGqXW}Y;610%K0DeWQ+gD4bW;kBUzJk7bVTP!A1MmUGSZpHVFGs%be3+A zAoX;v3vjMW)fn8J0s|Fnl!GkD&)0*Xv2+f_rYSR|yJAzOa09_uK#H|4r`2%+l?usi za@Ctb>I+qzbk&ovvN*2bkC0Rnr!=ZXL8#-I4x^q{wZn;^fA}iA9k1^{48SpoGx%>V zcWLpR07AU;z#Wf2b#Tt>+t&VI>9&SJ`)7_Tp0t1E-IIPxkc@|uW_bPvY!dMZp($Se zP;KX}^V5eOo3mokZ|+}>Bxg>){x{MpeWz<)`pnm|yJk3dxqDP+w1 zvs19e!T$Z&so90sykZprPp9<9XM^?-RlbYSXd!YCLVO_QzXu@U-zj47h{TFR}v3P$u$dAIr`^c8JHB*wG zXegdJ^M<)M9(eUvqmkt16|8~qSf(hg%-apv2?SVl9XWsA&kYSzK ztD0BLer(&*_usQ<8Gt@-Mt1IAIce{l#~)rX2fXiZz#bs`XZFvgT!P8t4>c1LdK(7r zpL<8~ZjyVY%4MTVCeYU5>9>HqZ>*d7Q{MT;2REzQA&5`8oLZZ{*J_9H3oGQnZt^+M ziP#dhjoMr-D>5Ogre-5ECs<}hIM)FPQ*Fn-9c`nS`}oi z*6D@p(Dn(~2^!^FHgU)68!vTaUif4%jQ!(ahVR+7G%SNYffRkPDMHvTk0Q3A0qE1p zG9tQJ3izzxevTHLf%j*yWn&i5E7O86SPNSMpPC*F@Mei5@9}w^Wow@Zva9Y777ZZl z=(SvHjx5e{7U}-L1Z;&pAb%os-l9zjH!iCmztGVqnG^b0!6JJmb|gn{!>;~G&JYMW zY(Ob?iX3d0|9QhtjMcWiWuebn;`q|5CiE!|2J+L&XW2E?O*8NzW>)Q8dcRKp2^cs(Cu&phB=tH|fR8`Heo1cY%h>h<{`voT?icfA!Es=HP5+v6;u*+a2<=Ou&6ibn5A|d>B0fNq#$KeRkH+$BriDZmK32 z({f9R4xRD}=9fXGP$IyikJ}@pRM99wL?^?DGA&KwR+>RmNChc5ncS$?St?=)5Dd8K z3;2c#fhe0Y%eW`qTXQcaC~#?bF~5|V;N=2U8ik0c z$8gCJ9R!fULW$>>KW`vjUSMPA%)k(PY%HD6m5NTJVFUG@lM1g4jNm<{1w$v8<*t%gXV6-<)3CFKCC|T6gXty5@drRVg<$Kyzz(JnpNMSwII)Z?#| zjdU|p%Ca*xBdgwGlPfaISf&{W=p5WJIw_1SUkDtn3f&7PTsQ5MC6+dHOjd7{4Z_jH zLX<#_F0_<>QA5kS$;U$AT?VlNqr4i7mLd$@$kLb?kyX(m1sf6IQf8*4Fu@l+312jn zSrKHF$R(mwUul@N+{gl16(5(ZERPH($?>Xy-FHFvoCP#>zC(?hAj%)M3z0Z-{Gluh z5r1h}y_B5h3#ha*R16YP96FcrKRWMRkVrlt;%OVGiYg>4C6+kvYyj(11N`H29bC~Z zO5Q!iUZEF z&2%(>9Zpy?V`a>DACpWIbgc>zog}oPeuX07*~CfwI`Lz&M=w{k!}S=copb<$to!CX zrncK%jd9(E;$7Y3bET7IgfN-iLEnv=8wR@ie&Mqqud12>6C6`!7z;D>J8;8LkiR$S zw})43>pJVWNj`dxayh19;P^zTT8XTzzU{n}s$1H#vpu1zr2|pE2a&$-qz$4KdHAm9 z8;Fczf7@f2w*Yw@z$fFy{`z7J@~gMudBnz)z^?5N6jza|-f&SjcP|D}4A za$Ir0y>nKV?Hjye(bB=lm5BgYo zxOv;M!DRV<2gVg&zi&2@YNUwkG@f?G42@5vb)q=d2imTZ?whY20?#&|TZs z(CfOEvV*@Bi&lq~Vj~SCV3Hi6TrMldd<$kzFqflv&snkc$~h}GgS@R_uF6i53B`5` z#7c@ir*Zv#s&=4mtP9+8GWt(9nfj{ub1{z223hDdidFiSHVq@!qw`qt`O@ruRbh>= zG@BipTMEFjBD+dt`$q-x)6Gx{*2Pfl3S%L5uO6ueooRUeG`v5M93mziKo-&I-GK4= zeB1B>>#~b;8JDC9d^2SvOKA{Y`VSNkS?Y|w-GZ!C<>r#ck-}K-L#pEWj#syuBUvUv zV2Y{SWV1lFH7A0lXAulX=-5&kYlQ$l333sQ`#U6esa1t#hi0jk@353yY_Dy>3`0kW zO6~Jgwe<2=^ILWn+0LTHPc$!EY>gV>tHU&g87$0qq+K9~q@n4ys)8G9`f4)@(}D~P zI9syNsxV;BpvM{(oStviy_#<9Gwx!pqP#|Na7%)oYlH`VopDR@`tbikPGp`h@bW*Xo7lCoMo1rq(i45*g zz6T)lWCrU~6S{jA2~X-g{z^A3@(1t6dC&@}1vz!_KIu*)9pKMaU_~ChOc2Szr3-R~ zF%aLm08bQw>zCswSxEV%^+=AWT@=t!M<{^z?B*zb1X*RUi&8n2jU*DOHIW^zRxL>; zqaX{S8w610H3I*SU-F~skYpdeE~N5#SDgQ1yZh&X2q{3!HlA`G% z4|-!4}Klh{=$6t+Y8lgy2!;v%c&wK%^R`a3w*iq?iIoQ2|>c z8q3!tNS2LL^lxCRR1^#<15w;n;_CwUC?qXs5f?)sEA1hNPC-WKNLB=wtWM(xLB9#| zv+t_fp}ijI`gXFLH()AJ6QhDgdyr`MLuj4QkbCt)Oi(1qLrrS5LL3cwru1rY;7Pk* z@Kw9T3Fm@Ak@H{&Cg6KI-XBI*EeIqT+Mxw8BEISq1pF{2CuZm0Dz*V>;`*){19hRD zq+PvTRrR7=Zc*eWKNQAeOGeLgo=y;3q{ zM%cdCBnt*75Ad_EXUgWV7LDWr(G!T9J$2w{{=`2W3>?6Bj_d=uu?_af90K|D z*M&7KvIcL;4)ae3Bg~$FjOiW3hVQWV9#uPVsxjQE(yd?O%}X~~k_yg+PB_M^0!(6o zKGOwY6KU0fjb=c?3~8K$j2awfz!6a~AuruuvQigWhuT5#MvxMRuFoYUK=LMeb2`HT zLDHe?lO(Pkc`SAXbvP}=!UgFunODy>F&QEX44x)AZdXSoGuS0S{DUW<3Iu!< z%wZHt$6$u;)rpB9&k$tkWfEr^7{c?!C@P2Gj6(G*hz2r(VuCvm#MKF#>8_+!ce@Y& zDh&wNFs?_LFcXB4_|t@`2`w)RpIGC{z+Y#ADhHa&LBmG_Zn>aqN#&x;Le9yY5`xEb zdh+dael3xL&XLPhUErnR+;z?`B^7WiIZD17h=;hs)eIIbQLwcjtJ6V7Y}6-+%TbFX z;7Mjk2U9(zi7u36j(#^jqQI0#85WrNHO!joWtgz$jrb@$ry5ke&K@36y&6%mk7v`2N5^~Cb=OTnBbFf z5|Qa&29XVrbacbV)P8HK@*Up6kZC*OA;vBhpy1gf@SO(qj|M@z$sH71MYyF0<~-~_ zFlWW~UqRs-pP{nBgzW06e+u6L%8%VAp8y@k#rD(ik`K^GjXJuXK5j zp=3LOz4%c~Jmwr0wv%{oeTMKTRYAtA59!+#P|aB+%eO+toPiG$$P7yrIX>6&Z~_@~ zOv7CFB{^I?df(wsPnt33^=-e#`2U*W&u(jYyx$>D@tv>EIRwL{{N?9!RzZVrZ)>=9 z(&t|+u0rgsGY+pn?1#5KF!#MN4Qu-ycyZ88kR+X^Nl3tkLGNr^y>`+em>6cbX&)qc z=5XVieLj2TwimN+Jg^gTABNoH9((@RQ&v3x;%y`e6`%Bdx2^i(Ud%wmZMg`Fz3jze z%1#wbyy%(RR%~v_iN~g?43IIyf!JdxNtelJFu^TFJ`qH;aisg5#d7q`oKx_8J{U!Z zo;V{y5A~fs1PG)=Dx z+WD|fz7YwhICoinTWFMG8ubu6Zyg%?UM^_#Bb;Azsdcv#S?o6)xM=O#;AUTT@GV~NnU&k@{f5n0WnUvwv#vZ(pO_ZN|6woyzSHxYYkXQuq{bZe zU%n^gWhp;QgUrjq9_ICZvH7k_j5z%weN^C6PgK2?yLC~ZaOa}M%&B?e*TE_HI3_UV zhj9%y(+hYPjZ1Rb5d12%ks6qeLT2)e|=Df9vga;!&4IAT}$Q%qJM z;8Nl*9T6F;z%T={Ac-sWA7&PHdrZ>Wne}dKj|3$$i;{PLplSY0gi_e ztnV-sAuTG@l{(;5x+x~|sCdymB#Ts&MFJ)AR#iKk)9SpvGCC6$V27&f|Ar)(5YI=W zr8$g!2Gi$Q_m5%fu=Yp%Ig!u+*|X0j3v056R!zpBbI7g_&faT8UQINJUEw>R5ufJE z3hovfeC0c!jl{Q*LetbyaCYM`DnoC&?pl!q^(&SunCZ)I6(5h*@ zhLX|dzG{Cj5~8^+B$<7Q|8^a|gT1`!uUaG$g7XCOUHEKs8cghZNRbGA(dqjYP}2R= zL9Plb-bz)NvxtoD_8q9&Jl{cMDVRpbkdjri5oz0Qh3y_OYR$=$FeLNP*f$L^Q9x^< zs&{qK6$c9~1y3?kEm!iY(LARhaD}v)AOE4DT&H|VFnJ!F@_P-1(6(s0B>Gv!MF&0W?dHz@A5In|B7S-~Q z@brbu|KDaFn~r7LS`9mk;Q?KQaGZ=-1`|ZaQMyV&p;G0l zPLb6?LtHh=nIK(yxVv^p=;A#7a$UrYQ>vjDA;6MkY-L$0FKTET%kP7VjB6Ei+*eWJ z*Jt-bK6fIh#8G%KPM)L6TH;1m{K^xV;4L_jaBi+hl?d*Sff*l64l0TuDpZ(;nE``U zK(m~_u|@=$rbOe0ipSMakUF4lyfGRpO}&)asV3JY(eRStlL;<$N&}M!h!rrHZTn4R z5Zl3Wf%QyvH583gI`|9>QyMh?;lSJH8pqnIAQIPf%hGiFZf0Rk9LZ>uF?1!6BsQlO zVC9JVf+%J_1k*3Iu)icL2<9O%6<4wWC<>Ai1ONIU5gl-597Ks<1ZbR!j3T+&AqzZ}g8MJNo*l8H-JfvCCo`E<4Ir9cd;FQs&bX z`IcCZ%Z_S@ef2CBYkOio%Z`)D469mMMypX0+gY-y{?K7D+{uAuZp#1#9GNZ z|0|yR6N~Nfym{2KXLrU+tr_!oT>T~+ekl6RYwXy=p4d^(@vmnbugG|-wdANMc}K+d zvsmo1*yXsBab!ovKcDCU-m&P*F)%TQuk@@x$|o8bv45-w@Asl@huE<;_5yex&4?Kp z$98<~Ythdgiym9g*2hLoupu`e*1{`{1xKxF;e!v8$%5AwnOPvir!lH$);l1_Dl=Fx z9nOiXSfg67YN}3HjH(~R#%Vr{c<85=D*cxFZr{Px+xb1d5<6bj$}TG1d-1|;C9#$a zHZ*phKNi~*J38X1XW{l8_r#7~J-?;o@X%Q7`@`m^?D&Uwr$^pc4RXo*kyGvXF@;Y@ zk|ogrvENjGjctk@pSs)AFmK%IrHqBL zJaU*;ZS~ZI*8n+&K2;+IUgNbxnue7VWMVT$hU2sxJnl=Jrc^C)cb$bR(;d#I2DI4m zlpUU4o3`}-XDEMtG+(mVeJDDfO(>RH`nG?JK7NqJwv@asm&K~TKL6PrYcDwJ2|V%O zb??1hav=3IcKmpy3!}ne^i{04P zI6n#-pjD+8`zWdg`j1z&Fv|Whja{3;3_sI$WdN}9reVXLj1HUAS~7_}cJaoaJ>hwF zQ^s|L>Hq!f!K3BC|9;}p!z@;~X-T2y;0P9bCB<`e@CY{e=C_aKu=&3lacrpPwKW;v zd8KFLQ1HHNZ0pPE?EQ;(;3X}%D3GnEA1W(3z=nNq*6(|=x6?NL&oKRGgIS^8vuO7f z-({ccRrvN{_UO1BefR_YJyitv!`1-Z|F)>Zh-xo(1{}d;7PhJtOor0v;Kh>jTG^!WCb2Wc;euBk0LJ z5zRhA9gatjPaXJc>W5rCEB$4v9o!DbqcNC)p=YtGRj1e+^uw*C-%Wqyfl5_sM?icYbQ@j_pTT|C@h%!v^iMyX?cMW4Wf-R+GY!hf05=Oq1gVbdFt|n4 z4&5lb=NCG*|AW6L2-XDvKE0#uIPgzj+WSkupnmNaEdV~fV!_p0j|^GseQEF;FYW!o zt$S8~UhV@%>=k$4d$4WD+MeI~2uQf+7aIA!n?E8N^PV>jAeSFCb_dkg546tgBg3J= zKm5KJ0J&q<{H^WwKMud=Y5)@da@O`WU;V?u19xs;bMuo2*WLc|ZuFqp*jM}(P`$H` zT=And2RH6rcYEv(dW+{DRi$dy+ADUgIasrI-LySxE@-^--YdXlHDa$tu73{%Uog=d zUsnje(8;X1JzpGr@U1sKd*`03J&h=AD#^B4&%|dmyHR$l30}0upda9l;>U#>JvZcv zifkmIpiGyfR5QTo_AxXbEDP#Jb&jS%66}eLA7=H008I2%u>qbYjg<2u;JL{>HfHLm z*j^v5Z~G&dL6YjADld3@%^C-a)xO|Mmqv9y$cv2?AQNRpy{zV@Sw;oGHm3Uw?tr%i0Nn+7H<3=7IFqF?gX00@EhZQ=o^7gk zN7Kjmxdzq&s;-G>4~@d)1eh=#ynxAT25T@4rSZKQ2hwmJxAn@?L#3KyPArhGW< z;DEQ82`Iq6HoW+~JCSXdGW zhO(Gl8n4oe6~U~wCX98MQoCRhte-(D*Mxkr^20@5KjJrHDC zo$ayfJV4oX@{y#^Ut5$e#6)WeVi$mk5y8*UtNgVgGqhzgjOGas99bMOymo=Gt{N(T z;vXx@^@UezO+T16wzhZU)Pj&Nt*`813M|QVY|a7J^$?SfT{!t^Amss*H(mDwHhI1Pb4Gg8nqX=VDRBgwkg97Vku<3KxVhQA^XC{!;WJWRcMQI^cDIRE7}; zo52h|3GyRu8N|dZ3LB9tEQ85hv$TRBol}Kb>(&k^j@{wBYCz~6lH)iROybB{3S%1M z4iP9w{0WW&g2Zht&pkg!M|U{tTrlTgYMjWJNN#Yys7_p+;g_G5uDMh$%*D)8Ls}hJ zii2=-!gY=diGP$h#Z@;n&MBq})(yo-Y`5le=0cChRE4jz#7xD0o{nor-0; zg`z?RGu&cnz+k|HUdS3XB5TSzOe*UL*zrTH6Z$Z@QAXlSn$NlAcbubARp*viPMt7W zZ#0^Mjl}0wfR3F;;{sZp*K(FHfbaU@QfNT54q z&5Fn+46e7Y0+sUg06GO4%a^5n(S@-6QwHf-c)6yhRx+n4WPfKG?}?3+Oz%~?PfmHH z&MddyXo78T==*!QLNh1$^ekhJ+#9Tv*x(6?vWWDC&1uDzy|I1t^g59hX$pN~5qb`( z%bLu|J|=pEmt&h4paVBHv&u}W9Y{d*Ec~b)vH)4e+&4J43IMr*T9A9BX@A3)TBx8X zHgrG;zK?_$m}ru-36>XCr9{tyEL~xG>kW7gC>KV&8t{9`@@c4MIgwF2!Hd-egx4%|*3 z3yvj%I&yV->cLI?RQiWgl9_a)eyA{~qM~4xRl&P~PKqc25fq1AOB@^+ejPW>8Q;*^T(RPr>u9DD_WKgpF z1V&{EBN1nPf^nr!rZfs*Vi=TQA+UH+5<<|Egt3_s?Mb;8w8)+D zS0F52XhCbAKy?#0wSxE=NGfm~J$N3y5E8!(e+a^rE{a^L__)@QWHgEtN$kP|L!2|# zl2lRAH&pF#GOnl##CZzbXgB#(2-dUG$;?~FDU@C8y7BmfKz&`bHoJD)y`=BqlfJuh z!0vr_Jo?az!GK9!-he$ily!dh$jW~E=KS=*X6zVJ{GWm)O#7`6j%ysm_7oh~Gr+rk zJn||RPWQ*X%Nqb4JE8bSmE;|dKMX+P&A++7c3VUCl$jTiB>PB`rxeOAG`JLs#m?*l z22?8no1j=%z$3uq1@iEtAV2g_?d1H;YnSg*v6I<(m~e{Yu^*XyQE>$&c>uX=C-0v; zp?I{5_xm_OBac}NRO5RAq>Lp0xe^=A-|+b3)evwk1bhHUxYrk7a^TT@3LqB({$=@c z2)OpZ+#9kd9a>ojNv;5s=CZXvc=Gv%p;RDLE>{A<_pv#DBT4?!Q2get*sXrk-A$AB zeQVW2w7<>TKd&D1!2SDYUO%Ycf$y!Hw0$ta09S85LdEB^`)|47r<1<5^6oqTIU7u{ zcmCQRznmy+uF)E=`mw4Vg7hhCt!?9L&Mf>T5I4KY=SU|4JBAo3Q|y*VCFwgm2ng0u zz(W-_f3i9sW>`GFmUi`EeH41C0D5YQt$AS`c>%~k%Y(tX%sRE_D3_-QSlU5uY{T9~ zg{?QfZ_W(3%Ev7G_C$iUzoD^PE>-F`KUTY}uXX%^i^78P2CAIc!>=AD{J&_fV z&3$&cU%fj;ASd4)0R-Qf;oN2@w&gA$;V#3D@;+bRQ8RLbK>=W2e5y^h)Uhk%3vfPk zty~o5HrAryj_4IKQn`G~gg$0P$etK1%5Pa1YQz>V||%7X#kQX96H zfQhlF62+&_XM+3eFMTm!w=4HvAY^dc@*7;^QHlVTjb@b0M{;! z(TAFfVAza3XmEG@n(hlGnPyy=b8$68R~aSAeX1*uY*3F)1y1?q+69`1*occqiG{|Zcum2BzNsVxS_D&dJm`#qWZn>Z z<|=Sp;ge4sly{ED66}dU=ii~21{fPwP%~9J#CHpEz`WuRb&oM;Eb|E5O~I;0gPGWE zHp=*x5TZiNihg(;4-FyimgWOT_Fcrq`9+M@I_lVtk|fbtwYC8Jp37B)VQxJJeWUN{4&QeW!}O{lqyyQ zOkTecVqd^o24tSx6+?gt%i=w%!i_pBYN|!~j(D%ik`Pb`Lk3^vdNMmc>k${vA`S@} zK#ifLP{r||kG0A^GUV;QBJ&~&B?pAW;d%pAyPZ{!VI-@92DJhK%Z%DUeMM71J_WNT znt9wBqO7BJv30U4kWAOBs<)E+-0)UIwfzjSPKv+V9c-b^h zimSmC5F9Wu9g6L>t^wK5Qpy;ULjb<3vwHPW02A%LR~!^|Fv<;TATgfFeFqn+_&O%1-+`X$4(Cq;f|8Q?bOdq|{lKfk;cmt_oLU2&Pv*erI!3%f=LSnbGe_=WrbIOQ z`;QF#oJfr~BQ4GtRVvbe$|Q6}inT1-jJ`s>>ZoiRLGtD>UEmk5DAVXQ)2O~sW-vz3 zTgFD=uZFlVZ+#sRItE?KLu7OzD4kM4w>Y9=3rk8*Q>o$v7pVpZJ7Qqy0!NM`XJc_z z$Z;^F;d4rJ>Pt~uP<+Fq8D-!@m5r&EKk-l?59>6msgL7VE17T$g9cnUI=U%Z9p)x| zxL1hJsSszwr~Y9+H^%fg1nMlV9FU<%RYCKCdE0QxVnItJ?HOrIKVe&AOY7MkY zWehoyJk1igro#3+NA)bO$6%!kH`u&W}|&8F({hA|~ytEPnr%$&Y<;<(%f{SBD?l*0A>amWJVz4vYnp zDLp3byJN*)mi}YKw)Mkr+`gwc{gE56rT(}TllBe1a@($==B;Z!`|`GXz+_zE(SvhR zCUNYt-+NATWLfd`ZzA)*y%t;QC*+1C4aL_lq9ku1a^o)|s&=66pyMKm0W}L9nUVMd zm{vC#dWnOsb&*cgrtQ$T<}9>ne^6K70973|qbWTQPKi1LavkX_Y+hznq>j+J#;0wYi$0)SnfV%Nw41%}}(bZUIr1*IrHwr!@)X2!yT%q8hqED`ag1%n;Wt$OlMS-m-^C1%}6ovgtJg9ayI)aoCgQ> z2$tU44`VTD<+fexYS4-{tJ*<%65WUMrU6MQaXNVDfFS9QoKOjjH2km>>YQ?%pf_k< zMSiRs9wOJX)4>FXE@5;AN!sO&=d)uxO8gvz6WP*;O$}1P_&+>v3a(71A#uu8WEsU8 zBwAer(#HxRiY@S$g-1Dl6G1Z8$a<+O>Q%sWLS6Xi`v@**$U=34I3p`-*C-~8RikHQ z>YP;x2O|M^LZGi?+Klyn|JsV)xbBA;3j-r6czSdN9%jsm;-IVIF_BKd0efiXXv}Y7 z%8OZksSAv7=-9))R4@x5drTKt4vB=SDZeJoaxjkPFe@X#7guR|ftJP!(}dCvV^6JB zwL`a)aNZmz>|1_GfsS=>VGDj5b)c?@e{aYi?{WND6${HtWKf zj-&M5OL{SZfM&n0-Dp@Hk#s3cknu-GtB(7DqvK9laF!zPjFVXs)!20@ycj}SfZ3`s z1jjQKo=i2qt>jz0;0^PdW2l^j3%AV9T`1qVtajnWaTE8kfXC~{1O*mwYjR|^l^z*n z2FoT;<_m*UFLMmY-Ln@^ZwC3rW~|i+XZ8!)snrlLT|y@YijFtO|IMV_Oj;mQ=0}n% z#DU4nqgeMHK`y5iQSIJ0=*2UJH0Zsoz%xujz^xr=n7=Fd5nv9ffD8awVhU`#2K zQ}s>bMfXD6dLu@cstNSQ(m3skY)Zjk22IubI8&89j*?D0VIB2+XPJ-;otEURnP|$t zQoayBg-JRyn84}*1c_5p6}6uljrww2nOL=~VZcy5sEk8qK#+7eonp%vD|MMLLoh6E zVp3z((%?o7Jwl_Pc#bnI1SS|*HgcGTkxunWrEr`o-qNbUC@C|rFQlN)JlQ2IOVT|Z z?xdN8onjewv@XJS5-2OBV4}QCj510lxzHq1CC4a7XX&~{Za^I?Ou>NV-8+n6KE_N_ z&2p3(9gw>G6*Gkw)Ys^Y<#4zp5U`B#C3vKoncuIbB)u5*#Aqaj#bpkTiZ{qZf7acz zfO^hjQ04TL^ia&G*qD+VRLb!!a1B1c(92N9XoU{STn_=GStvyaQXT)D4@L*!M2B;A z+VSSe_s;sdgJ$p=plIWbfl4{m1#yK5_w38KiL=uMu88$?)CCS|MHIa)$$%$u`)<1C zTu((3QefVRl7iuqGZu6|k3NuKf~={RBRb7}3Q2c#frG$jHTdE9!`q08q8=4Vy<{fE zk$H=mp?0R{us;yD*>c2_%tqnBZuqD-Q0IW4rv~vu!H`HDr~`SHE_G3lXV`a`UJu?i zNJOAlLIn#og8;)iCJpo<5I{o>Vj+UybPXPmB9BfP+jd;l4urVbjj{_A(!>M;^l@Hv zqEKHk{QaXjE8c9#eovVJa~4FNF=zF*XZ~l!$fd>aZMzG@$S)QvlwDfM9|u+KHX3xY z?+zI4junT1DEmB&_V)&S^sp;?(u^rCbgVnw0Gwhd6?npN6Tcac9cjo`V8?Ot7?@#9 z!@#Lf?0CXJj+37U*~On7h?it7rj8Qjq$!TcOYhE|@z^&dt%KOR3McIwyK4M_O)JJc zGyAb^FQQZ}D8A{y|A6;g7xMPLO7LEb!Z!ED12hxyN$^I2c&3dcdHZ<7XD5B@qP3F_ zQZBE4c-u3xDVIR-ExxJk#d%a(|FZA!ibIdh`Q)~SY(VwWTRivXf1>!T9QWkLXXZSN z$(mzZ2M?Qc;JHD6+&5?Yqyw{80m1k6Z4VT`^X9Ulh&>Pm4Zyz0;d)GxfxJCg6*S)6 zPt^{~(Q9!c^q0T}Q$5?|)TKHN`3$kU$){@YOggc-vnV{k+2qTNL>BvlU-o4qX1#e$ zzVZ0%+~^W(EDdM}rwT&~tV%=a)n(zfZgc1l%%3k>zuk$^ZkE z-krse#J9XWtlejl6rudONKIeo9<nvUYb z>w|#{oy&`C1E9f9PsWE;%L#=vTOZg+x(T?k5wR z(opOK(J9cpiS9C8E|IaXvo!1;rMQ7>sGjQ@dMO32e0bmwEQN)1d2Q(?8Dh~i zR-l`St8a^!+Js8_x{#39oye~f4K;YCguVSH*7B)6@KE` z#@V?$7hKi14r?h!Ps1&ENahsHiD0h9OK`d%{TIHT2#5bzzG3A~Y$z7J!1ozvVd*eP zVzPkt!(bG#!=cDszP>vnmqKpe(_0{kiR_Tv2_~hO;h2pHvQR<%y|j9Jx_t*#Ri57i zr}l&bP>Sfslyod*EL4q}d%+fWQy8>fs##$^ktdiz^WO2wUY&Ixlm;;Fua&vF;NQou zQmh4MOy@#koiV0+XjIOmX#~j%sJX=%*8nVsu{ncriECHSZY%Ecf;T{mlvUe`UtOIG zI(rZ^N@|?}1|GE#o-`!Q86ZfOBk^1wo(hs-@!!bd7_v;IW!*G%8N$-;bZ#jjl&6EC zXH63f0c)KlIN}k*Y-FZcjpRdxKMSO3OnR9)2sg2=9&0x+D#=)rE|{PM0!WtQH^{V{ z#d(#fz3ViF4Y~syl4}Nv6J;&UE-Wx@j`$fYM-%-_UWcM3ume=OykBL`Hd(gJLXz?M z3OvNvA3pJcwS!QRx({8h0qrD?*v^zF0{=OF^J=6-IiXY7o{nT>L%2S!y6()uP!A^x zerGtH=~;f_jgu}tD2REXCRT+o%I z1LAb}QRk^RYQ$DDR?h|JiVd+;wFYB?A>d8a=$AQkou?JxRN~JKf?|O;`Up7GF`kM~ zJy3seszZ1@5`Ltn<1L@gY3>SNSz*t6%Mf{aEQQv3nV8;SJp_2U60n_=f+*lzA~G-K zIv%=PAO&5hoYo_vU={eo4UP+mk3;C$0MT_25Xvm0o}^O2-Tftg(fxD2G%#S#aqk<1 zvfHcGU?n@3-U)cu%9nw%dz4*!%+uPa^;9Ukf3Jwe@PE|2gQ@+r%VY1XYBlb<6rOb2_L&9c61eKkgc2nvM2WD zraKrgc`pFsl~OD#*+{VoB8y@JIg7|%1sevbE8-#pfvSZUg1oaN>KnYZ)WZOq1z;-N zwlQV^&oAo66rLh;tHP@)G@Losf~fEMM#K)5Y%oiXfp-RYzqaGa`G3A1Nxq#r|ET`x zu~ltDR>j_42pHhlpGlHSJimFxb7<>@M_V)YDDd6b#>^Z-R0Nb?>=?hfb=47&ca|KA z62jlbdMxDm^;dcx7sj_L25hi~9~8R`5&Mzpd4jY*S@J=+vYh$Fo7zpTlImygBz*)*yS4d>$>CD>>!lg{%9;pHV<6?KHyys z#{L>R8onWx(aM0TdiDIxC4YK476TsatED{L&m&7(?`iFM!L8l|rdgLubzT^ro7$m| zba8Y4Mku?4o7;c;yCr`gSrYZf1{2C|?C6wO?4H$`qZ6$ou0RdG0#=%&xbvv<1_;s;Lk^b`4ucVf|?y#5*&PghC8hQREL{{5 z892r0Gh|ZL;MNWxlg}OxLg)2m9#Ng?~)|>DDz{AP_k`sq@(S34F%)RaB%_m7GT z4$Rt9#@;C0aNTH6O9?xor$1|y?2CS8)Q-$LcI|`FA3vc{cLd?_solOq+?wb0XU5kO zD7&pDdKN23Jdd8m!Q&Sm8-L+*ZD>zYGLEi!rO*05-&O?wG8X*W0CSjTuYU(Ui^#j3 zN7+5ES#=Xj^ki9D@;&P^DafDC7HP4PiHG3_5X$b=R6G1*{r<)4ft&kN^ehNBH}(zq z^OqNOQ_mufvKxcGbvW+71b^yTNRrs?S%8e#)U(KB zk0yE+ys%@>BC6W}vE{>B(BWA4nkA#Y%tplCGJK_(RfZ&U3-$Hy*FP{mO^Z#`j4faL zoOV&+PYyr1>g`$1{1?{mQCX2(hc;XoV;SFiYW*>B**>2qCYtZqcyFD)IAr&K zXI0x%5b%><+wsX*Mf1&@p0Pa7?7Q%o)b=!A7PT&W&%*96yAEw(d)fM97aVP6&%Ip| zwT!P;?7oi0N;Zrhtq=Qs%0#1Q{=4fpM2DtE*S*ZHeUEB~J1M7(EgGR}hi;VJ55#f( zMjOCkJ7BGL8pL+~n%BQIZ}+@=4j*g_7598;&B0B3AHC`2H8UGG17-K|1q%odHEr9P zLv3$-=FUAo|NJjj0StEd=K-qv^>xEzT`I}V09oyHc0MYTm-hZ@%$_y#e*rMqF4FgQ zhz|`y06@pWNEZ@x?91~Otoc3Qi!a}^=HOr6ST}Fa`fnl0dAl$F?lPe47WaH$g&X@S zPvh$^&3pOQyVi_un~KNQ99;9&|IgmH07g-r`=6PeT_-WZWLa@Zv7X7p2DIJ)dZm<- zvrD9t)an{hQ$^iHu9|vdi$Z--t2A<3 z|CJ&di(DT(^8bBjc9RVReDF}bdmytj-+c3(?|kPm-#K&6`E3PUZudj~vUT2C0MTyR zifjC=#nasn0jl?9=zYthmxBB3?iFvnc<06Ebw7H}yPLW%TQ_gnitQUOy7#>92RAMK z-nH{yuKZTVs*P8zyKL!0_q=@N%5x!P>4s}(y}aUEWstS*iry8QHeUYcN!^v3*8bwx z$8}$Q{%zmfI{&44M?LrhpzMx(4xqs^e>3mp|D}4lV;XRCzrA(#gdtE+zW&v#y8la6 z*Pkjl-OE>g@#Tj$J^AWa=Ogj$ko}4&7i@ZX_JsUfV3SuTTn0>DIg@a68*bn9GK3IW znMw&s!|6^K0tHj`WWj9mIbZ5U=<%R6lY}GMV9<|Ac%2^s;WWqniA=?ud?RUVqAO@% z!n>Upv?{C-+`+&xb_v#4ez3|l^6CKw8!Sy$IG7q=mE^1qxg(9F{M2%%bZ-kpp=F{} z34p;$zE299!YPIYQDU*!0V0`LgdYMZHeA?DS@34S>Easp)|CY{1K%ps+=|RV_6jn9 zZy+)(=&sFXK~ER>v%{OgMzx{VZ39^Z-3Iq&yT(#%P6gn0uP!2Y;qLzKH}KxxSc<1k%Y5O5m=zKf;UNGy@B1KCT?{UFB*{E(gUqU^e2B)E@uYOyZoDUik3 z3mdr~P`w4I;XY-mP`eW1rcDKIfCgvTBrSXNBVOwO{GLJKbP2!>Yf7=jg+mZonu5qO zaGfLXl}(nC1#1D61{@;78sm&jCmbTeh}9YHf(&F z_HP!~AeD?$Dvg~A+s6j>Ek{vImqDg%lHWqI%WGjlHVPIDGPm6nPZ6k~j{{9?aY#}@ z)-|$smojV`_X!ws7GSOLZP0;Ga077)a{z1zsfGQ4eW!yAdr$GA6dpk2W8m%_dIr1mBfW)H~JszWR?aU}Q2Bz?-+Z!I1L`J~Ez4Sa=TnO(g zw9Gs}40{>mUOxW`9;bw>={%p{+1) z#8l?fKqj|C^SO{~1+Y3ZIXet_ab`Dwf^F?J%g`YXQ3x<=Z8Vh`QV~?@Bx4#UU4nD~ zhoOF1xxkfHY~evBL1rfH51E;mk9$gpgb>WpScA)gbgJoACh*Kj)-T0fG&jq4K-;_D zu~rdSnaU0^B3s zWs^TW3s&q+rg^>tP@`SXci4-<1_<12O|sv7x}+B%dT&@W= zjIxyQes5z*d*qbGy78nxESN6A-PSxg=rVmwcuFi>+ku={3MPFJ>KeLcMnm}~Q#lX4 zCA&i>ud!VLY^bZdrYOWg}A{6A)}mV zDB~nl5V;&tD&N6Nd~AU4K#r3KzPt2sY8|$vIT1bVfhTQfNdj;U&K!CF*ra&8TLR!y zouHzRX7OMnljUS@O%-CimP!mxpw&zj`6%x|>#%<``Dj@Tl;_qj!6Hdhtg?Jy8 zDu6mcA-pcB#8N{F+5Tp7mkre^5Uy?Ta@@u2NJJTB!%@B+-BMuNs@?!DJ4mj%87Ek3 zyWQVB9Voj?5+*=w0j}-T=b<-VLbZV)Z?(GVI;169nF%%7=}c(`_5V7MM`w517@ z-6p$D!|Z6;*ccDT8uXA%$Uo)RYE5xF;RH0G?AqZeMMsAk@iuRbS**Q7tB(cUg)P&| zr|7{t|K&gj7U8Bi?-&s+!h69kr>cr}32h)0l)QXOx~mDN0I3v!?v|WsHF3N}+)=H% zm9I78wU3Ue(JuKH&xS|ws$EWy&W-rNPBCsfqfVFs*$!j_!IxyCf5h5gBUrE>9{#;^ zsC9TR%cIDh?r#f-z6cD{fCFd18Pw7=ou;;;;7E0CcvPUMz~xMxj-y5% z+^zTl>^pPy`{z5~$+{+Ym6A6g@BvpJ?_y7};#Cg6+OGtX1z|&VWa(8A-UW_nGpp;U zP(SJXz=c&TRBqtCo(UG0*vd;?nehKJ!4N`n_26vU!%GFOWJ_F0iXQ3LQdlZc!Gx@^ zQtT8>GC$T-_-K+m=2ju}a8}_Th~ClwsxELq4~H2{7dQigFH1o# zo%>QafTI2*GJy+HASPLXQUte3NE3*mLx0Fh274-%q0gH|twT=^W!J+M{dn-Md(Vvx z%F{v?-HaVQyliL(EbZypvhM(>>a@ERTJ3ELQPu;4Jt#Bn#$^*;uR(J5k-hK01}L7X z{_D?6`YgDf$ag7I-3mn6r4wGAb;KZ1PWm4*?8dwM?fvVOjo60eAFmU+{f?F zv-iqr7gIJ|d+*W9vDwVZpHq|{C?RPq;kI#E-2G9m$L1;-Azz;`ZR?$1p0;80y|>-I znXqk_oN^0t9{cA1)f4is9t`k;tFqI}@l7ZmsW3Df?NR`7O^ANuhvAdj9t{0pzV ze&;<^8#dqix3W3yP|(HBFaMZz)T9D0ciOhSrhEqnYfIfm8>>+JkwQVrk@uHPL;^^8 zHWt=ehN~A%1A6LsM*{?F+%{~E72NnBw*V`1Yq8bK+qN)~Ge*1}+}6U|us*m}tr0#L za|ifzB@RR4lNppEL4S?KG2>Zl4wY2dnwvWetD+Eh> z+p`9?)oHCJ?galduR(JGU(&?vY|1+bFE%u;SsfX2gCx>i^Vc5D-6%m(Cb0K$T)_hV>${=Fq!eeCC44GAW%=_AP%+;#~S^p zeVz&(JF^=Q&876QL#Q}gw3d=Fu8bHHbgp6Z6RG+lSf`0!INO{RI+B_hlfXCNc6;S6InCUlCDR!mPF3jrLwDU3;| znbhwIiN>N4QsFx=9ZhcRAjNS#SoAiz-GM4wQ ztZxYgORMSj7`M@-S5Sxt59-`OVYfxtJ_d>3hlMSOja6a{ujO*a>;ww3fT@NTlBuRz z&2T9q3=V?ZX-cMBMi6_@PiuDSPil`Gj$+?#P&RnQ{yPqQ2TfxjyOM-h76vWTwIRyj z)uHXi_U?U^q(0G(x|CISd{%wTY=)N+(d=JB6Zn9DM%IUg>!Dy>;y(l%V|O;Pa@WO1 z(6z-@bywWXtG9)Pjp{Hq;6C}qPz+E!)rBI@dDZ@rpI+isR#Vg1keCjCXPv(pyVWO+ zG1@{mY@`|h((F~&0+A?1DmAyUoXLejw*ZJ1W{d#=2$~ct1s`j|7%5~s24-H^b}Tez zy=*k97B5^BV#BCZ0dpr&BCM~BTKEH%JJugtRnLN8JF$^O1P3jR6iRJPj=bM&f}DhG z4MsoQfbBaCjGJj>Z(zg<$z;Tjdp-g?##_M>g6THc7(=bX+h7o&*^fg$39q5O7V=5T z2_SDRRjMfQ&|%*cHse@`axJ`uHLX_QJVFO{*l{=*p%yyUUWmQh2u>F)1^6?J!k}Tm z-h#2o_+t@2c?kid0_WpNOFbsS6^AbNCxDiNBH5)>CX*rRTj85$E zcgEptwBK6eOb&u95F2Fsp$W}jNmnVvA`1QFa$Fc<5xlzV$SpjidRPJY5BUn{q0m@i z6$JA9%~@+BUx43-$E6v7#n8`J?iBSyKft{ z4n2^U3!jz+rmBdku&6f12r$o0qFJYC?Y6#YR6Ej29WFm!0NQ7UyEBwBCL!C|I*CTIq5 zOM-$sOz(hV=n)_hDMV@9$|<+KKUQ4I1(QNx2PX|43p4U1%i}RV)11Lts!PRUS)5#= zJD%RGv>dahnUuN^Iuk~NFd&&Vql4OlIa(AcGbA+<5NfbkjF%>(x@_RfZ=>reKi0(} zGl+XEuUbo5iXV1*d4p;l&cGOE4rO;B+$atA9srvxpY{}nk$1*%opTS+S^LN}%Zf6{ ztQbdMe#)-gw0HR+LOy%s-xDnDzBMcmWnro%Fu*Mn)_$1maRA5#=aQ?jPyBzWV*eIC zP!OE%2141r2IL9Z3X;92z}|qnC9(&nd-*-nt|xm(6+muwlu07bp?(^?wx0iE!Z??`CO$pc9mZ? z`V4$qn7i%j3DfQb>@txb1j;S|a!He|XHA$0B<5*vJx4aV9a@~bdRfUk>+z|iYx8m> z=e1{+Y+k;4?F15nz5XZ6-9G0*U?JT8%nRebgbnzWkPT;%P1-JdOtlW^ZK0=yzcj2@ z27)z5-k&zHVefp)l~&BrF=h-bGbeGF;j&Tg(q}E>#vpT|dR;W$Zt8J+vCEUx52j5< zO$?YLXXx*}@zXC(_bkYx$%O-I0o{u&7G%xLCXX~<3dy8y{VMjbI0fxy)fT@UQ?9_GWqB@~4m zZd~BUCl!GXP^}_!p%^k31Aci%s-k*XiF}gkc_RiP^el!i=->+}J=A@{Dh$Md90s=u z)k64OAT_N5oLHUPa3~4%6wsU5==J5L5`;V~!^DWx9Z91-$d1N0jytGt2fj@kX*k3{i-UIJOf%c6wtHj zFzrUwI_&G_{QoxUt9lJ(zi$%s8rq#rjHaLlUu~k9;8x9F6NVePz+GGf5FET%AOu^b zM!1sq}{ICU8DO+K6rl2LhSUb`= z37SOg5Z>=V=_zz?^U8^mO;JX;d#fkJi?W`ubCtc3_|E?bj<3^en;qN!E(96BSWl&j zxujeGlQI$|Baoy}o3e@3`digHaMf5yI;vJiHGG-YF-P9NHldeHKA9db5_wz#$jhbWLo~Tpc-U)>^zMbx9PZiBpVqBg7z1aZeWp#}W)# z!Cv)`X41#B7K2+ds)j)^(hIFQzHUhjkP0RnKu!-XnotSLOj_VAuqY$=Oj8DpeB%n% zW-zt}bGT(dEMvz*6M?8pv62%)5GiB-`(nChp{{a=Pr(9c2?PpC3}r2k%PNdalQZfC ze9J^J5y)5ikAVCH@4*mM@g77`a$gaoI_{f;wTrhOau3 z7oxOd0@&L!7`dVOSzkWuw4qIrnGYeNVhvT{*QFbx>4STNy1nUH#o8DJI zgU*j&4t*xdcWJyhjpKR+2A+uJL$T{(Hi-^{3x=@>6p<2u}CL6%+iF%GZyYfG;PT)i;Yr z=5+kQi*l})J8cUJsde~gEA^e4RA&PqVCXl^pl*+c+}1}1DM#LSHfg}3Ubi8CMCY;~ ztNRkOs_WZ+Y{n~Gf?#b3jxZMJ%$Z@eU{t&r^Y^6csOtbmJE*fE=GeT|5lG>_ENlyc z`M|afS4Zqt0i%Wzn%hK!0K>+MC>F51YByISx&?`{P($z~_n}~O%?zL#lO_pnG}$FE zs)yEig(~*2_kh|!;JYBvUwvcZi1vejIlA%6=?8K{!f)g;PV^AdJP6V^hI?3;o` zCWNrJOAw{)zcpbSw=Mb#CSBH<1dtnHt!7*^hQw_dI4Uo7Td=77-z*FQXa&v&?#jzc z+{O7{>BJ|8X@9i}sxK%RX^Jv;@k~FvDfpF+p~1S7FVrnZD{FPGEQ4W7jGOckNr_bx zz;4DjoR;c2WgRcZM|Ca@j$rpLT4NRfX?NzOKQ&+dvavK$^8C`4I(+yrt7j~l=8Up` zTpcel9;cKBtqK3g^(@GT`m_SvL<9}KWHCHWbSX3()C3-hxo@6U+8XtnqNCRjR@VpR z0gg5~>wKRoFBpv-kZH_ShF+11V!^UZk2{pAn7~1PHyuTaF@WhZQwzc1aKkw+GJ&fT zp^g$q^AL5q%Yp7u@Uk!S3;714`H$#FsA*4F6G3B0+&^5RXMp`BRKQ>Y7@>`>9>fvz zI`PM~3LJwzSSX)afS)w{ej7FP8 z2SU@h5mj9XiU+3AY{bUUn~*B8Nrq`t%;pJw>Iv52t~nCjoRG$vSVcbjqlqz_3d$iB zHeRV(2WruFZWckxk@tt=gyHX2%>7sPMssc`z*hBkLgVjun<6%~@@Tv3(l0IbF8gl< z*y-)usX!u6K1o9G`DIG*i}47^Z`++HZ0>@hA}j_mYmSEA zZxc5!4JK@8VXe_}zC)&^{1kqD^tLbrPzSVW-XOL?T1fSl!w_|P&km3@qH{VN>;Wm^ zS!qxS%ewx^r^-@_8;%2lgj{u^X#EGwJ)k+(21W;oNFD^SNzbOn5-{cxP8CEhxCEo7o`&{ELly zpfi7%g$po0N#b38nq@r6L$0ufX=h;k@K{<#p*365G&rlF(Z&Gcg9j>biuv~}naW#a zN?bTlwIC>OV1}jN3?4gZM9rg^$kVF|!n_K~`X0wB9w-??859hAk*NZPA1g2$3OG<%y@0$p6CLy=i!Em5Y=jfZF;X{0E?cI3T{P!z+ ze{lkE6nETzI6=n(NNoV>Yf3+8QFbezeYBVDV8XX8@0ERGY^o7Q_4Hi!Zv3)8M>elZ zR?a-8cl%^u#0~|Fl?RX;97pI@&g$(KZ|?vCRp7_9k8Tk2Q`*Dpk`MO=-nz=z#>&o~ zdS8#H5A5BKvJ3p(w2-v2zU_i(U@ZYsUJvS8&`&74YWFz!AZ|&8k(_HKMbLj&)X$tF z2xXV|_Fx#vRpfDZMTdg?Sl(3aC}eRDdtui7XZ5b>?a}|Z`r;ks=4t}pEvbAbIcw3Q zz0dl4Ykk{&tLOUOeaClB&(Qhzhc>4sZBCuu&9<&%-=6Dx<2#2w(sSseJ??C)viI?! zZGzx6$N09rGIVQ8UU$!-4-=Z-i6}nX^sBe|c3k3{-!rtQ?MqjQ%BNCaTF34?l4QU5 zM#1);q32gj+>xrBpXz>#^{(?h`di-{UV^KF)HVafYY>_--%zYd`9%39Lu_KR82W&!Yehrq*E}>VHcw zJNMAGbIXzwk4yF}E$Q8+S_i=C4yo*YvNAP(m9pTtZ5Qk~2XMN1vuZ0}Y(VSqo#T?< z>GiK!c}R-gaMx)!rK7+@7-a{CT`Yd z_4Xp=lY1Yy>HRf_-qLgE59poq29k4+{&&FXUN~fR&(O{HA2)-@y<6DBy}q?meb4;% zuywJam9ei)OHG`gdIkV`t9{4+*4ODJIJ!=?4t>7FKFqb8s6AT%V6ej`y?#{hHY3io zRHwg}7w>q!@*hjS-y7?_>kN7Ymd|BY@6ggW*$=Z(Uk~EeVUjHTfW9T@m>Rfe<9v*} zN4!+(j^p?B$X+dHnS(Ady_p4!7ERsso^t)lww8@kQ6y*2ORHF+~HJ@M?w z{L~n<4ilf~>;aCZulmQe;P!%Q9nvVf)H=`$IMW)Vb&zmNdRW9VDtpjrIo_Wt^|2PO zbtupUK0T!JG;zGIxfGrD0!Api6BL;dnc-`JLfIvUr>-c*W=7)kUl6_j!@fSow|$kx z2z*ztud$R_`Om-kdkx>vC!Q|%t$A+fc57(lmCB85^b&YOA?^(-d#$Zf$#{~4s7#nn%|FwxijM}K9- z&^hlMHaRuvkJbrW*rQjAOD*4~xAV85XL0<(*R8|8{_MEB#Ls^6#Hkv4Wz@fHVTXMA z_{wKeC;VG%%i-+7`zHVXZ~D`bA#b$#E8jV8S?bueYrb$QyKnB~wX1wjf4`uoZRo^K z^en#i{F?KxU?*HXdH!$p-+BqYxLdUj3R-g?0iOb|bL&2br9B@)4;UD%0##kHf1>Pu zYwJ}nJv9H(H<6qj09oDoZ(F~+^`T9-Y})u{_lj>n&~@#+KTZ1gUvAoZ;lnrl<-twE z-uMl`UN3tH(6K+8^u$A(KKRbo%Ih9_?d40T_+0WVq3qti`hmCJo3|a@Pi>yN^*Gd8kmugAZ1n@1u9!DhA^6Td z?0VHY5R7M%CxO6eG~nb@!*S(%i{omh@lZdr$+3nX3;T+?MMlytv|(?z>U!9_Fc?bm znkuKk{gLgDL~D&^1LUH@MTItZupyzF)HSdLq~W&Zat9WKF?L^KH8yBLCJP#}QBHTT zr?27Xd8RWKgAqn=jPjELhdzBw2M({;Sc> z9m5$GNS14H=X66>C5!8HHr%+5mRIS>a9hFYG9=G}kYp*yV;4gtS({<%tL8LOt>rGrIIk6d1%6OWL9ZT_@ zjA^!G8PaV81}{Sy#99%sG29?-ajMrkNTB`X;B*fFmaxA>0*)wjBVjYu)-gafRmXwF z<}0fMS++2c6-pV)!!>7EkI!7TgmQ;acJqYU0MsIlu3ZBtM*rtBZQ(~sJn*S@&C3=K zK+g81rDPw7MA(;$B%TSfFrmLSjqk zml~yPHy>{W_X#6_gk`y7BxW8|G%|dsjK=Vh`-CsV%^O-6^ky?!9@1K{Z)__H#6{sQ z6ETlsynK2Kz`9soG^}&!r&$kr$CoLUF_2MCSipAk2=ofTYtl0($jpGW|mpY|7%^O>*{!kb+$;c;GZqP67(@V3{VI$MN>pYyv1X7HeeK3WKsi zz@^a2ShR2mFnRN*$AU5P)ksJ@=??=p(^#C$r))3)p5y4@hb~Ck=IP8Zq?R8DV^Q`A z`L8-rQ;yTP`E{CL83|9X8;gpWC%QV}Qe0PZY_x(E6lnP~tg&O*m~i~{$E^l&-G7)D zj96&M`wODuAv*!tg1Lz#%a0bWGNfHTJv_D|WryR~*{#vjyD8v~D#vE}5NgI99nhUg zUXGuFRUBSS&}vMWV%6hFJQRG~(hD%-a(dVT{--HYk3+Ub1=}rH2sqskwzad(SXT-^ zAnQaXx7PC=j$B5q!#m3P_$V-`N-lXF5I}MT#bdJ^`J>uIFOdRk?r+r0=>QmHaCQrb}K_B1zLz( zFV;E|cQ~#3h$edNM~fPpWqDW>6*Fev@h#S%H72HWR$JHv+0C4rWO2Yolp-XXF=aw8(nSk59eKl4X5Xp< zle?*MdUu68RA2f_i_(qTr6iaAP^12@Kv7Z;mnhM8>fV}WS#tx&%{ z4~b8JjKs+nvR$LX!LW>uRp5LNfxfYQNJxFieaz4QP!7^$ zH^FmoKY|cz4?;4s_eztD5HeqXP=;0gH^yQ_)*?D|CbCInaK|W%RETPl8D$+#9} zs3v~qN6vs7*%32O6O3}Fg~-r2ruu_e6Urr%1(czz;1D0}1x1d#u;P@-niP!X4asLA zY$$Uqp3!E`*x`ohb4d0OaC;%3OhuLrNu?l>DM}{%-VRsU1;gj#xP^2u;=nRa zDmVtyy;MPO1iG6YWcCGP-IpLuTlU8BLh@lM*D{N6Vku^)N{uvL)(!I}n|&5_du;tsOO zaf1R9UwiMZ?buvpa8uowO}>67!;T(U*e+lGmu&7dh^?2GjoC$WCgl$HasfB?{TV1^ z5KS)6;44GO$_#hihO0rwF8i4%*G};8enIX_&Nak+-k#u#J=sqxAw|S}?k?PloW?+I z`$m;&9UNNt+Gx|V%@8dh-D}{}oRC^rF);wAxPuHE3z*pPQ-l-T^(W#m)8Xy3Z~5?` z>BL!`Gn2bN7==~E&jx%Vk*--ZahJ?0ZX3KwP98kx2GVMffLGZ zzwE~Tx|2=LO3sIpt&kh&i?YUqY`+an29Tpz29D~gDFsnZYCH=n*^1k%#UhKmOXb%3 zHG%9w^|r43?yfzHf!;;#YF}GG3t^;~9Ser-$JrW%`+wa*YDdt+&uK zG>nS07bwBR0(AI%g`M5oy-OV&elPIMN#>Vaauqy#s=i%@w9Bs{`$?2ho_~%n6Y5+Y zJTh13l8${5;G9#>qd(wlNB@N(`_D!HY4+opCp)N7F-gB(0Jq4T5B}@P@j4Z| zv9}lHfX9FTylNc;L;KW-fs72?Xe@`ayZ>x5rUbJ2^Y=*RUKc!G>hK%Fz=*ZEqiftv z3Ld%<;JbPt?0mNhJA&~#4Y{+Ri(VC9u!`|w$>ol{r`%aU1$j8W8V@v;A_uW0Qy)35 zQ?UoOEsDL=i^67|D)y0dZGf+)gj5Z%w|Zl<;^ASDAt$KrnAnznmne5rrB3RvX*&yn zlaNucE3Q)61R>aP24pLot%;w6^m99jWWP=N$#6dU$(~K>l-`;mDdt^ijn^;Gl zuUdyR_GbhwheiV}fCQx$CP((*M)##n7B9w_Rxl=D-bgiGH-#QdYG^i0Z^aDB*tc-c zJk4(iiA_FQn2=M!t?S^1$8i|PYvBKE?o`19AD(z2c3+UqUGwrZ#eI^2az9Wus=zMX zB7)oj83&Ks0&*~3-4UTN!09Rl566QnG*#euS_IkECj|7JtCVgiZY6}JWv443_qeT0 z8Qe|ct27oOy|MK)%85@#kQ^sTwKxp2Fl2v}PM;?1BandrJU-X6Kqh_~LG>(J5OXQR z3xtAT0(r{eq8buI-#XJB8dcV%BT>XOHJb)(x$t2Ypz5`|U>a3A?$IBDz#r=GPC=j< z&LXA7k?Wl;8RP$1Ox~$fKhz3%vOTK65B;TsYFg6gB16o`hBhhnSpyQd>X1lcK~x~C zQ`{w01eFv7PbF}BL1s>rkiLsFS%BbmAvn&`!7~7DphY1oi8uXJwGL;{?$v5fbZqpk zU^_9IIuLF&N8S(EwsWzuy4r*OLE4}^8*A0uLH$9sk^S!g+Un)b=>q{{Yan+n^8v&e#No2IJP z!K86lwI}*+0o~9KNdt^|TX*NUKar^jCN+WCDiPS*wheUd4A(Lpy&;SNh616LbuP5+ zw#C5;iA}^$i|R2aagxasc0;FaHwG{R4FlTcQ;-$u9n^E#FjzM5&L;;WW9%Pu1F0ZK zl?AvCHLGEwSb z26AYikexMA+}JJGlg-2hc87{mw>q0btxj}UIGV5l?ptvyCO0BF6bQxLTBV{?3ND0@ z#Z5tQD}+C@KA{2c*LJ6P+-6j#;$wHz(4@>%mGd4>3Lf#V%| zg9t^7^?(^SC98)I86L}NVvaG{Vm3Dmr04J*ApJfc(P*+S9a)ZYA8pqYUz$|m{bC|? z$~!Y8`S9@Dy<9-PaC}zkbLUrq3pYVdGkDyB`T$sp0W#e;0K~`BSNK%2Q(r(ar92^Y zuCS{x52_qO1WRFJ6*DCLS#1J0Q|$h9=YUc0;-E9)=9n;tzjQLvF$vsMftHd`zruTl zdkRw0J&j0JNy*7ve(*)*J5XDa^Bs1Ngsa{+`{<1g-=QQ7P*wLA3GyArVBc~=I0YHo znvW@Kl#y~{!J^9*c?toaerY`Aj)A|XphA81Fk*8q+-^&3+=h$gq1ArOOdGqaQ&tr2 z`p80>$#*Wf+i?c3l$l+;PBy%-88`A!&}=UyKY>=`@K(ZxGP~NZcBH%BAZRt zcxMVz+;Bp`jjic*$c6w!8IRlHg2Z|vOGLY`s-svl^dLtpit0i=?C1u4Io~9DUg$;r+bR^u=)4fpAi&U|TmYaB0RP?2ApkxL?3k;(?Ae|XPO zWIUA49nRW_+mOWl1%~C(3;{wAxH?KYX7Wl& z;N?apP923I&k%-HY9X5qWG-?|U@;(Lf_PMpGC!T>!pE?HR|oqa*rg7541HvrNO0@v zDy_aecZUsiAvnepc90|JF0cqqDE=g(m-Vv+^B+gCD_g!nZ|Vc5l;7sP0mdlcCL~= zo5s1{ee#H}zOu19KV$E|AWE#t@N(w`WP^vYd&)l6WS=DGpb$c+#xU+j&dbyH#QkJC z8&1I>|A0z@?+QyNY{??;0@a&crB0`>5Cbb91U!Oo3lMT+M)n2wor{kXH&T=!ze!{& z5OeNBlEAG#WkATvX&YYz`2vt3ps$=&Yffeway4+w5A^ zI#MUZgBs4sJDu&cf6~WHVG3HkWAwO04Sbdp5!69fFpl-u`Y)MVzfBzAN+z zsoj-(5L9C|lF%&} z;NaT9&%?4>hiRV2*@gL0Eyn%S+cgU+^rSZct?Pm`Bs5kPMEW=PGq?pB1}E=ARZez4 zwF0NI|1$fGZe;~}uy6Hw#uYdEz80i8;jn`%bDM~q4tosr%|Z}|E@=i-CNxNt73z0D zrcgu>=qtn?LRLqVG#M;NB9dfICj-MdjXB?8U?aMx_sy+!-i) zSih67^UF3E28iuI?u@&VSG2r&?@8?>F0S4Ls7lNX&@h2#|Q4Xj9P|X5oy5GS5rg>F`*oK(vKf--Dk0vn~ zs@>@SHpc0hT-Zwsh(VqoSTlF6InsNEryo_9{jE-#n*GDm7xXJtHmdhPn%e(^+?L)I z&z#Vuk>)mphKH#vNJSQO^vVvM^##&@U}r}3-GeX+TpiURlUnX6)_;S}?)e_I4(|*k z=C1am1&(;-#CdP9t7osN(i^xa=G z-?qx`o}<6Bh0TBQQNZv5L9aJ<$aX;Piv6hH=Rq`zJWRDqN~k9EvO~5NtRBZkt{OLS z6`NCW^5zO{)f3}Zr4AXFOUigpEd6v?8KSpZoPx8 zTYmMM_iesvN%xLNpZ@b%^FLsfPmSn3l=qZUE5KU5v`r3eIJJiXbi1;*OY1ezI{0#} z!$-3FF+jE+ERY3_)ni#f*RY9QY#cv%GiR%k<5o%E*v}yOzE4NNCtmeBREhI)zQbUJ zX#dd;Q$33lsb|4d&*HDDXYqqqPMrPTTe|g=&AvNjbjQuu3I^qP^6y>y@g4`y<+{l zc>1W@fjIkKANh*zH20$(?DA#ZD=vNb$`8Q(ngS$#_xvwy*mzY|@!9_3Q`gRTdBHi$ zH@!FaM>Cc^`itHxSDyEW74N;c;o^Bu-+s>Wr(b^espF2g{k7gJA2_f3=gVK*aP7RK z7M$Z&zxVxhmn_@7eaDq|o!x!Q-=F>7#XH`8_ngLiw)U>O?1)>|_x|nP^VXI8ed$vd z&pZEz=d_P{f8LRoEne}vtyiu*yZgjVFK(DM_m+2G{^fh!^PawJ_=-y&zVg>+|K!p4 zpWSfno3}jljmG;n&tLcLrC-{#b<166ul~=O;09>$HsTejk+p>Wm04mnU$rh<8uLKr!BWX1`QWB+WKK|$kZMw}8P{jTun`;9WORG213-cR z0;bTd974C!6uOlGw5uE$`(%77Hi@}*B$o{zuMDOkvgikyHaH-0oGnh5ZvsP{oG$iV z0*G^fAe>@#7Bmr9oL3vVYC{VXR2wQ5dYWJZo(sx_Gs}{S3}`t(PfZ>l1bS^j3grYa zz?yTqB?;ClPB@0e`SGr^Lx$aG-w|b5X0H(>m+GX^H(yFR2yoH;VR+7cWt1FJUxXNuV%*xDL^*}8b~D{i_;kX916 z1pYEX(uq0{ohG5AK;ZbrJAZgFj(JjIrcpGX6_{E$j3@#To8@UY>n=@P(Y3t1(o$1^ zQMBVhzbk28!xce1&QQTE0q+3Oj+BB4_q<^v%^+5Ea&~mAjk8Gk^DdXAT0WL#R`7B$ zN0X10U}8*RM8dOZsO8v_w6N=v3T_s+Ap%R6EXqW{hqRau{33%TK!y=WUERvF@WU{N zOW?@ymkxAy%#bYKftoTx*;TC$wIkHF?gp7PM?R3ID$8ZVM=P3Cf(ZqZ?V3AjEMZ}e zuE^jNEBM&3D)xd9W^Gi;DPfl-ZL^Qt2Xxv-2#uv{1FA)$nJP(B0-gr0ti~|~QBR0h zcNlN31)xiQf}xJdFlrWd+;a!h=>rRN)V zt0*W}nzTSR(<8)<*M3+O6o)$!*!=^Z21{vx(?o*;lwAe|ZOLR5LgW}qeE#piZ8Al! zf81(f`sGA6l@LUUR9=EKvj%0VJP3(6HK^)H&h$*3*I5%3Jog`2t%HsybGW&%p33#K zllp1fL=d7ZwGO%5*%>!?cQy&?k=+&Kgjt_QeRtK9cG1Pi7}m*)BExx^gE~n-vs1## z-t~Dgs!sg{BCH2pX4c~|k6ieqOL0-|XjFGXtetC49N4xlFnNoZwIm_y>t>CRoZCMV z!5d|hU4X#_WGaMAw{9HM#?4xAvvyHa6bLR)+);0ea8n|lFax%fjdtBE9XkZs6lKWI zZLN7a$xb*mLH*`N&2-EeKx5{%Gv#pUx--K9t9A_9#U`pZYHfMVGc<`P!?7Y3_9qxc zDYdxW%nF+zBn%;dcpj|ItDZ%{nYo@t#+S@pwaGSynPZ30!LVv-;7A?$LgI)s2=*blNh)2-Q zkq8yUE#vU-eFVOnVgaQIe1v?F!u^S~rz9Q&@~#h;8QDk!$bxv4IJ$#S(XSa8RB|N9 zmU>i>-kuPHn0FJz`Kooupm|~^hz!W*66{HlBkzJjnzKn7g7vdnPOw}3#yicqj}2Oo zu~o{wN0(u%lq|GbFN^Q`l>*3J`5<;s`G5a7i-Z7W_v*WvK;9#&@yhz?M+|~ii*j;= zCuGx{dz%1|yBbkGzIMXvM812}opU!_@g~6l|9<7qQPZw@9gw#w%2A~EW>TN?_ulpz z_KJZft4PSz=~P~EoTtf;Gj)C{abw5&hI-XHIJ6T)qm9*^9zJIdWp^MzF6@%QT0M}a z68jK;_CV_W=@lP^n+yD6e2tqrXr@_5W+UNFLy^xE`@mT26CW6lnotz(CpRGH)7g-L zrS0%4NnJXXHFb$H^Z-VAbq727)D9p2jYOH_%q(*owL}L1^st5e_(<&$wBFmP7iEk6 zCHC_Rn9+D+OOdD@USwWwJE>8JHZ|Ibj-`vB_aYJ!u1?r>&gJ}9N-LpAO%A(BRLaYWZqcMxx}Ahuvn9ht32xlT16@ z`S^&io6y%Op-1FECQW2@ZH`y>$k8WRfAu1X1-wtf;J}yD4c(DVZthoFZdmprNkC1y21G0d+tikj@a$k70@pn@+0B ztb`JQ!XXLOItWIsCA3Dfm;w1j`;;Sp`ZlS`Wy8lRnw+?WD>3y)#~U?+gqtqkKnCFS zq6OJZ*!Z-Ok!|^li^9%Dl3vS&ePn!A+(n3~DoHWk!Jd$Tm1H={hM!hJBQ zqO6OVW?iDapSvi;ifhM%8!iQEe6a?-<>K3Ps(>TSm;Bt*B^`MW?b_hpDg4?D9l0-Lip>Eh;0erD;w?1 zINria8!J}MicQi)M7~+T3b{XINeQxG0WV71@3%_*21Y6|cL7IkfZNb~$Ob=m|1~Ix zJKhjHJ`yQp5}Ks)ijjEEF-RI0oK3ERU$UEm%+AiO!lLZ|j0WXTenxfsY^oI{wE$g? zqoC7Wf^Pczttwe0?b&C3sGUG1$~fIPmphBlTZm#}&XE@c^+ZJaLjCx-dcKr)y9c+< zSQl#{l_=00DD7ypIQaE5bb(M!OPQIcxK*bHeTxy`#+MC?yBtp=q(7nH<0e`ziwUWy zL=KSP6e2fGJggAntw!;9* zun<5S8YUFe$7AnR`7$a38K)l_Py(kbX7=d$5rltHf`+a*R* z(HInFfW_@>K@VluBWD$RMLs#IxIH-CIMs%1Zm%}jL_RGmcd~>i#JQSi@CzbAD7(MWW0sU#~$3V3&ijq@Is&EdbGr+h%w+$u3iRFI`03 z6GfukG~+1`;f*^C?pWlg2hIX;821o- zS@*KN#Vm5}G}{6^(^beOex!3pdFIUX%srt>#7~Rm+O(Q9=V1nh-LqQrfVHH)3Njecq0`8TQY+QMd zP9kTU8 z*(ZBU*ui{y&MV6r9r7iJ|f|U@4rPWLWmc>l3 zDMtm9_=gW61YtvDlQWky43kaGDY-J`n8(JvvfRIP#0OYK0O zq%R&vD_JT=8D}I!N=&5E)v3wKK;R^efPK}66A(<+AQ(;hLJX3XDpTQfK#=`cSA0{o z4qMc)=2{y5-V?59KWrzyaOAjiraA}<1V-~Q$lr%Qn<>cNu)RmVa*ws)tJ#(0O~BGV zxgsMZT_7&M9Rjwjz6InL6!+8(o0p&R$mz?USzEN_86u5BW5U2M|!@55B<&`N!$Ci=^ueX0IUj-0c} z!BHSu`!HfvK`t|7{s6GJO!0LR&lFu7GFS(=*OQO zSV^iqA+65Lo$F44V*|Es48B*yOBOe^j9@n@e7cd&<^ERm_B)yq+-+F&tuz?opPWS5 zk83XXqXLdveD5OCy9l!LoWwDxfLbSE-z^P(1xUDcc{74_&dicDNOOtX1R>Xvf_hzb zTx)e+w_QDsZx%(69jvRKp^wL64T_Q~cjwaJh!%W_fT_ki5ugKmWTQ#XBb$IbjD%;^ zd27qJb3KcGPwKOImgVYwHWmELX+>VSV06q5Qe7xlJWci}>f%tEQDjcUL?gxpQ6wGo zK2&4nrW1*R*E3M3J4Zr9>dI$=7E@1Fkc(f=%9R`6MmKSmB?j82C1oDQO{0PdgSnD% z>S7q2X$1newLA`)K}jmsNIztGL1#6d#NbFPMqeT5O3$lc#E346;j5CQMi6T(AXkx@ zRzaM!?1JOCicXbo>UU~+&UeUaEven!-K%2Y+bQQ}eU?mx)eYW@+pm(=fM)i z-FpL8SWH(g#T^+>Bkf7oXPgA(J8&dCyPSAY(yczS<0MAWYaefH-s#4OyVaRth0R2w z)wu|-e2!bz2)Uq^^d8~8v3-r?#ViVrP>V>xjj0N)j(}Xe_80Wy{R*4^nm%QCKFX!0b%0P7YwTb;iWce}F55QW|BISK3S=b>PrUvH1xC)+x6ea?4K&1CNI zxw3!=F!6~K#QUG5*RR%pxyu;`#lqWpK%nI%KAXo}_n=z^i zE(jvoJ|V2U7~O5K%jp{jw!W0mMqpI6CuO;O;{-WMNDd30YtVzEaq>ILE$1Ca5>X|U34ZtodhfnNiEuJ8yK zY-6U^dH1d{ld(BEjyXqYW|hV#cJj)17XFX5Fcmzb2juFr9y1HH`?htKR2a$GmP`c; zQ&E4fQ@Pz)rSbP%USYViEms8ncSQ@CGu$>qnqAaEW0fc5m~C3w*&V#cNKPm6 zI2GmBH7%r-R2oFCx0Znw{IvWGMow@UOfXXqGGu(C&`2yl#P z_4|K@ROMQSerx2;4tfh*N445FbW6;e$23TK3Mv6wobqlw(T`Z{kt+aI+RH%prCWz= zZuN{VsdYfmJ#T<9M9BxV4&w`(u^Hs6N3eL6PgZEMatA98FgBhsA~O~*@ZHpd*ZB}_ zc7UbE7pB7g-dBCw;>;(_q^uZX%nlf0yq|>&*{j2OJmgDS8j%H&GeR!dh6&e7$aFI!d3460#LH>q(P)*{cOg!OFM26lH9p66YPZUZ7eB&yC5V=T37LI4CXP z)#{;tYb#Dy;$2gb)jDM3nR{f_I)I#M9at8(5~YinC(`Q!;2f;hfY#x7)?DgiYYJFP zflpNEqF}o*J&&c1FQoZLYeI_5bioeOX6G5=cwefNowUZcjkuW}u@2J&<~AMgYff`l z`9wZ(vtlB9LcY#^xXl-_6#4Z$O&rf4gsqvvlT$O?6~qm4AzL#tw9@P0n{vw#gkn9~QV3F~A3Y0n z3Vf;P87Fn2X94aHI&8~G&Y@0$kNOJ4U4<@$fqux6>C`DGq@G3B*ERGk?2*(xk*`}x z^ej>!H&3z4(X*JKxM!;_L<-%Lt|3W8iJk?IrMo8(LS&m1Je&F(XU9|@uF1QbmJt{)A2e^Nm{ahoFdg1mL!Di38hDtZxmfVgQSc@j9fIXK-x zkXajGoc%;DRk9bEWdCeL*<1Htl({S(fSdafi#=1h)85aGSw&W$S!Weak?YkRR~lM* z4OT)>-Vx-j#5;MGg&%E<84@^YOX|^JX*<_+r$w^@S~0#V5H~&|{2090DM|;oUE$zE zqxlk0cBx)s11o~lW&YASiW0IdR)lL!zXTshjl5EZ$x_D65W>Dtifx-pN}FTjmTRzHt2E)nGyPx zU1pcpR{Sc&>_g)%;4aA*UA3&`Fu&a#eeC{_Y&$d%9&0snQ*&kkwo0?1J_||PsSS?} zHF6g3ssZe8qg@^iKSX$!0T0TLb;S;M6DU4YtR@tnL!*?+i^3@1h3+Ut{*@|KK=`A= zwsf)AI-uQ22bnq9?XTGFo!pK6VFA2Ub_!yr>)hpDSU~RdY~N^OW>Sz)WA;`S8wL>! zESct5P>uI7K40jw)m=4TiE(W5qH#&tMl+XeY*9^j+td{8X6$;&u3&kB<{V)mHRsHT zNOm1#0fx1uTn~0(Mgu#VS4b_z8W4@C1FH8()(rNzwACyQNi&~mB`WSh5K~b~!{r(a z496^oFm}A>P>l2I@u@=UED#NXTd-DQ_5wbi^aa^>Ht?kN{Vd-BZPc#dq^hCIkzpFY z@~5aJJ|H%kyvHb!SNm6!&n2*L$44m0g*zEGWybE9a#{w&)=YtHj@H>lk~dGb2z)mmt&O(WMguYY{-zSf?||<>;JY;; zJs;qk0`n$2ZB}tZnj9r?I}*aJ#Wq7-9|7*m;VbB7Cs20H(a=ld%~J@$cZ_+a$DBKN=KhljbS2RC*@4SeAMHCF z{YqBvU{dc;DU~U+zE&S91GQq%86z*R6r-#v2Gl52rI^xA7S#rC^GrtaveT5rzWaju z?Gd7cNpw)t>826fsKtt9H-T0L{HLG|SDduprL+aKqe*bv4sVR4K;%74UP6)xaHj5abGx@+J&>YlMa; zVAR+)yw8wEXXjZd4~2Ru9xm*OdGTT5$(FGjk#6wj+8ZO05-KZTF9E&mUR1xz0tABd>^zMRPJnXIdIY;|M3*})I%qxn zX$%1@7eWjs5VURRpG)bRo`!_PFoIxswAIO0+7QE*PGFbt+@lDB}tHw7kKO=A0dev>+O*|BHtylv|0+aA2<*0CeE z1Y#nw)s(mEZ{2g#$EYmtc`J;(?btQ{v~TJ|&yo?W&_YI5=#sxl^XBdi#EA07uBX=B z`MG^MN~QhJ>%0E>jvJNjee0%4<8Av4e#Awy`9{hD~dUIqsXzPY`lS)`S zclIPZ?s_xP=|ZH*chS;rS_&rIiF4y`jyTH_1{i$TB+rWNnA{EC+?06p&{nJf^A5QK zYH%mY=)n z(#VQU2?OiJtUmY=YTP#yC-3?hGN0)RoIjnS-r-~gUIwcy6asRgB5u}r{SiaO+3PB) zX^1oEoD39T*}&L>h9xRYsI8>&2Yp@b2Z;d#4FG!8ctA>gWo`C4ePRTLKz4`V8yLc_ zK^M9n_UKb^3Kp#~*-pQ%0p2c83_*b14fy6;xIr|A;~028GVNgg0wIY%Njt8mha$9} z6Z|-{Wab{cI*PZUT!F4-3^qzKZk8b8WHE8AqPwj+f~XE$I(Xz(E4d8pVHCB=l3roC!@)k?6 zpl84eEv}F&y)iqU>@a%_lcL<9Yt@>>LD)W+TKh^Tvet$+`8%$;nvB>7;ZhiFk?3a3 zY{vg3=Gtpw(6*?aSWA4Uu8s|;#%)pc*-d=En@GEo8tZ)adeu8Hoo+5oDs`_5jLEBU z9|F@!IwhcBg}}foa5>_-OLx;H5G4>41C>BdF?5@|P*0|n*u<^8-LjV(8+r`9o8S!L zFHYJH#N)28&WZ@jbcXB)H;RD^MPIzTeev~DjP*EYgYMUnuIL%Kq}Oo=+AU)M0q^%jqU{Ap2GAZ0@3Lj_(7*bORty?R*0mrgoJotw;GtBO^cpI zri&>ODU4N8P7Jyr#T5{#KOsD5_yJvk+M7V@M|_?bn1F5U>)=TpC0*nCvPyqm_Hxxb zT%s1W#*^_<fOS3(e!oP@%4HF`(T%QrLEA#rj8X!aDIj%PyeWhZ_5&uRR2U z+{=!AAmFxLO=7j!Jc%#=8!To|1i53x$@?ITDDB(^_>dB>eZF*arFHpVk46H!j~sr< z`pZ6liK?*|-;q^ldwkm&mR&!A7yB%`_v+^kG!7}xniVi|(ZZDU$J&aH41>Fk_^TZz zYQ6Q^Mv3uit#cV_lo-|rH5TZFQjsM% z4MV&hDY4^M7|CQTHk|_Ht2vVCkS>B-nW#mNh8nn5Ak2_xyn(5M0dPXdJ7ruT7V z;ngW2I`s%Z4L$m35Fn=U;~VRxU13hr0AK=V1X*(NCoFu8LBBK>xlf2mdcDf-n}$G( zO%J>26k$JoDDkLth$2mT*x2~PB`*D_;36snOo3plZP778aB%DWs&@$bR&*Gl^sQ{9 zk`5YOI^$j0y{x{Va#a1sYt+$U@=G>p-(h2J+p!_`60uc&5pOv}_jhpHCSu+}yv2|% zd9dMx~5TMWFpD|ZD(5T()v9wi8J_a#;-+c#r!LK%VWqb0RV z7|~+q(^1tsNY!O{wAKBF>S%-{f$m{g>_6yPI85mNz{N1HVnDT?KZ5kp0<{Y~Gqms{ zX_MPXaN3d(+FIO8r$N5kDTFH_#AJkUMc1HuoM_YaacQ_@#FN6>NsCUyHm*VgM4?L@ z(wCY}hroQrRzxh-I}9GRPekP@_eE(Ve_b94AcjL>iy`b&l+Yqq#uP8YmJXF!Y&|+s z+JRV|^whTh_jzQYfM}GBF4;y&ZC#|Z0J1zceD;Zye)|$P;#$u`cad!atx-ZuN_c^3 z6?SZMz!M`q)c!oNNj{KjQc!HV5M$wa5%VOv3!gWaKDrQib;=T> z(3YYXhpKJUrII!tPD9B;zYrJn#Ro~LD>8CB0MgA5IXMVUKCEXnpT)yU=FQEJlkNu| zj0qDdr`c+=D+IoU_`+rP;n_cEX@7jn2cJFiXja?#(Ejg2{QQ!BRG(jLdHS2{SCIB| zr2YPVhi*ClSv3psD2ZQj*|8t&Kk~n>c`|j&@;^TEF=gbtst&$i+5Tm^4t@~daDWMS z9f@h;V}`2v9c5c5aAxhxlgY6>AM2kJ$0;YtKcy*}G{3j|!-SG6~#t#cGi6u1wxE0)kv;*}k1? zqxN<@2uQ5lYhMwwNSk9iR98#dfyUFZ=cm>(Ef2vY)Fe#9E zXBgafT{=pLM`8zWiY$Zu6_h}{1x38M%62O9`^jC@ip^otriqVKV&%bINZ?JgXxz@@ zhj?TW_))d_)lO+`;^J85j6k-hEz4L*;+&gx-h&tKhe`j0N5^E(5(d2fNg8)x;YSE; z%fl9qzva?I$TU6BK>e7c4IUbJcto*-uGG^Cqqu5j5*JR;)je0yHaEDz;Z9TB zMc2a!7L_R(C^1FCuWw`DVIjN$bm{r`8|vj*Ot@t3!3%^5y&%xxr=+9cX6toO0{hR! ztvPBZjJ;c8vE-pd!s~EAklPV;w=azfh~py$-QV$w1+?+y#CE6qTSwQZBJLn3LFaOK z`^#V?w%3KIBatwa7%w*b5Z(Y)h!NnSQnCqG_&z;s4+P?6>ZJ+z4)Iq9)#q%Bj3jR+ zZ6_Z=Eso}k)3yU6upe>$>ZR;E6zMcuO=NhjmgAE7l{kZ2wD9PjUOG6KfDl5GD(=b9 zv>gvOXzA^Q*fZ3t)uz!W#0G1Wj@8{+ib0&UrajT?3d_UNFfQI!@VXs{aX5jcoFo*x zq!WQ@$b|SPe#8dYr{JnB<}>iVwZv&s#%CN#s?ve@l$+xDBB5S)z_QmkZkwhpeU(ud zD8ogF_A&~aIsU-!$4R&&V`*Ad3lE{$Q6a<=TSmk#M zIO&qYeL)MS1B6~3&1!TjI9f%G7b$SDk3X`FA5w8+G#hG6s4f7?NhKlY#_r)Or7d@NJO$q!^V$t?@%dLtj7qX5!f}2-AEg8O4*BJf!Gq~On{i` z5~8u%bZHFSwh|0f7n}sU6}Yg@90wOx`~qUPimkA~n|r+?-vtxyI&tAI$4PrQsabYG zdeu(sR&nrE^%!!!1?H?hI~*`)K@9R;5af0l^4uiYt-SBUwj(l}yb>(C{T5hui6U!q zupLnvq`lsc(xaqT6sB+B-k~C(ScwtHLO_ix#JO#X33st{{ZqI_cNk#8Rg|};91(5a z5V7nUZU^XewOA0h?dS>vyt!HAyFd8lxPiDlPYkD=dU(MNQ; z5QBUd1i4*p-npaR&|>j@*oH%p=Hw+}-~PqM4waJ0XuJ4co6?369p!XZM#}E~nozw1 zIWZg<0Y;!g1n{a7!Yh3eTj{Aoa`StM#8wRw9;P{kHrL)caMoMI_my~h6_{`}`L4Z_ zcyp&0fqb`(C~qg0jyupkk63o`sWur=RJ|KB1i7M*=ybu!3kKiUh=~ntZkHMG=Aw5X z-dr&F_O!W|nPmGZWjkQmg|;AVaRRo*j6sio@4uUUhZ&(_1x6qr0X?z+w{5o=&mLLy zlJ~F13WpzBW1nZp=aRAR9(eyGo+g%EH7Hm;oLt#&dCy=_5NSI>l($Q7j6?f83oN_4 zo>AJ0s&^w1uvG4yoeyYV7d|wVi z+>ibEAKv%a4R5&n_+#6@eg4x2uKdz_Prdx{8?O7bpPj1U-^s0PaHV@^&frm{L=^CaQBtZ zK>Stk#jP=dW3d6p!WY{;NfNul@-~c$xG*B^hK!40$MQtC6cNj7AH||h zVrhrhC#|?`?OW9?-Q`Jd^{BTwVnoCgrWT`-4L6QJZ0`1q(YJRx1CjQDzPN}w8^$JM zVpH2bFWJ_=IU=x-WZ#3f+i6XS7`SR+du(SsVmj7e%3<66gH@h17Z<3?o8Vt6w{U_Z%Hc z$!p$t2n}%gHoPjC6kXG{8BLxor2U#+3A>WQh=4qbez?qw{NINit)*FE`8XP zW9=SRk{e3Va}Ere41NpRi72$iN6_{cxp=hctwzdBnZ$CJFk(@Z8%Z1!h*GHR znB5AKjlv^Lgsa8t{)>8t6X-ZNEGz<6ZuG98S6(=TjsO-<0k*5iZqh?D6{d@g9XGIr z*z)k-ao|k52F}Lmbtgj#1Zx6KM?~mqyvcwcLt>Q{yzlH$`J(yQD35aY5{VDlAtr$W8W4Rs;z{X~}UuoWGjJrGByT5CqxR*iCZ zS-i(;Pz;vx8k@P~aR<-l&2{50>UZFj8Mu+>_{QMTOT`|g~ zP}!k$8$=?kY{Tavm*T=7{u=cTC-ukziAO4M3A_xtat0z!)}9YkaTEbal}q70G#}fz zw&JoxHJybvDoBHC$L*aTO^V)LINM@;JOd4`h9qrco3zdMcoUN`d-L)^k&5*V3k(XTMx?zpGUj=!MCVep zG9x-{n?05>B0D#d`0V)RNG}@P?Y7s^^Wmwt_lm(~pC0%2+uN4*im^m|hmG$s6Q7lR zTbF-iTo}>KO9y2#v3JL!&WW+aXM6hwo#gnqF+3RQ?cEoH143hkRWdp#k`vw)_Fx1% zSYdKppn3L6(?ygMC^V#-#EX=;w-ZJt;Is@zq}Ug;H^E3UwhAeMcpPHgJGd*ptbGT# z5gclYfSVVaayIj1d>8@(0L!pw|Q>6?6M#hV!Z{jE4=wA#BUm&D{vv%8IkybdB+tdR()zm zQj|7iL!@G;)IeN1z&64VrO9wY%WmD1*uJ|uEW5vW*PF2HNOf#C zd95m7t9?%%N%K9~z-DP*{P`kyzhwPeR54tgWn?)aIIK!OOS~tG374Y0X#aOLC-3*E zECX$y?-#4T{MWm%T78ynm6EiP+p+pfR+L$`ulejh={Ko~ehd7Z^w}mch0er6%Gyl> z)i9T3xw1SZMIb|iS)dI-QDmfH$O{ir-%`DUE6@>*VUCAccF{oxq^k9V z$yk+2XNXI_WVOdS@k{Z3k|M~p6S4e8vP0LC5rv>c+gY3DoF2*7UKb_pEr}`L2)uzv zkLX<=J`7v?(PpLM{bqZ&3*hqD+hZ z`aMY6|DrQ*5e@K=4I`lHO;`Kwl~1YOVSyQCn~s?k-EaYCsWInV_6)f&NQA9mPo>*U zh_+k0 z-Q8~uK^!;5fNP4l(e7>-B_pOqbh`0~J1{`cH_5iN)HA~#JYh5;hB;+BQdshS0^tz! z2MI)SjP?z5&ei-XGc_Yd&M0$_4r1TqLv6Hf;>y;tFm z^70LI;eo!M8&F3Orvr63w7h(qmbi35_IY$RzOIyX#`TZT>TxJD$7Cc3nNb5|NC}Q* za4!GXRRR@B#z3}`aU!mIhfDBivlyEvi}4h=G?gh%G0`mQ$Ro{EPrz-Pro7GNwk?s% z0`0B)i69r+7e7thwgn`%K}#DxcG3RDIdswIyyXr=c?H#~t7(z^M_zx+IWW?x>)=I- zHiz)F!qr}^i0H;}96vN@VNeS?%4km+YUO`uTjI)VVgo{5VHoSup+NQ7VM8$~GCh}dcV+rVVb(CbpP9$`c zozTWJhe0!XFt80HDuHXG#gf@v&5>pvcjX}H@tLYCnIBlpsBRCXZu&0woUxd)0hQwZa z=s-ugA$=-WOh@Njt9*y*bkG~oPgSe)ZxY*SX4WOqZ!HK^=c0u2y~Z6XB}TruMapty zW_M-D%r5h2bR581_)9I#*9LDcTneY#OX1$Wu{)~qPAI%PK-JrUcC%4AAbz_v;5+0S zNhY_EOOYeCsVqmJjZZG&QUq=-#P~#`K)m^AR>_0wx1dQmfB1K*caW;z@MvqQUG->1 zb!xivVX^-jY_%}4$T0pRc(hsgVn7wBlav6C`bvp~(!ocMd5y%)6q+Y&iB$XP-l=x! zA!Em3NlH?k$4=B+i_ao4nILb*Lr4; zC@n^NR9{_C`vQEqMyyP;6Rxt2_JKStIfyAr2}xc85wQnpw%C;hO$jkwhVYF~Dc|8)dI6Z1wFoIbKCDzULo@dqU zi7&q6J%|$8x)l%4RA?W9Hm)FT&G(CLVDgb)rQYFZd*c|fd>ns1e;3hqLZrkg7K7>x zcq{}ac!$1L#o#PlF6+3msmY1$YgI8|{GLpW;Lr5A?+Vhbwf#bS2Q)Knr*C_2G(IxA z7e+iKUcM}O$gfo+nm{jgIZi>WDjOaIpyZ*w3)-+-x^xhoUo|oLk&dzh4=zgASWJ+i zai5*m)>MAFvRxe*asJ{jl<%M&iOd$>3x}3KV7eu8SuT@a=A`!Z^>GQYI%z5*Jhf{` z^;3M)rCxRF&pD}@mH`pH#z>1#3E|=oV+qT{mIe+ObKuDXekJ}845`*`!XB1{#C8&Y zIU$p7spZCm5tKLpFehJqnX7eDE{ z{EMJXpJC9$zKGVQUAzYE;Rj(z-TYy!&7;$xVUzgI67fRU7Xq|pV(UC<)f%g^^fuaRnofOT%^{h@pYHZcZ#FyRHd@(hu zpcP4_i4)l^EvJO^39YA;vp3qh-ol7B(}!PmfT;{OhzhflDh?@BFdcApt36xL^hZvV zxrb7zVMwCWoTsTz7Tx+6=A1Kk|B!lzlQ}{@Xek8RUT|9~zm{0n|KRkJbr1gYI&|;{UBC6p`*Pyu(ZS#g=DS>|^qxX*K>azoWn4ZtmK^xhsc2W@Mp<#N~9G;~b4F z+SJHm7mX~08d(T6vS6_QCTC9Tf_`+78d)&Q?gFvwUU}*rr@*6oJfBYYRGLorx+m^^ z8)#Fnt!e^a{>1Md&qRp?z4pM5Kn6=G%Ms5aCD%Q1phE2z9?jzt&W`fu6&fjnU=B}l zw(Uw2SkCt2WTY%qSNc(Y?QfpB_N52j_Qm6mfz|lY123FDdCyy)xFPY)r%(ZIc-#Kt zr+)eAJiJen?O$H|!n<$4%JJ{L^Q{kk=6l~fcHJvZ-|z?fpFDN%sfAq3q0G{fqOT$f<+hS$sW8{;G?PoJKgoEW2_PtfbaX zi+*fHH%iB&ts{0?IrBLsznMyYtS_%Z^lefVVwDnq)*9_o_2kA?N<0Sf=E#PdtcEtQe%ij0&XLD^!@GW;k5v0~Zv zen+qVqPehgD8oRAu}iQScJ&$ZBqWHpCh8GK6Pnq4hNJe!nE zR9bz`Hi%%Wg!aDKL|Mv63EN?ahqRG?D$6daC%e`J*_lGFvWi#`U!Bq(aw3s2;z)c~ z4+!)UeSCSq3U_Qi@RZ{U(AweNt= zi$lvIAdz#cWpiIFM38FhVVjdS9FO2HKNu&3?j01H;#PDZ%(B~x6vMcw<(51h4?0{SX3IH! zA%JUFu24^se21;h!2nomjpEHkOWW#1%oH)GMxkvfZEIA5CpW-5N=BlC-NX(&J{WB? zMq}e6qSs077jk^CKPgOTgDE!^i)IqolY%zHWF!tx180Mjq|1`TegelxyRe9TvGyH4 z{o}0Of!vEytglSITNUQvggt^HssmQzg9RlI%GJ^p!tm>fx~r69W8PTCNKDjatx927 zB{oKLGw(WM5Zjx3AU2{Xw4RO_GQV-T5_dp67V|)j+?|>dXmv}KSSTZVi7{D;w|0+# zj2G)snmuE&+vB#2E+hdX%Tiu)b>DWS9aa3wAj#<4=y-^-Z!^|?7&GF-wi`)F8M}R3 zbj%ar+$Lc0iZ)drNl`i$C9qtf6%=t9ZL;N_@SjTNI~9D~VhFgi%dh9F&v;qB2b&X( z%sHyR12-#4*%^_LV^OB`X-&#IO=1szK89%+KVXay#gB9)cF)w}#Y%i0ZKvISv4%K# zg@|_;7ywApZoBV$5S$5?U91CjhJk(AZwX5f`EI|7ix6p>A#g&a$0KcA>td^H`-@jj zJiOC%DGfrt3^pwKU|V)wFCt#i?eIh=Zo;>zRBmd)p-9n zT?apyA>X~oAR}1IrCinguOhZD%CViDlF~(t^;~9GXp_hGsNNw?-+ty3ab}o8@lh?4 z@A_1x%?8zGUGfJ}#QReCh8Kjbb|U6xRfs?gQL|(|j|q2v^;;vjMj1J@l@O#)sSBXG zG_nkAcAGV@_;z|dnw0q^zg9uK=HQ;#tAdocTk$D)BBr&CDTrU0Of6Zy>C&akUppY* z9KT|9atv$ShuAKM3+uP@!}sXt4wd*Btkb**c+H!&TI7s|05b(O*vL{!e?MtCUVk7j zVhE2NB%KcQX-MI;wUbazlh8NpU+Q5ce1Cj_bK+qw?t)mYV@p33hhKbB^$v=kb4)XC zmSOYs`8ioTJgCy7sy1#F3kR&E+Yr`0txt;KWO#T}F5w|5{@I$~jYZ#dlotzon2H$O zHDkgfyd9+E@Q{c{kH!W>ETMC0LVLO5QfRM;0LYM29jkfQ_MHnn`qx=odZl$%ziD~S!>))p)BuT2cLR~{ zb_=}bFtlM@Ym3;6Ej$UeoJhB%3Sqf00!szCbc?s*5@HxZ0TdX~V$t>$@*SRo1_wsK zN5I94G|deLdFQnb)RPp&)#!9pTI4GHc=&VCN8rZ~{=h-vaFID6mUX&{R@V~(BGNPQ zhhXTRysceaoTr6DuTUfw{}%NQ&tHP2ssrv%TCx5}4)-T5WUpvDS)67#WlXr91dU`* z@wQV!oYm64;w1_EDovi~)*;*25F;3+%pjEweFpSNOk}CwU+2vv~&(Z-C zE}lF1M(8<@ll(ZIfZR{wm1S)2PRc3FZVcgy=&%`C9#5>a<5!^i6ckz+BeQMX%C_@` zt5ok$#H(OY>mcC!rdb*Gvi5m*CIm{Ll_&1UR0AxXtE{=$(i=%81_!oi&mK$oLkXp( zG}NrbkcHup9&ku8eTRCxD)SYEiQ!0paD@xA9q zZbbi@?s7x!&KKG??g`{O{2F`*MQ&2M7^Y_1`ExPk5Y1NI`zngJqSH-fbMhvl@inpJ z!9?s}wqH_$*f%XEPop3^lSQKpvz|Dw{S)dPo|mex@Mx>=Bl@w8B!TXHSnPk+wjh{L z&+g%mODFicP!bw-kBRh<$ZQTvTS9>EGOQxKo3Zuq7gPvkQj#D?td5F_p;v+UxA_Zh0L6h3s5%1DkHMv1dxBcJg*_?hI6LS& zb4KFdnCXjeQ__<4QIAe)aYn5Qd&BxGtO}Sy)w9ujx>4qzO|z$}2g8rjquv~vR2DNP zs1QVAiwO!vr+W@~bI-}ICqKSr{ddYS;ja6_Wp`+*UTFHw^@4mOAy>A^KX`~n7AN-7 zxGc?@g^~VtHQHx!)iGIHFU*8HGc;T?<;wCfH7UhrXP~9w zZ}~FsrmZVLpyv?Y@heC?g$E2dMr>ljJ-RxXx*5EB=J1vu>XdA{DhBP<$-F{?p}Dl; zQvAx!uUx8nhbnj_Y?2XR1d1Xst)xq6Yl!I{j2K+?oB>DlDK~urPO}k(A6etgCX6Sn zJ~7}*s7TAh;WSNzn4s(J7hP>MZ_(d1jV&4S=Qv#2#p!AK$qrew9NFUZ{!f3OdWYxO zNvOJ$kb6%^_woTDdzue*E}ee~+p`tV<~})F+qfyVj7O?IuSAntLuEPUdjngxFNyBR zBC)kD1)Z+OB${Z4_R)hW`sxzoyGq;}RW1d214jcR@H8UP%|G?&bm>%8i^19c4Eql0 zu5uxF+=YzXkDDHn`JwPxS#XAbOCk{97yP$ff3`YFT>DdG_+JR!UO8pi{s z=!72-#jcH09=rqf7lA)OZUqIp%P$hHgC7Y;0hSD@9%@2RCP;P8E2B~N)LkRoJCp^e zWlqAs%?eZvTQ|6wtOqSUBbn=7p(Rt2>u=&u3fn*J<(il3P|t33I1_4BC}?}_bV6F= z7+I)5Ba1nQ!j$=@-t_fh>kn#^J5~K z6kP}5B7E*Tk{1icUkxrgJ@leAo!bY*ORbgX9TeM~qSFp(yKcYXLHqk1 z);7zW&M!2wKi5#Kcevr5s&@z+l)0Mb>lzyYfBB)TOx$}o>wtH|K;RWWBP%YR8K8Yz zm`7MFCxr0MF+~?XlQ68e=ML^NQMQe*5~pRCm{=ve_2xiyr#P`loB%6^%;?O2@4;*n zIw@cMC+Zzkva?U}ev2V+!p+NY$s1Xo9v@bWKrph{HH}M5^bQ>{`+_xMxh1+Rj4YCG zFXajtJL8!hSR_K_p1o1AKeG2K6BtjcSHL! zO?+BK1Sut4I;E=S<5XiG^9v27^LQz!g0h@U7jatqeX80&c3nYhxFy{hj-48T?c1o4 zeDF~%{;(=}DxG_O0x{@|p?zAXMDynUMDY|Wmfh1tjeJ^(fA`4@eeo}6$C&IV@JlzT z-l3W-yV|yUac^tnzC2y&Hn9~IiglMruK)n1Elgze2J zY0KzUtzjysHRzH@l>0Cb;YL@1Qu1 zupR{naN;1>i3LodAlFd@qJ`pY0?|?8;86skIlQ9U2pD`pFRG}wT-zkxCrR3x*f(5p zDiqs^nG*=^WP)lw*{KK<7f=l)#RTx3n17T+eN;N#i)PFYTv}C6${3j+Cgl@YE8F`( zYMYgkAzfop40F$XC%A1@t%7a8ELZF7oUOzMeX*JREr`?FB<{R$2zakPgGy)5Z;|!{ zj7)W^vh;a#SF4srEW2k6PM{V47SZWOk{SBqMMc^rw2{l=ZQidZpZtjK9mGP13Z9F~ zFw$s+VPr-d^yF!VAnE+LR@#dn^&H3+cqEO`L7Q|aH6BeX@J=-m@bXJj>e%wdB(;RE z?cvda?UG2+Mswog^GbE#i1fAT9h~rRjZ_N$W_kKxRd)O6Q(~F5P3wib^YFh??{G?Y zi(AQe$k28=MDmI5Wo9wx#^DW=(1z^_?voYV_P3S0^QoS=0wbUwEPeab-FXPU0|@Wc zJt3)qEsRnDYl?$0Ti~AH$&9usplv8|Qex0SPFZljnWwt~TZJi^`wg+We&9?9&LiCu z)wA7E+&Avb7aOPmMSL|)z8h#yq)JsEFA>{JYM}!^@YFSST^(Fd-iG zaBSmAHnh)EpKyMU_Kj&5A7W&WJxGe+s_oo2w_c!nhjJvJA<}lvj^wjxwLhLks`|{G z+n>DVZ;ssa$vs%Qqf{|$#scD84722jZQANnx}Ll%+9;R|lC8dDMbMl#wYP6T3A@>+W@Y1gJ*I`4i3 z^$yR^+XtDu_tL^wDt9z%Lc%WM91=XTW*E7dm{j62hrCx!1p1Y6FFy*IFPPnNdccay zyxCoy%DX4$PKXK9x0DOV8QczZUv^{iL~zQd5{izlQO2gLwV)ggQQ zsaMC`Wi>4w6L+WFl;A#AJ6c-0Pu7?TH}oj&LIigx1pSg1;)c|6eI zhbZ$Mie)#h#4!@576cRL#g^(FYRBV>PAQj#6oL{YpG_?u@PxQ#0GS_X@4~wd)(V;l zFH#JUipbFYq)6J;#iSiE1f?@nn<&Xe38HlCB+8zlOiEs@5?Dy5TQ=R@xK{^d34hRN z-yrbvD;Se4Rm2f7@J2_vC`*^b-6n}QV6BINfi|oS1Mw({rPd}fJ(2_)vmtY!dL!^E zS0St{ZFdgUM?CY0>KzabhngcGC8Bhwo&~`Tb_##9-NeGPENOfM3{-~vTx3^{{BzYm zK4V<<4wtC4uJOdP3Us>4wx;de5BpfQ8-KT~XK^kjUt~;f3Fuw9o>bgO%CTR+{)^zw z)x6M}s<&dUY@cQKU%yAa!*e!0G7X(qbfbD4t8HWCOuV8gh(I@r=vbVL^(Rwn15D0U z?5R`?3{`+hGu4yL#jE|6;$mtfI}%gWNK*L~;vLy-2LyVU*U~MG;40CIHD_NT-Cn6S zuj}CS|M1A7`W{-T81!gC2B+a#a%gn~^z;RxfQJ$k)Zk)T?ap!|^|f@BdKqh$mkV*_ zZq+-K>2*qUMl3Eud|Ij}MwebxJE6oo;Y)3d;5M;}i($41mH@V{#7naXa@VLLKA2x2 zK)#E$?N#P8+IaaTBd+|mpKn_knNxFhUah&Xr0P~Y+Uhy??7JF^tAdH)d6*;c-*K?j z11U)bAUxVsB}%rvAi(aV0(DfAawT@tEW2s3BHtC#gFq;4`eYZ6C?yHBIIBWvr^(b@1s!5gFaS5*=PTr?Adn$@R6+=0$ z)v7W0-h+%YJFsHHUC3gnuLd~zRoy%6rKg=c)rbW|U3dt(UCk>faVii)#Nu#S$}FNf zOU?xBC#ZC|7^=z&m&0va8QxoFXbqPa6dIl+s3vs?<$0)NcJN|k(9+75{0Kc=NvC@_ zi-j*sjI7ML6+1_NgGUzC@X0E+Nv|iC2wA7*_Q<(}T^83H0&O`7vT}|pJ)m#Kvw}8K z@OD*}Mg_Z9A5p!-oV%BF*EOF$s)dboWcv4Sl20vAC^r7LZj3{$z>q@n+ zH>K|x*;-i_gt+U4XscCBmANrXg@H#~nrqOvb<1#si}x33@*<9JE<7PNc>32BG@T?d zPa*LOEw#c6)})3={o!Y(KoMm^_M~G*I?KJi#a5lwnVF>}R-Xd`E?vWL&jC5U#Gjt7 zCJ;;4(#S#){8cj=w#^7I0u6^i#~<)h$ptAiLn7L2XONB&`t(nkt#&%gC}f}7Ac~Gx zW+%=z7~Xz&ai^(3Y`O5X#R7;6vZ_;3$Kryd%~Npv?^N%QT}|g{vEXuTJ9`}Dkxr|N z<9JU}VI|d1#hA=aTg6bZ?HXzu<0>*)!zcs1n=49{o6tA9YCiB7`wpQ)%LW;Nra)j= zzYWzCd8wC7eCos3pB6)37UJP_irk#%+YhJ554ONm_hdiTHTPce{F3iY;3>7as1t*M z7pqIH*x=coIqjP{=KuD1uB+a{_mA;2W0XqOlj$q&5^WDpp85QeA55f0yu%3OA+YZM zu!OSrL9t3Mu|_g zC<2y#-$4E~?+@KbTSET{dQ#n;2uRqyT8 zzJm)6cv*t2d!FJNK*Uin0xgPwX;JgEsrkmSgP(aeS8c=)u;o4QyzU(o;~rLa19@H? z)O#^S`JF6F;$5kBixwMan-Qo50%12--R3pR?kCpi-XS+1dOjmp#z%|*BhXq1JoRLj z@35782T*=bBx6}5-cBIK*|~^Y3sBB2BT!2O4COn_rCs(YYVHz^Tl)^5x{-Q^lSC(; z!|h!Oi8u8nL=XITe8o2%9q^z(u1wC|9ODEN7@4|$&v zU<6tcf!(*M-k}sVd>EA9Er}~p8U`Ss&|NENr~iG zj8>T_&tQ&KEHZTBm1)-mB#wj;Xh{StG{R_n>m1tU%x&J8OcstTS`uZ>G$X(WYcbmB;KIm&OvGL8>`vKY+48;-@W zKt5hl92yX8h!J1}@(>8Uxn?zP@%qp3$RZDKK4b(K0fm4+vbcoUZk~Pq<)8>z;otEydD@XBdH2M!+9g=r^uf83@ih zBftox5zve_1MTcPq#@xQMt~8>LcsSOm}NH$6W(P68U_Jo*=?Aj;)F5+HAaA0c54hc zN5=>>4g!iPFGN!8nOSxl2OCZ)Bftn$3xVKmD8-{$f^l=6;%X(G?J@$4Kvh|G-?v-O zSuo2kS2RYT5fP{&%dTsFn`bl|u}*NB8G-5};EycA=I6XKi~FArz)T1*%kE4F@p(po5vT$J{>UP1e$JjO?$0WunoTkSjDSLbS$4T1FanG~aRiuU zw>T~=Wds<3sv_WzESP1tswlH@MxaUvFw1V0fMv6cK*J+YC8j)P*=_im!5Lr#s)~R= zvS60os-n!s8G$MxP$l0Xn`QT;RB88U8#-;LGR+%CfDxzy0--losS@eY)_kYU-&rU) zc*6)V0(l7dBa5*4Ilqv_{h1ddA2I@rKxhas%Wh~;vLQyG$q-u) z5^au)5oja?{N90Cb{nbCIIWC8l@VZ;-6{jj<{5zoN5JWw$&`e3lWYPXw4{w?4~-Q^g1@JOWi>%43$@ zg)dr80V7b)2>2rlX4$RhLgKVB0;LeBlJAhsvU@_R$UWMIPTQ$W^M(;%1gd~Q=*?BC zM0#qw;!bASRY35D5nu%J5b#G9%(9z@3m-B94S@i&>^4L(aUvOk1weqA@)iJej*<~z z1pJW&v+QzJU<4Ww0rnjlvD7%tj6lO9;QI~@pAXIeBftoRjR5x!VI#`+7=dO%fP05# zDM`);BM>$Me(%66yI}*%_85T%Mu1s%8@TW|>5M=P5b%8mX4$O))*J;R5IO?PvKu<2 zY>*LX5(JoKw@C_;v%v_2k3el%cEe9UTVwT*s@wG-93LG&2I#N5CIh zFw1WBq2?GEf$$Mvmfi3%Ws8hJb0AOymfaK0ffVP05nu#DM8F?eFw1U;n6fEGpk@d# z%Wln}=7<=9219^Zb{nj~IJt~Kb0FZ4ESP1tIf|2W(P{`V%WkWcIOmrUs1$)JG37DK zZlwjldW=A8BH)iKm}R#$i=T7d=m=EFcVL#?Mz0v0enudCQf#R}_0*PGb`=b~VFVa~ z0tEb#1+(lHAj1MipaBqImfZ#@Bu*kDupkI9Q{IBW&XF<#t&V^{vS60oR<8)0|Heds zeTT*@H%>Jp(BKI8zC(lOf|Jh(FaqHtpnHdt;TvX)i~u9h*a(;_m-4!jja`JCdPbmH z2>2rlX4$P4z-*ThXg~y*Ww!wfjg!m>)DQvRcVL#?8e+~-F#@3>z%09=LCJ;~fhI$M zS$3POKsh^%KzIl+%WimBvL!}<5vUY_;B6>o*{u{Y)?)%lt^V?9Bmjr7v0~_Cq zIlm26Zw1cjVFc;}0e@r>ijz>nNPT3KQ^5!{BLY?O9rQ5bPwyt*;iOc+d9>Aw$mx}% zBngB^o4JeWY7UG5BTx+l=H4!)N{&a{Qbyis^T#2ZF{5y(Zr_|_Ly@4zg(xw!BV zBhVNKRFh?QYIDEp9rn_kMM@1emZ>?5?P|^<6mesq%&BAq8W90z%4@_@<1{k@4Ud37 zXAz2%P{K&VW5gL?1nL$6_8scBXgFbvKrVMa~-|zzEC<0qz~<1RGz(2($nK z+&i>DA#)ZPfjJ@I_YV4HsX1BTix>e$pxF>$mfdD6QO*w|5Gn%Jw?41lhGLf8P+?_b zj6jVLV3yq)0nO1c0u6@%v+Oopk#T|}D|GJw~8m z5U3{0?uP&VbG^QU>K#lc8MEEcX*(MRX-+63&~ONZ-dxcK3_7Nuu7Fu~8?HKVf*FAt zA>fZJm}R#{cylz2K&S{X%WkM}vN1-Wi4dp(%kIf0f{U}l2rvSnAYiUss-8+R%Wf!e zvJpn0#t1OWZjAxw=oo>9L4a9y8>Xl@p^QK?A>hwhFw1T;6)NYYbr7f~%kJb4u2at) zm}R$h>J#U*nGl!xZ5b#G9QDVC()sH9= zoNh&evr&=Y%uYLuWU>uLfDtH$z&w119W%9g=TASOdfZJm}NH)7d~VJ8Ulf8vg}Si@Y~9FC_!=; zint*_=0q|A4T(TCnev8yWfPAq8nRY!q8WjzBjArLLU9sG7^!+5I08nXWf7>R@8I0} z0Q(LtTeCRhj6gXAoNs-ddxvrW@i|7IbrJAK7Oe{a=bRB>1WF*ly+a9LSj-5tJOY02 zz%08hUlSMrMj(R#v+QQD;5|lw5hz5!_Z{+BcJDp@=+Ib zxG++dFanG~I0#ggW%uCQ^qhqou}0;nj7O}U*ojAMI*!xvyv2e8Bftn$34sM*+1(X0 zh!7`fDPEk2qR5KlcuwLf!NO)40Y*TGIk(HQjazeJoxNTA4vaG+zz8q`xd;R^n#{7B ziwhqy0u6ycHCcAu_w6R%;iO=e-G-4*_}t5EJS_05Ri&AU71QY-Q^M*YU_A z4{knW1Q-E@fIqTemR+t7j6fqIz%09sSZbVRMxYi5)PQC8L@n~caWDdmKtmzmk1UvF zx1man6Uzwr2r$boR|Q6Z5h#uTv+Nefg{6!DBT!WY{E-E->{bWbnkGoH8an-W&{|4(g>IoR$U(sO9r9Rq=SHVnf|EBVK0aUs7=aKGs4C0udpq@W z2WHs~vBcOEBTy>@7Jy}UZgjdOXgh0#6UV~{v>*c2WZC`1I-av=!79aBW&|ogAb1;! zS#~P`i&YqbdPATFEW0O|Ww+i+ozuezRD?hkS$19X+dQ(Us1R6*5okFC{E-E-?6zFV zbB3D&0cP24ieltUFalLTfLV5{04keg1Q>yt5b#G9%(6QZP<);ds7C~tWw#znh10|c z)B^&{vRep{g+y*d!y+ zLEq>47~pv^nSSJRu+C#8bSqiyK4oys(C7y(A0 z3JA=vxjMq5G?g;)!{6ZVEUHjuY?2XZ0tEb#1+(lnL0NJZS`Yzd*=@l>=PWY<6(PV( zc@@FLN{j#_5H14#$RZRv-4aH^O)y(y1Q>w~0_;0vu;4vLfDxz}0>1B1v-mh7Mt~7$ z6a=_;Xq1xTbTR_9Mu2;VS|iT!F#?T(fZsbX%Wk6-7^jmFr~v}ZvRea?ISNLgff4Y1 z2gS1coyFH5IR5WftzZ1af#cIp{ob`t9LR`IWr=@YTwFr?Km()0NoNG=9f28}YpHfw zt`&cZx`I5f{l4ix>e$AQS}V$FjS-Y@2stw^8qK zGL(d}5k`O!Xh;OCqNz4Nc$4xSm}R#pJS=1c>Kp-P*{$;e;^Z*`3x>e_SawY@Fo2|& zFd~k8N%;;7mN|}?5nu%B6#;+FLb2=~d(-;Mj$O0=vSaVre`F7yVcxPnR}9fy#PynW zP8TE4_z1L9lbut$oVjsN?jBXXgH3Z5Ni}ETs5y&4HD?iuxbaJXbHE7HEdpUT*X%am zS8Qa#Yr)iQUEqW<0yRQlT8R69Mfnb;S$5%4z^zC{x>X;wQMnYe)2>lwI2uNv1reAZ z%WnC`?Q|Ycy@OP^dbBOYxT!Ssf>KiLd$g@UTPgE~5nu$WfItNeP^xG>+Rjc}thkfs zEEIUWVFVa~JOunXi)S%GaoN+4(wxN?)I-pJRC5-gi1T9PLq>oR2n~VoSau&OX!DbA zeZBG>N|4+s@yFG3hh^%y!*=!Dp`3QAKsz)b*bpPY2;?CUB2(VWGL8H1R4aC#yMM-! z#dKbJ_>d7`1X==t+qcf>I}~~Y>^rnX-QY|%00JTU4g~`P=hg>i^c@-iB2FSBzzBqg zfZ>~p|J{tegA6ZLw!{c90u6wG)M@d7`1ey*3OMga{$=7Rtk>xuW%Y`jAdM38Mo8n@pTXfu|ybuou z4*t{QE>V$>3a>-wJfh3UtcEkUkZb9V4Xlq!TvJoq9`8Tb7ppZH8RL_|g7@Zxz|lEf)jAi|8$O9T zgFdYEOof!MO6cjLR1V;Jdk#}?>EY)dptHX z8nxLM3ScVsTW`Kcy`_im^~GvUM#lJLu;9HpA#ij~SGCSX^@dNP&Y%w~JyRj&s}ei0 z3gRl%xv$`=v}7PSMLW5^&_6yBJQsS3eW3uRV!!p~d(>Nc_+DSE)?{RiPX-I#n-c;@ z=X6!;TvTuPBX4U>kB>ef#A7NoPD7HreeSK z=6lpzdiY*ntkz^?j86s&-kTEwN9S}^>s(ZC_$2BK`moY76;i$`u@kExu0oyr3a&~^ z27*(xlj{pz;|9J^ANxW9OvQfd&G)Fc^zgmDSgpy(7@rIlyf-HVj?U?-*14$O@JZAe z^kJoEDx`c>VkcHXT!lLK6 tsum(303), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(303)); + FF_302 : FD1P3DX + port map (D => tsum(302), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(302)); + FF_301 : FD1P3DX + port map (D => tsum(301), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(301)); + FF_300 : FD1P3DX + port map (D => tsum(300), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(300)); + FF_299 : FD1P3DX + port map (D => tsum(299), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(299)); + FF_298 : FD1P3DX + port map (D => tsum(298), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(298)); + FF_297 : FD1P3DX + port map (D => tsum(297), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(297)); + FF_296 : FD1P3DX + port map (D => tsum(296), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(296)); + FF_295 : FD1P3DX + port map (D => tsum(295), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(295)); + FF_294 : FD1P3DX + port map (D => tsum(294), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(294)); + FF_293 : FD1P3DX + port map (D => tsum(293), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(293)); + FF_292 : FD1P3DX + port map (D => tsum(292), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(292)); + FF_291 : FD1P3DX + port map (D => tsum(291), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(291)); + FF_290 : FD1P3DX + port map (D => tsum(290), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(290)); + FF_289 : FD1P3DX + port map (D => tsum(289), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(289)); + FF_288 : FD1P3DX + port map (D => tsum(288), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(288)); + FF_287 : FD1P3DX + port map (D => tsum(287), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(287)); + FF_286 : FD1P3DX + port map (D => tsum(286), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(286)); + FF_285 : FD1P3DX + port map (D => tsum(285), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(285)); + FF_284 : FD1P3DX + port map (D => tsum(284), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(284)); + FF_283 : FD1P3DX + port map (D => tsum(283), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(283)); + FF_282 : FD1P3DX + port map (D => tsum(282), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(282)); + FF_281 : FD1P3DX + port map (D => tsum(281), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(281)); + FF_280 : FD1P3DX + port map (D => tsum(280), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(280)); + FF_279 : FD1P3DX + port map (D => tsum(279), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(279)); + FF_278 : FD1P3DX + port map (D => tsum(278), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(278)); + FF_277 : FD1P3DX + port map (D => tsum(277), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(277)); + FF_276 : FD1P3DX + port map (D => tsum(276), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(276)); + FF_275 : FD1P3DX + port map (D => tsum(275), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(275)); + FF_274 : FD1P3DX + port map (D => tsum(274), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(274)); + FF_273 : FD1P3DX + port map (D => tsum(273), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(273)); + FF_272 : FD1P3DX + port map (D => tsum(272), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(272)); + FF_271 : FD1P3DX + port map (D => tsum(271), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(271)); + FF_270 : FD1P3DX + port map (D => tsum(270), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(270)); + FF_269 : FD1P3DX + port map (D => tsum(269), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(269)); + FF_268 : FD1P3DX + port map (D => tsum(268), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(268)); + FF_267 : FD1P3DX + port map (D => tsum(267), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(267)); + FF_266 : FD1P3DX + port map (D => tsum(266), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(266)); + FF_265 : FD1P3DX + port map (D => tsum(265), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(265)); + FF_264 : FD1P3DX + port map (D => tsum(264), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(264)); + FF_263 : FD1P3DX + port map (D => tsum(263), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(263)); + FF_262 : FD1P3DX + port map (D => tsum(262), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(262)); + FF_261 : FD1P3DX + port map (D => tsum(261), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(261)); + FF_260 : FD1P3DX + port map (D => tsum(260), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(260)); + FF_259 : FD1P3DX + port map (D => tsum(259), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(259)); + FF_258 : FD1P3DX + port map (D => tsum(258), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(258)); + FF_257 : FD1P3DX + port map (D => tsum(257), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(257)); + FF_256 : FD1P3DX + port map (D => tsum(256), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(256)); + FF_255 : FD1P3DX + port map (D => tsum(255), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(255)); + FF_254 : FD1P3DX + port map (D => tsum(254), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(254)); + FF_253 : FD1P3DX + port map (D => tsum(253), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(253)); + FF_252 : FD1P3DX + port map (D => tsum(252), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(252)); + FF_251 : FD1P3DX + port map (D => tsum(251), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(251)); + FF_250 : FD1P3DX + port map (D => tsum(250), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(250)); + FF_249 : FD1P3DX + port map (D => tsum(249), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(249)); + FF_248 : FD1P3DX + port map (D => tsum(248), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(248)); + FF_247 : FD1P3DX + port map (D => tsum(247), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(247)); + FF_246 : FD1P3DX + port map (D => tsum(246), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(246)); + FF_245 : FD1P3DX + port map (D => tsum(245), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(245)); + FF_244 : FD1P3DX + port map (D => tsum(244), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(244)); + FF_243 : FD1P3DX + port map (D => tsum(243), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(243)); + FF_242 : FD1P3DX + port map (D => tsum(242), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(242)); + FF_241 : FD1P3DX + port map (D => tsum(241), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(241)); + FF_240 : FD1P3DX + port map (D => tsum(240), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(240)); + FF_239 : FD1P3DX + port map (D => tsum(239), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(239)); + FF_238 : FD1P3DX + port map (D => tsum(238), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(238)); + FF_237 : FD1P3DX + port map (D => tsum(237), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(237)); + FF_236 : FD1P3DX + port map (D => tsum(236), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(236)); + FF_235 : FD1P3DX + port map (D => tsum(235), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(235)); + FF_234 : FD1P3DX + port map (D => tsum(234), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(234)); + FF_233 : FD1P3DX + port map (D => tsum(233), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(233)); + FF_232 : FD1P3DX + port map (D => tsum(232), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(232)); + FF_231 : FD1P3DX + port map (D => tsum(231), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(231)); + FF_230 : FD1P3DX + port map (D => tsum(230), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(230)); + FF_229 : FD1P3DX + port map (D => tsum(229), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(229)); + FF_228 : FD1P3DX + port map (D => tsum(228), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(228)); + FF_227 : FD1P3DX + port map (D => tsum(227), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(227)); + FF_226 : FD1P3DX + port map (D => tsum(226), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(226)); + FF_225 : FD1P3DX + port map (D => tsum(225), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(225)); + FF_224 : FD1P3DX + port map (D => tsum(224), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(224)); + FF_223 : FD1P3DX + port map (D => tsum(223), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(223)); + FF_222 : FD1P3DX + port map (D => tsum(222), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(222)); + FF_221 : FD1P3DX + port map (D => tsum(221), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(221)); + FF_220 : FD1P3DX + port map (D => tsum(220), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(220)); + FF_219 : FD1P3DX + port map (D => tsum(219), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(219)); + FF_218 : FD1P3DX + port map (D => tsum(218), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(218)); + FF_217 : FD1P3DX + port map (D => tsum(217), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(217)); + FF_216 : FD1P3DX + port map (D => tsum(216), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(216)); + FF_215 : FD1P3DX + port map (D => tsum(215), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(215)); + FF_214 : FD1P3DX + port map (D => tsum(214), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(214)); + FF_213 : FD1P3DX + port map (D => tsum(213), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(213)); + FF_212 : FD1P3DX + port map (D => tsum(212), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(212)); + FF_211 : FD1P3DX + port map (D => tsum(211), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(211)); + FF_210 : FD1P3DX + port map (D => tsum(210), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(210)); + FF_209 : FD1P3DX + port map (D => tsum(209), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(209)); + FF_208 : FD1P3DX + port map (D => tsum(208), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(208)); + FF_207 : FD1P3DX + port map (D => tsum(207), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(207)); + FF_206 : FD1P3DX + port map (D => tsum(206), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(206)); + FF_205 : FD1P3DX + port map (D => tsum(205), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(205)); + FF_204 : FD1P3DX + port map (D => tsum(204), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(204)); + FF_203 : FD1P3DX + port map (D => tsum(203), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(203)); + FF_202 : FD1P3DX + port map (D => tsum(202), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(202)); + FF_201 : FD1P3DX + port map (D => tsum(201), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(201)); + FF_200 : FD1P3DX + port map (D => tsum(200), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(200)); + FF_199 : FD1P3DX + port map (D => tsum(199), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(199)); + FF_198 : FD1P3DX + port map (D => tsum(198), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(198)); + FF_197 : FD1P3DX + port map (D => tsum(197), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(197)); + FF_196 : FD1P3DX + port map (D => tsum(196), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(196)); + FF_195 : FD1P3DX + port map (D => tsum(195), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(195)); + FF_194 : FD1P3DX + port map (D => tsum(194), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(194)); + FF_193 : FD1P3DX + port map (D => tsum(193), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(193)); + FF_192 : FD1P3DX + port map (D => tsum(192), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(192)); + FF_191 : FD1P3DX + port map (D => tsum(191), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(191)); + FF_190 : FD1P3DX + port map (D => tsum(190), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(190)); + FF_189 : FD1P3DX + port map (D => tsum(189), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(189)); + FF_188 : FD1P3DX + port map (D => tsum(188), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(188)); + FF_187 : FD1P3DX + port map (D => tsum(187), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(187)); + FF_186 : FD1P3DX + port map (D => tsum(186), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(186)); + FF_185 : FD1P3DX + port map (D => tsum(185), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(185)); + FF_184 : FD1P3DX + port map (D => tsum(184), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(184)); + FF_183 : FD1P3DX + port map (D => tsum(183), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(183)); + FF_182 : FD1P3DX + port map (D => tsum(182), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(182)); + FF_181 : FD1P3DX + port map (D => tsum(181), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(181)); + FF_180 : FD1P3DX + port map (D => tsum(180), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(180)); + FF_179 : FD1P3DX + port map (D => tsum(179), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(179)); + FF_178 : FD1P3DX + port map (D => tsum(178), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(178)); + FF_177 : FD1P3DX + port map (D => tsum(177), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(177)); + FF_176 : FD1P3DX + port map (D => tsum(176), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(176)); + FF_175 : FD1P3DX + port map (D => tsum(175), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(175)); + FF_174 : FD1P3DX + port map (D => tsum(174), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(174)); + FF_173 : FD1P3DX + port map (D => tsum(173), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(173)); + FF_172 : FD1P3DX + port map (D => tsum(172), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(172)); + FF_171 : FD1P3DX + port map (D => tsum(171), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(171)); + FF_170 : FD1P3DX + port map (D => tsum(170), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(170)); + FF_169 : FD1P3DX + port map (D => tsum(169), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(169)); + FF_168 : FD1P3DX + port map (D => tsum(168), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(168)); + FF_167 : FD1P3DX + port map (D => tsum(167), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(167)); + FF_166 : FD1P3DX + port map (D => tsum(166), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(166)); + FF_165 : FD1P3DX + port map (D => tsum(165), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(165)); + FF_164 : FD1P3DX + port map (D => tsum(164), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(164)); + FF_163 : FD1P3DX + port map (D => tsum(163), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(163)); + FF_162 : FD1P3DX + port map (D => tsum(162), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(162)); + FF_161 : FD1P3DX + port map (D => tsum(161), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(161)); + FF_160 : FD1P3DX + port map (D => tsum(160), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(160)); + FF_159 : FD1P3DX + port map (D => tsum(159), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(159)); + FF_158 : FD1P3DX + port map (D => tsum(158), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(158)); + FF_157 : FD1P3DX + port map (D => tsum(157), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(157)); + FF_156 : FD1P3DX + port map (D => tsum(156), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(156)); + FF_155 : FD1P3DX + port map (D => tsum(155), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(155)); + FF_154 : FD1P3DX + port map (D => tsum(154), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(154)); + FF_153 : FD1P3DX + port map (D => tsum(153), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(153)); + FF_152 : FD1P3DX + port map (D => tsum(152), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(152)); + FF_151 : FD1P3DX + port map (D => tsum(151), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(151)); + FF_150 : FD1P3DX + port map (D => tsum(150), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(150)); + FF_149 : FD1P3DX + port map (D => tsum(149), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(149)); + FF_148 : FD1P3DX + port map (D => tsum(148), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(148)); + FF_147 : FD1P3DX + port map (D => tsum(147), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(147)); + FF_146 : FD1P3DX + port map (D => tsum(146), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(146)); + FF_145 : FD1P3DX + port map (D => tsum(145), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(145)); + FF_144 : FD1P3DX + port map (D => tsum(144), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(144)); + FF_143 : FD1P3DX + port map (D => tsum(143), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(143)); + FF_142 : FD1P3DX + port map (D => tsum(142), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(142)); + FF_141 : FD1P3DX + port map (D => tsum(141), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(141)); + FF_140 : FD1P3DX + port map (D => tsum(140), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(140)); + FF_139 : FD1P3DX + port map (D => tsum(139), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(139)); + FF_138 : FD1P3DX + port map (D => tsum(138), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(138)); + FF_137 : FD1P3DX + port map (D => tsum(137), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(137)); + FF_136 : FD1P3DX + port map (D => tsum(136), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(136)); + FF_135 : FD1P3DX + port map (D => tsum(135), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(135)); + FF_134 : FD1P3DX + port map (D => tsum(134), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(134)); + FF_133 : FD1P3DX + port map (D => tsum(133), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(133)); + FF_132 : FD1P3DX + port map (D => tsum(132), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(132)); + FF_131 : FD1P3DX + port map (D => tsum(131), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(131)); + FF_130 : FD1P3DX + port map (D => tsum(130), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(130)); + FF_129 : FD1P3DX + port map (D => tsum(129), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(129)); + FF_128 : FD1P3DX + port map (D => tsum(128), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(128)); + FF_127 : FD1P3DX + port map (D => tsum(127), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(127)); + FF_126 : FD1P3DX + port map (D => tsum(126), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(126)); + FF_125 : FD1P3DX + port map (D => tsum(125), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(125)); + FF_124 : FD1P3DX + port map (D => tsum(124), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(124)); + FF_123 : FD1P3DX + port map (D => tsum(123), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(123)); + FF_122 : FD1P3DX + port map (D => tsum(122), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(122)); + FF_121 : FD1P3DX + port map (D => tsum(121), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(121)); + FF_120 : FD1P3DX + port map (D => tsum(120), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(120)); + FF_119 : FD1P3DX + port map (D => tsum(119), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(119)); + FF_118 : FD1P3DX + port map (D => tsum(118), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(118)); + FF_117 : FD1P3DX + port map (D => tsum(117), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(117)); + FF_116 : FD1P3DX + port map (D => tsum(116), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(116)); + FF_115 : FD1P3DX + port map (D => tsum(115), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(115)); + FF_114 : FD1P3DX + port map (D => tsum(114), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(114)); + FF_113 : FD1P3DX + port map (D => tsum(113), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(113)); + FF_112 : FD1P3DX + port map (D => tsum(112), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(112)); + FF_111 : FD1P3DX + port map (D => tsum(111), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(111)); + FF_110 : FD1P3DX + port map (D => tsum(110), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(110)); + FF_109 : FD1P3DX + port map (D => tsum(109), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(109)); + FF_108 : FD1P3DX + port map (D => tsum(108), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(108)); + FF_107 : FD1P3DX + port map (D => tsum(107), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(107)); + FF_106 : FD1P3DX + port map (D => tsum(106), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(106)); + FF_105 : FD1P3DX + port map (D => tsum(105), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(105)); + FF_104 : FD1P3DX + port map (D => tsum(104), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(104)); + FF_103 : FD1P3DX + port map (D => tsum(103), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(103)); + FF_102 : FD1P3DX + port map (D => tsum(102), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(102)); + FF_101 : FD1P3DX + port map (D => tsum(101), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(101)); + FF_100 : FD1P3DX + port map (D => tsum(100), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(100)); + FF_99 : FD1P3DX + port map (D => tsum(99), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(99)); + FF_98 : FD1P3DX + port map (D => tsum(98), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(98)); + FF_97 : FD1P3DX + port map (D => tsum(97), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(97)); + FF_96 : FD1P3DX + port map (D => tsum(96), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(96)); + FF_95 : FD1P3DX + port map (D => tsum(95), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(95)); + FF_94 : FD1P3DX + port map (D => tsum(94), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(94)); + FF_93 : FD1P3DX + port map (D => tsum(93), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(93)); + FF_92 : FD1P3DX + port map (D => tsum(92), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(92)); + FF_91 : FD1P3DX + port map (D => tsum(91), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(91)); + FF_90 : FD1P3DX + port map (D => tsum(90), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(90)); + FF_89 : FD1P3DX + port map (D => tsum(89), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(89)); + FF_88 : FD1P3DX + port map (D => tsum(88), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(88)); + FF_87 : FD1P3DX + port map (D => tsum(87), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(87)); + FF_86 : FD1P3DX + port map (D => tsum(86), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(86)); + FF_85 : FD1P3DX + port map (D => tsum(85), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(85)); + FF_84 : FD1P3DX + port map (D => tsum(84), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(84)); + FF_83 : FD1P3DX + port map (D => tsum(83), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(83)); + FF_82 : FD1P3DX + port map (D => tsum(82), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(82)); + FF_81 : FD1P3DX + port map (D => tsum(81), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(81)); + FF_80 : FD1P3DX + port map (D => tsum(80), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(80)); + FF_79 : FD1P3DX + port map (D => tsum(79), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(79)); + FF_78 : FD1P3DX + port map (D => tsum(78), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(78)); + FF_77 : FD1P3DX + port map (D => tsum(77), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(77)); + FF_76 : FD1P3DX + port map (D => tsum(76), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(76)); + FF_75 : FD1P3DX + port map (D => tsum(75), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(75)); + FF_74 : FD1P3DX + port map (D => tsum(74), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(74)); + FF_73 : FD1P3DX + port map (D => tsum(73), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(73)); + FF_72 : FD1P3DX + port map (D => tsum(72), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(72)); + FF_71 : FD1P3DX + port map (D => tsum(71), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(71)); + FF_70 : FD1P3DX + port map (D => tsum(70), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(70)); + FF_69 : FD1P3DX + port map (D => tsum(69), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(69)); + FF_68 : FD1P3DX + port map (D => tsum(68), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(68)); + FF_67 : FD1P3DX + port map (D => tsum(67), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(67)); + FF_66 : FD1P3DX + port map (D => tsum(66), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(66)); + FF_65 : FD1P3DX + port map (D => tsum(65), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(65)); + FF_64 : FD1P3DX + port map (D => tsum(64), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(64)); + FF_63 : FD1P3DX + port map (D => tsum(63), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(63)); + FF_62 : FD1P3DX + port map (D => tsum(62), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(62)); + FF_61 : FD1P3DX + port map (D => tsum(61), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(61)); + FF_60 : FD1P3DX + port map (D => tsum(60), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(60)); + FF_59 : FD1P3DX + port map (D => tsum(59), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(59)); + FF_58 : FD1P3DX + port map (D => tsum(58), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(58)); + FF_57 : FD1P3DX + port map (D => tsum(57), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(57)); + FF_56 : FD1P3DX + port map (D => tsum(56), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(56)); + FF_55 : FD1P3DX + port map (D => tsum(55), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(55)); + FF_54 : FD1P3DX + port map (D => tsum(54), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(54)); + FF_53 : FD1P3DX + port map (D => tsum(53), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(53)); + FF_52 : FD1P3DX + port map (D => tsum(52), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(52)); + FF_51 : FD1P3DX + port map (D => tsum(51), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(51)); + FF_50 : FD1P3DX + port map (D => tsum(50), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(50)); + FF_49 : FD1P3DX + port map (D => tsum(49), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(49)); + FF_48 : FD1P3DX + port map (D => tsum(48), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(48)); + FF_47 : FD1P3DX + port map (D => tsum(47), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(47)); + FF_46 : FD1P3DX + port map (D => tsum(46), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(46)); + FF_45 : FD1P3DX + port map (D => tsum(45), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(45)); + FF_44 : FD1P3DX + port map (D => tsum(44), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(44)); + FF_43 : FD1P3DX + port map (D => tsum(43), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(43)); + FF_42 : FD1P3DX + port map (D => tsum(42), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(42)); + FF_41 : FD1P3DX + port map (D => tsum(41), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(41)); + FF_40 : FD1P3DX + port map (D => tsum(40), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(40)); + FF_39 : FD1P3DX + port map (D => tsum(39), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(39)); + FF_38 : FD1P3DX + port map (D => tsum(38), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(38)); + FF_37 : FD1P3DX + port map (D => tsum(37), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(37)); + FF_36 : FD1P3DX + port map (D => tsum(36), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(36)); + FF_35 : FD1P3DX + port map (D => tsum(35), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(35)); + FF_34 : FD1P3DX + port map (D => tsum(34), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(34)); + FF_33 : FD1P3DX + port map (D => tsum(33), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(33)); + FF_32 : FD1P3DX + port map (D => tsum(32), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(32)); + FF_31 : FD1P3DX + port map (D => tsum(31), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(31)); + FF_30 : FD1P3DX + port map (D => tsum(30), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(30)); + FF_29 : FD1P3DX + port map (D => tsum(29), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(29)); + FF_28 : FD1P3DX + port map (D => tsum(28), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(28)); + FF_27 : FD1P3DX + port map (D => tsum(27), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(27)); + FF_26 : FD1P3DX + port map (D => tsum(26), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(26)); + FF_25 : FD1P3DX + port map (D => tsum(25), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(25)); + FF_24 : FD1P3DX + port map (D => tsum(24), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(24)); + FF_23 : FD1P3DX + port map (D => tsum(23), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(23)); + FF_22 : FD1P3DX + port map (D => tsum(22), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(22)); + FF_21 : FD1P3DX + port map (D => tsum(21), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(21)); + FF_20 : FD1P3DX + port map (D => tsum(20), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(20)); + FF_19 : FD1P3DX + port map (D => tsum(19), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(19)); + FF_18 : FD1P3DX + port map (D => tsum(18), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(18)); + FF_17 : FD1P3DX + port map (D => tsum(17), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(17)); + FF_16 : FD1P3DX + port map (D => tsum(16), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(16)); + FF_15 : FD1P3DX + port map (D => tsum(15), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(15)); + FF_14 : FD1P3DX + port map (D => tsum(14), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(14)); + FF_13 : FD1P3DX + port map (D => tsum(13), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(13)); + FF_12 : FD1P3DX + port map (D => tsum(12), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(12)); + FF_11 : FD1P3DX + port map (D => tsum(11), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(11)); + FF_10 : FD1P3DX + port map (D => tsum(10), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(10)); + FF_9 : FD1P3DX + port map (D => tsum(9), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(9)); + FF_8 : FD1P3DX + port map (D => tsum(8), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(8)); + FF_7 : FD1P3DX + port map (D => tsum(7), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(7)); + FF_6 : FD1P3DX + port map (D => tsum(6), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(6)); + FF_5 : FD1P3DX + port map (D => tsum(5), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(5)); + FF_4 : FD1P3DX + port map (D => tsum(4), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(4)); + FF_3 : FD1P3DX + port map (D => tsum(3), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(3)); + FF_2 : FD1P3DX + port map (D => tsum(2), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(2)); + FF_1 : FD1P3DX + port map (D => tsum(1), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(1)); + FF_0 : FD1P3DX + port map (D => tsum(0), SP => CLKEn, CK => CLK, CD => Reset, + Q => r0_sum(0)); + + GEN_0_ADD : FADD2B + port map (A0 => DataA(0), + A1 => DataA(1), + B0 => DataB(0), + B1 => DataB(1), + CI => scuba_vlo, + COUT => co(0), + S0 => tsum(0), + S1 => tsum(1)); + + GEN : for i in 1 to 151 generate + ADD : FADD2B + port map (A0 => DataA(2*i), + A1 => DataA(2*i+1), + B0 => DataB(2*i), + B1 => DataB(2*i+1), + CI => co(i-1), + COUT => co(i), + S0 => tsum(2*i), + S1 => tsum(2*i+1)); + end generate GEN; + + scuba_vlo_inst : VLO + port map (Z => scuba_vlo); + + Result <= r0_sum; + +end Structure; + + +-- synopsys translate_off +library ecp3; +configuration Structure_CON of adder_304 is + for Structure + for all : FADD2B use entity ecp3.FADD2B(V); end for; + for all : FD1P3DX use entity ecp3.FD1P3DX(V); end for; + for all : VLO use entity ecp3.VLO(V); end for; + end for; +end Structure_CON; +-- synopsys translate_on diff --git a/tdc_releases/tdc_v0.0/source/Channel.vhd b/tdc_releases/tdc_v0.0/source/Channel.vhd new file mode 100644 index 0000000..dc68073 --- /dev/null +++ b/tdc_releases/tdc_v0.0/source/Channel.vhd @@ -0,0 +1,467 @@ +library IEEE; +use IEEE.STD_LOGIC_UNSIGNED.all; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.std_logic_arith.all; + +entity Channel is + + generic ( + CHANNEL_ID : integer range 0 to 64); + port ( + RESET_WR : in std_logic; + RESET_RD : in std_logic; + CLK_WR : in std_logic; + CLK_RD : in std_logic; +-- + HIT_IN : in std_logic; + READ_EN_IN : in std_logic; + FIFO_DATA_OUT : out std_logic_vector(31 downto 0); + FIFO_EMPTY_OUT : out std_logic; + FIFO_FULL_OUT : out std_logic; + COARSE_COUNTER_IN : in std_logic_vector(10 downto 0); +-- + LOST_HIT_NUMBER : out std_logic_vector(23 downto 0); + MEASUREMENT_NUMBER : out std_logic_vector(23 downto 0); + ENCODER_START_NUMBER : out std_logic_vector(23 downto 0); +-- + Channel_DEBUG_01 : out std_logic_vector(31 downto 0) +-- Channel_DEBUG_02 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_03 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_04 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_05 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_06 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_07 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_08 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_09 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_10 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_11 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_12 : out std_logic_vector(31 downto 0) + ); + +end Channel; + +architecture Channel of Channel is + +------------------------------------------------------------------------------- +-- Component Declarations +------------------------------------------------------------------------------- + + component Adder_304 + port ( + CLK : in std_logic; + RESET : in std_logic; + DataA : in std_logic_vector(303 downto 0); + DataB : in std_logic_vector(303 downto 0); + ClkEn : in std_logic; + Result : out std_logic_vector(303 downto 0)); + end component; +-- + component Encoder_304_Bit + port ( + RESET : in std_logic; + CLK : in std_logic; + START_IN : in std_logic; + THERMOCODE_IN : in std_logic_vector(303 downto 0); + FINISHED_OUT : out std_logic; + BINARY_CODE_OUT : out std_logic_vector(9 downto 0); + BUSY_OUT : out std_logic; + ENCODER_DEBUG : out std_logic_vector(31 downto 0)); + end component; +-- + --component Encoder_304_ROMsuz + -- port ( + -- RESET : in std_logic; + -- CLK : in std_logic; + -- START_IN : in std_logic; + -- THERMOCODE_IN : in std_logic_vector(303 downto 0); + -- FINISHED_OUT : out std_logic; + -- BINARY_CODE_OUT : out std_logic_vector(9 downto 0); + -- ENCODER_DEBUG : out std_logic_vector(31 downto 0)); + --end component; +-- + --component Encoder_304_Sngl_ROMsuz + -- port ( + -- RESET : in std_logic; + -- CLK : in std_logic; + -- START_IN : in std_logic; + -- THERMOCODE_IN : in std_logic_vector(303 downto 0); + -- FINISHED_OUT : out std_logic; + -- BINARY_CODE_OUT : out std_logic_vector(9 downto 0); + -- ENCODER_DEBUG : out std_logic_vector(31 downto 0)); + --end component; +-- + component FIFO_32x512_OutReg + port ( + Data : in std_logic_vector(31 downto 0); + WrClock : in std_logic; + RdClock : in std_logic; + WrEn : in std_logic; + RdEn : in std_logic; + Reset : in std_logic; + RPReset : in std_logic; + Q : out std_logic_vector(31 downto 0); + Empty : out std_logic; + Full : out std_logic); + end component; +-- + component edge_to_pulse + port ( + clock : in std_logic; + en_clk : in std_logic; + signal_in : in std_logic; + pulse : out std_logic); + end component; +-- + component signal_sync + generic ( + WIDTH : integer; + DEPTH : integer); + port ( + RESET : in std_logic; + CLK0 : in std_logic; + CLK1 : in std_logic; + D_IN : in std_logic_vector(WIDTH-1 downto 0); + D_OUT : out std_logic_vector(WIDTH-1 downto 0)); + end component; +------------------------------------------------------------------------------- +-- Signal Declarations +------------------------------------------------------------------------------- + + signal data_a_i : std_logic_vector(303 downto 0); + signal data_b_i : std_logic_vector(303 downto 0); + signal result_i : std_logic_vector(303 downto 0); + signal result_reg : std_logic_vector(303 downto 0); + signal hit_in_i : std_logic; + signal hit_detect_i : std_logic; + signal result_2_reg : std_logic; + signal coarse_cntr_i : std_logic_vector(10 downto 0); + signal hit_time_stamp_i : std_logic_vector(10 downto 0); + signal hit_time_stamp_reg : std_logic_vector(10 downto 0); + signal hit_time_stamp_reg2 : std_logic_vector(10 downto 0); + signal hit_time_stamp_reg3 : std_logic_vector(10 downto 0); + signal fine_counter_i : std_logic_vector(9 downto 0); + signal encoder_start_i : std_logic; + signal encoder_busy_i : std_logic; + signal fifo_data_out_i : std_logic_vector(31 downto 0); + signal fifo_data_in_i : std_logic_vector(31 downto 0); + signal fifo_empty_i : std_logic; + signal fifo_full_i : std_logic; + signal fifo_wr_en_i : std_logic; + signal fifo_rd_en_i : std_logic; + signal sync_q : std_logic_vector(3 downto 0); + signal hit_pulse : std_logic; + signal lost_hit_cntr : std_logic_vector(23 downto 0); + signal lost_hit_number_reg : std_logic_vector(23 downto 0); + signal hit_buf : std_logic; + signal ff_array_en_i : std_logic := '1'; + +------------------------------------------------------------------------------- +-- Debug Signals +------------------------------------------------------------------------------- + signal measurement_cntr : std_logic_vector(23 downto 0); + signal measurement_reg : std_logic_vector(23 downto 0); + signal encoder_start_cntr : std_logic_vector(23 downto 0); + signal encoder_start_cntr_reg : std_logic_vector(23 downto 0); + signal encoder_debug_i : std_logic_vector(31 downto 0); +------------------------------------------------------------------------------- + + attribute syn_keep : boolean; + attribute syn_keep of hit_buf : signal is true; + attribute syn_keep of hit_in_i : signal is true; + attribute syn_keep of ff_array_en_i : signal is true; + attribute NOMERGE : string; + attribute NOMERGE of hit_buf : signal is "true"; + attribute NOMERGE of ff_array_en_i : signal is "true"; + +------------------------------------------------------------------------------- + +begin + + fifo_rd_en_i <= READ_EN_IN; + coarse_cntr_i <= COARSE_COUNTER_IN; + hit_in_i <= HIT_IN; + hit_buf <= not hit_in_i; + + --purpose: Tapped Delay Line 304 (Carry Chain) with wave launcher (21) double transition + FC : Adder_304 + port map ( + CLK => CLK_WR, + RESET => RESET_WR, + DataA => data_a_i, + DataB => data_b_i, + ClkEn => '1', -- ff_array_en_i, -- '1', + Result => result_i); + data_a_i <= x"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF" & x"7FFFFFF"; + data_b_i <= x"000000000000000000000000000000000000000000000000000000000000000000000" & not(hit_buf) & x"000000" & "00" & hit_buf; + + --FF_Array_Enable : process (hit_detect_i, encoder_busy_i) + --begin + -- if hit_detect_i = '1' then + -- ff_array_en_i <= '0'; + -- elsif encoder_busy_i = '1' then + -- ff_array_en_i <= '1'; + -- end if; + --end process FF_Array_Enable; + + --purpose: Tapped Delay Line 304 (Carry Chain) with wave launcher (21) single transition + --FC : Adder_304 + -- port map ( + -- CLK => CLK_WR, + -- RESET => RESET_WR, + -- DataA => data_a_i, + -- DataB => data_b_i, + -- ClkEn => '1', + -- Result => result_i); + --data_a_i <= x"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; + --data_b_i <= x"000000000000000000000000000000000000000000000000000000000000000000000000000" & "000" & hit_in_i; + + --purpose: Tapped Delay Line 288 (Carry Chain) single transition +-- FC : Adder_288 +-- port map ( +-- CLK => CLK_WR, +-- RESET => RESET_WR, +-- DataA => data_a_i, +-- DataB => data_b_i, +-- ClkEn => '1', +-- Result => result_i); +-- data_a_i <= x"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; +-- data_b_i <= x"00000000000000000000000000000000000000000000000000000000000000000000000" & "000" & hit_in_i; + + --purpose: Registers the hit detection bit + Hit_Register : process (CLK_WR, RESET_WR) + begin + if rising_edge(CLK_WR) then + if RESET_WR = '1' then + result_2_reg <= '0'; + else + result_2_reg <= result_i(2); + end if; + end if; + end process Hit_Register; + + --purpose: Detects the hit + Hit_Detect : process (result_2_reg, result_i) + begin + hit_detect_i <= (not result_2_reg) and result_i(2); -- result_2_reg and (not result_i(2)); + end process Hit_Detect; + + --purpose: Double Synchroniser + Double_Syncroniser : process (CLK_WR) + begin + if rising_edge(CLK_WR) then + if RESET_WR = '1' then + result_reg <= (others => '1'); + elsif hit_detect_i = '1' then + result_reg <= result_i; + end if; + end if; + end process Double_Syncroniser; + + --purpose: Start Encoder and captures the time stamp of the hit + Start_Encoder : process (CLK_WR) + begin + if rising_edge(CLK_WR) then + if RESET_WR = '1' then + encoder_start_i <= '0'; + hit_time_stamp_i <= (others => '0'); + hit_time_stamp_reg <= (others => '0'); + hit_time_stamp_reg2 <= (others => '0'); + hit_time_stamp_reg3 <= (others => '0'); + elsif hit_detect_i = '1' then + encoder_start_i <= '1'; + hit_time_stamp_i <= coarse_cntr_i-1; + else + encoder_start_i <= '0'; + hit_time_stamp_reg <= hit_time_stamp_i; + hit_time_stamp_reg2 <= hit_time_stamp_reg; + hit_time_stamp_reg3 <= hit_time_stamp_reg2; + end if; + end if; + end process Start_Encoder; + + --purpose: Encoder + Encoder : Encoder_304_Bit + port map ( + RESET => RESET_WR, + CLK => CLK_WR, + START_IN => encoder_start_i, + THERMOCODE_IN => result_reg, + FINISHED_OUT => fifo_wr_en_i, + BINARY_CODE_OUT => fine_counter_i, + BUSY_OUT => encoder_busy_i, + ENCODER_DEBUG => encoder_debug_i); + + ----purpose: Encoder + --Encoder : Encoder_304_ROMsuz + -- port map ( + -- RESET => RESET_WR, + -- CLK => CLK_WR, + -- START_IN => encoder_start_i, + -- THERMOCODE_IN => result_reg, + -- FINISHED_OUT => fifo_wr_en_i, + -- BINARY_CODE_OUT => fine_counter_i, + -- ENCODER_DEBUG => encoder_debug_i); + + --purpose: Encoder + --Encoder : Encoder_304_Sngl_ROMsuz + -- port map ( + -- RESET => RESET_WR, + -- CLK => CLK_WR, + -- START_IN => encoder_start_i, + -- THERMOCODE_IN => result_reg, + -- FINISHED_OUT => fifo_wr_en_i, + -- BINARY_CODE_OUT => fine_counter_i, + -- ENCODER_DEBUG => encoder_debug_i); + + FIFO : FIFO_32x512_OutReg + port map ( + Data => fifo_data_in_i, + WrClock => CLK_WR, + RdClock => CLK_RD, + WrEn => fifo_wr_en_i, + RdEn => fifo_rd_en_i, + Reset => RESET_RD, + RPReset => RESET_RD, + Q => fifo_data_out_i, + Empty => fifo_empty_i, + Full => fifo_full_i); + fifo_data_in_i(31) <= '1'; -- data marker + fifo_data_in_i(30 downto 28) <= "000"; -- reserved bits + fifo_data_in_i(27 downto 22) <= conv_std_logic_vector(CHANNEL_ID, 6); -- channel number + fifo_data_in_i(21 downto 12) <= fine_counter_i; -- fine time from the encoder + fifo_data_in_i(11) <= '1'; -- rising '1' or falling '0' edge + fifo_data_in_i(10 downto 0) <= hit_time_stamp_reg3; -- hit time stamp + + Register_Outputs : process (CLK_RD, RESET_RD) + begin + if rising_edge(CLK_RD) then + if RESET_RD = '1' then + FIFO_DATA_OUT <= (others => '1'); + FIFO_EMPTY_OUT <= '0'; + FIFO_FULL_OUT <= '0'; + else + FIFO_DATA_OUT <= fifo_data_out_i; + FIFO_EMPTY_OUT <= fifo_empty_i; + FIFO_FULL_OUT <= fifo_full_i; + end if; + end if; + end process Register_Outputs; + +------------------------------------------------------------------------------- +-- Lost Hit Detection +------------------------------------------------------------------------------- + --purpose: Hit Signal Synchroniser + GEN_flipflops : for i in 1 to 3 generate + Hit_Sync : process (CLK_WR) + begin + if rising_edge(CLK_WR) then + if RESET_WR = '1' then + sync_q(i) <= '0'; + else + sync_q(i) <= sync_q(i-1); + end if; + end if; + end process Hit_Sync; + end generate GEN_flipflops; + sync_q(0) <= HIT_IN; + + --purpose: Creates a pulse out of the synchronised hit signal + Edge_To_Pulse_Hit : edge_to_pulse + port map ( + clock => CLK_WR, + en_clk => '1', + signal_in => sync_q(3), + pulse => hit_pulse); + + --purpose: Counts the detected but unwritten hits + Lost_Hit_Counter : process (CLK_WR) + begin + if rising_edge(CLK_WR) then + if RESET_WR = '1' then + lost_hit_cntr <= (others => '0'); + elsif hit_pulse = '1' then + lost_hit_cntr <= lost_hit_cntr + 1; + elsif fifo_wr_en_i = '1' then + lost_hit_cntr <= lost_hit_cntr - 1; + end if; + end if; + end process Lost_Hit_Counter; + + --purpose: Synchronises the lost hit counter to the slowcontrol clock + Lost_Hit_Sync : signal_sync + generic map ( + WIDTH => 24, + DEPTH => 3) + port map ( + RESET => RESET_RD, + CLK0 => CLK_WR, + CLK1 => CLK_RD, + D_IN => lost_hit_cntr, + D_OUT => lost_hit_number_reg); + + LOST_HIT_NUMBER <= lost_hit_number_reg; + + +------------------------------------------------------------------------------- +-- DEBUG +------------------------------------------------------------------------------- + --purpose: Counts the written hits + Encoder_Start_Counter : process (CLK_WR) + begin + if rising_edge(CLK_WR) then + if RESET_WR = '1' then + encoder_start_cntr <= (others => '0'); + elsif encoder_start_i = '1' then + encoder_start_cntr <= encoder_start_cntr + 1; + end if; + end if; + end process Encoder_Start_Counter; + + --purpose: Synchronises the measurement counter to the slowcontrol clock + Encoder_Start_Sync : signal_sync + generic map ( + WIDTH => 24, + DEPTH => 3) + port map ( + RESET => RESET_RD, + CLK0 => CLK_WR, + CLK1 => CLK_RD, + D_IN => encoder_start_cntr, + D_OUT => encoder_start_cntr_reg); + + ENCODER_START_NUMBER <= encoder_start_cntr_reg; + + --purpose: Counts the written hits + Measurement_Counter : process (CLK_WR) + begin + if rising_edge(CLK_WR) then + if RESET_WR = '1' then + measurement_cntr <= (others => '0'); + elsif fifo_wr_en_i = '1' then + measurement_cntr <= measurement_cntr + 1; + end if; + end if; + end process Measurement_Counter; + + --purpose: Synchronises the measurement counter to the slowcontrol clock + Measurement_Sync : signal_sync + generic map ( + WIDTH => 24, + DEPTH => 3) + port map ( + RESET => RESET_RD, + CLK0 => CLK_WR, + CLK1 => CLK_RD, + D_IN => measurement_cntr, + D_OUT => measurement_reg); + + MEASUREMENT_NUMBER <= measurement_reg; + + Channel_DEBUG_01(0) <= hit_pulse; + Channel_DEBUG_01(1) <= encoder_start_i; + Channel_DEBUG_01(2) <= fifo_wr_en_i; + Channel_DEBUG_01(11 downto 3) <= encoder_debug_i(8 downto 0); +------------------------------------------------------------------------------- + +end Channel; diff --git a/tdc_releases/tdc_v0.0/source/Encoder_304_Bit.vhd b/tdc_releases/tdc_v0.0/source/Encoder_304_Bit.vhd new file mode 100644 index 0000000..9850491 --- /dev/null +++ b/tdc_releases/tdc_v0.0/source/Encoder_304_Bit.vhd @@ -0,0 +1,308 @@ +------------------------------------------------------------------------------- +-- Title : Encoder 304 bits +------------------------------------------------------------------------------- +-- File : Encoder_304_Bit.vhd +-- Author : Cahit Ugur +-- Created : 2011-11-28 +-- Last update: 2012-03-09 +------------------------------------------------------------------------------- +-- Description: Encoder for 304 bits +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2011-11-28 1.0 ugur Created +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_unsigned.all; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +-- synopsys translate_off +library ecp3; +use ecp3.components.all; +-- synopsys translate_on + +entity Encoder_304_Bit is + port ( + RESET : in std_logic; -- system reset + CLK : in std_logic; -- system clock + START_IN : in std_logic; + THERMOCODE_IN : in std_logic_vector(303 downto 0); + FINISHED_OUT : out std_logic; + BINARY_CODE_OUT : out std_logic_vector(9 downto 0); + BUSY_OUT : out std_logic; + ENCODER_DEBUG : out std_logic_vector(31 downto 0) + ); +end Encoder_304_Bit; + +architecture behavioral of Encoder_304_Bit is + +------------------------------------------------------------------------------- +-- Component Declarations +------------------------------------------------------------------------------- + component LUT4 + generic ( + INIT : std_logic_vector); + port ( + A, B, C, D : in std_ulogic; + Z : out std_ulogic); + end component; + + component ROM_Encoder + port ( + Address : in std_logic_vector(9 downto 0); + OutClock : in std_logic; + OutClockEn : in std_logic; + Reset : in std_logic; + Q : out std_logic_vector(7 downto 0)); + end component; + +------------------------------------------------------------------------------- +-- Signal Declarations +------------------------------------------------------------------------------- + signal P_lut : std_logic_vector(37 downto 0); + signal P_one : std_logic_vector(37 downto 0); + signal mux_control : std_logic_vector(5 downto 0); + signal mux_control_reg : std_logic_vector(5 downto 0); + signal mux_control_2reg : std_logic_vector(5 downto 0); + signal mux_control_3reg : std_logic_vector(5 downto 0); + signal mux_control_4reg : std_logic_vector(5 downto 0); + signal interval_reg : std_logic_vector(8 downto 0); + signal interval_binary : std_logic_vector(2 downto 0); + signal conversion_nr : std_logic_vector(1 downto 0); + signal binary_code_f : std_logic_vector(8 downto 0); + signal binary_code_r : std_logic_vector(8 downto 0); + signal start_reg : std_logic; + signal start_2reg : std_logic; + signal rom_done_i : std_logic; -- indicates that the encoding of rising edge is done + signal rom_reset_reg : std_logic; + signal rom_reset_i : std_logic; + signal address_i : std_logic_vector(9 downto 0); + signal q_i : std_logic_vector(7 downto 0); + signal q_reg : std_logic_vector(7 downto 0); + signal q_2reg : std_logic_vector(7 downto 0); + signal busy_out_i : std_logic; + +------------------------------------------------------------------------------- +begin + + --purpose : Register start signal + Start_Register : process (CLK, RESET) + begin + if rising_edge(CLK) then + if RESET = '1' then + start_reg <= '0'; + start_2reg <= '0'; + else + start_reg <= START_IN; + start_2reg <= start_reg; + end if; + end if; + end process Start_Register; + + Interval_Determination_First : LUT4 + generic map (INIT => X"15A8") + port map (A => '1', B => '1', C => THERMOCODE_IN(0), D => START_IN, + Z => P_lut(0)); + + Interval_Determination : for i in 1 to 37 generate + U : LUT4 + generic map (INIT => X"15A8") + port map (A => THERMOCODE_IN(8*i-2), B => THERMOCODE_IN(8*i-1), C => THERMOCODE_IN(8*i), D => START_IN, + Z => P_lut(i)); + end generate Interval_Determination; +------------------------------------------------------------------------------- + + Gen_P_one : for i in 0 to 36 generate + P_one(i) <= P_lut(i) and (not P_lut(i+1)); + end generate Gen_P_one; + + P_one_assign : process (START_IN, P_lut) + begin + if START_IN = '1' then + P_one(37) <= P_lut(37); + else + P_one(37) <= '0'; + end if; + end process P_one_assign; + + Interval_Number_to_Binary : process (CLK, RESET) + begin -- The interval number with the 0-1 transition is converted from 1-of-N code to binary + -- code for the control of the MUX. + if rising_edge(CLK) then + if RESET = '1' then + mux_control <= (others => '0'); + mux_control_reg <= (others => '0'); + mux_control_2reg <= (others => '0'); + mux_control_3reg <= (others => '0'); + mux_control_4reg <= (others => '0'); + elsif START_IN = '1' or start_reg = '1' then + mux_control(0) <= P_one(0) or P_one(2) or P_one(4) or P_one(6) or P_one(8) or P_one(10) or + P_one(12) or P_one(14) or P_one(16) or P_one(18) or P_one(20) or P_one(22) or + P_one(24) or P_one(26) or P_one(28) or P_one(30) or P_one(32) or P_one(34) or + P_one(36); + mux_control(1) <= P_one(1) or P_one(2) or P_one(5) or P_one(6) or P_one(9) or P_one(10) or + P_one(13) or P_one(14) or P_one(17) or P_one(18) or P_one(21) or P_one(22) or + P_one(25) or P_one(26) or P_one(29) or P_one(30) or P_one(33) or P_one(34) or + P_one(37); + mux_control(2) <= P_one(3) or P_one(4) or P_one(5) or P_one(6) or P_one(11) or P_one(12) or + P_one(13) or P_one(14) or P_one(19) or P_one(20) or P_one(21) or P_one(22) or + P_one(27) or P_one(28) or P_one(29) or P_one(30) or P_one(35) or P_one(36) or + P_one(37); + mux_control(3) <= P_one(7) or P_one(8) or P_one(9) or P_one(10) or P_one(11) or P_one(12) or + P_one(13) or P_one(14) or P_one(23) or P_one(24) or P_one(25) or P_one(26) or + P_one(27) or P_one(28) or P_one(29) or P_one(30); + mux_control(4) <= P_one(15) or P_one(16) or P_one(17) or P_one(18) or P_one(19) or P_one(20) or + P_one(21) or P_one(22) or P_one(23) or P_one(24) or P_one(25) or P_one(26) or + P_one(27) or P_one(28) or P_one(29) or P_one(30); + mux_control(5) <= P_one(31) or P_one(32) or P_one(33) or P_one(34) or P_one(35) or P_one(36) or + P_one(37); + mux_control_reg <= mux_control; + mux_control_2reg <= mux_control_reg; + else + mux_control <= (others => '0'); + mux_control_reg <= mux_control; + mux_control_2reg <= mux_control_reg; + mux_control_3reg <= mux_control_2reg; + mux_control_4reg <= mux_control_3reg; + end if; + end if; + end process Interval_Number_to_Binary; + + Interval_Selection : process (CLK, RESET, mux_control, THERMOCODE_IN) + begin -- The interval with the 0-1 transition is selected. + if rising_edge(CLK) then + if RESET = '1' then + interval_reg <= (others => '0'); + else + case mux_control is + when "000001" => interval_reg <= THERMOCODE_IN(7 downto 0) & '1'; + when "000010" => interval_reg <= THERMOCODE_IN(15 downto 7); + when "000011" => interval_reg <= THERMOCODE_IN(23 downto 15); + when "000100" => interval_reg <= THERMOCODE_IN(31 downto 23); + when "000101" => interval_reg <= THERMOCODE_IN(39 downto 31); + when "000110" => interval_reg <= THERMOCODE_IN(47 downto 39); + when "000111" => interval_reg <= THERMOCODE_IN(55 downto 47); + when "001000" => interval_reg <= THERMOCODE_IN(63 downto 55); + when "001001" => interval_reg <= THERMOCODE_IN(71 downto 63); + when "001010" => interval_reg <= THERMOCODE_IN(79 downto 71); + when "001011" => interval_reg <= THERMOCODE_IN(87 downto 79); + when "001100" => interval_reg <= THERMOCODE_IN(95 downto 87); + when "001101" => interval_reg <= THERMOCODE_IN(103 downto 95); + when "001110" => interval_reg <= THERMOCODE_IN(111 downto 103); + when "001111" => interval_reg <= THERMOCODE_IN(119 downto 111); + when "010000" => interval_reg <= THERMOCODE_IN(127 downto 119); + when "010001" => interval_reg <= THERMOCODE_IN(135 downto 127); + when "010010" => interval_reg <= THERMOCODE_IN(143 downto 135); + when "010011" => interval_reg <= THERMOCODE_IN(151 downto 143); + when "010100" => interval_reg <= THERMOCODE_IN(159 downto 151); + when "010101" => interval_reg <= THERMOCODE_IN(167 downto 159); + when "010110" => interval_reg <= THERMOCODE_IN(175 downto 167); + when "010111" => interval_reg <= THERMOCODE_IN(183 downto 175); + when "011000" => interval_reg <= THERMOCODE_IN(191 downto 183); + when "011001" => interval_reg <= THERMOCODE_IN(199 downto 191); + when "011010" => interval_reg <= THERMOCODE_IN(207 downto 199); + when "011011" => interval_reg <= THERMOCODE_IN(215 downto 207); + when "011100" => interval_reg <= THERMOCODE_IN(223 downto 215); + when "011101" => interval_reg <= THERMOCODE_IN(231 downto 223); + when "011110" => interval_reg <= THERMOCODE_IN(239 downto 231); + when "011111" => interval_reg <= THERMOCODE_IN(247 downto 239); + when "100000" => interval_reg <= THERMOCODE_IN(255 downto 247); + when "100001" => interval_reg <= THERMOCODE_IN(263 downto 255); + when "100010" => interval_reg <= THERMOCODE_IN(271 downto 263); + when "100011" => interval_reg <= THERMOCODE_IN(279 downto 271); + when "100100" => interval_reg <= THERMOCODE_IN(287 downto 279); + when "100101" => interval_reg <= THERMOCODE_IN(295 downto 287); + when "100110" => interval_reg <= THERMOCODE_IN(303 downto 295); + when others => interval_reg <= (others => '0'); + end case; + end if; + end if; + end process Interval_Selection; + + ROM_Encoder_1 : ROM_Encoder + port map ( + Address => address_i, + OutClock => CLK, + OutClockEn => '1', + Reset => rom_reset_i, + Q => q_i); + address_i <= start_2reg & interval_reg; + rom_reset_i <= rom_reset_reg or RESET; + + --purpose : Register the ROM output + Register_ROM : process (CLK, RESET) + begin + if rising_edge(CLK) then + if RESET = '1' then + q_reg <= (others => '0'); + q_2reg <= (others => '0'); + else + q_reg <= q_i; + q_2reg <= q_reg; + end if; + end if; + end process Register_ROM; + + rom_done_i <= q_2reg(7); + interval_binary <= q_2reg(2 downto 0); + + Binary_Code_Calculation : process (CLK, RESET) + begin + if rising_edge(CLK) then + if RESET = '1' then + binary_code_f <= (others => '0'); + binary_code_r <= (others => '0'); + BINARY_CODE_OUT <= (others => '0'); + FINISHED_OUT <= '0'; + BUSY_OUT <= '0'; + conversion_nr <= "00"; + rom_reset_reg <= '0'; + elsif START_IN = '1' then + conversion_nr <= "00"; + rom_reset_reg <= '0'; + elsif conversion_nr = "11" then + conversion_nr <= "11"; + rom_reset_reg <= '1'; + BINARY_CODE_OUT <= (others => '0'); + FINISHED_OUT <= '0'; + BUSY_OUT <= '0'; + elsif conversion_nr = "10" then + binary_code_f <= binary_code_r; + BINARY_CODE_OUT <= ('0' & binary_code_r) + ('0' & binary_code_f); + FINISHED_OUT <= '1'; + BUSY_OUT <= '0'; + conversion_nr <= conversion_nr + 1; + rom_reset_reg <= '1'; + elsif rom_done_i = '1' then + binary_code_r <= (mux_control_4reg-1) & interval_binary; + binary_code_f <= binary_code_r; + BINARY_CODE_OUT <= (others => '0'); + FINISHED_OUT <= '0'; + conversion_nr <= conversion_nr + 1; + rom_reset_reg <= '0'; + if conversion_nr = "01" then + BUSY_OUT <= '0'; + else + BUSY_OUT <= '1'; + end if; + else + binary_code_f <= (others => '0'); + binary_code_r <= (others => '0'); + BINARY_CODE_OUT <= (others => '0'); + FINISHED_OUT <= '0'; + BUSY_OUT <= '0'; + rom_reset_reg <= '0'; + end if; + end if; + end process Binary_Code_Calculation; + + + +------------------------------------------------------------------------------- +-- DEBUG +------------------------------------------------------------------------------- + ENCODER_DEBUG(8 downto 0) <= interval_reg; + +end behavioral; diff --git a/tdc_releases/tdc_v0.0/source/Encoder_304_ROMsuz.vhd b/tdc_releases/tdc_v0.0/source/Encoder_304_ROMsuz.vhd new file mode 100644 index 0000000..4647849 --- /dev/null +++ b/tdc_releases/tdc_v0.0/source/Encoder_304_ROMsuz.vhd @@ -0,0 +1,287 @@ +library IEEE; +use IEEE.STD_LOGIC_UNSIGNED.all; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.all; +--use ieee.std_logic_arith.all; +--use ieee.numeric_std.all; + +-- synopsys translate_off +library ecp3; +use ecp3.components.all; +-- synopsys translate_on + +--library synplify; +--use synplify.attributes.all; + + +entity Encoder_304_ROMsuz is + port ( + RESET : in std_logic; + CLK : in std_logic; + START_IN : in std_logic; + THERMOCODE_IN : in std_logic_vector(303 downto 0); + FINISHED_OUT : out std_logic; + BINARY_CODE_OUT : out std_logic_vector(9 downto 0); + ENCODER_DEBUG : out std_logic_vector(31 downto 0) + ); +end Encoder_304_ROMsuz; + +architecture Encoder_304_ROMsuz of Encoder_304_ROMsuz is + + -- component definitions + component up_counter + generic ( + NUMBER_OF_BITS : positive); + port ( + CLK : in std_logic; + RESET : in std_logic; + COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0); + UP_IN : in std_logic); + end component; +-- + component LUT4 + generic( + INIT : std_logic_vector); + port ( + A, B, C, D : in std_ulogic; + Z : out std_ulogic); + end component; + + -- signal declerations + signal clk_i : std_logic; + signal rst_i : std_logic; + signal start_in_i : std_logic; + signal thermocode_i : std_logic_vector(303 downto 0) := x"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; + signal P_lut : std_logic_vector(18 downto 0); + signal P_one : std_logic_vector(18 downto 0); + signal mux_control : std_logic_vector(4 downto 0); + signal interval_tmp : std_logic_vector(17 downto 0); + signal interval_i : std_logic_vector(17 downto 0); + signal interval_bc : std_logic_vector(14 downto 0); + signal interval_bc_norm : std_logic_vector(14 downto 0); + signal interval_bc_bbl : std_logic_vector(14 downto 0); + signal interval_binary : std_logic_vector(3 downto 0); + signal counter_reset_i : std_logic; + signal counter_up_i : std_logic; + signal counter_out_i : std_logic_vector(2 downto 0); + signal binary_code_f : std_logic_vector(8 downto 0); + signal binary_code_r : std_logic_vector(8 downto 0); + signal edge_type_i : std_logic; -- 0 => 0-1 edge, 1 => 1-0 edge + +begin + + clk_i <= CLK; + rst_i <= RESET; + start_in_i <= START_IN; + thermocode_i <= THERMOCODE_IN; + + ENCODER_DEBUG <= (others => '0'); + + --Component instantiations + + Process_Counter : up_counter + generic map ( + NUMBER_OF_BITS => 3) + port map ( + CLK => clk_i, + RESET => counter_reset_i, + COUNT_OUT => counter_out_i, + UP_IN => counter_up_i); + + Interval_Determination_First : LUT4 + generic map (INIT => X"A815") + port map (A => '1', B => '1', C => thermocode_i(0), D => edge_type_i, + Z => P_lut(0)); +-- + Interval_Determination : for i in 1 to 18 generate + U : LUT4 + generic map (INIT => X"A815") + port map (A => thermocode_i(16*i-2), B => thermocode_i(16*i-1), C => thermocode_i(16*i), D => edge_type_i, + Z => P_lut(i)); + end generate Interval_Determination; +------------------------------------------------------------------------------- + + Change_Edge_Type : process (clk_i, rst_i) + begin + if rising_edge(clk_i) then + if rst_i = '1' or counter_out_i = "111" then + edge_type_i <= '0'; + elsif counter_out_i = "001" then + edge_type_i <= '1'; + end if; + end if; + end process Change_Edge_Type; + + Gen_P_one : for i in 0 to 17 generate + P_one(i) <= P_lut(i) and (not P_lut(i+1)); + end generate Gen_P_one; + + P_one_assign : process (edge_type_i, P_lut) + begin + if edge_type_i = '0' then + P_one(18) <= P_lut(18); + else + P_one(18) <= '0'; + end if; + end process P_one_assign; + + Interval_Number_to_Binary : process (clk_i, rst_i) + begin -- The interval number with the 0-1 transition is converted from 1-of-N code to binary + -- code for the control of the MUX. + if rising_edge(clk_i) then + if rst_i = '1' then + mux_control <= (others => '0'); + else + mux_control(0) <= P_one(0) or P_one(2) or P_one(4) or P_one(6) or P_one(8) or P_one(10) or + P_one(12) or P_one(14) or P_one(16) or P_one(18); + mux_control(1) <= P_one(1) or P_one(2) or P_one(5) or P_one(6) or P_one(9) or P_one(10) or + P_one(13) or P_one(14) or P_one(17) or P_one(18); + mux_control(2) <= P_one(3) or P_one(4) or P_one(5) or P_one(6) or P_one(11) or P_one(12) or + P_one(13) or P_one(14); + mux_control(3) <= P_one(7) or P_one(8) or P_one(9) or P_one(10) or P_one(11) or P_one(12) or + P_one(13) or P_one(14); + mux_control(4) <= P_one(15) or P_one(16) or P_one(17) or P_one(18); + end if; + end if; + end process Interval_Number_to_Binary; + + Interval_Selection : process (mux_control, thermocode_i, edge_type_i) + begin -- The interval with the 0-1 transition is selected. + case mux_control is + when "00001" => interval_tmp <= thermocode_i(16 downto 0) & edge_type_i; + when "00010" => interval_tmp <= thermocode_i(32 downto 15); + when "00011" => interval_tmp <= thermocode_i(48 downto 31); + when "00100" => interval_tmp <= thermocode_i(64 downto 47); + when "00101" => interval_tmp <= thermocode_i(80 downto 63); + when "00110" => interval_tmp <= thermocode_i(96 downto 79); + when "00111" => interval_tmp <= thermocode_i(112 downto 95); + when "01000" => interval_tmp <= thermocode_i(128 downto 111); + when "01001" => interval_tmp <= thermocode_i(144 downto 127); + when "01010" => interval_tmp <= thermocode_i(160 downto 143); + when "01011" => interval_tmp <= thermocode_i(176 downto 159); + when "01100" => interval_tmp <= thermocode_i(192 downto 175); + when "01101" => interval_tmp <= thermocode_i(208 downto 191); + when "01110" => interval_tmp <= thermocode_i(224 downto 207); + when "01111" => interval_tmp <= thermocode_i(240 downto 223); + when "10000" => interval_tmp <= thermocode_i(256 downto 239); + when "10001" => interval_tmp <= thermocode_i(272 downto 255); + when "10010" => interval_tmp <= thermocode_i(288 downto 271); + when "10011" => interval_tmp <= (not edge_type_i) & thermocode_i(303 downto 287); + when others => interval_tmp <= (others => '1'); + end case; + end process Interval_Selection; + + Assign_Interval : process (clk_i, rst_i) + begin + if rising_edge(clk_i) then + if rst_i = '1' then + interval_i <= (others => '1'); + elsif edge_type_i = '0' then + interval_i <= interval_tmp; + else + interval_i <= not interval_tmp; + end if; + end if; + end process Assign_Interval; + + Bubble_Correction_Normal : process (interval_bc_norm, interval_i) + begin -- The bubble correction is done by detecting the "1100" code pattern + interval_bc_norm(0) <= interval_i(3) and interval_i(2) and not(interval_i(1)) and not(interval_i(0)); + interval_bc_norm(1) <= interval_i(4) and interval_i(3) and not(interval_i(2)) and not(interval_i(1)); + interval_bc_norm(2) <= interval_i(5) and interval_i(4) and not(interval_i(3)) and not(interval_i(2)); + interval_bc_norm(3) <= interval_i(6) and interval_i(5) and not(interval_i(4)) and not(interval_i(3)); + interval_bc_norm(4) <= interval_i(7) and interval_i(6) and not(interval_i(5)) and not(interval_i(4)); + interval_bc_norm(5) <= interval_i(8) and interval_i(7) and not(interval_i(6)) and not(interval_i(5)); + interval_bc_norm(6) <= interval_i(9) and interval_i(8) and not(interval_i(7)) and not(interval_i(6)); + interval_bc_norm(7) <= interval_i(10) and interval_i(9) and not(interval_i(8)) and not(interval_i(7)); + interval_bc_norm(8) <= interval_i(11) and interval_i(10) and not(interval_i(9)) and not(interval_i(8)); + interval_bc_norm(9) <= interval_i(12) and interval_i(11) and not(interval_i(10)) and not(interval_i(9)); + interval_bc_norm(10) <= interval_i(13) and interval_i(12) and not(interval_i(11)) and not(interval_i(10)); + interval_bc_norm(11) <= interval_i(14) and interval_i(13) and not(interval_i(12)) and not(interval_i(11)); + interval_bc_norm(12) <= interval_i(15) and interval_i(14) and not(interval_i(13)) and not(interval_i(12)); + interval_bc_norm(13) <= interval_i(16) and interval_i(15) and not(interval_i(14)) and not(interval_i(13)); + interval_bc_norm(14) <= interval_i(17) and interval_i(16) and not(interval_i(15)) and not(interval_i(14)); + end process Bubble_Correction_Normal; + + Bubble_Correction_Bubble : process (interval_bc_bbl, interval_i) + begin -- The bubble correction is done by detecting the "1010" code pattern + interval_bc_bbl(0) <= interval_i(3) and not(interval_i(2)) and interval_i(1) and not(interval_i(0)); + interval_bc_bbl(1) <= interval_i(4) and not(interval_i(3)) and interval_i(2) and not(interval_i(1)); + interval_bc_bbl(2) <= interval_i(5) and not(interval_i(4)) and interval_i(3) and not(interval_i(2)); + interval_bc_bbl(3) <= interval_i(6) and not(interval_i(5)) and interval_i(4) and not(interval_i(3)); + interval_bc_bbl(4) <= interval_i(7) and not(interval_i(6)) and interval_i(5) and not(interval_i(4)); + interval_bc_bbl(5) <= interval_i(8) and not(interval_i(7)) and interval_i(6) and not(interval_i(5)); + interval_bc_bbl(6) <= interval_i(9) and not(interval_i(8)) and interval_i(7) and not(interval_i(6)); + interval_bc_bbl(7) <= interval_i(10) and not(interval_i(9)) and interval_i(8) and not(interval_i(7)); + interval_bc_bbl(8) <= interval_i(11) and not(interval_i(10)) and interval_i(9) and not(interval_i(8)); + interval_bc_bbl(9) <= interval_i(12) and not(interval_i(11)) and interval_i(10) and not(interval_i(9)); + interval_bc_bbl(10) <= interval_i(13) and not(interval_i(12)) and interval_i(11) and not(interval_i(10)); + interval_bc_bbl(11) <= interval_i(14) and not(interval_i(13)) and interval_i(12) and not(interval_i(11)); + interval_bc_bbl(12) <= interval_i(15) and not(interval_i(14)) and interval_i(13) and not(interval_i(12)); + interval_bc_bbl(13) <= interval_i(16) and not(interval_i(15)) and interval_i(14) and not(interval_i(13)); + interval_bc_bbl(14) <= interval_i(17) and not(interval_i(16)) and interval_i(15) and not(interval_i(14)); + end process Bubble_Correction_Bubble; + + interval_bc <= interval_bc_bbl or interval_bc_norm; + + Interval_Decoding : process (clk_i, rst_i) + begin -- The decoding of the bubble corrected 1-of-N code is done by the OR gates + if rising_edge(clk_i) then + if rst_i = '1' then + interval_binary <= (others => '0'); + else + interval_binary(0) <= interval_bc(0) or interval_bc(2) or interval_bc(4) or interval_bc(6) or + interval_bc(8) or interval_bc(10) or interval_bc(12) or interval_bc(14); + interval_binary(1) <= interval_bc(1) or interval_bc(2) or interval_bc(5) or interval_bc(6) or + interval_bc(9) or interval_bc(10) or interval_bc(13) or interval_bc(14); + interval_binary(2) <= interval_bc(3) or interval_bc(4) or interval_bc(5) or interval_bc(6) or + interval_bc(11) or interval_bc(12) or interval_bc(13) or interval_bc(14); + interval_binary(3) <= interval_bc(7) or interval_bc(8) or interval_bc(9) or interval_bc(10) or + interval_bc(11) or interval_bc(12) or interval_bc(13) or interval_bc(14); + end if; + end if; + end process Interval_Decoding; + + Binary_Code_Calculation : process (clk_i, rst_i) + begin + if rising_edge(clk_i) then + if rst_i = '1' then + binary_code_f <= (others => '0'); + binary_code_r <= (others => '0'); + BINARY_CODE_OUT <= (others => '0'); + FINISHED_OUT <= '0'; + elsif counter_out_i = "010" then + binary_code_f <= (mux_control-1) & interval_binary; + elsif counter_out_i = "101" then + binary_code_r <= (mux_control-1) & interval_binary; + elsif counter_out_i = "110" then + BINARY_CODE_OUT <= std_logic_vector(to_unsigned((to_integer(unsigned(binary_code_r)) + to_integer(unsigned(binary_code_f))), 10)); + FINISHED_OUT <= '1'; + else + BINARY_CODE_OUT <= (others => '0'); + FINISHED_OUT <= '0'; + end if; + end if; + end process Binary_Code_Calculation; + + Counter_Countrol : process (clk_i, rst_i) + begin -- The control of the "counter_up_i" signal + if rising_edge(clk_i) then + if rst_i = '1' then + counter_up_i <= '0'; + counter_reset_i <= '1'; + elsif start_in_i = '1' then + counter_up_i <= '1'; + elsif counter_out_i = "110" then + counter_up_i <= '0'; + counter_reset_i <= '1'; + else + counter_reset_i <= '0'; + end if; + end if; + end process Counter_Countrol; + +end Encoder_304_ROMsuz; diff --git a/tdc_releases/tdc_v0.0/source/FIFO_32x512_OutReg.vhd b/tdc_releases/tdc_v0.0/source/FIFO_32x512_OutReg.vhd new file mode 100644 index 0000000..8f46c78 --- /dev/null +++ b/tdc_releases/tdc_v0.0/source/FIFO_32x512_OutReg.vhd @@ -0,0 +1,1193 @@ +-- VHDL netlist generated by SCUBA Diamond_1.3_Production (92) +-- Module Version: 5.4 +--/opt/lattice/diamond/1.3/ispfpga/bin/lin/scuba -w -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type ebfifo -depth 512 -width 32 -depth 512 -rdata_width 32 -regout -no_enable -pe -1 -pf -1 -e + +-- Fri Nov 11 11:15:59 2011 + +library IEEE; +use IEEE.std_logic_1164.all; +-- synopsys translate_off +library ecp3; +use ecp3.components.all; +-- synopsys translate_on + +entity FIFO_32x512_OutReg is + port ( + Data: in std_logic_vector(31 downto 0); + WrClock: in std_logic; + RdClock: in std_logic; + WrEn: in std_logic; + RdEn: in std_logic; + Reset: in std_logic; + RPReset: in std_logic; + Q: out std_logic_vector(31 downto 0); + Empty: out std_logic; + Full: out std_logic); +end FIFO_32x512_OutReg; + +architecture Structure of FIFO_32x512_OutReg is + + -- internal signal declarations + signal invout_1: std_logic; + signal invout_0: std_logic; + signal w_g2b_xor_cluster_1: std_logic; + signal r_g2b_xor_cluster_1: std_logic; + signal w_gdata_0: std_logic; + signal w_gdata_1: std_logic; + signal w_gdata_2: std_logic; + signal w_gdata_3: std_logic; + signal w_gdata_4: std_logic; + signal w_gdata_5: std_logic; + signal w_gdata_6: std_logic; + signal w_gdata_7: std_logic; + signal w_gdata_8: std_logic; + signal wptr_0: std_logic; + signal wptr_1: std_logic; + signal wptr_2: std_logic; + signal wptr_3: std_logic; + signal wptr_4: std_logic; + signal wptr_5: std_logic; + signal wptr_6: std_logic; + signal wptr_7: std_logic; + signal wptr_8: std_logic; + signal wptr_9: std_logic; + signal r_gdata_0: std_logic; + signal r_gdata_1: std_logic; + signal r_gdata_2: std_logic; + signal r_gdata_3: std_logic; + signal r_gdata_4: std_logic; + signal r_gdata_5: std_logic; + signal r_gdata_6: std_logic; + signal r_gdata_7: std_logic; + signal r_gdata_8: std_logic; + signal rptr_0: std_logic; + signal rptr_1: std_logic; + signal rptr_2: std_logic; + signal rptr_3: std_logic; + signal rptr_4: std_logic; + signal rptr_5: std_logic; + signal rptr_6: std_logic; + signal rptr_7: std_logic; + signal rptr_8: std_logic; + signal rptr_9: std_logic; + signal w_gcount_0: std_logic; + signal w_gcount_1: std_logic; + signal w_gcount_2: std_logic; + signal w_gcount_3: std_logic; + signal w_gcount_4: std_logic; + signal w_gcount_5: std_logic; + signal w_gcount_6: std_logic; + signal w_gcount_7: std_logic; + signal w_gcount_8: std_logic; + signal w_gcount_9: std_logic; + signal r_gcount_0: std_logic; + signal r_gcount_1: std_logic; + signal r_gcount_2: std_logic; + signal r_gcount_3: std_logic; + signal r_gcount_4: std_logic; + signal r_gcount_5: std_logic; + signal r_gcount_6: std_logic; + signal r_gcount_7: std_logic; + signal r_gcount_8: std_logic; + signal r_gcount_9: std_logic; + signal w_gcount_r20: std_logic; + signal w_gcount_r0: std_logic; + signal w_gcount_r21: std_logic; + signal w_gcount_r1: std_logic; + signal w_gcount_r22: std_logic; + signal w_gcount_r2: std_logic; + signal w_gcount_r23: std_logic; + signal w_gcount_r3: std_logic; + signal w_gcount_r24: std_logic; + signal w_gcount_r4: std_logic; + signal w_gcount_r25: std_logic; + signal w_gcount_r5: std_logic; + signal w_gcount_r26: std_logic; + signal w_gcount_r6: std_logic; + signal w_gcount_r27: std_logic; + signal w_gcount_r7: std_logic; + signal w_gcount_r28: std_logic; + signal w_gcount_r8: std_logic; + signal w_gcount_r29: std_logic; + signal w_gcount_r9: std_logic; + signal r_gcount_w20: std_logic; + signal r_gcount_w0: std_logic; + signal r_gcount_w21: std_logic; + signal r_gcount_w1: std_logic; + signal r_gcount_w22: std_logic; + signal r_gcount_w2: std_logic; + signal r_gcount_w23: std_logic; + signal r_gcount_w3: std_logic; + signal r_gcount_w24: std_logic; + signal r_gcount_w4: std_logic; + signal r_gcount_w25: std_logic; + signal r_gcount_w5: std_logic; + signal r_gcount_w26: std_logic; + signal r_gcount_w6: std_logic; + signal r_gcount_w27: std_logic; + signal r_gcount_w7: std_logic; + signal r_gcount_w28: std_logic; + signal r_gcount_w8: std_logic; + signal r_gcount_w29: std_logic; + signal r_gcount_w9: std_logic; + signal empty_i: std_logic; + signal rRst: std_logic; + signal full_i: std_logic; + signal iwcount_0: std_logic; + signal iwcount_1: std_logic; + signal w_gctr_ci: std_logic; + signal iwcount_2: std_logic; + signal iwcount_3: std_logic; + signal co0: std_logic; + signal iwcount_4: std_logic; + signal iwcount_5: std_logic; + signal co1: std_logic; + signal iwcount_6: std_logic; + signal iwcount_7: std_logic; + signal co2: std_logic; + signal iwcount_8: std_logic; + signal iwcount_9: std_logic; + signal co4: std_logic; + signal wcount_9: std_logic; + signal co3: std_logic; + signal scuba_vhi: std_logic; + signal ircount_0: std_logic; + signal ircount_1: std_logic; + signal r_gctr_ci: std_logic; + signal ircount_2: std_logic; + signal ircount_3: std_logic; + signal co0_1: std_logic; + signal ircount_4: std_logic; + signal ircount_5: std_logic; + signal co1_1: std_logic; + signal ircount_6: std_logic; + signal ircount_7: std_logic; + signal co2_1: std_logic; + signal ircount_8: std_logic; + signal ircount_9: std_logic; + signal co4_1: std_logic; + signal rcount_9: std_logic; + signal co3_1: std_logic; + signal rden_i: std_logic; + signal cmp_ci: std_logic; + signal wcount_r0: std_logic; + signal wcount_r1: std_logic; + signal rcount_0: std_logic; + signal rcount_1: std_logic; + signal co0_2: std_logic; + signal wcount_r2: std_logic; + signal wcount_r3: std_logic; + signal rcount_2: std_logic; + signal rcount_3: std_logic; + signal co1_2: std_logic; + signal wcount_r4: std_logic; + signal wcount_r5: std_logic; + signal rcount_4: std_logic; + signal rcount_5: std_logic; + signal co2_2: std_logic; + signal w_g2b_xor_cluster_0: std_logic; + signal wcount_r7: std_logic; + signal rcount_6: std_logic; + signal rcount_7: std_logic; + signal co3_2: std_logic; + signal wcount_r8: std_logic; + signal empty_cmp_clr: std_logic; + signal rcount_8: std_logic; + signal empty_cmp_set: std_logic; + signal empty_d: std_logic; + signal empty_d_c: std_logic; + signal wren_i: std_logic; + signal cmp_ci_1: std_logic; + signal rcount_w0: std_logic; + signal rcount_w1: std_logic; + signal wcount_0: std_logic; + signal wcount_1: std_logic; + signal co0_3: std_logic; + signal rcount_w2: std_logic; + signal rcount_w3: std_logic; + signal wcount_2: std_logic; + signal wcount_3: std_logic; + signal co1_3: std_logic; + signal rcount_w4: std_logic; + signal rcount_w5: std_logic; + signal wcount_4: std_logic; + signal wcount_5: std_logic; + signal co2_3: std_logic; + signal r_g2b_xor_cluster_0: std_logic; + signal rcount_w7: std_logic; + signal wcount_6: std_logic; + signal wcount_7: std_logic; + signal co3_3: std_logic; + signal rcount_w8: std_logic; + signal full_cmp_clr: std_logic; + signal wcount_8: std_logic; + signal full_cmp_set: std_logic; + signal full_d: std_logic; + signal full_d_c: std_logic; + signal scuba_vlo: std_logic; + + -- local component declarations + component AGEB2 + port (A0: in std_logic; A1: in std_logic; B0: in std_logic; + B1: in std_logic; CI: in std_logic; GE: out std_logic); + end component; + component AND2 + port (A: in std_logic; B: in std_logic; Z: out std_logic); + end component; + component CU2 + port (CI: in std_logic; PC0: in std_logic; PC1: in std_logic; + CO: out std_logic; NC0: out std_logic; NC1: out std_logic); + end component; + component FADD2B + port (A0: in std_logic; A1: in std_logic; B0: in std_logic; + B1: in std_logic; CI: in std_logic; COUT: out std_logic; + S0: out std_logic; S1: out std_logic); + end component; + component FD1P3BX + port (D: in std_logic; SP: in std_logic; CK: in std_logic; + PD: in std_logic; Q: out std_logic); + end component; + component FD1P3DX + port (D: in std_logic; SP: in std_logic; CK: in std_logic; + CD: in std_logic; Q: out std_logic); + end component; + component FD1S3BX + port (D: in std_logic; CK: in std_logic; PD: in std_logic; + Q: out std_logic); + end component; + component FD1S3DX + port (D: in std_logic; CK: in std_logic; CD: in std_logic; + Q: out std_logic); + end component; + component INV + port (A: in std_logic; Z: out std_logic); + end component; + component OR2 + port (A: in std_logic; B: in std_logic; Z: out std_logic); + end component; + component ROM16X1A + generic (INITVAL : in std_logic_vector(15 downto 0)); + port (AD3: in std_logic; AD2: in std_logic; AD1: in std_logic; + AD0: in std_logic; DO0: out std_logic); + end component; + component VHI + port (Z: out std_logic); + end component; + component VLO + port (Z: out std_logic); + end component; + component XOR2 + port (A: in std_logic; B: in std_logic; Z: out std_logic); + end component; + component PDPW16KC + generic (GSR : in String; CSDECODE_R : in String; + CSDECODE_W : in String; REGMODE : in String; + DATA_WIDTH_R : in Integer; DATA_WIDTH_W : in Integer); + port (DI0: in std_logic; DI1: in std_logic; DI2: in std_logic; + DI3: in std_logic; DI4: in std_logic; DI5: in std_logic; + DI6: in std_logic; DI7: in std_logic; DI8: in std_logic; + DI9: in std_logic; DI10: in std_logic; DI11: in std_logic; + DI12: in std_logic; DI13: in std_logic; + DI14: in std_logic; DI15: in std_logic; + DI16: in std_logic; DI17: in std_logic; + DI18: in std_logic; DI19: in std_logic; + DI20: in std_logic; DI21: in std_logic; + DI22: in std_logic; DI23: in std_logic; + DI24: in std_logic; DI25: in std_logic; + DI26: in std_logic; DI27: in std_logic; + DI28: in std_logic; DI29: in std_logic; + DI30: in std_logic; DI31: in std_logic; + DI32: in std_logic; DI33: in std_logic; + DI34: in std_logic; DI35: in std_logic; + ADW0: in std_logic; ADW1: in std_logic; + ADW2: in std_logic; ADW3: in std_logic; + ADW4: in std_logic; ADW5: in std_logic; + ADW6: in std_logic; ADW7: in std_logic; + ADW8: in std_logic; BE0: in std_logic; BE1: in std_logic; + BE2: in std_logic; BE3: in std_logic; CEW: in std_logic; + CLKW: in std_logic; CSW0: in std_logic; + CSW1: in std_logic; CSW2: in std_logic; + ADR0: in std_logic; ADR1: in std_logic; + ADR2: in std_logic; ADR3: in std_logic; + ADR4: in std_logic; ADR5: in std_logic; + ADR6: in std_logic; ADR7: in std_logic; + ADR8: in std_logic; ADR9: in std_logic; + ADR10: in std_logic; ADR11: in std_logic; + ADR12: in std_logic; ADR13: in std_logic; + CER: in std_logic; CLKR: in std_logic; CSR0: in std_logic; + CSR1: in std_logic; CSR2: in std_logic; RST: in std_logic; + DO0: out std_logic; DO1: out std_logic; + DO2: out std_logic; DO3: out std_logic; + DO4: out std_logic; DO5: out std_logic; + DO6: out std_logic; DO7: out std_logic; + DO8: out std_logic; DO9: out std_logic; + DO10: out std_logic; DO11: out std_logic; + DO12: out std_logic; DO13: out std_logic; + DO14: out std_logic; DO15: out std_logic; + DO16: out std_logic; DO17: out std_logic; + DO18: out std_logic; DO19: out std_logic; + DO20: out std_logic; DO21: out std_logic; + DO22: out std_logic; DO23: out std_logic; + DO24: out std_logic; DO25: out std_logic; + DO26: out std_logic; DO27: out std_logic; + DO28: out std_logic; DO29: out std_logic; + DO30: out std_logic; DO31: out std_logic; + DO32: out std_logic; DO33: out std_logic; + DO34: out std_logic; DO35: out std_logic); + end component; + attribute MEM_LPC_FILE : string; + attribute MEM_INIT_FILE : string; + attribute RESETMODE : string; + attribute GSR : string; + attribute MEM_LPC_FILE of pdp_ram_0_0_0 : label is "FIFO_32x512_OutReg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_0_0 : label is ""; + attribute RESETMODE of pdp_ram_0_0_0 : label is "SYNC"; + attribute GSR of FF_101 : label is "ENABLED"; + attribute GSR of FF_100 : label is "ENABLED"; + attribute GSR of FF_99 : label is "ENABLED"; + attribute GSR of FF_98 : label is "ENABLED"; + attribute GSR of FF_97 : label is "ENABLED"; + attribute GSR of FF_96 : label is "ENABLED"; + attribute GSR of FF_95 : label is "ENABLED"; + attribute GSR of FF_94 : label is "ENABLED"; + attribute GSR of FF_93 : label is "ENABLED"; + attribute GSR of FF_92 : label is "ENABLED"; + attribute GSR of FF_91 : label is "ENABLED"; + attribute GSR of FF_90 : label is "ENABLED"; + attribute GSR of FF_89 : label is "ENABLED"; + attribute GSR of FF_88 : label is "ENABLED"; + attribute GSR of FF_87 : label is "ENABLED"; + attribute GSR of FF_86 : label is "ENABLED"; + attribute GSR of FF_85 : label is "ENABLED"; + attribute GSR of FF_84 : label is "ENABLED"; + attribute GSR of FF_83 : label is "ENABLED"; + attribute GSR of FF_82 : label is "ENABLED"; + attribute GSR of FF_81 : label is "ENABLED"; + attribute GSR of FF_80 : label is "ENABLED"; + attribute GSR of FF_79 : label is "ENABLED"; + attribute GSR of FF_78 : label is "ENABLED"; + attribute GSR of FF_77 : label is "ENABLED"; + attribute GSR of FF_76 : label is "ENABLED"; + attribute GSR of FF_75 : label is "ENABLED"; + attribute GSR of FF_74 : label is "ENABLED"; + attribute GSR of FF_73 : label is "ENABLED"; + attribute GSR of FF_72 : label is "ENABLED"; + attribute GSR of FF_71 : label is "ENABLED"; + attribute GSR of FF_70 : label is "ENABLED"; + attribute GSR of FF_69 : label is "ENABLED"; + attribute GSR of FF_68 : label is "ENABLED"; + attribute GSR of FF_67 : label is "ENABLED"; + attribute GSR of FF_66 : label is "ENABLED"; + attribute GSR of FF_65 : label is "ENABLED"; + attribute GSR of FF_64 : label is "ENABLED"; + attribute GSR of FF_63 : label is "ENABLED"; + attribute GSR of FF_62 : label is "ENABLED"; + attribute GSR of FF_61 : label is "ENABLED"; + attribute GSR of FF_60 : label is "ENABLED"; + attribute GSR of FF_59 : label is "ENABLED"; + attribute GSR of FF_58 : label is "ENABLED"; + attribute GSR of FF_57 : label is "ENABLED"; + attribute GSR of FF_56 : label is "ENABLED"; + attribute GSR of FF_55 : label is "ENABLED"; + attribute GSR of FF_54 : label is "ENABLED"; + attribute GSR of FF_53 : label is "ENABLED"; + attribute GSR of FF_52 : label is "ENABLED"; + attribute GSR of FF_51 : label is "ENABLED"; + attribute GSR of FF_50 : label is "ENABLED"; + attribute GSR of FF_49 : label is "ENABLED"; + attribute GSR of FF_48 : label is "ENABLED"; + attribute GSR of FF_47 : label is "ENABLED"; + attribute GSR of FF_46 : label is "ENABLED"; + attribute GSR of FF_45 : label is "ENABLED"; + attribute GSR of FF_44 : label is "ENABLED"; + attribute GSR of FF_43 : label is "ENABLED"; + attribute GSR of FF_42 : label is "ENABLED"; + attribute GSR of FF_41 : label is "ENABLED"; + attribute GSR of FF_40 : label is "ENABLED"; + attribute GSR of FF_39 : label is "ENABLED"; + attribute GSR of FF_38 : label is "ENABLED"; + attribute GSR of FF_37 : label is "ENABLED"; + attribute GSR of FF_36 : label is "ENABLED"; + attribute GSR of FF_35 : label is "ENABLED"; + attribute GSR of FF_34 : label is "ENABLED"; + attribute GSR of FF_33 : label is "ENABLED"; + attribute GSR of FF_32 : label is "ENABLED"; + attribute GSR of FF_31 : label is "ENABLED"; + attribute GSR of FF_30 : label is "ENABLED"; + attribute GSR of FF_29 : label is "ENABLED"; + attribute GSR of FF_28 : label is "ENABLED"; + attribute GSR of FF_27 : label is "ENABLED"; + attribute GSR of FF_26 : label is "ENABLED"; + attribute GSR of FF_25 : label is "ENABLED"; + attribute GSR of FF_24 : label is "ENABLED"; + attribute GSR of FF_23 : label is "ENABLED"; + attribute GSR of FF_22 : label is "ENABLED"; + attribute GSR of FF_21 : label is "ENABLED"; + attribute GSR of FF_20 : label is "ENABLED"; + attribute GSR of FF_19 : label is "ENABLED"; + attribute GSR of FF_18 : label is "ENABLED"; + attribute GSR of FF_17 : label is "ENABLED"; + attribute GSR of FF_16 : label is "ENABLED"; + attribute GSR of FF_15 : label is "ENABLED"; + attribute GSR of FF_14 : label is "ENABLED"; + attribute GSR of FF_13 : label is "ENABLED"; + attribute GSR of FF_12 : label is "ENABLED"; + attribute GSR of FF_11 : label is "ENABLED"; + attribute GSR of FF_10 : label is "ENABLED"; + attribute GSR of FF_9 : label is "ENABLED"; + attribute GSR of FF_8 : label is "ENABLED"; + attribute GSR of FF_7 : label is "ENABLED"; + attribute GSR of FF_6 : label is "ENABLED"; + attribute GSR of FF_5 : label is "ENABLED"; + attribute GSR of FF_4 : label is "ENABLED"; + attribute GSR of FF_3 : label is "ENABLED"; + attribute GSR of FF_2 : label is "ENABLED"; + attribute GSR of FF_1 : label is "ENABLED"; + attribute GSR of FF_0 : label is "ENABLED"; + attribute syn_keep : boolean; + +begin + -- component instantiation statements + AND2_t20: AND2 + port map (A=>WrEn, B=>invout_1, Z=>wren_i); + + INV_1: INV + port map (A=>full_i, Z=>invout_1); + + AND2_t19: AND2 + port map (A=>RdEn, B=>invout_0, Z=>rden_i); + + INV_0: INV + port map (A=>empty_i, Z=>invout_0); + + OR2_t18: OR2 + port map (A=>Reset, B=>RPReset, Z=>rRst); + + XOR2_t17: XOR2 + port map (A=>wcount_0, B=>wcount_1, Z=>w_gdata_0); + + XOR2_t16: XOR2 + port map (A=>wcount_1, B=>wcount_2, Z=>w_gdata_1); + + XOR2_t15: XOR2 + port map (A=>wcount_2, B=>wcount_3, Z=>w_gdata_2); + + XOR2_t14: XOR2 + port map (A=>wcount_3, B=>wcount_4, Z=>w_gdata_3); + + XOR2_t13: XOR2 + port map (A=>wcount_4, B=>wcount_5, Z=>w_gdata_4); + + XOR2_t12: XOR2 + port map (A=>wcount_5, B=>wcount_6, Z=>w_gdata_5); + + XOR2_t11: XOR2 + port map (A=>wcount_6, B=>wcount_7, Z=>w_gdata_6); + + XOR2_t10: XOR2 + port map (A=>wcount_7, B=>wcount_8, Z=>w_gdata_7); + + XOR2_t9: XOR2 + port map (A=>wcount_8, B=>wcount_9, Z=>w_gdata_8); + + XOR2_t8: XOR2 + port map (A=>rcount_0, B=>rcount_1, Z=>r_gdata_0); + + XOR2_t7: XOR2 + port map (A=>rcount_1, B=>rcount_2, Z=>r_gdata_1); + + XOR2_t6: XOR2 + port map (A=>rcount_2, B=>rcount_3, Z=>r_gdata_2); + + XOR2_t5: XOR2 + port map (A=>rcount_3, B=>rcount_4, Z=>r_gdata_3); + + XOR2_t4: XOR2 + port map (A=>rcount_4, B=>rcount_5, Z=>r_gdata_4); + + XOR2_t3: XOR2 + port map (A=>rcount_5, B=>rcount_6, Z=>r_gdata_5); + + XOR2_t2: XOR2 + port map (A=>rcount_6, B=>rcount_7, Z=>r_gdata_6); + + XOR2_t1: XOR2 + port map (A=>rcount_7, B=>rcount_8, Z=>r_gdata_7); + + XOR2_t0: XOR2 + port map (A=>rcount_8, B=>rcount_9, Z=>r_gdata_8); + + LUT4_23: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r26, AD2=>w_gcount_r27, + AD1=>w_gcount_r28, AD0=>w_gcount_r29, + DO0=>w_g2b_xor_cluster_0); + + LUT4_22: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r22, AD2=>w_gcount_r23, + AD1=>w_gcount_r24, AD0=>w_gcount_r25, + DO0=>w_g2b_xor_cluster_1); + + LUT4_21: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r28, AD2=>w_gcount_r29, AD1=>scuba_vlo, + AD0=>scuba_vlo, DO0=>wcount_r8); + + LUT4_20: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r27, AD2=>w_gcount_r28, + AD1=>w_gcount_r29, AD0=>scuba_vlo, DO0=>wcount_r7); + + LUT4_19: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r25, AD2=>w_gcount_r26, + AD1=>w_gcount_r27, AD0=>wcount_r8, DO0=>wcount_r5); + + LUT4_18: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r24, AD2=>w_gcount_r25, + AD1=>w_gcount_r26, AD0=>wcount_r7, DO0=>wcount_r4); + + LUT4_17: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r23, AD2=>w_gcount_r24, + AD1=>w_gcount_r25, AD0=>w_g2b_xor_cluster_0, DO0=>wcount_r3); + + LUT4_16: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>scuba_vlo, AD0=>scuba_vlo, DO0=>wcount_r2); + + LUT4_15: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_gcount_r21, AD0=>scuba_vlo, DO0=>wcount_r1); + + LUT4_14: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_gcount_r20, AD0=>w_gcount_r21, DO0=>wcount_r0); + + LUT4_13: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w26, AD2=>r_gcount_w27, + AD1=>r_gcount_w28, AD0=>r_gcount_w29, + DO0=>r_g2b_xor_cluster_0); + + LUT4_12: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w22, AD2=>r_gcount_w23, + AD1=>r_gcount_w24, AD0=>r_gcount_w25, + DO0=>r_g2b_xor_cluster_1); + + LUT4_11: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w28, AD2=>r_gcount_w29, AD1=>scuba_vlo, + AD0=>scuba_vlo, DO0=>rcount_w8); + + LUT4_10: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w27, AD2=>r_gcount_w28, + AD1=>r_gcount_w29, AD0=>scuba_vlo, DO0=>rcount_w7); + + LUT4_9: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w25, AD2=>r_gcount_w26, + AD1=>r_gcount_w27, AD0=>rcount_w8, DO0=>rcount_w5); + + LUT4_8: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w24, AD2=>r_gcount_w25, + AD1=>r_gcount_w26, AD0=>rcount_w7, DO0=>rcount_w4); + + LUT4_7: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w23, AD2=>r_gcount_w24, + AD1=>r_gcount_w25, AD0=>r_g2b_xor_cluster_0, DO0=>rcount_w3); + + LUT4_6: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>scuba_vlo, AD0=>scuba_vlo, DO0=>rcount_w2); + + LUT4_5: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_gcount_w21, AD0=>scuba_vlo, DO0=>rcount_w1); + + LUT4_4: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_gcount_w20, AD0=>r_gcount_w21, DO0=>rcount_w0); + + LUT4_3: ROM16X1A + generic map (initval=> X"0410") + port map (AD3=>rptr_9, AD2=>rcount_9, AD1=>w_gcount_r29, + AD0=>scuba_vlo, DO0=>empty_cmp_set); + + LUT4_2: ROM16X1A + generic map (initval=> X"1004") + port map (AD3=>rptr_9, AD2=>rcount_9, AD1=>w_gcount_r29, + AD0=>scuba_vlo, DO0=>empty_cmp_clr); + + LUT4_1: ROM16X1A + generic map (initval=> X"0140") + port map (AD3=>wptr_9, AD2=>wcount_9, AD1=>r_gcount_w29, + AD0=>scuba_vlo, DO0=>full_cmp_set); + + LUT4_0: ROM16X1A + generic map (initval=> X"4001") + port map (AD3=>wptr_9, AD2=>wcount_9, AD1=>r_gcount_w29, + AD0=>scuba_vlo, DO0=>full_cmp_clr); + + pdp_ram_0_0_0: PDPW16KC + generic map (CSDECODE_R=> "0b001", CSDECODE_W=> "0b001", GSR=> "DISABLED", + REGMODE=> "OUTREG", DATA_WIDTH_R=> 36, DATA_WIDTH_W=> 36) + port map (DI0=>Data(0), DI1=>Data(1), DI2=>Data(2), DI3=>Data(3), + DI4=>Data(4), DI5=>Data(5), DI6=>Data(6), DI7=>Data(7), + DI8=>Data(8), DI9=>Data(9), DI10=>Data(10), DI11=>Data(11), + DI12=>Data(12), DI13=>Data(13), DI14=>Data(14), + DI15=>Data(15), DI16=>Data(16), DI17=>Data(17), + DI18=>Data(18), DI19=>Data(19), DI20=>Data(20), + DI21=>Data(21), DI22=>Data(22), DI23=>Data(23), + DI24=>Data(24), DI25=>Data(25), DI26=>Data(26), + DI27=>Data(27), DI28=>Data(28), DI29=>Data(29), + DI30=>Data(30), DI31=>Data(31), DI32=>scuba_vlo, + DI33=>scuba_vlo, DI34=>scuba_vlo, DI35=>scuba_vlo, + ADW0=>wptr_0, ADW1=>wptr_1, ADW2=>wptr_2, ADW3=>wptr_3, + ADW4=>wptr_4, ADW5=>wptr_5, ADW6=>wptr_6, ADW7=>wptr_7, + ADW8=>wptr_8, BE0=>scuba_vhi, BE1=>scuba_vhi, BE2=>scuba_vhi, + BE3=>scuba_vhi, CEW=>wren_i, CLKW=>WrClock, CSW0=>scuba_vhi, + CSW1=>scuba_vlo, CSW2=>scuba_vlo, ADR0=>scuba_vlo, + ADR1=>scuba_vlo, ADR2=>scuba_vlo, ADR3=>scuba_vlo, + ADR4=>scuba_vlo, ADR5=>rptr_0, ADR6=>rptr_1, ADR7=>rptr_2, + ADR8=>rptr_3, ADR9=>rptr_4, ADR10=>rptr_5, ADR11=>rptr_6, + ADR12=>rptr_7, ADR13=>rptr_8, CER=>scuba_vhi, CLKR=>RdClock, + CSR0=>rden_i, CSR1=>scuba_vlo, CSR2=>scuba_vlo, RST=>Reset, + DO0=>Q(18), DO1=>Q(19), DO2=>Q(20), DO3=>Q(21), DO4=>Q(22), + DO5=>Q(23), DO6=>Q(24), DO7=>Q(25), DO8=>Q(26), DO9=>Q(27), + DO10=>Q(28), DO11=>Q(29), DO12=>Q(30), DO13=>Q(31), + DO14=>open, DO15=>open, DO16=>open, DO17=>open, DO18=>Q(0), + DO19=>Q(1), DO20=>Q(2), DO21=>Q(3), DO22=>Q(4), DO23=>Q(5), + DO24=>Q(6), DO25=>Q(7), DO26=>Q(8), DO27=>Q(9), DO28=>Q(10), + DO29=>Q(11), DO30=>Q(12), DO31=>Q(13), DO32=>Q(14), + DO33=>Q(15), DO34=>Q(16), DO35=>Q(17)); + + FF_101: FD1P3BX + port map (D=>iwcount_0, SP=>wren_i, CK=>WrClock, PD=>Reset, + Q=>wcount_0); + + FF_100: FD1P3DX + port map (D=>iwcount_1, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_1); + + FF_99: FD1P3DX + port map (D=>iwcount_2, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_2); + + FF_98: FD1P3DX + port map (D=>iwcount_3, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_3); + + FF_97: FD1P3DX + port map (D=>iwcount_4, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_4); + + FF_96: FD1P3DX + port map (D=>iwcount_5, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_5); + + FF_95: FD1P3DX + port map (D=>iwcount_6, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_6); + + FF_94: FD1P3DX + port map (D=>iwcount_7, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_7); + + FF_93: FD1P3DX + port map (D=>iwcount_8, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_8); + + FF_92: FD1P3DX + port map (D=>iwcount_9, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_9); + + FF_91: FD1P3DX + port map (D=>w_gdata_0, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_0); + + FF_90: FD1P3DX + port map (D=>w_gdata_1, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_1); + + FF_89: FD1P3DX + port map (D=>w_gdata_2, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_2); + + FF_88: FD1P3DX + port map (D=>w_gdata_3, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_3); + + FF_87: FD1P3DX + port map (D=>w_gdata_4, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_4); + + FF_86: FD1P3DX + port map (D=>w_gdata_5, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_5); + + FF_85: FD1P3DX + port map (D=>w_gdata_6, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_6); + + FF_84: FD1P3DX + port map (D=>w_gdata_7, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_7); + + FF_83: FD1P3DX + port map (D=>w_gdata_8, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_8); + + FF_82: FD1P3DX + port map (D=>wcount_9, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_9); + + FF_81: FD1P3DX + port map (D=>wcount_0, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_0); + + FF_80: FD1P3DX + port map (D=>wcount_1, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_1); + + FF_79: FD1P3DX + port map (D=>wcount_2, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_2); + + FF_78: FD1P3DX + port map (D=>wcount_3, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_3); + + FF_77: FD1P3DX + port map (D=>wcount_4, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_4); + + FF_76: FD1P3DX + port map (D=>wcount_5, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_5); + + FF_75: FD1P3DX + port map (D=>wcount_6, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_6); + + FF_74: FD1P3DX + port map (D=>wcount_7, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_7); + + FF_73: FD1P3DX + port map (D=>wcount_8, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_8); + + FF_72: FD1P3DX + port map (D=>wcount_9, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_9); + + FF_71: FD1P3BX + port map (D=>ircount_0, SP=>rden_i, CK=>RdClock, PD=>rRst, + Q=>rcount_0); + + FF_70: FD1P3DX + port map (D=>ircount_1, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_1); + + FF_69: FD1P3DX + port map (D=>ircount_2, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_2); + + FF_68: FD1P3DX + port map (D=>ircount_3, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_3); + + FF_67: FD1P3DX + port map (D=>ircount_4, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_4); + + FF_66: FD1P3DX + port map (D=>ircount_5, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_5); + + FF_65: FD1P3DX + port map (D=>ircount_6, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_6); + + FF_64: FD1P3DX + port map (D=>ircount_7, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_7); + + FF_63: FD1P3DX + port map (D=>ircount_8, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_8); + + FF_62: FD1P3DX + port map (D=>ircount_9, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_9); + + FF_61: FD1P3DX + port map (D=>r_gdata_0, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_0); + + FF_60: FD1P3DX + port map (D=>r_gdata_1, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_1); + + FF_59: FD1P3DX + port map (D=>r_gdata_2, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_2); + + FF_58: FD1P3DX + port map (D=>r_gdata_3, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_3); + + FF_57: FD1P3DX + port map (D=>r_gdata_4, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_4); + + FF_56: FD1P3DX + port map (D=>r_gdata_5, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_5); + + FF_55: FD1P3DX + port map (D=>r_gdata_6, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_6); + + FF_54: FD1P3DX + port map (D=>r_gdata_7, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_7); + + FF_53: FD1P3DX + port map (D=>r_gdata_8, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_8); + + FF_52: FD1P3DX + port map (D=>rcount_9, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_9); + + FF_51: FD1P3DX + port map (D=>rcount_0, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_0); + + FF_50: FD1P3DX + port map (D=>rcount_1, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_1); + + FF_49: FD1P3DX + port map (D=>rcount_2, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_2); + + FF_48: FD1P3DX + port map (D=>rcount_3, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_3); + + FF_47: FD1P3DX + port map (D=>rcount_4, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_4); + + FF_46: FD1P3DX + port map (D=>rcount_5, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_5); + + FF_45: FD1P3DX + port map (D=>rcount_6, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_6); + + FF_44: FD1P3DX + port map (D=>rcount_7, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_7); + + FF_43: FD1P3DX + port map (D=>rcount_8, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_8); + + FF_42: FD1P3DX + port map (D=>rcount_9, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_9); + + FF_41: FD1S3DX + port map (D=>w_gcount_0, CK=>RdClock, CD=>Reset, Q=>w_gcount_r0); + + FF_40: FD1S3DX + port map (D=>w_gcount_1, CK=>RdClock, CD=>Reset, Q=>w_gcount_r1); + + FF_39: FD1S3DX + port map (D=>w_gcount_2, CK=>RdClock, CD=>Reset, Q=>w_gcount_r2); + + FF_38: FD1S3DX + port map (D=>w_gcount_3, CK=>RdClock, CD=>Reset, Q=>w_gcount_r3); + + FF_37: FD1S3DX + port map (D=>w_gcount_4, CK=>RdClock, CD=>Reset, Q=>w_gcount_r4); + + FF_36: FD1S3DX + port map (D=>w_gcount_5, CK=>RdClock, CD=>Reset, Q=>w_gcount_r5); + + FF_35: FD1S3DX + port map (D=>w_gcount_6, CK=>RdClock, CD=>Reset, Q=>w_gcount_r6); + + FF_34: FD1S3DX + port map (D=>w_gcount_7, CK=>RdClock, CD=>Reset, Q=>w_gcount_r7); + + FF_33: FD1S3DX + port map (D=>w_gcount_8, CK=>RdClock, CD=>Reset, Q=>w_gcount_r8); + + FF_32: FD1S3DX + port map (D=>w_gcount_9, CK=>RdClock, CD=>Reset, Q=>w_gcount_r9); + + FF_31: FD1S3DX + port map (D=>r_gcount_0, CK=>WrClock, CD=>rRst, Q=>r_gcount_w0); + + FF_30: FD1S3DX + port map (D=>r_gcount_1, CK=>WrClock, CD=>rRst, Q=>r_gcount_w1); + + FF_29: FD1S3DX + port map (D=>r_gcount_2, CK=>WrClock, CD=>rRst, Q=>r_gcount_w2); + + FF_28: FD1S3DX + port map (D=>r_gcount_3, CK=>WrClock, CD=>rRst, Q=>r_gcount_w3); + + FF_27: FD1S3DX + port map (D=>r_gcount_4, CK=>WrClock, CD=>rRst, Q=>r_gcount_w4); + + FF_26: FD1S3DX + port map (D=>r_gcount_5, CK=>WrClock, CD=>rRst, Q=>r_gcount_w5); + + FF_25: FD1S3DX + port map (D=>r_gcount_6, CK=>WrClock, CD=>rRst, Q=>r_gcount_w6); + + FF_24: FD1S3DX + port map (D=>r_gcount_7, CK=>WrClock, CD=>rRst, Q=>r_gcount_w7); + + FF_23: FD1S3DX + port map (D=>r_gcount_8, CK=>WrClock, CD=>rRst, Q=>r_gcount_w8); + + FF_22: FD1S3DX + port map (D=>r_gcount_9, CK=>WrClock, CD=>rRst, Q=>r_gcount_w9); + + FF_21: FD1S3DX + port map (D=>w_gcount_r0, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r20); + + FF_20: FD1S3DX + port map (D=>w_gcount_r1, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r21); + + FF_19: FD1S3DX + port map (D=>w_gcount_r2, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r22); + + FF_18: FD1S3DX + port map (D=>w_gcount_r3, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r23); + + FF_17: FD1S3DX + port map (D=>w_gcount_r4, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r24); + + FF_16: FD1S3DX + port map (D=>w_gcount_r5, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r25); + + FF_15: FD1S3DX + port map (D=>w_gcount_r6, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r26); + + FF_14: FD1S3DX + port map (D=>w_gcount_r7, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r27); + + FF_13: FD1S3DX + port map (D=>w_gcount_r8, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r28); + + FF_12: FD1S3DX + port map (D=>w_gcount_r9, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r29); + + FF_11: FD1S3DX + port map (D=>r_gcount_w0, CK=>WrClock, CD=>rRst, Q=>r_gcount_w20); + + FF_10: FD1S3DX + port map (D=>r_gcount_w1, CK=>WrClock, CD=>rRst, Q=>r_gcount_w21); + + FF_9: FD1S3DX + port map (D=>r_gcount_w2, CK=>WrClock, CD=>rRst, Q=>r_gcount_w22); + + FF_8: FD1S3DX + port map (D=>r_gcount_w3, CK=>WrClock, CD=>rRst, Q=>r_gcount_w23); + + FF_7: FD1S3DX + port map (D=>r_gcount_w4, CK=>WrClock, CD=>rRst, Q=>r_gcount_w24); + + FF_6: FD1S3DX + port map (D=>r_gcount_w5, CK=>WrClock, CD=>rRst, Q=>r_gcount_w25); + + FF_5: FD1S3DX + port map (D=>r_gcount_w6, CK=>WrClock, CD=>rRst, Q=>r_gcount_w26); + + FF_4: FD1S3DX + port map (D=>r_gcount_w7, CK=>WrClock, CD=>rRst, Q=>r_gcount_w27); + + FF_3: FD1S3DX + port map (D=>r_gcount_w8, CK=>WrClock, CD=>rRst, Q=>r_gcount_w28); + + FF_2: FD1S3DX + port map (D=>r_gcount_w9, CK=>WrClock, CD=>rRst, Q=>r_gcount_w29); + + FF_1: FD1S3BX + port map (D=>empty_d, CK=>RdClock, PD=>rRst, Q=>empty_i); + + FF_0: FD1S3DX + port map (D=>full_d, CK=>WrClock, CD=>Reset, Q=>full_i); + + w_gctr_cia: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, CI=>scuba_vlo, COUT=>w_gctr_ci, S0=>open, + S1=>open); + + w_gctr_0: CU2 + port map (CI=>w_gctr_ci, PC0=>wcount_0, PC1=>wcount_1, CO=>co0, + NC0=>iwcount_0, NC1=>iwcount_1); + + w_gctr_1: CU2 + port map (CI=>co0, PC0=>wcount_2, PC1=>wcount_3, CO=>co1, + NC0=>iwcount_2, NC1=>iwcount_3); + + w_gctr_2: CU2 + port map (CI=>co1, PC0=>wcount_4, PC1=>wcount_5, CO=>co2, + NC0=>iwcount_4, NC1=>iwcount_5); + + w_gctr_3: CU2 + port map (CI=>co2, PC0=>wcount_6, PC1=>wcount_7, CO=>co3, + NC0=>iwcount_6, NC1=>iwcount_7); + + w_gctr_4: CU2 + port map (CI=>co3, PC0=>wcount_8, PC1=>wcount_9, CO=>co4, + NC0=>iwcount_8, NC1=>iwcount_9); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + r_gctr_cia: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, CI=>scuba_vlo, COUT=>r_gctr_ci, S0=>open, + S1=>open); + + r_gctr_0: CU2 + port map (CI=>r_gctr_ci, PC0=>rcount_0, PC1=>rcount_1, CO=>co0_1, + NC0=>ircount_0, NC1=>ircount_1); + + r_gctr_1: CU2 + port map (CI=>co0_1, PC0=>rcount_2, PC1=>rcount_3, CO=>co1_1, + NC0=>ircount_2, NC1=>ircount_3); + + r_gctr_2: CU2 + port map (CI=>co1_1, PC0=>rcount_4, PC1=>rcount_5, CO=>co2_1, + NC0=>ircount_4, NC1=>ircount_5); + + r_gctr_3: CU2 + port map (CI=>co2_1, PC0=>rcount_6, PC1=>rcount_7, CO=>co3_1, + NC0=>ircount_6, NC1=>ircount_7); + + r_gctr_4: CU2 + port map (CI=>co3_1, PC0=>rcount_8, PC1=>rcount_9, CO=>co4_1, + NC0=>ircount_8, NC1=>ircount_9); + + empty_cmp_ci_a: FADD2B + port map (A0=>scuba_vlo, A1=>rden_i, B0=>scuba_vlo, B1=>rden_i, + CI=>scuba_vlo, COUT=>cmp_ci, S0=>open, S1=>open); + + empty_cmp_0: AGEB2 + port map (A0=>rcount_0, A1=>rcount_1, B0=>wcount_r0, + B1=>wcount_r1, CI=>cmp_ci, GE=>co0_2); + + empty_cmp_1: AGEB2 + port map (A0=>rcount_2, A1=>rcount_3, B0=>wcount_r2, + B1=>wcount_r3, CI=>co0_2, GE=>co1_2); + + empty_cmp_2: AGEB2 + port map (A0=>rcount_4, A1=>rcount_5, B0=>wcount_r4, + B1=>wcount_r5, CI=>co1_2, GE=>co2_2); + + empty_cmp_3: AGEB2 + port map (A0=>rcount_6, A1=>rcount_7, B0=>w_g2b_xor_cluster_0, + B1=>wcount_r7, CI=>co2_2, GE=>co3_2); + + empty_cmp_4: AGEB2 + port map (A0=>rcount_8, A1=>empty_cmp_set, B0=>wcount_r8, + B1=>empty_cmp_clr, CI=>co3_2, GE=>empty_d_c); + + a0: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, CI=>empty_d_c, COUT=>open, S0=>empty_d, + S1=>open); + + full_cmp_ci_a: FADD2B + port map (A0=>scuba_vlo, A1=>wren_i, B0=>scuba_vlo, B1=>wren_i, + CI=>scuba_vlo, COUT=>cmp_ci_1, S0=>open, S1=>open); + + full_cmp_0: AGEB2 + port map (A0=>wcount_0, A1=>wcount_1, B0=>rcount_w0, + B1=>rcount_w1, CI=>cmp_ci_1, GE=>co0_3); + + full_cmp_1: AGEB2 + port map (A0=>wcount_2, A1=>wcount_3, B0=>rcount_w2, + B1=>rcount_w3, CI=>co0_3, GE=>co1_3); + + full_cmp_2: AGEB2 + port map (A0=>wcount_4, A1=>wcount_5, B0=>rcount_w4, + B1=>rcount_w5, CI=>co1_3, GE=>co2_3); + + full_cmp_3: AGEB2 + port map (A0=>wcount_6, A1=>wcount_7, B0=>r_g2b_xor_cluster_0, + B1=>rcount_w7, CI=>co2_3, GE=>co3_3); + + full_cmp_4: AGEB2 + port map (A0=>wcount_8, A1=>full_cmp_set, B0=>rcount_w8, + B1=>full_cmp_clr, CI=>co3_3, GE=>full_d_c); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + a1: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, CI=>full_d_c, COUT=>open, S0=>full_d, + S1=>open); + + Empty <= empty_i; + Full <= full_i; +end Structure; + +-- synopsys translate_off +library ecp3; +configuration Structure_CON of FIFO_32x512_OutReg is + for Structure + for all:AGEB2 use entity ecp3.AGEB2(V); end for; + for all:AND2 use entity ecp3.AND2(V); end for; + for all:CU2 use entity ecp3.CU2(V); end for; + for all:FADD2B use entity ecp3.FADD2B(V); end for; + for all:FD1P3BX use entity ecp3.FD1P3BX(V); end for; + for all:FD1P3DX use entity ecp3.FD1P3DX(V); end for; + for all:FD1S3BX use entity ecp3.FD1S3BX(V); end for; + for all:FD1S3DX use entity ecp3.FD1S3DX(V); end for; + for all:INV use entity ecp3.INV(V); end for; + for all:OR2 use entity ecp3.OR2(V); end for; + for all:ROM16X1A use entity ecp3.ROM16X1A(V); end for; + for all:VHI use entity ecp3.VHI(V); end for; + for all:VLO use entity ecp3.VLO(V); end for; + for all:XOR2 use entity ecp3.XOR2(V); end for; + for all:PDPW16KC use entity ecp3.PDPW16KC(V); end for; + end for; +end Structure_CON; + +-- synopsys translate_on diff --git a/tdc_releases/tdc_v0.0/source/ROM_Encoder.vhd b/tdc_releases/tdc_v0.0/source/ROM_Encoder.vhd new file mode 100644 index 0000000..cbea640 --- /dev/null +++ b/tdc_releases/tdc_v0.0/source/ROM_Encoder.vhd @@ -0,0 +1,262 @@ +-- VHDL netlist generated by SCUBA Diamond_1.3_Production (92) +-- Module Version: 5.0 +--/opt/lattice/diamond/1.3/ispfpga/bin/lin/scuba -w -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type bram -wp 00 -rp 1100 -addr_width 10 -data_width 8 -num_rows 1024 -memfile /home/ugur/Projects/encoder/source/rom_encoder.mem -memformat orca -cascade -1 -e + +-- Thu Nov 24 15:38:57 2011 + +library IEEE; +use IEEE.std_logic_1164.all; +-- synopsys translate_off +library ecp3; +use ecp3.components.all; +-- synopsys translate_on + +entity ROM_Encoder is + port ( + Address: in std_logic_vector(9 downto 0); + OutClock: in std_logic; + OutClockEn: in std_logic; + Reset: in std_logic; + Q: out std_logic_vector(7 downto 0)); +end ROM_Encoder; + +architecture Structure of ROM_Encoder is + + -- internal signal declarations + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + + -- local component declarations + component VHI + port (Z: out std_logic); + end component; + component VLO + port (Z: out std_logic); + end component; + component DP16KC + generic (INITVAL_3F : in String; INITVAL_3E : in String; + INITVAL_3D : in String; INITVAL_3C : in String; + INITVAL_3B : in String; INITVAL_3A : in String; + INITVAL_39 : in String; INITVAL_38 : in String; + INITVAL_37 : in String; INITVAL_36 : in String; + INITVAL_35 : in String; INITVAL_34 : in String; + INITVAL_33 : in String; INITVAL_32 : in String; + INITVAL_31 : in String; INITVAL_30 : in String; + INITVAL_2F : in String; INITVAL_2E : in String; + INITVAL_2D : in String; INITVAL_2C : in String; + INITVAL_2B : in String; INITVAL_2A : in String; + INITVAL_29 : in String; INITVAL_28 : in String; + INITVAL_27 : in String; INITVAL_26 : in String; + INITVAL_25 : in String; INITVAL_24 : in String; + INITVAL_23 : in String; INITVAL_22 : in String; + INITVAL_21 : in String; INITVAL_20 : in String; + INITVAL_1F : in String; INITVAL_1E : in String; + INITVAL_1D : in String; INITVAL_1C : in String; + INITVAL_1B : in String; INITVAL_1A : in String; + INITVAL_19 : in String; INITVAL_18 : in String; + INITVAL_17 : in String; INITVAL_16 : in String; + INITVAL_15 : in String; INITVAL_14 : in String; + INITVAL_13 : in String; INITVAL_12 : in String; + INITVAL_11 : in String; INITVAL_10 : in String; + INITVAL_0F : in String; INITVAL_0E : in String; + INITVAL_0D : in String; INITVAL_0C : in String; + INITVAL_0B : in String; INITVAL_0A : in String; + INITVAL_09 : in String; INITVAL_08 : in String; + INITVAL_07 : in String; INITVAL_06 : in String; + INITVAL_05 : in String; INITVAL_04 : in String; + INITVAL_03 : in String; INITVAL_02 : in String; + INITVAL_01 : in String; INITVAL_00 : in String; + GSR : in String; WRITEMODE_B : in String; + WRITEMODE_A : in String; CSDECODE_B : in String; + CSDECODE_A : in String; REGMODE_B : in String; + REGMODE_A : in String; DATA_WIDTH_B : in Integer; + DATA_WIDTH_A : in Integer); + port (DIA0: in std_logic; DIA1: in std_logic; + DIA2: in std_logic; DIA3: in std_logic; + DIA4: in std_logic; DIA5: in std_logic; + DIA6: in std_logic; DIA7: in std_logic; + DIA8: in std_logic; DIA9: in std_logic; + DIA10: in std_logic; DIA11: in std_logic; + DIA12: in std_logic; DIA13: in std_logic; + DIA14: in std_logic; DIA15: in std_logic; + DIA16: in std_logic; DIA17: in std_logic; + ADA0: in std_logic; ADA1: in std_logic; + ADA2: in std_logic; ADA3: in std_logic; + ADA4: in std_logic; ADA5: in std_logic; + ADA6: in std_logic; ADA7: in std_logic; + ADA8: in std_logic; ADA9: in std_logic; + ADA10: in std_logic; ADA11: in std_logic; + ADA12: in std_logic; ADA13: in std_logic; + CEA: in std_logic; CLKA: in std_logic; OCEA: in std_logic; + WEA: in std_logic; CSA0: in std_logic; CSA1: in std_logic; + CSA2: in std_logic; RSTA: in std_logic; + DIB0: in std_logic; DIB1: in std_logic; + DIB2: in std_logic; DIB3: in std_logic; + DIB4: in std_logic; DIB5: in std_logic; + DIB6: in std_logic; DIB7: in std_logic; + DIB8: in std_logic; DIB9: in std_logic; + DIB10: in std_logic; DIB11: in std_logic; + DIB12: in std_logic; DIB13: in std_logic; + DIB14: in std_logic; DIB15: in std_logic; + DIB16: in std_logic; DIB17: in std_logic; + ADB0: in std_logic; ADB1: in std_logic; + ADB2: in std_logic; ADB3: in std_logic; + ADB4: in std_logic; ADB5: in std_logic; + ADB6: in std_logic; ADB7: in std_logic; + ADB8: in std_logic; ADB9: in std_logic; + ADB10: in std_logic; ADB11: in std_logic; + ADB12: in std_logic; ADB13: in std_logic; + CEB: in std_logic; CLKB: in std_logic; OCEB: in std_logic; + WEB: in std_logic; CSB0: in std_logic; CSB1: in std_logic; + CSB2: in std_logic; RSTB: in std_logic; + DOA0: out std_logic; DOA1: out std_logic; + DOA2: out std_logic; DOA3: out std_logic; + DOA4: out std_logic; DOA5: out std_logic; + DOA6: out std_logic; DOA7: out std_logic; + DOA8: out std_logic; DOA9: out std_logic; + DOA10: out std_logic; DOA11: out std_logic; + DOA12: out std_logic; DOA13: out std_logic; + DOA14: out std_logic; DOA15: out std_logic; + DOA16: out std_logic; DOA17: out std_logic; + DOB0: out std_logic; DOB1: out std_logic; + DOB2: out std_logic; DOB3: out std_logic; + DOB4: out std_logic; DOB5: out std_logic; + DOB6: out std_logic; DOB7: out std_logic; + DOB8: out std_logic; DOB9: out std_logic; + DOB10: out std_logic; DOB11: out std_logic; + DOB12: out std_logic; DOB13: out std_logic; + DOB14: out std_logic; DOB15: out std_logic; + DOB16: out std_logic; DOB17: out std_logic); + end component; + attribute MEM_LPC_FILE : string; + attribute MEM_INIT_FILE : string; + attribute RESETMODE : string; + attribute MEM_LPC_FILE of ROM_Encoder_0_0_0 : label is "ROM_Encoder.lpc"; + attribute MEM_INIT_FILE of ROM_Encoder_0_0_0 : label is "rom_encoder.mem"; + attribute RESETMODE of ROM_Encoder_0_0_0 : label is "SYNC"; + +begin + -- component instantiation statements + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + ROM_Encoder_0_0_0: DP16KC + generic map (INITVAL_3F=> "0x00000000800008000081000000008100000000820000000000000000008200000000000000000083", + INITVAL_3E=> "0x00000000000000000000000000000000000000830000000000000000000000000000000000000084", + INITVAL_3D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000084", + INITVAL_3C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000085", + INITVAL_3B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_3A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000085", + INITVAL_39=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_38=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000086", + INITVAL_37=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_36=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_35=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_34=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000086", + INITVAL_33=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_32=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_31=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_30=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000087", + INITVAL_2F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_29=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_28=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000087", + INITVAL_27=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_26=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_25=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_24=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_23=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_22=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_21=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_20=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_19=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_18=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_17=> "0x00087000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_16=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_15=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_14=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_13=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_12=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_11=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_10=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0F=> "0x00087000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0B=> "0x00086000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_08=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_07=> "0x00086000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_06=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_05=> "0x00085000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_04=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_03=> "0x00085000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_02=> "0x00084000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_01=> "0x00084000000000000000000000000000000000000008300000000000000000000000000000000000", + INITVAL_00=> "0x00083000000000000000000820000000000000000008200000000810000000081000800008000000", + CSDECODE_B=> "0b111", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", REGMODE_B=> "NOREG", + REGMODE_A=> "NOREG", DATA_WIDTH_B=> 18, DATA_WIDTH_A=> 18) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>scuba_vlo, + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>scuba_vlo, ADA1=>scuba_vlo, ADA2=>scuba_vlo, + ADA3=>scuba_vlo, ADA4=>Address(0), ADA5=>Address(1), + ADA6=>Address(2), ADA7=>Address(3), ADA8=>Address(4), + ADA9=>Address(5), ADA10=>Address(6), ADA11=>Address(7), + ADA12=>Address(8), ADA13=>Address(9), CEA=>OutClockEn, + CLKA=>OutClock, OCEA=>OutClockEn, WEA=>scuba_vlo, + CSA0=>scuba_vlo, CSA1=>scuba_vlo, CSA2=>scuba_vlo, + RSTA=>Reset, DIB0=>scuba_vlo, DIB1=>scuba_vlo, + DIB2=>scuba_vlo, DIB3=>scuba_vlo, DIB4=>scuba_vlo, + DIB5=>scuba_vlo, DIB6=>scuba_vlo, DIB7=>scuba_vlo, + DIB8=>scuba_vlo, DIB9=>scuba_vlo, DIB10=>scuba_vlo, + DIB11=>scuba_vlo, DIB12=>scuba_vlo, DIB13=>scuba_vlo, + DIB14=>scuba_vlo, DIB15=>scuba_vlo, DIB16=>scuba_vlo, + DIB17=>scuba_vlo, ADB0=>scuba_vlo, ADB1=>scuba_vlo, + ADB2=>scuba_vlo, ADB3=>scuba_vlo, ADB4=>scuba_vlo, + ADB5=>scuba_vlo, ADB6=>scuba_vlo, ADB7=>scuba_vlo, + ADB8=>scuba_vlo, ADB9=>scuba_vlo, ADB10=>scuba_vlo, + ADB11=>scuba_vlo, ADB12=>scuba_vlo, ADB13=>scuba_vlo, + CEB=>scuba_vhi, CLKB=>scuba_vlo, OCEB=>scuba_vhi, + WEB=>scuba_vlo, CSB0=>scuba_vlo, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>scuba_vlo, DOA0=>Q(0), DOA1=>Q(1), + DOA2=>Q(2), DOA3=>Q(3), DOA4=>Q(4), DOA5=>Q(5), DOA6=>Q(6), + DOA7=>Q(7), DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>open, DOB1=>open, DOB2=>open, + DOB3=>open, DOB4=>open, DOB5=>open, DOB6=>open, DOB7=>open, + DOB8=>open, DOB9=>open, DOB10=>open, DOB11=>open, + DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, + DOB16=>open, DOB17=>open); + +end Structure; + +-- synopsys translate_off +library ecp3; +configuration Structure_CON of ROM_Encoder is + for Structure + for all:VHI use entity ecp3.VHI(V); end for; + for all:VLO use entity ecp3.VLO(V); end for; + for all:DP16KC use entity ecp3.DP16KC(V); end for; + end for; +end Structure_CON; + +-- synopsys translate_on diff --git a/tdc_releases/tdc_v0.0/source/ROM_FIFO.vhd b/tdc_releases/tdc_v0.0/source/ROM_FIFO.vhd new file mode 100644 index 0000000..be532c9 --- /dev/null +++ b/tdc_releases/tdc_v0.0/source/ROM_FIFO.vhd @@ -0,0 +1,262 @@ +-- VHDL netlist generated by SCUBA Diamond_1.3_Production (92) +-- Module Version: 5.0 +--/opt/lattice/diamond/1.3/ispfpga/bin/lin/scuba -w -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type bram -wp 00 -rp 1100 -addr_width 8 -data_width 4 -num_rows 256 -memfile /home/ugur/Projects/trb3/tdc_test/ipexpress/ROM_FIFO/rom0_mem_file.mem -memformat hex -cascade -1 -e + +-- Fri Nov 11 12:43:08 2011 + +library IEEE; +use IEEE.std_logic_1164.all; +-- synopsys translate_off +library ecp3; +use ecp3.components.all; +-- synopsys translate_on + +entity ROM_FIFO is + port ( + Address: in std_logic_vector(7 downto 0); + OutClock: in std_logic; + OutClockEn: in std_logic; + Reset: in std_logic; + Q: out std_logic_vector(3 downto 0)); +end ROM_FIFO; + +architecture Structure of ROM_FIFO is + + -- internal signal declarations + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + + -- local component declarations + component VHI + port (Z: out std_logic); + end component; + component VLO + port (Z: out std_logic); + end component; + component DP16KC + generic (INITVAL_3F : in String; INITVAL_3E : in String; + INITVAL_3D : in String; INITVAL_3C : in String; + INITVAL_3B : in String; INITVAL_3A : in String; + INITVAL_39 : in String; INITVAL_38 : in String; + INITVAL_37 : in String; INITVAL_36 : in String; + INITVAL_35 : in String; INITVAL_34 : in String; + INITVAL_33 : in String; INITVAL_32 : in String; + INITVAL_31 : in String; INITVAL_30 : in String; + INITVAL_2F : in String; INITVAL_2E : in String; + INITVAL_2D : in String; INITVAL_2C : in String; + INITVAL_2B : in String; INITVAL_2A : in String; + INITVAL_29 : in String; INITVAL_28 : in String; + INITVAL_27 : in String; INITVAL_26 : in String; + INITVAL_25 : in String; INITVAL_24 : in String; + INITVAL_23 : in String; INITVAL_22 : in String; + INITVAL_21 : in String; INITVAL_20 : in String; + INITVAL_1F : in String; INITVAL_1E : in String; + INITVAL_1D : in String; INITVAL_1C : in String; + INITVAL_1B : in String; INITVAL_1A : in String; + INITVAL_19 : in String; INITVAL_18 : in String; + INITVAL_17 : in String; INITVAL_16 : in String; + INITVAL_15 : in String; INITVAL_14 : in String; + INITVAL_13 : in String; INITVAL_12 : in String; + INITVAL_11 : in String; INITVAL_10 : in String; + INITVAL_0F : in String; INITVAL_0E : in String; + INITVAL_0D : in String; INITVAL_0C : in String; + INITVAL_0B : in String; INITVAL_0A : in String; + INITVAL_09 : in String; INITVAL_08 : in String; + INITVAL_07 : in String; INITVAL_06 : in String; + INITVAL_05 : in String; INITVAL_04 : in String; + INITVAL_03 : in String; INITVAL_02 : in String; + INITVAL_01 : in String; INITVAL_00 : in String; + GSR : in String; WRITEMODE_B : in String; + WRITEMODE_A : in String; CSDECODE_B : in String; + CSDECODE_A : in String; REGMODE_B : in String; + REGMODE_A : in String; DATA_WIDTH_B : in Integer; + DATA_WIDTH_A : in Integer); + port (DIA0: in std_logic; DIA1: in std_logic; + DIA2: in std_logic; DIA3: in std_logic; + DIA4: in std_logic; DIA5: in std_logic; + DIA6: in std_logic; DIA7: in std_logic; + DIA8: in std_logic; DIA9: in std_logic; + DIA10: in std_logic; DIA11: in std_logic; + DIA12: in std_logic; DIA13: in std_logic; + DIA14: in std_logic; DIA15: in std_logic; + DIA16: in std_logic; DIA17: in std_logic; + ADA0: in std_logic; ADA1: in std_logic; + ADA2: in std_logic; ADA3: in std_logic; + ADA4: in std_logic; ADA5: in std_logic; + ADA6: in std_logic; ADA7: in std_logic; + ADA8: in std_logic; ADA9: in std_logic; + ADA10: in std_logic; ADA11: in std_logic; + ADA12: in std_logic; ADA13: in std_logic; + CEA: in std_logic; CLKA: in std_logic; OCEA: in std_logic; + WEA: in std_logic; CSA0: in std_logic; CSA1: in std_logic; + CSA2: in std_logic; RSTA: in std_logic; + DIB0: in std_logic; DIB1: in std_logic; + DIB2: in std_logic; DIB3: in std_logic; + DIB4: in std_logic; DIB5: in std_logic; + DIB6: in std_logic; DIB7: in std_logic; + DIB8: in std_logic; DIB9: in std_logic; + DIB10: in std_logic; DIB11: in std_logic; + DIB12: in std_logic; DIB13: in std_logic; + DIB14: in std_logic; DIB15: in std_logic; + DIB16: in std_logic; DIB17: in std_logic; + ADB0: in std_logic; ADB1: in std_logic; + ADB2: in std_logic; ADB3: in std_logic; + ADB4: in std_logic; ADB5: in std_logic; + ADB6: in std_logic; ADB7: in std_logic; + ADB8: in std_logic; ADB9: in std_logic; + ADB10: in std_logic; ADB11: in std_logic; + ADB12: in std_logic; ADB13: in std_logic; + CEB: in std_logic; CLKB: in std_logic; OCEB: in std_logic; + WEB: in std_logic; CSB0: in std_logic; CSB1: in std_logic; + CSB2: in std_logic; RSTB: in std_logic; + DOA0: out std_logic; DOA1: out std_logic; + DOA2: out std_logic; DOA3: out std_logic; + DOA4: out std_logic; DOA5: out std_logic; + DOA6: out std_logic; DOA7: out std_logic; + DOA8: out std_logic; DOA9: out std_logic; + DOA10: out std_logic; DOA11: out std_logic; + DOA12: out std_logic; DOA13: out std_logic; + DOA14: out std_logic; DOA15: out std_logic; + DOA16: out std_logic; DOA17: out std_logic; + DOB0: out std_logic; DOB1: out std_logic; + DOB2: out std_logic; DOB3: out std_logic; + DOB4: out std_logic; DOB5: out std_logic; + DOB6: out std_logic; DOB7: out std_logic; + DOB8: out std_logic; DOB9: out std_logic; + DOB10: out std_logic; DOB11: out std_logic; + DOB12: out std_logic; DOB13: out std_logic; + DOB14: out std_logic; DOB15: out std_logic; + DOB16: out std_logic; DOB17: out std_logic); + end component; + attribute MEM_LPC_FILE : string; + attribute MEM_INIT_FILE : string; + attribute RESETMODE : string; + attribute MEM_LPC_FILE of ROM_FIFO_0_0_0 : label is "ROM_FIFO.lpc"; + attribute MEM_INIT_FILE of ROM_FIFO_0_0_0 : label is "rom0_mem_file.mem"; + attribute RESETMODE of ROM_FIFO_0_0_0 : label is "SYNC"; + +begin + -- component instantiation statements + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + ROM_FIFO_0_0_0: DP16KC + generic map (INITVAL_3F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_3E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_3D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_3C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_3B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_3A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_39=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_38=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_37=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_36=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_35=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_34=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_33=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_32=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_31=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_30=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_29=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_28=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_27=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_26=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_25=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_24=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_23=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_22=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_21=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_20=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_19=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_18=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_17=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_16=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_15=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_14=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_13=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_12=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_11=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_10=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_08=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_07=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_06=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_05=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_04=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_03=> "0x10010040100601004010080100401006010040100A01004010060100401008010040100601004010", + INITVAL_02=> "0x0C010040100601004010080100401006010040100A01004010060100401008010040100601004010", + INITVAL_01=> "0x0E010040100601004010080100401006010040100A01004010060100401008010040100601004010", + INITVAL_00=> "0x0C010040100601004010080100401006010040100A01004010060100401008010040100601004010", + CSDECODE_B=> "0b111", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", REGMODE_B=> "NOREG", + REGMODE_A=> "NOREG", DATA_WIDTH_B=> 4, DATA_WIDTH_A=> 4) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>scuba_vlo, + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>scuba_vlo, ADA1=>scuba_vlo, ADA2=>Address(0), + ADA3=>Address(1), ADA4=>Address(2), ADA5=>Address(3), + ADA6=>Address(4), ADA7=>Address(5), ADA8=>Address(6), + ADA9=>Address(7), ADA10=>scuba_vlo, ADA11=>scuba_vlo, + ADA12=>scuba_vlo, ADA13=>scuba_vlo, CEA=>OutClockEn, + CLKA=>OutClock, OCEA=>OutClockEn, WEA=>scuba_vlo, + CSA0=>scuba_vlo, CSA1=>scuba_vlo, CSA2=>scuba_vlo, + RSTA=>Reset, DIB0=>scuba_vlo, DIB1=>scuba_vlo, + DIB2=>scuba_vlo, DIB3=>scuba_vlo, DIB4=>scuba_vlo, + DIB5=>scuba_vlo, DIB6=>scuba_vlo, DIB7=>scuba_vlo, + DIB8=>scuba_vlo, DIB9=>scuba_vlo, DIB10=>scuba_vlo, + DIB11=>scuba_vlo, DIB12=>scuba_vlo, DIB13=>scuba_vlo, + DIB14=>scuba_vlo, DIB15=>scuba_vlo, DIB16=>scuba_vlo, + DIB17=>scuba_vlo, ADB0=>scuba_vlo, ADB1=>scuba_vlo, + ADB2=>scuba_vlo, ADB3=>scuba_vlo, ADB4=>scuba_vlo, + ADB5=>scuba_vlo, ADB6=>scuba_vlo, ADB7=>scuba_vlo, + ADB8=>scuba_vlo, ADB9=>scuba_vlo, ADB10=>scuba_vlo, + ADB11=>scuba_vlo, ADB12=>scuba_vlo, ADB13=>scuba_vlo, + CEB=>scuba_vhi, CLKB=>scuba_vlo, OCEB=>scuba_vhi, + WEB=>scuba_vlo, CSB0=>scuba_vlo, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>scuba_vlo, DOA0=>Q(0), DOA1=>Q(1), + DOA2=>Q(2), DOA3=>Q(3), DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>open, DOB1=>open, DOB2=>open, + DOB3=>open, DOB4=>open, DOB5=>open, DOB6=>open, DOB7=>open, + DOB8=>open, DOB9=>open, DOB10=>open, DOB11=>open, + DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, + DOB16=>open, DOB17=>open); + +end Structure; + +-- synopsys translate_off +library ecp3; +configuration Structure_CON of ROM_FIFO is + for Structure + for all:VHI use entity ecp3.VHI(V); end for; + for all:VLO use entity ecp3.VLO(V); end for; + for all:DP16KC use entity ecp3.DP16KC(V); end for; + end for; +end Structure_CON; + +-- synopsys translate_on diff --git a/tdc_releases/tdc_v0.0/source/Reference_channel.vhd b/tdc_releases/tdc_v0.0/source/Reference_channel.vhd new file mode 100644 index 0000000..26975bf --- /dev/null +++ b/tdc_releases/tdc_v0.0/source/Reference_channel.vhd @@ -0,0 +1,490 @@ +library IEEE; +use IEEE.STD_LOGIC_UNSIGNED.all; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.std_logic_arith.all; + +entity Reference_Channel is + + generic ( + CHANNEL_ID : integer range 0 to 15); + port ( + RESET_WR : in std_logic; + RESET_RD : in std_logic; + CLK_WR : in std_logic; + CLK_RD : in std_logic; +-- + HIT_IN : in std_logic; + READ_EN_IN : in std_logic; + VALID_TMG_TRG_IN : in std_logic; + SPIKE_DETECTED_IN : in std_logic; + MULTI_TMG_TRG_IN : in std_logic; + FIFO_DATA_OUT : out std_logic_vector(31 downto 0); + FIFO_EMPTY_OUT : out std_logic; + FIFO_FULL_OUT : out std_logic; + COARSE_COUNTER_IN : in std_logic_vector(10 downto 0); + TRIGGER_TIME_OUT : out std_logic_vector(10 downto 0); -- coarse time of the timing trigger + REF_DEBUG_OUT : out std_logic_vector(31 downto 0) +-- +-- Channel_DEBUG_01 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_02 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_03 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_04 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_05 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_06 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_07 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_08 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_09 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_10 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_11 : out std_logic_vector(31 downto 0); +-- Channel_DEBUG_12 : out std_logic_vector(31 downto 0) + ); + +end Reference_Channel; + +architecture Reference_Channel of Reference_Channel is + +------------------------------------------------------------------------------- +-- Component Declarations +------------------------------------------------------------------------------- + + component Adder_304 + port ( + CLK : in std_logic; + RESET : in std_logic; + DataA : in std_logic_vector(303 downto 0); + DataB : in std_logic_vector(303 downto 0); + ClkEn : in std_logic; + Result : out std_logic_vector(303 downto 0)); + end component; +-- + component Encoder_304_Bit + port ( + RESET : in std_logic; + CLK : in std_logic; + START_IN : in std_logic; + THERMOCODE_IN : in std_logic_vector(303 downto 0); + FINISHED_OUT : out std_logic; + BINARY_CODE_OUT : out std_logic_vector(9 downto 0); + BUSY_OUT : out std_logic; + ENCODER_DEBUG : out std_logic_vector(31 downto 0)); + end component; +-- + --component Encoder_304_ROMsuz + -- port ( + -- RESET : in std_logic; + -- CLK : in std_logic; + -- START_IN : in std_logic; + -- THERMOCODE_IN : in std_logic_vector(303 downto 0); + -- FINISHED_OUT : out std_logic; + -- BINARY_CODE_OUT : out std_logic_vector(9 downto 0); + -- ENCODER_DEBUG : out std_logic_vector(31 downto 0)); + --end component; +-- + --component Encoder_304_Sngl_ROMsuz + -- port ( + -- RESET : in std_logic; + -- CLK : in std_logic; + -- START_IN : in std_logic; + -- THERMOCODE_IN : in std_logic_vector(303 downto 0); + -- FINISHED_OUT : out std_logic; + -- BINARY_CODE_OUT : out std_logic_vector(9 downto 0); + -- ENCODER_DEBUG : out std_logic_vector(31 downto 0)); + --end component; +-- + component FIFO_32x512_OutReg + port ( + Data : in std_logic_vector(31 downto 0); + WrClock : in std_logic; + RdClock : in std_logic; + WrEn : in std_logic; + RdEn : in std_logic; + Reset : in std_logic; + RPReset : in std_logic; + Q : out std_logic_vector(31 downto 0); + Empty : out std_logic; + Full : out std_logic); + end component; +-- + component bit_sync + generic ( + DEPTH : integer); + port ( + RESET : in std_logic; + CLK0 : in std_logic; + CLK1 : in std_logic; + D_IN : in std_logic; + D_OUT : out std_logic); + end component; + +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- Signal Declarations +------------------------------------------------------------------------------- + + signal data_a_i : std_logic_vector(303 downto 0); + signal data_b_i : std_logic_vector(303 downto 0); + signal result_i : std_logic_vector(303 downto 0); + signal result_reg : std_logic_vector(303 downto 0); + signal hit_in_i : std_logic; + signal hit_detect_i : std_logic; + signal hit_detect_reg : std_logic; + signal result_2_reg : std_logic; + signal coarse_cntr_i : std_logic_vector(10 downto 0); + signal hit_time_stamp_i : std_logic_vector(10 downto 0); + signal hit_time_stamp_reg : std_logic_vector(10 downto 0); + signal hit_time_stamp_reg2 : std_logic_vector(10 downto 0); + signal hit_time_stamp_reg3 : std_logic_vector(10 downto 0); + signal fine_counter_i : std_logic_vector(9 downto 0); + signal fine_counter_reg : std_logic_vector(9 downto 0); + signal encoder_start_i : std_logic; + signal encoder_busy_i : std_logic; + signal encoder_finished_i : std_logic; + signal encoder_debug_i : std_logic_vector(31 downto 0); + signal fifo_data_out_i : std_logic_vector(31 downto 0); + signal fifo_data_in_i : std_logic_vector(31 downto 0); + signal fifo_empty_i : std_logic; + signal fifo_full_i : std_logic; + signal fifo_wr_en_i : std_logic; + signal fifo_rd_en_i : std_logic; + signal valid_tmg_trg_i : std_logic; + signal multi_tmg_trg_i : std_logic; + signal spike_detected_i : std_logic; + signal ff_array_en_i : std_logic := '1'; + + type FSM is (IDLE, LOOK_FOR_VALIDITY, ENCODER_FINISHED, VALID_TMG_TRG_ARRIVED); + signal FSM_CURRENT, FSM_NEXT : FSM; + signal fifo_wr_en_fsm : std_logic; + signal fsm_debug_i : std_logic_vector(3 downto 0); + signal fsm_debug_fsm : std_logic_vector(3 downto 0); + + signal hit_buf : std_logic; + attribute syn_keep : boolean; + attribute syn_keep of hit_buf : signal is true; + attribute syn_keep of hit_in_i : signal is true; + attribute NOMERGE : string; + attribute NOMERGE of hit_buf : signal is "true"; + +------------------------------------------------------------------------------- + +begin + + fifo_rd_en_i <= READ_EN_IN; + coarse_cntr_i <= COARSE_COUNTER_IN; + +-- -- purpose: Generates a pulse out of the hit signal on order to prevent second transition in the hit signal +-- Hit_Trigger : process (HIT_IN, hit_trig_reset_i, RESET_WR) +-- begin +-- if RESET_WR = '1' or hit_trig_reset_i = '1' then +-- hit_in_i <= '0'; +-- elsif rising_edge(HIT_IN) then +-- hit_in_i <= '1'; +-- end if; +-- end process Hit_Trigger; + + hit_in_i <= HIT_IN; + hit_buf <= not hit_in_i; + + --purpose: Tapped Delay Line 304 (Carry Chain) with wave launcher (21) + FC : Adder_304 + port map ( + CLK => CLK_WR, + RESET => RESET_WR, + DataA => data_a_i, + DataB => data_b_i, + ClkEn => '1', -- ff_array_en_i, --'1', + Result => result_i); + data_a_i <= x"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF" & x"7FFFFFF"; + data_b_i <= x"000000000000000000000000000000000000000000000000000000000000000000000" & not(hit_buf) & x"000000" & "00" & hit_buf; + + --FF_Array_Enable : process (hit_detect_i, encoder_busy_i) + --begin + -- if hit_detect_i = '1' then + -- ff_array_en_i <= '0'; + -- elsif encoder_busy_i = '1' then + -- ff_array_en_i <= '1'; + -- end if; + --end process FF_Array_Enable; + + ----purpose: Tapped Delay Line 304 (Carry Chain) with wave launcher (21) single transition + --FC : Adder_304 + -- port map ( + -- CLK => CLK_WR, + -- RESET => RESET_WR, + -- DataA => data_a_i, + -- DataB => data_b_i, + -- ClkEn => '1', + -- Result => result_i); + --data_a_i <= x"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; + --data_b_i <= x"000000000000000000000000000000000000000000000000000000000000000000000000000" & "000" & hit_in_i; + + --purpose: Tapped Delay Line 288 (Carry Chain) +-- FC : Adder_288 +-- port map ( +-- CLK => CLK_WR, +-- RESET => RESET_WR, +-- DataA => data_a_i, +-- DataB => data_b_i, +-- ClkEn => '1', +-- Result => result_i); +-- data_a_i <= x"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; +-- data_b_i <= x"00000000000000000000000000000000000000000000000000000000000000000000000" & "000" & hit_in_i; + + --purpose: Registers the 2nd bit of the carry chain & hit detection bit + Hit_Register : process (CLK_WR, RESET_WR) + begin + if rising_edge(CLK_WR) then + if RESET_WR = '1' then + result_2_reg <= '0'; + hit_detect_reg <= '0'; + else + result_2_reg <= result_i(2); + hit_detect_reg <= hit_detect_i; + end if; + end if; + end process Hit_Register; + + --purpose: Detects the hit + Hit_Detect : process (result_2_reg, result_i) + begin + hit_detect_i <= (not result_2_reg) and result_i(2); --result_2_reg and (not result_i(2)); + end process Hit_Detect; + + --purpose: Double Synchroniser + Double_Syncroniser : process (CLK_WR) + begin + if rising_edge(CLK_WR) then + if RESET_WR = '1' then + result_reg <= (others => '1'); + elsif hit_detect_i = '1' then --or hit_trig_reset_i = '1' then + result_reg <= result_i; + end if; + end if; + end process Double_Syncroniser; + +-- Channel_DEBUG_01(0) <= result_reg(303); + + --purpose: Start Encoder and captures the time stamp of the hit + Start_Encoder : process (CLK_WR) + begin + if rising_edge(CLK_WR) then + if RESET_WR = '1' then + encoder_start_i <= '0'; + hit_time_stamp_i <= (others => '0'); + hit_time_stamp_reg <= (others => '0'); + hit_time_stamp_reg2 <= (others => '0'); + hit_time_stamp_reg3 <= (others => '0'); + elsif hit_detect_i = '1' then + encoder_start_i <= '1'; + hit_time_stamp_i <= coarse_cntr_i-1; + else + encoder_start_i <= '0'; + hit_time_stamp_reg <= hit_time_stamp_i; + hit_time_stamp_reg2 <= hit_time_stamp_reg; + hit_time_stamp_reg3 <= hit_time_stamp_reg2; + end if; + end if; + end process Start_Encoder; + + TRIGGER_TIME_OUT <= hit_time_stamp_i; -- coarse time of the timing trigger + + --purpose: Encoder + Encoder : Encoder_304_Bit + port map ( + RESET => RESET_WR, + CLK => CLK_WR, + START_IN => encoder_start_i, + THERMOCODE_IN => result_reg, -- result_i, -- result_reg, + FINISHED_OUT => encoder_finished_i, + BINARY_CODE_OUT => fine_counter_i, + BUSY_OUT => encoder_busy_i, + ENCODER_DEBUG => encoder_debug_i); + + --Encoder : Encoder_304_ROMsuz + -- port map ( + -- RESET => RESET_WR, + -- CLK => CLK_WR, + -- START_IN => encoder_start_i, + -- THERMOCODE_IN => result_reg, + -- FINISHED_OUT => encoder_finished_i, + -- BINARY_CODE_OUT => fine_counter_i, + -- ENCODER_DEBUG => encoder_debug_i); + + --Encoder : Encoder_304_Sngl_ROMsuz + -- port map ( + -- RESET => RESET_WR, + -- CLK => CLK_WR, + -- START_IN => encoder_start_i, + -- THERMOCODE_IN => result_reg, + -- FINISHED_OUT => encoder_finished_i, + -- BINARY_CODE_OUT => fine_counter_i, + -- ENCODER_DEBUG => encoder_debug_i); + + Register_Binary_Code : process (CLK_WR, RESET_WR) + begin + if rising_edge(CLK_WR) then + if RESET_WR = '1' then + fine_counter_reg <= (others => '0'); + elsif encoder_finished_i = '1' then + fine_counter_reg <= fine_counter_i; + end if; + end if; + end process Register_Binary_Code; + + FIFO : FIFO_32x512_OutReg + port map ( + Data => fifo_data_in_i, + WrClock => CLK_WR, + RdClock => CLK_RD, + WrEn => fifo_wr_en_i, + RdEn => fifo_rd_en_i, + Reset => RESET_RD, + RPReset => RESET_RD, + Q => fifo_data_out_i, + Empty => fifo_empty_i, + Full => fifo_full_i); + + fifo_data_in_i(31) <= '1'; -- data marker + fifo_data_in_i(30 downto 28) <= "000"; -- reserved bits + fifo_data_in_i(27 downto 22) <= conv_std_logic_vector(CHANNEL_ID, 6); -- channel number + fifo_data_in_i(21 downto 12) <= fine_counter_reg; -- fine time from the encoder + fifo_data_in_i(11) <= '1'; -- rising '1' or falling '0' edge + fifo_data_in_i(10 downto 0) <= hit_time_stamp_reg3; -- hit time stamp + + Register_Outputs : process (CLK_RD, RESET_RD) + begin + if rising_edge(CLK_RD) then + if RESET_RD = '1' then + FIFO_DATA_OUT <= (others => '1'); + FIFO_EMPTY_OUT <= '0'; + FIFO_FULL_OUT <= '0'; + else + FIFO_DATA_OUT <= fifo_data_out_i; + FIFO_EMPTY_OUT <= fifo_empty_i; + FIFO_FULL_OUT <= fifo_full_i; + end if; + end if; + end process Register_Outputs; + + --purpose: FSM for controlling the validity of the timing signal + FSM_CLK : process (CLK_WR, RESET_WR) + begin + if rising_edge(CLK_WR) then + if RESET_WR = '1' then + FSM_CURRENT <= IDLE; + fifo_wr_en_i <= '0'; + fsm_debug_i <= (others => '0'); + else + FSM_CURRENT <= FSM_NEXT; + fifo_wr_en_i <= fifo_wr_en_fsm; + fsm_debug_i <= fsm_debug_fsm; + end if; + end if; + end process FSM_CLK; + + FSM_PROC : process (FSM_CURRENT, hit_detect_i, encoder_finished_i, valid_tmg_trg_i, multi_tmg_trg_i, + spike_detected_i) + begin + fifo_wr_en_fsm <= '0'; + fsm_debug_fsm <= (others => '0'); + + case (FSM_CURRENT) is + when IDLE => + if hit_detect_i = '1' then + FSM_NEXT <= LOOK_FOR_VALIDITY; + fsm_debug_fsm <= x"1"; + else + FSM_NEXT <= IDLE; + fsm_debug_fsm <= x"2"; + end if; + + when LOOK_FOR_VALIDITY => + if encoder_finished_i = '1' then + FSM_NEXT <= ENCODER_FINISHED; + fsm_debug_fsm <= x"3"; + elsif valid_tmg_trg_i = '1' then + FSM_NEXT <= VALID_TMG_TRG_ARRIVED; + fsm_debug_fsm <= x"4"; + elsif multi_tmg_trg_i = '1' then + FSM_NEXT <= IDLE; + fsm_debug_fsm <= x"5"; + elsif spike_detected_i = '1' then + FSM_NEXT <= IDLE; + fsm_debug_fsm <= x"6"; + else + FSM_NEXT <= LOOK_FOR_VALIDITY; + fsm_debug_fsm <= x"7"; + end if; + + when ENCODER_FINISHED => + if valid_tmg_trg_i = '1' then + FSM_NEXT <= IDLE; + fifo_wr_en_fsm <= '1'; + fsm_debug_fsm <= x"8"; + elsif multi_tmg_trg_i = '1' then + FSM_NEXT <= IDLE; + fsm_debug_fsm <= x"9"; + elsif spike_detected_i = '1' then + FSM_NEXT <= IDLE; + fsm_debug_fsm <= x"A"; + else + FSM_NEXT <= ENCODER_FINISHED; + fsm_debug_fsm <= x"B"; + end if; + + when VALID_TMG_TRG_ARRIVED => + if encoder_finished_i = '1' then + FSM_NEXT <= IDLE; + fifo_wr_en_fsm <= '1'; + fsm_debug_fsm <= x"C"; + else + FSM_NEXT <= VALID_TMG_TRG_ARRIVED; + fsm_debug_fsm <= x"D"; + end if; + + when others => + FSM_NEXT <= IDLE; + end case; + end process FSM_PROC; + + bit_sync_1 : bit_sync + generic map ( + DEPTH => 3) + port map ( + RESET => RESET_WR, + CLK0 => CLK_RD, + CLK1 => CLK_WR, + D_IN => VALID_TMG_TRG_IN, + D_OUT => valid_tmg_trg_i); + bit_sync_2 : bit_sync + generic map ( + DEPTH => 3) + port map ( + RESET => RESET_WR, + CLK0 => CLK_RD, + CLK1 => CLK_WR, + D_IN => SPIKE_DETECTED_IN, + D_OUT => spike_detected_i); + bit_sync_3 : bit_sync + generic map ( + DEPTH => 3) + port map ( + RESET => RESET_WR, + CLK0 => CLK_RD, + CLK1 => CLK_WR, + D_IN => MULTI_TMG_TRG_IN, + D_OUT => multi_tmg_trg_i); + +------------------------------------------------------------------------------- +-- Debug signals +------------------------------------------------------------------------------- + REF_DEBUG_OUT(3 downto 0) <= fsm_debug_i; + REF_DEBUG_OUT(4) <= hit_detect_i; + REF_DEBUG_OUT(5) <= encoder_start_i; + REF_DEBUG_OUT(6) <= encoder_finished_i; + REF_DEBUG_OUT(7) <= valid_tmg_trg_i; + REF_DEBUG_OUT(8) <= fifo_wr_en_i; + REF_DEBUG_OUT(15 downto 9) <= fine_counter_reg(6 downto 0); + +end Reference_Channel; diff --git a/tdc_releases/tdc_v0.0/source/TDC.vhd b/tdc_releases/tdc_v0.0/source/TDC.vhd new file mode 100644 index 0000000..a6d6e91 --- /dev/null +++ b/tdc_releases/tdc_v0.0/source/TDC.vhd @@ -0,0 +1,1256 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.STD_LOGIC_ARITH.all; +use IEEE.STD_LOGIC_UNSIGNED.all; +use IEEE.NUMERIC_STD.all; +use STD.TEXTIO.all; +use IEEE.STD_LOGIC_TEXTIO.all; + +-- synopsys translate_off +-- library ecp2m; +-- use ecp2m.components.all; +-- synopsys translate_on + +entity TDC is + generic ( + CHANNEL_NUMBER : integer range 0 to 64; + STATUS_REG_NR : integer range 0 to 6; + CONTROL_REG_NR : integer range 0 to 6); + port ( + RESET : in std_logic; + CLK_TDC : in std_logic; + CLK_READOUT : in std_logic; + REFERENCE_TIME : in std_logic; + HIT_IN : in std_logic_vector(CHANNEL_NUMBER-1 downto 1); + TRG_WIN_PRE : in std_logic_vector(10 downto 0); + TRG_WIN_POST : in std_logic_vector(10 downto 0); +-- + -- Trigger signals from handler + TRG_DATA_VALID_IN : in std_logic; + VALID_TIMING_TRG_IN : in std_logic; + VALID_NOTIMING_TRG_IN : in std_logic; + INVALID_TRG_IN : in std_logic; + TMGTRG_TIMEOUT_IN : in std_logic; + SPIKE_DETECTED_IN : in std_logic; + MULTI_TMG_TRG_IN : in std_logic; + SPURIOUS_TRG_IN : in std_logic; +-- + TRG_NUMBER_IN : in std_logic_vector(15 downto 0); + TRG_CODE_IN : in std_logic_vector(7 downto 0); + TRG_INFORMATION_IN : in std_logic_vector(23 downto 0); + TRG_TYPE_IN : in std_logic_vector(3 downto 0); +-- + --Response to handler + TRG_RELEASE_OUT : out std_logic; + TRG_STATUSBIT_OUT : out std_logic_vector(31 downto 0); + DATA_OUT : out std_logic_vector(31 downto 0); + DATA_WRITE_OUT : out std_logic; + DATA_FINISHED_OUT : out std_logic; +-- + TDC_DEBUG : out std_logic_vector(32*2**STATUS_REG_NR-1 downto 0); + LOGIC_ANALYSER_OUT : out std_logic_vector(15 downto 0); + CONTROL_REG_IN : in std_logic_vector(32*2**CONTROL_REG_NR-1 downto 0) + ); +end TDC; + +architecture TDC of TDC is + +------------------------------------------------------------------------------- +-- Component Declarations +------------------------------------------------------------------------------- + + component Reference_Channel + generic ( + CHANNEL_ID : integer range 0 to 0); + port ( + RESET_WR : in std_logic; + RESET_RD : in std_logic; + CLK_WR : in std_logic; + CLK_RD : in std_logic; + HIT_IN : in std_logic; + READ_EN_IN : in std_logic; + VALID_TMG_TRG_IN : in std_logic; + SPIKE_DETECTED_IN : in std_logic; + MULTI_TMG_TRG_IN : in std_logic; + FIFO_DATA_OUT : out std_logic_vector(31 downto 0); + FIFO_EMPTY_OUT : out std_logic; + FIFO_FULL_OUT : out std_logic; + COARSE_COUNTER_IN : in std_logic_vector(10 downto 0); + TRIGGER_TIME_OUT : out std_logic_vector(10 downto 0); + REF_DEBUG_OUT : out std_logic_vector(31 downto 0)); + end component; +-- + component Channel + generic ( + CHANNEL_ID : integer range 1 to 64); + port ( + RESET_WR : in std_logic; + RESET_RD : in std_logic; + CLK_WR : in std_logic; + CLK_RD : in std_logic; + HIT_IN : in std_logic; + READ_EN_IN : in std_logic; + FIFO_DATA_OUT : out std_logic_vector(31 downto 0); + FIFO_EMPTY_OUT : out std_logic; + FIFO_FULL_OUT : out std_logic; + COARSE_COUNTER_IN : in std_logic_vector(10 downto 0); + LOST_HIT_NUMBER : out std_logic_vector(23 downto 0); + MEASUREMENT_NUMBER : out std_logic_vector(23 downto 0); + ENCODER_START_NUMBER : out std_logic_vector(23 downto 0); + Channel_DEBUG_01 : out std_logic_vector(31 downto 0) + ); + end component; +-- + component ROM_FIFO + port ( + Address : in std_logic_vector(7 downto 0); + OutClock : in std_logic; + OutClockEn : in std_logic; + Reset : in std_logic; + Q : out std_logic_vector(3 downto 0)); + end component; +-- + component up_counter + generic ( + NUMBER_OF_BITS : positive); + port ( + CLK : in std_logic; + RESET : in std_logic; + COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0); + UP_IN : in std_logic); + end component; +-- + component Reset_Generator + generic ( + RESET_SIGNAL_WIDTH : std_logic_vector(3 downto 0)); + port ( + CLK_IN : in std_logic; + RESET_OUT : out std_logic); + end component; +-- + component edge_to_pulse + port ( + clock : in std_logic; + en_clk : in std_logic; + signal_in : in std_logic; + pulse : out std_logic); + end component; +-- + component signal_sync + generic ( + WIDTH : integer; + DEPTH : integer); + port ( + RESET : in std_logic; + CLK0 : in std_logic; + CLK1 : in std_logic; + D_IN : in std_logic_vector(WIDTH-1 downto 0); + D_OUT : out std_logic_vector(WIDTH-1 downto 0)); + end component; + +------------------------------------------------------------------------------- +-- Signal Declarations +------------------------------------------------------------------------------- +-- Output registers + signal trg_release_reg : std_logic; + signal trg_statusbit_reg : std_logic_vector(31 downto 0); + signal data_out_reg : std_logic_vector(31 downto 0); + signal data_wr_reg : std_logic; + signal data_finished_reg : std_logic; + signal fsm_debug_reg : std_logic_vector(7 downto 0); + signal logic_analyser_reg : std_logic_vector(15 downto 0); + signal logic_analyser_2reg : std_logic_vector(15 downto 0); + +-- Clock - Reset Signals + signal reset_tdc : std_logic; + +-- ReadOut Signals + signal trigger_time_i : std_logic_vector(10 downto 0); + signal ref_time_coarse : std_logic_vector(10 downto 0); + signal trg_win_cnt : std_logic_vector(15 downto 0); + signal trg_win_cnt_up_i : std_logic; + signal trg_win_end_i : std_logic; + signal header_error_bits : std_logic_vector(15 downto 0); + signal trailer_error_bits : std_logic_vector(15 downto 0); + + -- FSM Signals + type FSM is (IDLE, WAIT_FOR_TRG_WIND_END, + WAIT_FOR_LVL1_TRG_A, WAIT_FOR_LVL1_TRG_B, WAIT_FOR_LVL1_TRG_C, + SEND_STATUS, SEND_TRG_RELEASE_A, SEND_TRG_RELEASE_B, + WAIT_FOR_FIFO_NR_A, WAIT_FOR_FIFO_NR_B, WAIT_FOR_FIFO_NR_C, + WR_HEADER, APPLY_MASK, + RD_CHANNEL_A, RD_CHANNEL_B, RD_CHANNEL_C); + + signal FSM_CURRENT, FSM_NEXT : FSM; + signal fsm_debug_fsm : std_logic_vector(7 downto 0); + signal start_trg_win_cnt_i : std_logic; + signal start_trg_win_cnt_fsm : std_logic; + signal updt_index_fsm : std_logic; + signal updt_index_i : std_logic; + signal updt_mask_fsm : std_logic; + signal updt_mask_i : std_logic; + signal rd_en_fsm : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal rd_en_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal data_finished_fsm : std_logic; + signal data_finished_i : std_logic; + signal trg_release_fsm : std_logic; + signal wr_header_fsm : std_logic; + signal wr_header_i : std_logic; + signal wr_ch_data_fsm : std_logic; + signal wr_ch_data_i : std_logic; + signal wr_ch_data_reg : std_logic; + signal wr_status_fsm : std_logic; + signal wr_status_i : std_logic; + signal wrong_readout_fsm : std_logic; + signal wrong_readout_i : std_logic; + signal wr_trailer_fsm : std_logic; + signal wr_trailer_i : std_logic; + +-- Other Signals + signal fifo_full_i : std_logic; + signal mask_i : std_logic_vector(CHANNEL_NUMBER downto 0); + signal fifo_nr : integer range 0 to CHANNEL_NUMBER := CHANNEL_NUMBER; + signal fifo_nr_next : integer range 0 to CHANNEL_NUMBER := CHANNEL_NUMBER; + + signal TW_pre : std_logic_vector(10 downto 0); + signal TW_post : std_logic_vector(10 downto 0); + signal channel_hit_time : std_logic_vector(10 downto 0); + signal trg_win_l : std_logic; + signal trg_win_r : std_logic; +-- + type Std_Logic_8_array is array (0 to (CHANNEL_NUMBER/8-1)) of std_logic_vector(3 downto 0); + signal fifo_nr_hex : Std_Logic_8_array; +-- + signal coarse_cnt : std_logic_vector(10 downto 0); + signal channel_full_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal channel_empty_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal channel_empty_reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal channel_empty_2reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal channel_empty_3reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal channel_empty_4reg : std_logic_vector(CHANNEL_NUMBER-1 downto 0); +-- + type channel_data_array is array (0 to CHANNEL_NUMBER) of std_logic_vector(31 downto 0); + signal channel_data_i : channel_data_array; + signal channel_data_reg : channel_data_array; + signal channel_data_2reg : channel_data_array; + signal channel_data_3reg : channel_data_array; + signal channel_data_4reg : channel_data_array; +-- + signal hit_in_i : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + +------------------------------------------------------------------------------- +-- Slow Control Signals +------------------------------------------------------------------------------- + signal ch_en_i : std_logic_vector(63 downto 0); + +------------------------------------------------------------------------------- +-- Statistics Signals +------------------------------------------------------------------------------- + type statistics_array_12 is array (1 to CHANNEL_NUMBER-1) of std_logic_vector(11 downto 0); + type statistics_array_24 is array (1 to CHANNEL_NUMBER-1) of std_logic_vector(23 downto 0); + signal trig_number : std_logic_vector(23 downto 0); + signal valid_tmg_trig_number : std_logic_vector(23 downto 0); + signal valid_timing_trg_pulse : std_logic; + signal valid_NOtmg_trig_number : std_logic_vector(23 downto 0); + signal valid_notiming_trg_pulse : std_logic; + signal invalid_trig_number : std_logic_vector(23 downto 0); + signal invalid_trg_pulse : std_logic; + signal multi_tmg_trig_number : std_logic_vector(23 downto 0); + signal multi_tmg_trg_pulse : std_logic; + signal spurious_trig_number : std_logic_vector(23 downto 0); + signal spurious_trg_pulse : std_logic; + signal wrong_readout_number : std_logic_vector(23 downto 0); + signal spike_number : std_logic_vector(23 downto 0); + signal spike_detected_pulse : std_logic; + signal idle_i : std_logic; + signal idle_fsm : std_logic; + signal idle_time : std_logic_vector(23 downto 0); + signal readout_i : std_logic; + signal readout_fsm : std_logic; + signal readout_time : std_logic_vector(23 downto 0); + signal wait_i : std_logic; + signal wait_fsm : std_logic; + signal wait_time : std_logic_vector(23 downto 0); + signal empty_channels : std_logic_vector(CHANNEL_NUMBER-1 downto 0); + signal total_empty_channel : std_logic_vector(23 downto 0); + signal channel_lost_hits : statistics_array_24; + signal channel_measurement : statistics_array_24; + signal channel_encoder_start_number : statistics_array_24; + signal stop_status_i : std_logic; + +------------------------------------------------------------------------------- +-- test signals +------------------------------------------------------------------------------- + signal ref_debug_i : std_logic_vector(31 downto 0); + type channel_debug_array is array (1 to CHANNEL_NUMBER-1) of std_logic_vector(31 downto 0); + signal channel_debug_01_i : channel_debug_array; +-- signal fsm_state_reg : std_logic_vector(31 downto 0); + signal control_reg_200 : std_logic_vector(3 downto 0); +------------------------------------------------------------------------------- + +begin +------------------------------------------------------------------------------- +-- The Reset Signal Genaration (Synchronous with the fine time clock) +------------------------------------------------------------------------------- + The_Reset_Generator : Reset_Generator + generic map ( + RESET_SIGNAL_WIDTH => x"F") + port map ( + CLK_IN => CLK_TDC, + RESET_OUT => reset_tdc); + +------------------------------------------------------------------------------- +-- COMPONENT INSTANTINIATIONS +------------------------------------------------------------------------------- + --Reference time measurement + The_Reference_Time : Reference_Channel + generic map ( + CHANNEL_ID => 0) + port map ( + RESET_WR => reset_tdc, + RESET_RD => RESET, + CLK_WR => CLK_TDC, + CLK_RD => CLK_READOUT, + HIT_IN => REFERENCE_TIME, + READ_EN_IN => rd_en_i(0), + VALID_TMG_TRG_IN => VALID_TIMING_TRG_IN, + SPIKE_DETECTED_IN => SPIKE_DETECTED_IN, + MULTI_TMG_TRG_IN => MULTI_TMG_TRG_IN, + FIFO_DATA_OUT => channel_data_i(0), + FIFO_EMPTY_OUT => channel_empty_i(0), + FIFO_FULL_OUT => channel_full_i(0), + COARSE_COUNTER_IN => coarse_cnt, + TRIGGER_TIME_OUT => trigger_time_i, + REF_DEBUG_OUT => ref_debug_i); + + -- Channel enable signals + GEN_Channel_Enable : for i in 1 to CHANNEL_NUMBER-1 generate + hit_in_i(i) <= HIT_IN(i) and ch_en_i(i); + end generate GEN_Channel_Enable; + ch_en_i <= CONTROL_REG_IN(3*32+31 downto 2*32+0); + + -- Channels + GEN_Channels : for i in 1 to CHANNEL_NUMBER - 1 generate + Channels : Channel + generic map ( + CHANNEL_ID => i) + port map ( + RESET_WR => reset_tdc, + RESET_RD => RESET, + CLK_WR => CLK_TDC, + CLK_RD => CLK_READOUT, + HIT_IN => hit_in_i(i), + READ_EN_IN => rd_en_i(i), + FIFO_DATA_OUT => channel_data_i(i), + FIFO_EMPTY_OUT => channel_empty_i(i), + FIFO_FULL_OUT => channel_full_i(i), + COARSE_COUNTER_IN => coarse_cnt, + LOST_HIT_NUMBER => channel_lost_hits(i), + MEASUREMENT_NUMBER => channel_measurement(i), + ENCODER_START_NUMBER => channel_encoder_start_number(i), + Channel_DEBUG_01 => channel_debug_01_i(i)); + end generate GEN_Channels; + channel_data_i(CHANNEL_NUMBER) <= x"FFFFFFFF"; + + -- Common Coarse counter + The_Coarse_Counter : up_counter + generic map ( + NUMBER_OF_BITS => 11) + port map ( + CLK => CLK_TDC, + RESET => reset_tdc, + COUNT_OUT => coarse_cnt, + UP_IN => '1'); + +------------------------------------------------------------------------------- +-- READOUT +------------------------------------------------------------------------------- + +-- Reference Time (Coarse) + + -- purpose: If the timing trigger is valid, the coarse time of the reference + -- time is registered in order to be used in trigger window calculations + Reference_Coarse_Time : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + ref_time_coarse <= (others => '0'); + elsif VALID_TIMING_TRG_IN = '1' then + ref_time_coarse <= trigger_time_i; + end if; + end if; + end process Reference_Coarse_Time; +------------------------------------------------------------------------------- + +-- Trigger Window + + --purpose: Generates trigger window end signal + Check_Trg_Win_End_Conrollers : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + trg_win_cnt <= x"0000"; + trg_win_end_i <= '0'; + trg_win_cnt_up_i <= '0'; + elsif start_trg_win_cnt_i = '1' then + trg_win_cnt <= x"0001"; + trg_win_cnt_up_i <= '1'; + elsif trg_win_cnt = TRG_WIN_POST then + trg_win_cnt <= x"0000"; + trg_win_end_i <= '1'; + trg_win_cnt_up_i <= '0'; + elsif trg_win_cnt_up_i = '1' then + trg_win_cnt <= trg_win_cnt + 1; + else + trg_win_end_i <= '0'; + end if; + end if; + end process Check_Trg_Win_End_Conrollers; + + --purpose: Calculates the position of the trigger window edges + Trg_Win_Calculation : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + TW_pre <= (others => '0'); + TW_post <= (others => '0'); + --channel_hit_time <= (others => '0'); + else + TW_pre <= ref_time_coarse - TRG_WIN_PRE; + TW_post <= ref_time_coarse + TRG_WIN_POST; + end if; + end if; + end process Trg_Win_Calculation; + + channel_hit_time <= channel_data_i(fifo_nr)(10 downto 0); + + --purpose: Controls if the data coming from the channel is greater than the + --trigger window pre-edge + Check_Trg_Win_Left : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + trg_win_l <= '0'; + elsif TW_pre <= channel_hit_time then + trg_win_l <= '1'; + else + trg_win_l <= '0'; + end if; + end if; + end process Check_Trg_Win_Left; + + --purpose: Controls if the data coming from the channel is smaller than the + --trigger window post-edge + Check_Trg_Win_Right : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + trg_win_r <= '0'; + elsif channel_hit_time <= TW_post then + trg_win_r <= '1'; + else + trg_win_r <= '0'; + end if; + end if; + end process Check_Trg_Win_Right; +------------------------------------------------------------------------------- +-- Creating mask and Generating the fifo nr to be read + + -- purpose: Creats and updates the mask to determine the non-empty FIFOs + CREAT_MASK : process (CLK_READOUT) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + mask_i <= (others => '1'); + empty_channels <= (others => '1'); + elsif trg_win_end_i = '1' then + mask_i(CHANNEL_NUMBER-1 downto 0) <= channel_empty_i; + empty_channels(CHANNEL_NUMBER-1 downto 0) <= channel_empty_i; + elsif updt_mask_i = '1' then + mask_i(fifo_nr) <= '1'; + end if; + end if; + end process CREAT_MASK; + + GEN : for i in 0 to (CHANNEL_NUMBER/8-1) generate + ROM : ROM_FIFO + port map ( + Address => mask_i(8*(i+1)-1 downto 8*i), + OutClock => CLK_READOUT, + OutClockEn => '1', + Reset => RESET, + Q => fifo_nr_hex(i)); + end generate GEN; + + -- purpose: Generates number of the FIFO, to be read, in integer + CON_FIFO_NR_HEX_TO_INT : process (CLK_READOUT) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + fifo_nr_next <= CHANNEL_NUMBER; + elsif fifo_nr_hex(0)(3) /= '1' then + fifo_nr_next <= conv_integer("00000" & fifo_nr_hex(0)(2 downto 0)); + --elsif fifo_nr_hex(1)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00001" & fifo_nr_hex(1)(2 downto 0)); + --elsif fifo_nr_hex(2)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00010" & fifo_nr_hex(2)(2 downto 0)); + --elsif fifo_nr_hex(3)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00011" & fifo_nr_hex(3)(2 downto 0)); + --elsif fifo_nr_hex(4)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00100" & fifo_nr_hex(4)(2 downto 0)); + --elsif fifo_nr_hex(5)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00101" & fifo_nr_hex(5)(2 downto 0)); + --elsif fifo_nr_hex(6)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00110" & fifo_nr_hex(6)(2 downto 0)); + --elsif fifo_nr_hex(7)(3) /= '1' then + -- fifo_nr_next <= conv_integer("00111" & fifo_nr_hex(7)(2 downto 0)); + else + fifo_nr_next <= CHANNEL_NUMBER; + end if; + end if; + end process CON_FIFO_NR_HEX_TO_INT; + + --purpose: Updates the index number for the array signals + UPDATE_INDEX_NR : process (CLK_READOUT) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + fifo_nr <= CHANNEL_NUMBER; + elsif updt_index_i = '1' then + fifo_nr <= fifo_nr_next; + end if; + end if; + end process UPDATE_INDEX_NR; +------------------------------------------------------------------------------- +-- Data Out, Data Write and Data Finished assigning according to the control +-- signals from the readout final-state-machine. + + Data_Out_MUX : process (CLK_READOUT, RESET) + variable i : integer := 0; + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + data_out_reg <= (others => '1'); + data_wr_reg <= '0'; + else + if wr_header_i = '1' then + data_out_reg <= "001" & "0000000000000" & header_error_bits; + data_wr_reg <= '1'; + elsif wr_ch_data_reg = '1' and CONTROL_REG_IN(1*32+31) = '1' then + if (TW_pre(10) = '1' and ref_time_coarse(10) = '0') or (TW_post(10) = '0' and ref_time_coarse(10) = '1') then + if (trg_win_l = '0' and trg_win_r = '1') or (trg_win_l = '1' and trg_win_r = '0') then +-- data_out_reg <= "1000" & channel_data_i(fifo_nr)(27 downto 0); + data_out_reg <= channel_data_reg(fifo_nr); + data_wr_reg <= '1'; + else +-- data_out_reg <= (others => '1'); + data_wr_reg <= '0'; + end if; + else + if (trg_win_l = '1' and trg_win_r = '1') then +-- data_out_reg <= "1000" & channel_data_i(fifo_nr)(27 downto 0); + data_out_reg <= channel_data_reg(fifo_nr); + data_wr_reg <= '1'; + else +-- data_out_reg <= (others => '1'); + data_wr_reg <= '0'; + end if; + end if; + elsif wr_ch_data_reg = '1' and CONTROL_REG_IN(1*32+31) = '0' then + data_out_reg <= "1000" & channel_data_reg(fifo_nr)(27 downto 0); + data_wr_reg <= '1'; + elsif wr_status_i = '1' then + case i is + when 0 => data_out_reg <= "010" & "00000" & valid_tmg_trig_number; + when 1 => data_out_reg <= "010" & "00001" & trig_number; + when 2 => data_out_reg <= "010" & "00010" & valid_NOtmg_trig_number; + when 3 => data_out_reg <= "010" & "00011" & invalid_trig_number; + when 4 => data_out_reg <= "010" & "00100" & multi_tmg_trig_number; + when 5 => data_out_reg <= "010" & "00101" & spurious_trig_number; + when 6 => data_out_reg <= "010" & "00110" & wrong_readout_number; + when 7 => data_out_reg <= "010" & "00111" & spike_number; + when 8 => data_out_reg <= "010" & "01000" & idle_time; + when 9 => data_out_reg <= "010" & "01001" & wait_time; + stop_status_i <= '1'; + when 10 => data_out_reg <= "010" & "01010" & total_empty_channel; + when others => null; + end case; + data_wr_reg <= '1'; + i := i+1; + elsif wr_trailer_i = '1' then + data_out_reg <= "011" & "0000000000000" & trailer_error_bits; + data_wr_reg <= '1'; + else +-- data_out_reg <= (others => '1'); + data_wr_reg <= '0'; + end if; + end if; + end if; + end process Data_Out_MUX; + + DATA_OUT <= data_out_reg; + DATA_WRITE_OUT <= data_wr_reg; + DATA_FINISHED_OUT <= data_finished_reg; + TRG_RELEASE_OUT <= trg_release_reg; + TRG_STATUSBIT_OUT <= trg_statusbit_reg; + +----------------------------------------------------------------------------- +-- Data delay + + Delay_Channel_Data : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + channel_data_reg <= (others => x"00000000"); + channel_data_2reg <= (others => x"00000000"); + channel_data_3reg <= (others => x"00000000"); + channel_data_4reg <= (others => x"00000000"); + channel_empty_reg <= (others => '0'); + channel_empty_2reg <= (others => '0'); + channel_empty_3reg <= (others => '0'); + channel_empty_4reg <= (others => '0'); + else + channel_data_reg <= channel_data_i; + channel_data_2reg <= channel_data_reg; + channel_data_3reg <= channel_data_2reg; + channel_data_4reg <= channel_data_3reg; + channel_empty_reg <= channel_empty_i; + channel_empty_2reg <= channel_empty_reg; + channel_empty_3reg <= channel_empty_2reg; + channel_empty_4reg <= channel_empty_3reg; + end if; + end if; + end process Delay_Channel_Data; + +------------------------------------------------------------------------------- +-- Readout Final-State-Machine +------------------------------------------------------------------------------- + + --purpose: FSM for writing data + FSM_CLK : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + FSM_CURRENT <= IDLE; + fsm_debug_reg <= x"00"; + start_trg_win_cnt_i <= '0'; + updt_index_i <= '0'; + updt_mask_i <= '0'; + rd_en_i <= (others => '0'); + wr_ch_data_i <= '0'; + wr_ch_data_reg <= '0'; + wr_header_i <= '0'; + wr_status_i <= '0'; + data_finished_i <= '0'; + data_finished_reg <= '0'; + trg_release_reg <= '0'; + wrong_readout_i <= '0'; + idle_i <= '0'; + readout_i <= '0'; + wait_i <= '0'; + else + FSM_CURRENT <= FSM_NEXT; + fsm_debug_reg <= fsm_debug_fsm; + start_trg_win_cnt_i <= start_trg_win_cnt_fsm; + updt_index_i <= updt_index_fsm; + updt_mask_i <= updt_mask_fsm; + rd_en_i <= rd_en_fsm; + wr_ch_data_i <= wr_ch_data_fsm; + wr_ch_data_reg <= wr_ch_data_i; + wr_header_i <= wr_header_fsm; + wr_status_i <= wr_status_fsm; + data_finished_i <= data_finished_fsm; + data_finished_reg <= data_finished_i; + trg_release_reg <= trg_release_fsm; + wrong_readout_i <= wrong_readout_fsm; + idle_i <= idle_fsm; + readout_i <= readout_fsm; + wait_i <= wait_fsm; + end if; + end if; + end process FSM_CLK; + + FSM_PROC : process (FSM_CURRENT, VALID_TIMING_TRG_IN, VALID_NOTIMING_TRG_IN, trg_win_end_i, fifo_nr_next, + fifo_nr, channel_empty_reg, TRG_DATA_VALID_IN, INVALID_TRG_IN, TMGTRG_TIMEOUT_IN, + TRG_TYPE_IN, SPURIOUS_TRG_IN, stop_status_i) + begin + + start_trg_win_cnt_fsm <= '0'; + updt_index_fsm <= '0'; + updt_mask_fsm <= '0'; + rd_en_fsm <= (others => '0'); + wr_ch_data_fsm <= '0'; + wr_header_fsm <= '0'; + data_finished_fsm <= '0'; + trg_release_fsm <= '0'; + wrong_readout_fsm <= '0'; + idle_fsm <= '0'; + readout_fsm <= '0'; + wait_fsm <= '0'; + wr_status_fsm <= '0'; + + case (FSM_CURRENT) is + when IDLE => + if VALID_TIMING_TRG_IN = '1' then + FSM_NEXT <= WAIT_FOR_TRG_WIND_END; + start_trg_win_cnt_fsm <= '1'; + fsm_debug_fsm <= x"01"; + elsif VALID_NOTIMING_TRG_IN = '1' then + if TRG_TYPE_IN = x"E" then + FSM_NEXT <= SEND_STATUS; + fsm_debug_fsm <= x"02"; + else + FSM_NEXT <= SEND_TRG_RELEASE_A; + fsm_debug_fsm <= x"03"; + end if; + wr_header_fsm <= '1'; + elsif INVALID_TRG_IN = '1' then + FSM_NEXT <= SEND_TRG_RELEASE_A; + fsm_debug_fsm <= x"04"; + else + FSM_NEXT <= IDLE; + fsm_debug_fsm <= x"05"; + end if; + idle_fsm <= '1'; +-- + when WAIT_FOR_TRG_WIND_END => + if trg_win_end_i = '1' then --or CONTROL_REG_IN(1*32+31) = '0' then + FSM_NEXT <= WR_HEADER; + fsm_debug_fsm <= x"06"; + else + FSM_NEXT <= WAIT_FOR_TRG_WIND_END; + fsm_debug_fsm <= x"07"; + end if; + wait_fsm <= '1'; +------------------------------------------------------------------------------- +-- Readout process starts + when WR_HEADER => + FSM_NEXT <= WAIT_FOR_FIFO_NR_A; + wr_header_fsm <= '1'; + fsm_debug_fsm <= x"08"; + readout_fsm <= '1'; + + when WAIT_FOR_FIFO_NR_A => + FSM_NEXT <= WAIT_FOR_FIFO_NR_B; + updt_index_fsm <= '1'; + fsm_debug_fsm <= x"0A"; + wait_fsm <= '1'; + + --when WAIT_FOR_FIFO_NR_B => + -- FSM_NEXT <= WAIT_FOR_FIFO_NR_C; + -- updt_mask_fsm <= '1'; + -- fsm_debug_fsm <= x"0B"; + -- wait_fsm <= '1'; + + when WAIT_FOR_FIFO_NR_B => + FSM_NEXT <= APPLY_MASK; + fsm_debug_fsm <= x"0C"; + wait_fsm <= '1'; + + when APPLY_MASK => + if fifo_nr_next = CHANNEL_NUMBER then + FSM_NEXT <= WAIT_FOR_LVL1_TRG_A; + data_finished_fsm <= '1'; + fsm_debug_fsm <= x"0D"; + else + FSM_NEXT <= RD_CHANNEL_A; + rd_en_fsm(fifo_nr) <= '1'; + updt_mask_fsm <= '1'; + fsm_debug_fsm <= x"0E"; + end if; + wait_fsm <= '1'; + + when RD_CHANNEL_A => + FSM_NEXT <= RD_CHANNEL_B; + rd_en_fsm(fifo_nr) <= '1'; + fsm_debug_fsm <= x"0F"; + readout_fsm <= '1'; + + when RD_CHANNEL_B => + FSM_NEXT <= RD_CHANNEL_C; + rd_en_fsm(fifo_nr) <= '1'; + fsm_debug_fsm <= x"10"; + readout_fsm <= '1'; + + when RD_CHANNEL_C => + if channel_empty_reg(fifo_nr) = '1' then + FSM_NEXT <= WAIT_FOR_FIFO_NR_B; -- APPLY_MASK; + wr_ch_data_fsm <= '0'; + updt_index_fsm <= '1'; + fsm_debug_fsm <= x"11"; + else + FSM_NEXT <= RD_CHANNEL_C; + wr_ch_data_fsm <= '1'; + rd_en_fsm(fifo_nr) <= '1'; + fsm_debug_fsm <= x"12"; + end if; + readout_fsm <= '1'; +------------------------------------------------------------------------------- + when WAIT_FOR_LVL1_TRG_A => + if TRG_DATA_VALID_IN = '1' then + FSM_NEXT <= WAIT_FOR_LVL1_TRG_B; + fsm_debug_fsm <= x"13"; + elsif TMGTRG_TIMEOUT_IN = '1' then + FSM_NEXT <= IDLE; + fsm_debug_fsm <= x"14"; + else + FSM_NEXT <= WAIT_FOR_LVL1_TRG_A; + fsm_debug_fsm <= x"15"; + end if; + wait_fsm <= '1'; +-- + when WAIT_FOR_LVL1_TRG_B => + FSM_NEXT <= WAIT_FOR_LVL1_TRG_C; + fsm_debug_fsm <= x"16"; + wait_fsm <= '1'; +-- + when WAIT_FOR_LVL1_TRG_C => + if SPURIOUS_TRG_IN = '1' then + wrong_readout_fsm <= '1'; + end if; + FSM_NEXT <= SEND_TRG_RELEASE_A; + fsm_debug_fsm <= x"17"; + wait_fsm <= '1'; +-- + when SEND_STATUS => -- here the status of the TDC should be sent + if stop_status_i = '1' then + FSM_NEXT <= SEND_TRG_RELEASE_A; + data_finished_fsm <= '1'; + fsm_debug_fsm <= x"18"; + else + FSM_NEXT <= SEND_STATUS; + wr_status_fsm <= '1'; + fsm_debug_fsm <= x"19"; + end if; +-- + when SEND_TRG_RELEASE_A => + FSM_NEXT <= SEND_TRG_RELEASE_B; + trg_release_fsm <= '1'; + fsm_debug_fsm <= x"1A"; +-- + when SEND_TRG_RELEASE_B => + FSM_NEXT <= IDLE; + fsm_debug_fsm <= x"1B"; +-- + when others => + FSM_NEXT <= IDLE; + fsm_debug_fsm <= x"FF"; + end case; + end process FSM_PROC; + +------------------------------------------------------------------------------- +-- Header-Trailor Error & Warning Bits +------------------------------------------------------------------------------- + -- Error, warning bits set in the header + header_error_bits(15 downto 2) <= (others => '0'); + header_error_bits(0) <= '0'; + --header_error_bits(0) <= lost_hit_i; -- if there is at least one lost hit (can be more if the FIFO is full). + header_error_bits(1) <= fifo_full_i; -- if the channel FIFO is full. + --header_error_bits(2) <= fifo_almost_full_i; -- if the channel FIFO is almost full. + + -- Error, warning bits set in the trailer + trailer_error_bits <= (others => '0'); + -- trailer_error_bits (0) <= wrong_readout_i; -- if there is a wrong readout because of a spurious timing trigger. + + -- Information bits sent after a status trigger + -- <= lost_hits_nr_i; -- total number of lost hits. + + fifo_full_i <= --channel_full_i(15) or channel_full_i(14) or channel_full_i(13) or channel_full_i(12) or + --channel_full_i(11) or channel_full_i(10) or channel_full_i(9) or channel_full_i(8) or + channel_full_i(7) or channel_full_i(6) or channel_full_i(5) or channel_full_i(4) or + channel_full_i(3) or channel_full_i(2) or channel_full_i(1) or channel_full_i(0); + +------------------------------------------------------------------------------- +-- Debug and statistics words +------------------------------------------------------------------------------- + + edge_to_pulse_1 : edge_to_pulse + port map ( + clock => CLK_READOUT, + en_clk => '1', + signal_in => VALID_TIMING_TRG_IN, + pulse => valid_timing_trg_pulse); + + edge_to_pulse_2 : edge_to_pulse + port map ( + clock => CLK_READOUT, + en_clk => '1', + signal_in => VALID_NOTIMING_TRG_IN, + pulse => valid_notiming_trg_pulse); + + edge_to_pulse_3 : edge_to_pulse + port map ( + clock => CLK_READOUT, + en_clk => '1', + signal_in => INVALID_TRG_IN, + pulse => invalid_trg_pulse); + + edge_to_pulse_4 : edge_to_pulse + port map ( + clock => CLK_READOUT, + en_clk => '1', + signal_in => MULTI_TMG_TRG_IN, + pulse => multi_tmg_trg_pulse); + + edge_to_pulse_5 : edge_to_pulse + port map ( + clock => CLK_READOUT, + en_clk => '1', + signal_in => SPURIOUS_TRG_IN, + pulse => spurious_trg_pulse); + + edge_to_pulse_6 : edge_to_pulse + port map ( + clock => CLK_READOUT, + en_clk => '1', + signal_in => SPIKE_DETECTED_IN, + pulse => spike_detected_pulse); + + -- purpose: Internal trigger number counter (only valid triggers) + Statistics_Trigger_Number : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + trig_number <= (others => '0'); + elsif valid_timing_trg_pulse = '1' or valid_notiming_trg_pulse = '1' then + trig_number <= trig_number + 1; + end if; + end if; + end process Statistics_Trigger_Number; + + -- purpose: Internal valid timing trigger number counter + Statistics_Valid_Timing_Trigger_Number : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + valid_tmg_trig_number <= (others => '0'); + elsif valid_timing_trg_pulse = '1' then + valid_tmg_trig_number <= valid_tmg_trig_number + 1; + end if; + end if; + end process Statistics_Valid_Timing_Trigger_Number; + + -- purpose: Internal valid NOtiming trigger number counter + Statistics_Valid_NoTiming_Trigger_Number : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + valid_NOtmg_trig_number <= (others => '0'); + elsif valid_notiming_trg_pulse = '1' then + valid_NOtmg_trig_number <= valid_NOtmg_trig_number + 1; + end if; + end if; + end process Statistics_Valid_NoTiming_Trigger_Number; + + -- purpose: Internal invalid trigger number counter + Statistics_Invalid_Trigger_Number : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + invalid_trig_number <= (others => '0'); + elsif invalid_trg_pulse = '1' then + invalid_trig_number <= invalid_trig_number + 1; + end if; + end if; + end process Statistics_Invalid_Trigger_Number; + + -- purpose: Internal multi timing trigger number counter + Statistics_Multi_Timing_Trigger_Number : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + multi_tmg_trig_number <= (others => '0'); + elsif multi_tmg_trg_pulse = '1' then + multi_tmg_trig_number <= multi_tmg_trig_number + 1; + end if; + end if; + end process Statistics_Multi_Timing_Trigger_Number; + + -- purpose: Internal spurious trigger number counter + Statistics_Spurious_Trigger_Number : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + spurious_trig_number <= (others => '0'); + elsif spurious_trg_pulse = '1' then + spurious_trig_number <= spurious_trig_number + 1; + end if; + end if; + end process Statistics_Spurious_Trigger_Number; + + -- purpose: Number of wrong readout becasue of spurious trigger + Statistics_Wrong_Readout_Number : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + wrong_readout_number <= (others => '0'); + elsif wrong_readout_i = '1' then + wrong_readout_number <= wrong_readout_number + 1; + end if; + end if; + end process Statistics_Wrong_Readout_Number; + + -- purpose: Internal spike number counter + Statistics_Spike_Number : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + spike_number <= (others => '0'); + elsif spike_detected_pulse = '1' then + spike_number <= spike_number + 1; + end if; + end if; + end process Statistics_Spike_Number; + + -- purpose: IDLE time of the TDC readout + Statistics_Idle_Time : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + idle_time <= (others => '0'); + elsif idle_i = '1' then + idle_time <= idle_time + 1; + end if; + end if; + end process Statistics_Idle_Time; + + -- purpose: Readout and Wait time of the TDC readout + Statistics_Readout_Wait_Time : process (CLK_READOUT, RESET) + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + readout_time <= (others => '0'); + wait_time <= (others => '0'); + elsif readout_i = '1' then + readout_time <= readout_time + 1; + elsif wait_i = '1' then + wait_time <= wait_time + 1; + end if; + end if; + end process Statistics_Readout_Wait_Time; + + -- purpose: Empty channel number + Statistics_Empty_Channel_Number : process (CLK_READOUT, RESET) + variable i : integer := CHANNEL_NUMBER; + begin + if rising_edge(CLK_READOUT) then + if RESET = '1' then + total_empty_channel <= (others => '0'); + i := CHANNEL_NUMBER; + elsif trg_win_end_i = '1' then + i := 0; + elsif i = CHANNEL_NUMBER then + i := i; + elsif empty_channels(i) = '1' then + total_empty_channel <= total_empty_channel + 1; + i := i + 1; + else + i := i + 1; + end if; + end if; + end process Statistics_Empty_Channel_Number; + + +------------------------------------------------------------------------------- +-- Logic Analyser Signals +------------------------------------------------------------------------------- + signal_sync_1 : signal_sync + generic map ( + WIDTH => 4, + DEPTH => 4) + port map ( + RESET => reset_tdc, + CLK0 => CLK_READOUT, + CLK1 => CLK_TDC, + D_IN => CONTROL_REG_IN(3 downto 0), + D_OUT => control_reg_200); + + +-- Logic Analyser and Test Signals + --REG_LOGIC_ANALYSER_OUTPUT : process (CLK_READOUT, RESET) + --begin + -- if rising_edge(CLK_READOUT) then + -- if RESET = '1' then + -- logic_analyser_reg <= (others => '0'); + -- elsif CONTROL_REG_IN(3 downto 0) = x"1" then TRBNET connections debugging + -- logic_analyser_reg(7 downto 0) <= fsm_debug_reg; + -- logic_analyser_reg(8) <= REFERENCE_TIME; + -- logic_analyser_reg(9) <= VALID_TIMING_TRG_IN; + -- logic_analyser_reg(10) <= VALID_NOTIMING_TRG_IN; + -- logic_analyser_reg(11) <= INVALID_TRG_IN; + -- logic_analyser_reg(12) <= TRG_DATA_VALID_IN; + -- logic_analyser_reg(13) <= data_wr_reg; + -- logic_analyser_reg(14) <= data_finished_reg; + -- logic_analyser_reg(15) <= trg_release_reg; + -- elsif CONTROL_REG_IN(3 downto 0) = x"2" then Reference channel debugging + -- logic_analyser_reg <= ref_debug_i(15 downto 0); + -- elsif CONTROL_REG_IN(3 downto 0) = x"3" then Hit input debugging + -- logic_analyser_reg(7 downto 1) <= HIT_IN(7 downto 1); + -- elsif CONTROL_REG_IN(3 downto 0) = x"4" then -- Hit input debugging + -- logic_analyser_reg(15 downto 0) <= HIT_IN(31 downto 16); + -- elsif CONTROL_REG_IN(3 downto 0) = x"5" then -- Hit input debugging + -- logic_analyser_reg(15 downto 0) <= HIT_IN(47 downto 32); + -- elsif CONTROL_REG_IN(3 downto 0) = x"6" then -- Hit input debugging + -- logic_analyser_reg(15 downto 0) <= HIT_IN(63 downto 48); + -- logic_analyser_reg(15 downto 7) <= (others => '0'); + -- elsif CONTROL_REG_IN(3 downto 0) = x"7" then Data out + -- logic_analyser_reg(7 downto 0) <= fsm_debug_reg; + -- logic_analyser_reg(8) <= REFERENCE_TIME; + -- logic_analyser_reg(13) <= data_wr_reg; + -- logic_analyser_reg(12 downto 9) <= data_out_reg(25 downto 22); + -- logic_analyser_reg(14) <= data_out_reg(26); + -- logic_analyser_reg(15) <= RESET; + + -- elsif CONTROL_REG_IN(3 downto 0) = x"8" then Data out + -- logic_analyser_reg(0) <= HIT_IN(2); + -- logic_analyser_reg(1) <= CLK_TDC; + -- logic_analyser_reg(2) <= channel_debug_01_i(2)(1); encoder_start + -- logic_analyser_reg(3) <= channel_debug_01_i(2)(2); fifo_wr_en + -- logic_analyser_reg(7 downto 4) <= channel_debug_01_i(2)(6 downto 3); interval register + -- logic_analyser_reg(12 downto 9) <= channel_debug_01_i(2)(10 downto 7); interval register + -- logic_analyser_reg(14) <= channel_debug_01_i(2)(11); interval register + -- logic_analyser_reg(8) <= REFERENCE_TIME; + -- logic_analyser_reg(13) <= data_wr_reg; + -- logic_analyser_reg(15) <= RESET; + + -- elsif CONTROL_REG_IN(3 downto 0) = x"9" then Data out + -- logic_analyser_reg(0) <= HIT_IN(3); + -- logic_analyser_reg(1) <= CLK_TDC; + -- logic_analyser_reg(2) <= channel_debug_01_i(3)(1); encoder_start + -- logic_analyser_reg(3) <= channel_debug_01_i(3)(2); fifo_wr_en + -- logic_analyser_reg(7 downto 4) <= channel_debug_01_i(3)(6 downto 3); interval register + -- logic_analyser_reg(12 downto 9) <= channel_debug_01_i(3)(10 downto 7); interval register + -- logic_analyser_reg(14) <= channel_debug_01_i(3)(11); interval register + -- logic_analyser_reg(8) <= REFERENCE_TIME; + -- logic_analyser_reg(13) <= data_wr_reg; + -- logic_analyser_reg(15) <= RESET; + + -- end if; + -- end if; + --end process REG_LOGIC_ANALYSER_OUTPUT; + + +-- REG_LOGIC_ANALYSER_OUTPUT : process (CLK_TDC, reset_tdc) +-- begin +-- if rising_edge(CLK_TDC) then +-- if reset_tdc = '1' then +-- logic_analyser_reg <= (others => '0'); +-- logic_analyser_2reg <= (others => '0'); +-- elsif CONTROL_REG_IN(3 downto 0) = x"1" then --TRBNET connections debugging +-- logic_analyser_reg(0) <= HIT_IN(3); +-- logic_analyser_reg(1) <= RESET; +-- logic_analyser_reg(2) <= channel_debug_01_i(3)(1); --encoder_start +-- logic_analyser_reg(3) <= channel_debug_01_i(3)(2); --fifo_wr_en +-- logic_analyser_reg(7 downto 4) <= channel_debug_01_i(3)(6 downto 3); --interval register +-- logic_analyser_reg(12 downto 9) <= channel_debug_01_i(3)(10 downto 7); --interval register +-- logic_analyser_reg(14) <= channel_debug_01_i(3)(11); --interval register +-- logic_analyser_reg(8) <= REFERENCE_TIME; +---- logic_analyser_reg(13) <= data_wr_reg; +-- logic_analyser_2reg <= logic_analyser_reg; +-- else +-- logic_analyser_reg <= (others => '0'); +-- logic_analyser_2reg <= logic_analyser_reg; +-- end if; +-- end if; +-- end process REG_LOGIC_ANALYSER_OUTPUT; + + --LOGIC_ANALYSER_OUT(14 downto 0) <= logic_analyser_2reg(14 downto 0); + --LOGIC_ANALYSER_OUT(15) <= CLK_TDC; + +------------------------------------------------------------------------------- +-- STATUS REGISTERS +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +-- Register 0x80 +------------------------------------------------------------------------------- + TDC_DEBUG(7 downto 0) <= fsm_debug_reg; +-- +-- TDC_DEBUG(15 downto 8) <= +-- +-- TDC_DEBUG(23 downto 16) <= +-- +-- TDC_DEBUG(27 downto 24) <= +-- +-- TDC_DEBUG(31 downto 28) <= +------------------------------------------------------------------------------- +-- Register 0x81 +------------------------------------------------------------------------------- + TDC_DEBUG(1*32+CHANNEL_NUMBER-1 downto 1*32+0) <= channel_empty_i; +------------------------------------------------------------------------------- +-- Register 0x82 +------------------------------------------------------------------------------- +-- TDC_DEBUG(2*32+7 downto 2*32+0) <= channel_empty_i(63 downto 32); +------------------------------------------------------------------------------- +-- Register 0x83 +------------------------------------------------------------------------------- + TDC_DEBUG(3*32+31 downto 3*32+0) <= "00000" & TRG_WIN_POST & "00000" & TRG_WIN_PRE; +------------------------------------------------------------------------------- +-- Register 0x84 +------------------------------------------------------------------------------- + TDC_DEBUG(4*32+23 downto 4*32+0) <= trig_number; +------------------------------------------------------------------------------- +-- Register 0x85 +------------------------------------------------------------------------------- + TDC_DEBUG(5*32+23 downto 5*32+0) <= valid_tmg_trig_number; +------------------------------------------------------------------------------- +-- Register 0x86 +------------------------------------------------------------------------------- + TDC_DEBUG(6*32+23 downto 6*32+0) <= valid_NOtmg_trig_number; +------------------------------------------------------------------------------- +-- Register 0x87 +------------------------------------------------------------------------------- + TDC_DEBUG(7*32+23 downto 7*32+0) <= invalid_trig_number; +------------------------------------------------------------------------------- +-- Register 0x88 +------------------------------------------------------------------------------- + TDC_DEBUG(8*32+23 downto 8*32+0) <= multi_tmg_trig_number; +------------------------------------------------------------------------------- +-- Register 0x89 +------------------------------------------------------------------------------- + TDC_DEBUG(9*32+23 downto 9*32+0) <= spurious_trig_number; +------------------------------------------------------------------------------- +-- Register 0x8a +------------------------------------------------------------------------------- + TDC_DEBUG(10*32+23 downto 10*32+0) <= wrong_readout_number; +------------------------------------------------------------------------------- +-- Register 0x8b +------------------------------------------------------------------------------- + TDC_DEBUG(11*32+23 downto 11*32+0) <= spike_number; +------------------------------------------------------------------------------- +-- Register 0x8c +------------------------------------------------------------------------------- + TDC_DEBUG(12*32+23 downto 12*32+0) <= idle_time; +------------------------------------------------------------------------------- +-- Register 0x8d +------------------------------------------------------------------------------- + TDC_DEBUG(13*32+23 downto 13*32+0) <= wait_time; +------------------------------------------------------------------------------- +-- Register 0x8e +------------------------------------------------------------------------------- + TDC_DEBUG(14*32+23 downto 14*32+0) <= total_empty_channel; +------------------------------------------------------------------------------- +-- Register 0x8f +------------------------------------------------------------------------------- + TDC_DEBUG(15*32+23 downto 15*32+0) <= channel_lost_hits(3); +------------------------------------------------------------------------------- +-- Register 0x90 +------------------------------------------------------------------------------- + TDC_DEBUG(16*32+23 downto 16*32+0) <= channel_measurement(3); +------------------------------------------------------------------------------- +-- Register 0x91 +------------------------------------------------------------------------------- + TDC_DEBUG(17*32+23 downto 17*32+0) <= channel_encoder_start_number(3); +------------------------------------------------------------------------------- +-- Register 0x92 +------------------------------------------------------------------------------- + TDC_DEBUG(18*32+23 downto 18*32+0) <= channel_lost_hits(2); +------------------------------------------------------------------------------- +-- Register 0x93 +------------------------------------------------------------------------------- + TDC_DEBUG(19*32+23 downto 19*32+0) <= channel_measurement(2); +------------------------------------------------------------------------------- +-- Register 0x94 +------------------------------------------------------------------------------- + TDC_DEBUG(20*32+23 downto 20*32+0) <= channel_encoder_start_number(2); + +end TDC; diff --git a/tdc_releases/tdc_v0.0/source/bit_sync.vhd b/tdc_releases/tdc_v0.0/source/bit_sync.vhd new file mode 100644 index 0000000..ab8ee6c --- /dev/null +++ b/tdc_releases/tdc_v0.0/source/bit_sync.vhd @@ -0,0 +1,61 @@ +--synchronizes a single bit to a different clock domain + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +entity bit_sync is + generic( + DEPTH : integer := 3 + ); + port( + RESET : in std_logic; --Reset is neceessary to avoid optimization to shift register + CLK0 : in std_logic; --clock for first FF + CLK1 : in std_logic; --Clock for other FF + D_IN : in std_logic; --Data input + D_OUT : out std_logic --Data output + ); +end entity; + +architecture behavioral of bit_sync is + + signal sync_q : std_logic_vector(DEPTH downto 0); + + attribute syn_preserve : boolean; + attribute syn_keep : boolean; + attribute syn_keep of sync_q : signal is true; + attribute syn_preserve of sync_q : signal is true; + + +begin + sync_q(0) <= D_IN; + D_OUT <= sync_q(DEPTH); + + process(CLK0) + begin + if rising_edge(CLK0) then + if RESET = '1' then + sync_q(1) <= '0'; + else + sync_q(1) <= sync_q(0); + end if; + end if; + end process; + + gen_others : if DEPTH > 1 generate + gen_flipflops : for i in 2 to DEPTH generate + process(CLK1) + begin + if rising_edge(CLK1) then + if RESET = '1' then + sync_q(i) <= '0'; + else + sync_q(i) <= sync_q(i-1); + end if; + end if; + end process; + end generate; + end generate; + +end architecture; diff --git a/tdc_releases/tdc_v0.0/source/reset_generator.vhd b/tdc_releases/tdc_v0.0/source/reset_generator.vhd new file mode 100644 index 0000000..ce35ea9 --- /dev/null +++ b/tdc_releases/tdc_v0.0/source/reset_generator.vhd @@ -0,0 +1,62 @@ +------------------------------------------------------------------------------- +-- Title : Reset Generator +-- Project : +------------------------------------------------------------------------------- +-- File : reset_generator.vhd +-- Author : Cahit Ugur +-- Company : +-- Created : 2011-11-09 +-- Last update: 2011-11-28 +-- Platform : +-- Standard : VHDL'87 +------------------------------------------------------------------------------- +-- Description: Generates a synchronous reset signal. +------------------------------------------------------------------------------- +-- Copyright (c) 2011 +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2011-11-09 1.0 ugur Created +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.STD_LOGIC_ARITH.all; +use IEEE.STD_LOGIC_UNSIGNED.all; +use IEEE.NUMERIC_STD.all; +use STD.TEXTIO.all; +use IEEE.STD_LOGIC_TEXTIO.all; + +entity Reset_Generator is + + generic ( + RESET_SIGNAL_WIDTH : std_logic_vector(3 downto 0) := x"F"); -- The length of the reset signal + + port ( + CLK_IN : in std_logic; -- System clock, that the reset will be synchronous with. + RESET_OUT : out std_logic); -- Synchronous reset signal + +end Reset_Generator; + +architecture Behavioral of Reset_Generator is + + signal reset_cnt : std_logic_vector(3 downto 0) := x"0"; -- initial value of the reset counter + signal reset_i : std_logic := '0'; + +begin -- Behavioral + + RESET_PROC : process (CLK_IN) + begin -- process RESET_PROC + if (rising_edge(CLK_IN)) then -- rising clock edge + reset_cnt <= reset_cnt + 1; + reset_i <= '1'; + if reset_cnt = RESET_SIGNAL_WIDTH then + reset_cnt <= RESET_SIGNAL_WIDTH; + reset_i <= '0'; + end if; + end if; + end process RESET_PROC; + + RESET_OUT <= reset_i when rising_edge(CLK_IN); + +end Behavioral; diff --git a/tdc_releases/tdc_v0.0/source/trb3_periph.vhd b/tdc_releases/tdc_v0.0/source/trb3_periph.vhd new file mode 100644 index 0000000..bc4a089 --- /dev/null +++ b/tdc_releases/tdc_v0.0/source/trb3_periph.vhd @@ -0,0 +1,621 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.trb_net_std.all; +use work.trb_net_components.all; +use work.trb3_components.all; +use work.version.all; + + +entity trb3_periph is + port( + --Clocks + CLK_GPLL_LEFT : in std_logic; --Clock Manager 1/(2468), 125 MHz + CLK_GPLL_RIGHT : in std_logic; --Clock Manager 2/(2468), 200 MHz <-- MAIN CLOCK for FPGA + CLK_PCLK_LEFT : in std_logic; --Clock Fan-out, 200/400 MHz <-- For TDC. Same oscillator as GPLL right! + CLK_PCLK_RIGHT : in std_logic; --Clock Fan-out, 200/400 MHz <-- For TDC. Same oscillator as GPLL right! + --Trigger + TRIGGER_LEFT : in std_logic; --left side trigger input from fan-out + TRIGGER_RIGHT : in std_logic; --right side trigger input from fan-out + --Serdes + CLK_SERDES_INT_LEFT : in std_logic; --Clock Manager 1/(1357), off, 125 MHz possible + CLK_SERDES_INT_RIGHT : in std_logic; --Clock Manager 2/(1357), 200 MHz, only in case of problems + SERDES_INT_TX : out std_logic_vector(3 downto 0); + SERDES_INT_RX : in std_logic_vector(3 downto 0); + SERDES_ADDON_TX : out std_logic_vector(11 downto 0); + SERDES_ADDON_RX : in std_logic_vector(11 downto 0); + --Inter-FPGA Communication + FPGA5_COMM : inout std_logic_vector(11 downto 0); + --Bit 0/1 input, serial link RX active + --Bit 2/3 output, serial link TX active + --Connection to ADA AddOn + SPARE_LINE : inout std_logic_vector(3 downto 0); --inputs only + INP : in std_logic_vector(63 downto 0); + OUT_L_SCK : out std_logic; + OUT_L_SDO : out std_logic; + OUT_L_CS : out std_logic; + IN_L_SDI : out std_logic; + OUT_H_SCK : out std_logic; + OUT_H_SDO : out std_logic; + OUT_H_CS : out std_logic; + IN_H_SDI : out std_logic; + --Flash ROM & Reboot + FLASH_CLK : out std_logic; + FLASH_CS : out std_logic; + FLASH_DIN : out std_logic; + FLASH_DOUT : in std_logic; + PROGRAMN : out std_logic; --reboot FPGA + --Misc + TEMPSENS : inout std_logic; --Temperature Sensor + CODE_LINE : in std_logic_vector(1 downto 0); + LED_GREEN : out std_logic; + LED_ORANGE : out std_logic; + LED_RED : out std_logic; + LED_YELLOW : out std_logic; + SUPPL : in std_logic; --terminated diff pair, PCLK, Pads + --Test Connectors + TEST_LINE : out std_logic_vector(15 downto 0) + ); + attribute syn_useioff : boolean; + --no IO-FF for LEDs relaxes timing constraints + attribute syn_useioff of LED_GREEN : signal is false; + attribute syn_useioff of LED_ORANGE : signal is false; + attribute syn_useioff of LED_RED : signal is false; + attribute syn_useioff of LED_YELLOW : signal is false; + attribute syn_useioff of TEMPSENS : signal is false; + attribute syn_useioff of PROGRAMN : signal is false; + attribute syn_useioff of CODE_LINE : signal is false; + attribute syn_useioff of TRIGGER_LEFT : signal is false; + attribute syn_useioff of TRIGGER_RIGHT : signal is false; + --important signals + attribute syn_useioff of FLASH_CLK : signal is true; + attribute syn_useioff of FLASH_CS : signal is true; + attribute syn_useioff of FLASH_DIN : signal is true; + attribute syn_useioff of FLASH_DOUT : signal is true; + attribute syn_useioff of FPGA5_COMM : signal is true; + attribute syn_useioff of TEST_LINE : signal is true; + attribute syn_useioff of INP : signal is false; + attribute syn_useioff of SPARE_LINE : signal is true; + attribute syn_useioff of OUT_L_SCK : signal is true; + attribute syn_useioff of OUT_L_SDO : signal is true; + attribute syn_useioff of OUT_L_CS : signal is true; + attribute syn_useioff of IN_L_SDI : signal is true; + attribute syn_useioff of OUT_H_SCK : signal is true; + attribute syn_useioff of OUT_H_SDO : signal is true; + attribute syn_useioff of OUT_H_CS : signal is true; + attribute syn_useioff of IN_H_SDI : signal is true; +end entity; + + +architecture trb3_periph_arch of trb3_periph is + --Constants + constant REGIO_NUM_STAT_REGS : integer := 5; + constant REGIO_NUM_CTRL_REGS : integer := 2; + + attribute syn_keep : boolean; + attribute syn_preserve : boolean; + + --Clock / Reset + signal clk_100_i : std_logic; --clock for main logic, 100 MHz, via Clock Manager and internal PLL + signal clk_200_i : std_logic; --clock for logic at 200 MHz, via Clock Manager and bypassed PLL + signal pll_lock : std_logic; --Internal PLL locked. E.g. used to reset all internal logic. + signal clear_i : std_logic; + signal reset_i : std_logic; + signal GSR_N : std_logic; + attribute syn_keep of GSR_N : signal is true; + attribute syn_preserve of GSR_N : signal is true; + + --Media Interface + signal med_stat_op : std_logic_vector (1*16-1 downto 0); + signal med_ctrl_op : std_logic_vector (1*16-1 downto 0); + signal med_stat_debug : std_logic_vector (1*64-1 downto 0); + signal med_ctrl_debug : std_logic_vector (1*64-1 downto 0); + signal med_data_out : std_logic_vector (1*16-1 downto 0); + signal med_packet_num_out : std_logic_vector (1*3-1 downto 0); + signal med_dataready_out : std_logic; + signal med_read_out : std_logic; + signal med_data_in : std_logic_vector (1*16-1 downto 0); + signal med_packet_num_in : std_logic_vector (1*3-1 downto 0); + signal med_dataready_in : std_logic; + signal med_read_in : std_logic; + + --LVL1 channel + signal timing_trg_received_i : std_logic; + signal trg_data_valid_i : std_logic; + signal trg_timing_valid_i : std_logic; + signal trg_notiming_valid_i : std_logic; + signal trg_invalid_i : std_logic; + signal trg_type_i : std_logic_vector(3 downto 0); + signal trg_number_i : std_logic_vector(15 downto 0); + signal trg_code_i : std_logic_vector(7 downto 0); + signal trg_information_i : std_logic_vector(23 downto 0); + signal trg_int_number_i : std_logic_vector(15 downto 0); + signal trg_multiple_trg_i : std_logic; + signal trg_timeout_detected_i : std_logic; + signal trg_spurious_trg_i : std_logic; + signal trg_missing_tmg_trg_i : std_logic; + signal trg_spike_detected_i : std_logic; + + --Data channel + signal fee_trg_release_i : std_logic; + signal fee_trg_statusbits_i : std_logic_vector(31 downto 0); + signal fee_data_i : std_logic_vector(31 downto 0); + signal fee_data_write_i : std_logic; + signal fee_data_finished_i : std_logic; + signal fee_almost_full_i : std_logic; + + --Slow Control channel + signal common_stat_reg : std_logic_vector(std_COMSTATREG*32-1 downto 0); + signal common_ctrl_reg : std_logic_vector(std_COMCTRLREG*32-1 downto 0); + signal stat_reg : std_logic_vector(32*2**REGIO_NUM_STAT_REGS-1 downto 0); + signal ctrl_reg : std_logic_vector(32*2**REGIO_NUM_CTRL_REGS-1 downto 0); + signal common_stat_reg_strobe : std_logic_vector(std_COMSTATREG-1 downto 0); + signal common_ctrl_reg_strobe : std_logic_vector(std_COMCTRLREG-1 downto 0); + signal stat_reg_strobe : std_logic_vector(2**REGIO_NUM_STAT_REGS-1 downto 0); + signal ctrl_reg_strobe : std_logic_vector(2**REGIO_NUM_CTRL_REGS-1 downto 0); + + --RegIO + signal my_address : std_logic_vector (15 downto 0); + signal regio_addr_out : std_logic_vector (15 downto 0); + signal regio_read_enable_out : std_logic; + signal regio_write_enable_out : std_logic; + signal regio_data_out : std_logic_vector (31 downto 0); + signal regio_data_in : std_logic_vector (31 downto 0); + signal regio_dataready_in : std_logic; + signal regio_no_more_data_in : std_logic; + signal regio_write_ack_in : std_logic; + signal regio_unknown_addr_in : std_logic; + signal regio_timeout_out : std_logic; + + --Timer + signal global_time : std_logic_vector(31 downto 0); + signal local_time : std_logic_vector(7 downto 0); + signal time_since_last_trg : std_logic_vector(31 downto 0); + signal timer_ticks : std_logic_vector(1 downto 0); + + --Flash + signal spictrl_read_en : std_logic; + signal spictrl_write_en : std_logic; + signal spictrl_data_in : std_logic_vector(31 downto 0); + signal spictrl_addr : std_logic; + signal spictrl_data_out : std_logic_vector(31 downto 0); + signal spictrl_ack : std_logic; + signal spictrl_busy : std_logic; + signal spimem_read_en : std_logic; + signal spimem_write_en : std_logic; + signal spimem_data_in : std_logic_vector(31 downto 0); + signal spimem_addr : std_logic_vector(5 downto 0); + signal spimem_data_out : std_logic_vector(31 downto 0); + signal spimem_ack : std_logic; + + signal spi_bram_addr : std_logic_vector(7 downto 0); + signal spi_bram_wr_d : std_logic_vector(7 downto 0); + signal spi_bram_rd_d : std_logic_vector(7 downto 0); + signal spi_bram_we : std_logic; + + + --FPGA Test + signal time_counter : unsigned(31 downto 0); + + --TDC component + component TDC + generic ( + CHANNEL_NUMBER : integer range 0 to 64; + STATUS_REG_NR : integer range 0 to 6; + CONTROL_REG_NR : integer range 0 to 6); + port ( + RESET : in std_logic; + CLK_TDC : in std_logic; + CLK_READOUT : in std_logic; + REFERENCE_TIME : in std_logic; + HIT_IN : in std_logic_vector(CHANNEL_NUMBER-1 downto 1); + TRG_WIN_PRE : in std_logic_vector(10 downto 0); + TRG_WIN_POST : in std_logic_vector(10 downto 0); + TRG_DATA_VALID_IN : in std_logic; + VALID_TIMING_TRG_IN : in std_logic; + VALID_NOTIMING_TRG_IN : in std_logic; + INVALID_TRG_IN : in std_logic; + TMGTRG_TIMEOUT_IN : in std_logic; + SPIKE_DETECTED_IN : in std_logic; + MULTI_TMG_TRG_IN : in std_logic; + SPURIOUS_TRG_IN : in std_logic; + TRG_NUMBER_IN : in std_logic_vector(15 downto 0); + TRG_CODE_IN : in std_logic_vector(7 downto 0); + TRG_INFORMATION_IN : in std_logic_vector(23 downto 0); + TRG_TYPE_IN : in std_logic_vector(3 downto 0); + TRG_RELEASE_OUT : out std_logic; + TRG_STATUSBIT_OUT : out std_logic_vector(31 downto 0); + DATA_OUT : out std_logic_vector(31 downto 0); + DATA_WRITE_OUT : out std_logic; + DATA_FINISHED_OUT : out std_logic; + TDC_DEBUG : out std_logic_vector(32*2**STATUS_REG_NR-1 downto 0); + LOGIC_ANALYSER_OUT : out std_logic_vector(15 downto 0); + CONTROL_REG_IN : in std_logic_vector(32*2**CONTROL_REG_NR-1 downto 0)); + end component; + +begin +--------------------------------------------------------------------------- +-- Reset Generation +--------------------------------------------------------------------------- + + GSR_N <= pll_lock; + + THE_RESET_HANDLER : trb_net_reset_handler + generic map( + RESET_DELAY => x"FEEE" + ) + port map( + CLEAR_IN => '0', -- reset input (high active, async) + CLEAR_N_IN => '1', -- reset input (low active, async) + CLK_IN => clk_200_i, -- raw master clock, NOT from PLL/DLL! + SYSCLK_IN => clk_100_i, -- PLL/DLL remastered clock + PLL_LOCKED_IN => pll_lock, -- master PLL lock signal (async) + RESET_IN => '0', -- general reset signal (SYSCLK) + TRB_RESET_IN => med_stat_op(13), -- TRBnet reset signal (SYSCLK) + CLEAR_OUT => clear_i, -- async reset out, USE WITH CARE! + RESET_OUT => reset_i, -- synchronous reset out (SYSCLK) + DEBUG_OUT => open + ); + + +--------------------------------------------------------------------------- +-- Clock Handling +--------------------------------------------------------------------------- + THE_MAIN_PLL : pll_in200_out100 + port map( + CLK => CLK_GPLL_RIGHT, + CLKOP => clk_100_i, + CLKOK => clk_200_i, + LOCK => pll_lock + ); + + +--------------------------------------------------------------------------- +-- The TrbNet media interface (to other FPGA) +--------------------------------------------------------------------------- + THE_MEDIA_UPLINK : trb_net16_med_ecp3_sfp + generic map( + SERDES_NUM => 1, --number of serdes in quad + EXT_CLOCK => c_NO, --use internal clock + USE_200_MHZ => c_YES --run on 200 MHz clock + ) + port map( + CLK => clk_200_i, + SYSCLK => clk_100_i, + RESET => reset_i, + CLEAR => clear_i, + CLK_EN => '1', + --Internal Connection + MED_DATA_IN => med_data_out, + MED_PACKET_NUM_IN => med_packet_num_out, + MED_DATAREADY_IN => med_dataready_out, + MED_READ_OUT => med_read_in, + MED_DATA_OUT => med_data_in, + MED_PACKET_NUM_OUT => med_packet_num_in, + MED_DATAREADY_OUT => med_dataready_in, + MED_READ_IN => med_read_out, + REFCLK2CORE_OUT => open, + --SFP Connection + SD_RXD_P_IN => SERDES_INT_RX(2), + SD_RXD_N_IN => SERDES_INT_RX(3), + SD_TXD_P_OUT => SERDES_INT_TX(2), + SD_TXD_N_OUT => SERDES_INT_TX(3), + SD_REFCLK_P_IN => open, + SD_REFCLK_N_IN => open, + SD_PRSNT_N_IN => FPGA5_COMM(0), + SD_LOS_IN => FPGA5_COMM(0), + SD_TXDIS_OUT => FPGA5_COMM(2), + -- Status and control port + STAT_OP => med_stat_op, + CTRL_OP => med_ctrl_op, + STAT_DEBUG => med_stat_debug, + CTRL_DEBUG => (others => '0') + ); + +--------------------------------------------------------------------------- +-- Endpoint +--------------------------------------------------------------------------- + THE_ENDPOINT : trb_net16_endpoint_hades_full_handler + generic map( + REGIO_NUM_STAT_REGS => REGIO_NUM_STAT_REGS, --4, --16 stat reg + REGIO_NUM_CTRL_REGS => REGIO_NUM_CTRL_REGS, --3, --8 cotrol reg + ADDRESS_MASK => x"FFFF", + BROADCAST_BITMASK => x"FF", + BROADCAST_SPECIAL_ADDR => x"45", + REGIO_COMPILE_TIME => std_logic_vector(to_unsigned(VERSION_NUMBER_TIME, 32)), + REGIO_HARDWARE_VERSION => x"91000001", + REGIO_INIT_ADDRESS => x"f300", + REGIO_USE_VAR_ENDPOINT_ID => c_YES, + CLOCK_FREQUENCY => 125, + TIMING_TRIGGER_RAW => c_YES, + --Configure data handler + DATA_INTERFACE_NUMBER => 1, + DATA_BUFFER_DEPTH => 13, --13 + DATA_BUFFER_WIDTH => 32, + DATA_BUFFER_FULL_THRESH => 2**13-800, --2**13-1024 + TRG_RELEASE_AFTER_DATA => c_YES, + HEADER_BUFFER_DEPTH => 9, + HEADER_BUFFER_FULL_THRESH => 2**9-16 + ) + port map( + CLK => clk_100_i, + RESET => reset_i, + CLK_EN => '1', + MED_DATAREADY_OUT => med_dataready_out, -- open, -- + MED_DATA_OUT => med_data_out, -- open, -- + MED_PACKET_NUM_OUT => med_packet_num_out, -- open, -- + MED_READ_IN => med_read_in, + MED_DATAREADY_IN => med_dataready_in, + MED_DATA_IN => med_data_in, + MED_PACKET_NUM_IN => med_packet_num_in, + MED_READ_OUT => med_read_out, -- open, -- + MED_STAT_OP_IN => med_stat_op, + MED_CTRL_OP_OUT => med_ctrl_op, + + --Timing trigger in + TRG_TIMING_TRG_RECEIVED_IN => timing_trg_received_i, + --LVL1 trigger to FEE + LVL1_TRG_DATA_VALID_OUT => trg_data_valid_i, + LVL1_VALID_TIMING_TRG_OUT => trg_timing_valid_i, + LVL1_VALID_NOTIMING_TRG_OUT => trg_notiming_valid_i, + LVL1_INVALID_TRG_OUT => trg_invalid_i, + + LVL1_TRG_TYPE_OUT => trg_type_i, + LVL1_TRG_NUMBER_OUT => trg_number_i, + LVL1_TRG_CODE_OUT => trg_code_i, + LVL1_TRG_INFORMATION_OUT => trg_information_i, + LVL1_INT_TRG_NUMBER_OUT => trg_int_number_i, + + --Information about trigger handler errors + TRG_MULTIPLE_TRG_OUT => trg_multiple_trg_i, + TRG_TIMEOUT_DETECTED_OUT => trg_timeout_detected_i, + TRG_SPURIOUS_TRG_OUT => trg_spurious_trg_i, + TRG_MISSING_TMG_TRG_OUT => trg_missing_tmg_trg_i, + TRG_SPIKE_DETECTED_OUT => trg_spike_detected_i, + + --Response from FEE + FEE_TRG_RELEASE_IN(0) => fee_trg_release_i, + FEE_TRG_STATUSBITS_IN => fee_trg_statusbits_i, + FEE_DATA_IN => fee_data_i, + FEE_DATA_WRITE_IN(0) => fee_data_write_i, + FEE_DATA_FINISHED_IN(0) => fee_data_finished_i, + FEE_DATA_ALMOST_FULL_OUT(0) => fee_almost_full_i, + + -- Slow Control Data Port + REGIO_COMMON_STAT_REG_IN => common_stat_reg, --0x00 + REGIO_COMMON_CTRL_REG_OUT => common_ctrl_reg, --0x20 + REGIO_COMMON_STAT_STROBE_OUT => common_stat_reg_strobe, + REGIO_COMMON_CTRL_STROBE_OUT => common_ctrl_reg_strobe, + REGIO_STAT_REG_IN => stat_reg, --start 0x80 + REGIO_CTRL_REG_OUT => ctrl_reg, --start 0xc0 + REGIO_STAT_STROBE_OUT => stat_reg_strobe, + REGIO_CTRL_STROBE_OUT => ctrl_reg_strobe, + REGIO_VAR_ENDPOINT_ID(1 downto 0) => CODE_LINE, + REGIO_VAR_ENDPOINT_ID(15 downto 2) => (others => '0'), + + BUS_ADDR_OUT => regio_addr_out, + BUS_READ_ENABLE_OUT => regio_read_enable_out, + BUS_WRITE_ENABLE_OUT => regio_write_enable_out, + BUS_DATA_OUT => regio_data_out, + BUS_DATA_IN => regio_data_in, + BUS_DATAREADY_IN => regio_dataready_in, + BUS_NO_MORE_DATA_IN => regio_no_more_data_in, + BUS_WRITE_ACK_IN => regio_write_ack_in, + BUS_UNKNOWN_ADDR_IN => regio_unknown_addr_in, + BUS_TIMEOUT_OUT => regio_timeout_out, + ONEWIRE_INOUT => TEMPSENS, + ONEWIRE_MONITOR_OUT => open, + + TIME_GLOBAL_OUT => global_time, + TIME_LOCAL_OUT => local_time, + TIME_SINCE_LAST_TRG_OUT => time_since_last_trg, + TIME_TICKS_OUT => timer_ticks, + + STAT_DEBUG_IPU => open, + STAT_DEBUG_1 => open, + STAT_DEBUG_2 => open, + STAT_DEBUG_DATA_HANDLER_OUT => open, + STAT_DEBUG_IPU_HANDLER_OUT => open, + STAT_TRIGGER_OUT => open, + CTRL_MPLEX => (others => '0'), + IOBUF_CTRL_GEN => (others => '0'), + STAT_ONEWIRE => open, + STAT_ADDR_DEBUG => open, + DEBUG_LVL1_HANDLER_OUT => open + ); + + timing_trg_received_i <= TRIGGER_LEFT; + +--------------------------------------------------------------------------- +-- AddOn +--------------------------------------------------------------------------- + OUT_L_SCK <= '0'; + OUT_L_SDO <= '0'; + OUT_L_CS <= '0'; + OUT_H_SCK <= '0'; + OUT_H_SDO <= '0'; + OUT_H_CS <= '0'; + +--------------------------------------------------------------------------- +-- Bus Handler +--------------------------------------------------------------------------- + THE_BUS_HANDLER : trb_net16_regio_bus_handler + generic map( + PORT_NUMBER => 2, + PORT_ADDRESSES => (0 => x"d000", 1 => x"d100", others => x"0000"), + PORT_ADDR_MASK => (0 => 1, 1 => 6, others => 0) + ) + port map( + CLK => clk_100_i, + RESET => reset_i, + + DAT_ADDR_IN => regio_addr_out, + DAT_DATA_IN => regio_data_out, + DAT_DATA_OUT => regio_data_in, + DAT_READ_ENABLE_IN => regio_read_enable_out, + DAT_WRITE_ENABLE_IN => regio_write_enable_out, + DAT_TIMEOUT_IN => regio_timeout_out, + DAT_DATAREADY_OUT => regio_dataready_in, + DAT_WRITE_ACK_OUT => regio_write_ack_in, + DAT_NO_MORE_DATA_OUT => regio_no_more_data_in, + DAT_UNKNOWN_ADDR_OUT => regio_unknown_addr_in, + + --Bus Handler (SPI CTRL) + BUS_READ_ENABLE_OUT(0) => spictrl_read_en, + BUS_WRITE_ENABLE_OUT(0) => spictrl_write_en, + BUS_DATA_OUT(0*32+31 downto 0*32) => spictrl_data_in, + BUS_ADDR_OUT(0*16) => spictrl_addr, + BUS_ADDR_OUT(0*16+15 downto 0*16+1) => open, + BUS_TIMEOUT_OUT(0) => open, + BUS_DATA_IN(0*32+31 downto 0*32) => spictrl_data_out, + BUS_DATAREADY_IN(0) => spictrl_ack, + BUS_WRITE_ACK_IN(0) => spictrl_ack, + BUS_NO_MORE_DATA_IN(0) => spictrl_busy, + BUS_UNKNOWN_ADDR_IN(0) => '0', + --Bus Handler (SPI Memory) + BUS_READ_ENABLE_OUT(1) => spimem_read_en, + BUS_WRITE_ENABLE_OUT(1) => spimem_write_en, + BUS_DATA_OUT(1*32+31 downto 1*32) => spimem_data_in, + BUS_ADDR_OUT(1*16+5 downto 1*16) => spimem_addr, + BUS_ADDR_OUT(1*16+15 downto 1*16+6) => open, + BUS_TIMEOUT_OUT(1) => open, + BUS_DATA_IN(1*32+31 downto 1*32) => spimem_data_out, + BUS_DATAREADY_IN(1) => spimem_ack, + BUS_WRITE_ACK_IN(1) => spimem_ack, + BUS_NO_MORE_DATA_IN(1) => '0', + BUS_UNKNOWN_ADDR_IN(1) => '0', + + STAT_DEBUG => open + ); + +--------------------------------------------------------------------------- +-- SPI / Flash +--------------------------------------------------------------------------- + + THE_SPI_MASTER : spi_master + port map( + CLK_IN => clk_100_i, + RESET_IN => reset_i, + -- Slave bus + BUS_READ_IN => spictrl_read_en, + BUS_WRITE_IN => spictrl_write_en, + BUS_BUSY_OUT => spictrl_busy, + BUS_ACK_OUT => spictrl_ack, + BUS_ADDR_IN(0) => spictrl_addr, + BUS_DATA_IN => spictrl_data_in, + BUS_DATA_OUT => spictrl_data_out, + -- SPI connections + SPI_CS_OUT => FLASH_CS, + SPI_SDI_IN => FLASH_DOUT, + SPI_SDO_OUT => FLASH_DIN, + SPI_SCK_OUT => FLASH_CLK, + -- BRAM for read/write data + BRAM_A_OUT => spi_bram_addr, + BRAM_WR_D_IN => spi_bram_wr_d, + BRAM_RD_D_OUT => spi_bram_rd_d, + BRAM_WE_OUT => spi_bram_we, + -- Status lines + STAT => open + ); + +-- data memory for SPI accesses + THE_SPI_MEMORY : spi_databus_memory + port map( + CLK_IN => clk_100_i, + RESET_IN => reset_i, + -- Slave bus + BUS_ADDR_IN => spimem_addr, + BUS_READ_IN => spimem_read_en, + BUS_WRITE_IN => spimem_write_en, + BUS_ACK_OUT => spimem_ack, + BUS_DATA_IN => spimem_data_in, + BUS_DATA_OUT => spimem_data_out, + -- state machine connections + BRAM_ADDR_IN => spi_bram_addr, + BRAM_WR_D_OUT => spi_bram_wr_d, + BRAM_RD_D_IN => spi_bram_rd_d, + BRAM_WE_IN => spi_bram_we, + -- Status lines + STAT => open + ); + +--------------------------------------------------------------------------- +-- Reboot FPGA +--------------------------------------------------------------------------- + THE_FPGA_REBOOT : fpga_reboot + port map( + CLK => clk_100_i, + RESET => reset_i, + DO_REBOOT => common_ctrl_reg(15), + PROGRAMN => PROGRAMN + ); + +--------------------------------------------------------------------------- +-- LED +--------------------------------------------------------------------------- + LED_GREEN <= not med_stat_op(9); + LED_ORANGE <= not med_stat_op(10); + LED_RED <= not INP(0); + LED_YELLOW <= not med_stat_op(11); + +--------------------------------------------------------------------------- +-- Test Connector +--------------------------------------------------------------------------- + +-- TEST_LINE(15 downto 0) <= time_counter(15 downto 0); + --------------------------------------------------------------------------- + -- Test Circuits + --------------------------------------------------------------------------- + process + begin + wait until rising_edge(clk_100_i); + time_counter <= time_counter + 1; + end process; + + ------------------------------------------------------------------------------- + -- TDC + ------------------------------------------------------------------------------- + + THE_TDC : TDC + generic map ( + CHANNEL_NUMBER => 8, -- Number of TDC channels + STATUS_REG_NR => REGIO_NUM_STAT_REGS, + CONTROL_REG_NR => REGIO_NUM_CTRL_REGS) + port map ( + RESET => reset_i, + CLK_TDC => CLK_PCLK_LEFT, -- Clock used for the time measurement + CLK_READOUT => clk_100_i, -- Clock for the readout + REFERENCE_TIME => timing_trg_received_i, -- Reference time input + HIT_IN => INP(6 downto 0), -- Channel start signals + TRG_WIN_PRE => ctrl_reg(42 downto 32), --"00000000000", -- Pre-Trigger window width + TRG_WIN_POST => ctrl_reg(58 downto 48), --"00001100100", -- Post-Trigger window width + -- + -- Trigger signals from handler + TRG_DATA_VALID_IN => trg_data_valid_i, -- trig data valid signal from trbnet + VALID_TIMING_TRG_IN => trg_timing_valid_i, -- valid timing trigger signal from trbnet + VALID_NOTIMING_TRG_IN => trg_notiming_valid_i, -- valid notiming signal from trbnet + INVALID_TRG_IN => trg_invalid_i, -- invalid trigger signal from trbnet + TMGTRG_TIMEOUT_IN => trg_timeout_detected_i, -- timing trigger timeout signal from trbnet + SPIKE_DETECTED_IN => trg_spike_detected_i, + MULTI_TMG_TRG_IN => trg_multiple_trg_i, + SPURIOUS_TRG_IN => trg_spurious_trg_i, + -- + TRG_NUMBER_IN => trg_number_i, -- LVL1 trigger information package + TRG_CODE_IN => trg_code_i, -- + TRG_INFORMATION_IN => trg_information_i, -- + TRG_TYPE_IN => trg_type_i, -- LVL1 trigger information package + -- + --Response to handler + TRG_RELEASE_OUT => fee_trg_release_i, -- trigger release signal + TRG_STATUSBIT_OUT => fee_trg_statusbits_i, -- status information of the tdc + DATA_OUT => fee_data_i, -- tdc data + DATA_WRITE_OUT => fee_data_write_i, -- data valid signal + DATA_FINISHED_OUT => fee_data_finished_i, -- readout finished signal + -- + TDC_DEBUG => stat_reg, + LOGIC_ANALYSER_OUT => TEST_LINE, + CONTROL_REG_IN => ctrl_reg); + +end architecture; diff --git a/tdc_releases/tdc_v0.0/source/up_counter.vhd b/tdc_releases/tdc_v0.0/source/up_counter.vhd new file mode 100644 index 0000000..2096a0f --- /dev/null +++ b/tdc_releases/tdc_v0.0/source/up_counter.vhd @@ -0,0 +1,39 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +entity up_counter is + + generic ( + NUMBER_OF_BITS : positive); + port ( + CLK : in std_logic; + RESET : in std_logic; + COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0); + UP_IN : in std_logic); + +end up_counter; + +architecture up_counter of up_counter is + +signal counter: std_logic_vector (NUMBER_OF_BITS-1 downto 0); + +begin + + COUNTER_PROC : process (CLK, RESET) + begin + if rising_edge(CLK) then + if RESET = '1' then + counter <= (others => '0'); + elsif UP_IN = '1' then + counter <= counter + 1; + else + counter <= counter; + end if; + end if; + end process COUNTER_PROC; + + COUNT_OUT <= counter; + +end up_counter; -- 2.43.0