From 02f97269492ac3228659be1aaa706217a29945c5 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Mon, 10 Feb 2025 16:41:15 +0100 Subject: [PATCH] add missing fd broadcast to hub --- trb_net16_hub_base.vhd | 1 + trb_net_components.vhd | 2 ++ 2 files changed, 3 insertions(+) diff --git a/trb_net16_hub_base.vhd b/trb_net16_hub_base.vhd index 90d256a..4a53185 100644 --- a/trb_net16_hub_base.vhd +++ b/trb_net16_hub_base.vhd @@ -744,6 +744,7 @@ MED_DATA_OUT <= buf_MED_DATA_OUT; -- APL Control port APL_RUN_OUT => HC_RUN_OUT, APL_MY_ADDRESS_IN => HUB_ADDRESS, + APL_MY_BROADCAST_IN => CONF_ADDRESSES(23 downto 16), APL_SEQNR_OUT => HC_SEQNR_OUT(7 downto 0), APL_LENGTH_IN => (others => '1'), -- Internal direction port diff --git a/trb_net_components.vhd b/trb_net_components.vhd index 071ccd2..f4d735c 100644 --- a/trb_net_components.vhd +++ b/trb_net_components.vhd @@ -3709,6 +3709,8 @@ component common_i2c is RESET : in std_logic; SDA : inout std_logic; SCL : inout std_logic; + SDA_BUS : inout std_logic_vector(15 downto 0); -- bit 0 not used, is normal link + SCL_BUS : out std_logic_vector(15 downto 0); -- bit 0 not used, is normal link BUS_RX : in CTRLBUS_RX; BUS_TX : out CTRLBUS_TX ); -- 2.43.0