From a1ccbb96af5c0771829373ea7b7320538a549141 Mon Sep 17 00:00:00 2001 From: Cahit Date: Fri, 20 Mar 2015 16:35:39 +0100 Subject: [PATCH] pll IP moved to dirich --- lattice/ecp5/PLL/PLL.sbx | 661 ------------------ lattice/ecp5/PLL/archv/pll_in200_out100.zip | Bin 136545 -> 0 bytes lattice/ecp5/PLL/pll_in200_out100/licbug.txt | 16 - .../PLL/pll_in200_out100/pll_in200_out100.cmd | 18 - .../PLL/pll_in200_out100/pll_in200_out100.edn | 234 ------- .../PLL/pll_in200_out100/pll_in200_out100.fdc | 2 - .../PLL/pll_in200_out100/pll_in200_out100.lpc | 93 --- .../PLL/pll_in200_out100/pll_in200_out100.ngd | Bin 5580 -> 0 bytes .../PLL/pll_in200_out100/pll_in200_out100.ngo | Bin 3532 -> 0 bytes .../PLL/pll_in200_out100/pll_in200_out100.vhd | 86 --- .../pll_in200_out100/pll_in200_out100_ngd.asd | 1 - .../pll_in200_out100/syn_results/.recordref | 0 .../syn_results/dm/layer0.xdm | 177 ----- .../pll_in200_out100/syn_results/licbug.txt | 1 - .../syn_results/pll_in200_out100.areasrr | 16 - .../syn_results/pll_in200_out100.edn | 236 ------- .../syn_results/pll_in200_out100.fse | 0 .../syn_results/pll_in200_out100.htm | 9 - .../syn_results/pll_in200_out100.prj | 46 -- .../syn_results/pll_in200_out100.srd | Bin 5517 -> 0 bytes .../syn_results/pll_in200_out100.srf | 373 ---------- .../syn_results/pll_in200_out100.srm | Bin 6222 -> 0 bytes .../syn_results/pll_in200_out100.srr | 373 ---------- .../syn_results/pll_in200_out100.srs | Bin 5590 -> 0 bytes .../syn_results/pll_in200_out100.vhm | 139 ---- .../syn_results/pll_in200_out100.vm | 120 ---- .../syn_results/pll_in200_out100_synplify.lpf | 20 - .../syn_results/run_options.txt | 67 -- .../syn_results/scratchproject.prs | 65 -- .../syn_results/synlog/map.srr.rptmap | 1 - .../synlog/pll_in200_out100_compiler.srr | 47 -- .../pll_in200_out100_compiler.srr.rptmap | 1 - .../synlog/pll_in200_out100_fpga_mapper.srr | 257 ------- .../pll_in200_out100_fpga_mapper.srr_Min | 116 --- .../synlog/pll_in200_out100_fpga_mapper.szr | Bin 6303 -> 0 bytes .../synlog/pll_in200_out100_multi_srs_gen.srr | 9 - .../synlog/pll_in200_out100_premap.srr | 49 -- .../synlog/pll_in200_out100_premap.szr | Bin 2174 -> 0 bytes .../syn_results/synlog/pre_map.srr.rptmap | 1 - .../pll_in200_out100_compiler_notes.txt | 11 - .../pll_in200_out100_compiler_runstatus.xml | 41 -- .../pll_in200_out100_compiler_warnings.txt | 2 - ...l_in200_out100_fpga_mapper_area_report.xml | 26 - .../pll_in200_out100_fpga_mapper_errors.txt | 0 .../pll_in200_out100_fpga_mapper_notes.txt | 11 - ...ll_in200_out100_fpga_mapper_opt_report.xml | 14 - ...pll_in200_out100_fpga_mapper_runstatus.xml | 46 -- ...in200_out100_fpga_mapper_timing_report.xml | 23 - .../pll_in200_out100_fpga_mapper_warnings.txt | 1 - .../report/pll_in200_out100_premap_errors.txt | 0 .../report/pll_in200_out100_premap_notes.txt | 2 - .../pll_in200_out100_premap_runstatus.xml | 46 -- .../pll_in200_out100_premap_warnings.txt | 0 .../syn_results/syntmp/closed.png | Bin 3672 -> 0 bytes .../syn_results/syntmp/namekey.txt | 0 .../syn_results/syntmp/open.png | Bin 452 -> 0 bytes .../syn_results/syntmp/pll_in200_out100.plg | 14 - .../syntmp/pll_in200_out100_srr.htm | 378 ---------- .../syntmp/pll_in200_out100_toc.htm | 44 -- .../syn_results/syntmp/run_option.xml | 22 - .../syn_results/syntmp/statusReport.html | 110 --- .../syn_results/synwork/.cckTransfer | Bin 39 -> 0 bytes .../syn_results/synwork/_mh_info | 1 - .../syn_results/synwork/layer0.fdep | 28 - .../syn_results/synwork/layer0.fdeporig | 24 - .../syn_results/synwork/layer0.srs | Bin 6473 -> 0 bytes .../syn_results/synwork/layer0.tlg | 11 - .../synwork/pll_in200_out100_comp.fdep | 21 - .../synwork/pll_in200_out100_comp.srs | Bin 5358 -> 0 bytes .../synwork/pll_in200_out100_m.srm | Bin 6222 -> 0 bytes .../synwork/pll_in200_out100_mult.srs | Bin 5590 -> 0 bytes .../pll_in200_out100_mult_srs/skeleton.srs | Bin 574 -> 0 bytes .../synwork/pll_in200_out100_prem.fse | 0 .../synwork/pll_in200_out100_prem.srd | Bin 4925 -> 0 bytes .../synwork/pll_in200_out100_s.srm | Bin 6097 -> 0 bytes .../synwork/pll_in200_out100_s.srs | Bin 5358 -> 0 bytes 76 files changed, 4110 deletions(-) delete mode 100644 lattice/ecp5/PLL/PLL.sbx delete mode 100644 lattice/ecp5/PLL/archv/pll_in200_out100.zip delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/licbug.txt delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.cmd delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.edn delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.lpc delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.ngd delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.ngo delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100_ngd.asd delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/.recordref delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/dm/layer0.xdm delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/licbug.txt delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.areasrr delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.edn delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.fse delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.htm delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.prj delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srd delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srf delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srm delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srr delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srs delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vhm delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vm delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_synplify.lpf delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/run_options.txt delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/scratchproject.prs delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/map.srr.rptmap delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr.rptmap delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr_Min delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.szr delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_multi_srs_gen.srr delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.srr delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.szr delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pre_map.srr.rptmap delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_notes.txt delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_runstatus.xml delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_warnings.txt delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_area_report.xml delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_errors.txt delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_notes.txt delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_opt_report.xml delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_runstatus.xml delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_timing_report.xml delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_warnings.txt delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_errors.txt delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_notes.txt delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_runstatus.xml delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_warnings.txt delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/closed.png delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/namekey.txt delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/open.png delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/pll_in200_out100.plg delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/pll_in200_out100_srr.htm delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/pll_in200_out100_toc.htm delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/run_option.xml delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/statusReport.html delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/.cckTransfer delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/_mh_info delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdep delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdeporig delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.srs delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.tlg delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_comp.fdep delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_comp.srs delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_m.srm delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_mult.srs delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_mult_srs/skeleton.srs delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_prem.fse delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_prem.srd delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_s.srm delete mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_s.srs diff --git a/lattice/ecp5/PLL/PLL.sbx b/lattice/ecp5/PLL/PLL.sbx deleted file mode 100644 index 5cdb2e4..0000000 --- a/lattice/ecp5/PLL/PLL.sbx +++ /dev/null @@ -1,661 +0,0 @@ - - - - LATTICE - LOCAL - PLL - 1.0 - - - Diamond_Synthesis - synthesis - - - Diamond_Simulation - simulation - - - - - - - - pll_in200_out100_CLKI - pll_in200_out100_CLKI - - in - - - - pll_in200_out100.CLKI - - - - - pll_in200_out100_CLKOP - pll_in200_out100_CLKOP - - out - - - - pll_in200_out100.CLKOP - - - - - pll_in200_out100_CLKOS - pll_in200_out100_CLKOS - - out - - - - pll_in200_out100.CLKOS - - - - - pll_in200_out100_LOCK - pll_in200_out100_LOCK - - out - - - - pll_in200_out100.LOCK - - - - - - - LFE5UM-85F-8MG285C - synplify - 2015-03-17.15:29:30 - 2015-03-17.15:44:52 - 3.4.0.80 - VHDL - - true - false - false - true - false - false - false - false - false - false - false - - - - - - - - LATTICE - LOCAL - PLL - 1.0 - - - pll_in200_out100 - - Lattice Semiconductor Corporation - LEGACY - PLL - 5.7 - - - Diamond_Simulation - simulation - - ./pll_in200_out100/pll_in200_out100.vhd - vhdlSource - - - - Diamond_Synthesis - synthesis - - ./pll_in200_out100/pll_in200_out100.vhd - vhdlSource - - - - - - Configuration - none - ${sbp_path}/${instance}/generate_core.tcl - CONFIG - - - CreateNGD - none - ${sbp_path}/${instance}/generate_ngd.tcl - CONFIG - - - Generation - none - ${sbp_path}/${instance}/generate_core.tcl - GENERATE - - - - - - - CLKI - CLKI - - in - - - - true - - - - - CLKOP - CLKOP - - out - - - - CLKOS - CLKOS - - out - - - - LOCK - LOCK - - out - - - - - - synplify - 2015-03-17.15:44:52 - - false - false - false - false - false - false - false - false - false - false - LPM - PRIMARY - PRIMARY - false - false - IO:2;PLL:1;DLL:0 - - - - CLKI - IO - - Inst1_IB - true - true - false - IO - true - - - - CLKI~ - IO - - true - false - IO - true - - - - pll_in200_out100 - GXPLL - - true - false - GXPLL - - - - - - - Family - ecp5um - - - OperatingCondition - COM - - - Package - CSFBGA285 - - - PartName - LFE5UM-85F-8MG285C - - - PartType - LFE5UM-85F - - - SpeedGrade - 8 - - - Status - C - - - - CoreName - PLL - - - CoreRevision - 5.7 - - - CoreStatus - Demo - - - CoreType - LPM - - - Date - 03/17/2015 - - - ModuleName - pll_in200_out100 - - - ParameterFileVersion - 1.0 - - - SourceFormat - VHDL - - - Time - 15:42:59 - - - VendorName - Lattice Semiconductor Corporation - - - - CLKFB_DIV - 1 - - - CLKI_DIV - 2 - - - CLKI_FREQ - 200 - - - CLKOP_ACTUAL_FREQ - 100.000000 - - - CLKOP_APHASE - 0.00 - - - CLKOP_DIV - 6 - - - CLKOP_DPHASE - 0 - - - CLKOP_FREQ - 100.00 - - - CLKOP_MUXA - DISABLED - - - CLKOP_TOL - 0.0 - - - CLKOP_TRIM_DELAY - 0 - - - CLKOP_TRIM_POL - Rising - - - CLKOS2_ACTUAL_FREQ - - - - CLKOS2_APHASE - 0.00 - - - CLKOS2_DIV - 1 - - - CLKOS2_DPHASE - 0 - - - CLKOS2_Enable - DISABLED - - - CLKOS2_FREQ - 100.00 - - - CLKOS2_MUXC - DISABLED - - - CLKOS2_TOL - 0.0 - - - CLKOS2_TRIM_DELAY - 0 - - - CLKOS2_TRIM_POL - Rising - - - CLKOS3_ACTUAL_FREQ - - - - CLKOS3_APHASE - 0.00 - - - CLKOS3_DIV - 1 - - - CLKOS3_DPHASE - 0 - - - CLKOS3_Enable - DISABLED - - - CLKOS3_FREQ - 100.00 - - - CLKOS3_MUXD - DISABLED - - - CLKOS3_TOL - 0.0 - - - CLKOS3_TRIM_DELAY - 0 - - - CLKOS3_TRIM_POL - Rising - - - CLKOS_ACTUAL_FREQ - 200.000000 - - - CLKOS_APHASE - 0.00 - - - CLKOS_DIV - 1 - - - CLKOS_DPHASE - 0 - - - CLKOS_Enable - ENABLED - - - CLKOS_FREQ - 100.00 - - - CLKOS_MUXB - ENABLED - - - CLKOS_TOL - 0.0 - - - CLKOS_TRIM_DELAY - 0 - - - CLKOS_TRIM_POL - Rising - - - CLKSEL_ENA - DISABLED - - - DPHASE_SOURCE - STATIC - - - Destination - Synplicity - - - EDIF - 1 - - - ENABLE_CLKOP - DISABLED - - - ENABLE_CLKOS - DISABLED - - - ENABLE_CLKOS2 - DISABLED - - - ENABLE_CLKOS3 - DISABLED - - - ENABLE_HBW - DISABLED - - - Expression - None - - - FEEDBK_PATH - CLKOP - - - FRACN_DIV - - - - FRACN_ENABLE - DISABLED - - - IO - 0 - - - IOBUF - LVDS - - - Order - None - - - PLLRST_ENA - DISABLED - - - PLL_BW - 8.185 - - - PLL_LOCK_MODE - ENABLED - - - PLL_LOCK_STK - DISABLED - - - PLL_USE_SMI - DISABLED - - - REFERENCE - 1 - - - STDBY_ENABLE - DISABLED - - - VCO_RATE - 600.000 - - - VHDL - 1 - - - Verilog - 0 - - - - cmd_line - -w -n pll_in200_out100 -lang vhdl -synth synplify -arch sa5p00m -type pll -fin 200 -clkibuf LVDS -fclkop 100.00 -fclkop_tol 0.0 -bypass_divs -phase_cntl STATIC -lock -fb_mode 1 - - - - - GXPLL - 1 - - true - true - GXPLL - - pll_in200_out100 - - - IO - 1 - - true - true - IO - - CLKI - CLKI~ - - - pll - 0 - - true - false - PLL - - CLKI - CLKI~ - pll_in200_out100 - - - - - - - - - pll_in200_out100_CLKI - pll_in200_out100_CLKI - - - sys_yes - - - - - - - pll_in200_out100_CLKOP - pll_in200_out100_CLKOP - - - - - pll_in200_out100_CLKOS - pll_in200_out100_CLKOS - - - - - pll_in200_out100_LOCK - pll_in200_out100_LOCK - - - - - - diff --git a/lattice/ecp5/PLL/archv/pll_in200_out100.zip b/lattice/ecp5/PLL/archv/pll_in200_out100.zip deleted file mode 100644 index c8b86e5fb849eaae15486cc04382dab9d5363983..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 136545 zcmb5V1CV9WvMpM+ZQHhOTV1wYU1pb!F00G7ZQHhOzv}zqo^#&$H{Lsc#m0GI^_03iClYj17+!@`z{f#HXpi!&nw z1HGAvt%;+7v&j!5J4X{bXCvz<6-B!_9u#j|pMilfVdWZDY$G8d(N`7_p)?WDc9UF3 zcf3n&1QgUsJ)Wk? z0claCP#kRbFl5@fizy*vH&IV;i9Xqx?5>DJ)6qJ$Gc(tRL0 zt3@kiZK>F27SB5ixSj0J14RoP71@W_9MPbUrK9|JS2O_wK(^TMZ%KnU+fA6u7&XrD zab;Uhsbw8_5?XZowvt7TfOe=7!Aji8-hq>&P67ew>?z>R6RZl5_;`BjG&dCq zEm*1d(C60CpdUI_8jQ;7NTDno$5eih6F%vrfui!3Bk;bK*|U`n`~G9EeoVA;TRitn z0b`2;%Yz8ha`5G!yQY4cNIoGDO zeyi>T?djKUX{H&~niS6_=~HV!*`Xo4v8{rUud3+SD%Q+`4I4RDg$InjGm@Hbpwhes zFl#NjawyMkZ`Qb0P2GNC>+23ng8 zz*Or&MrD*R$kc2)m&2aFbTB$8 z9@}hv*dA{VheXE?<*ary`v;gO1w)2VEmZhPhEKN3>;3uqWUTEt$fL>YYirg4N&dBK zH*-Xhcj=&TT}fl@BzG-|Ah$Fe zGGQpk5)%Vs??~b6)>z%J>(aey z;`=E1LA5gF5qb9+Q2UuyYEnc@5;KE4Q-^bwI0n>VH{*FkGWG&=Br)Vc;+iaXB!U=a zs7N&b0BZ&sost-rb)%t-ORu=(4mb{JlD1F5B7d}Z=H#i~H$Cx;HL3mFqwMUhnracg znGU(ALl$#yH!GaYpI>Hk;0j^nu-)`v&Z4j`JT+KFDgCVFK?#et4c;tKSp}4Gfbubl zxEE_FxvRck{2mFgJ;$&PU{#7>dc1Z&XAef6a+oJrOEo=XO;&F0A|~lfi3#;PL>k*) zqH;Kn^*Wr75_98S`>nY)3c4^c30tC0_%&2GldQ;kC{MD_Z8?><6kG>&DsT|nQU#EH z+<9aMRfB$}jy>MVD$5{jYF{1Sgm-= zkz{JZRxS$w5`yrGJwvE_2|ak=_B6bg>0F6h<{W5U4GCI)?J{xnEz{mK5>am<@NPulYz7JvPR!DxdD(m`5CGY+4D)ShF5{CQh)AOM^DLZd8fv!FYYySQ06FFl39~sY&`>q7y=M&YxaJ zhO{-sXxkMJowV^`BeNR*WPsKv>7DMuZf-I_Kam9Un3kXhaf}~ujOu(gTL>->-cbBO zwCv(kdjF(r*Murjn)Pq_K*}4zh$R@5NOGG%ACL@HZCjfImAT9c* zFk?aNcg1g!)OdD^forDmBog1GpaDBN|4dt>ZWs=M6euVgELbjAquwx+H*-PI8#w|c zaAoMlM6*N`6EUgD^Dt-}8Tj3dKIi6K22TvNs}e^k^U&_m$<+1Xwu42tkQ^gEqJ_dh zN)^*XSFOzSsnKq#l4KWFkq#|yv7zli;X z1`X=MSVOALrMD@YMTrT1rR11&RYzW(TB(5Jppj0Lov!aRbD6!=oLXiekV76sFa?+D zm+j!vUyUtD)jzOt7JkA16K71mPk2AfE*{};e98MZ?EeX8tSyWTUCij5-JQo|$H$c= zJby!LT1SnR#BwV1udK?Yyb;rVf7EYF$9pk{b7azfTr5Vkw950 z5vi6ilA!BR0si^yzwF}D5`QTW2mk;P6aWDCe|ol!li3eb3u_ZPYdfPcm(vSn<*sP-O17}5YzbG)WUrtZWx=7bjW=eK(}B$+(aCxgbqw~VaIxs9=VAD+`) ze~Z3??x3S66jZs%SHYeOO1@to3~*ijnMp>?BdqaGB!LE9>^M3(=;VQL(~1j%C65p(lH!(Rk4vRj#vPN_d`(X{*J55KzJxtx91sw?9v!A1XnGz3}FiZ@JYG znwI>*b0)rC+_1W@*dwaqB#5TmN+fZm z)nLkxgG^cg)h7X0+An3Fo59P~5l*>Ou!lxur{PJC`jyxK8|66TNNQXVbZ1qeRuyxjCScn`{zZtdJH}4B2q) zs1Y6cTvaR|&SnXLIel^5b?c(N&lza`|o6#&dA2NAx=;ph!G)p>YAEu zy&=LFxmFwz4vd)4-ByZwqr!EK%SQd>X);`p6z74%Zgbo#p=pJ0lODaT6=KnG&vrEa zEu+wc6EA9$rxRo8nm|b#9eME;?)SK?Sfk(<43lVMo3{Pcv#lq$5#$qdBYK<+9wAd~ zu3`E^TIQAM%{B*Tt*nF4FRrTE;0PGroSM#^RgLY%{`)3^qh6P-QvYIn+rdBX@*Nhs zB;x|L_jF_^g9XH~$hhy!v1*P0;hI)=pDqZA#9=UmUqCI1glQl=IeZ^EGAN!{j9Kl7 z447APvKNX#c0`N8Xly=G5LK8NJo5t%1!&QW${3lsETw`%5-Kf+o>ad9_^JGjb*qvW z=K2=ewP4{v0S2&9!o8f32J!#TMMghs8N2$XL4M!(@SiQRiLvd3rgTak2g>GC)vyC8 zG-yNVPt!0zRZdYz;A7_pGH^!ARs;?|wYMws9`Q2LX-KEjdT3eO=Sw$y4;@47sSzL& zgHVZibrcl4+pIhrZ!_QEhZ3CX+~#dm&UUaf}K|MJ%!xU#|vlCO!rKuuqfkVN1fKU)a2eR}6n zSWS<(L7KWxYa(EYg~0Z*Gp*71aW3dm6>e|WnB8?d4QPd^|_x?uVA59CSU)fILK;xXfh zy>n)ZHB(8&>8G4D#vysCwMn~eD8dKPE$mV?W+SPamv`%SWI={|!t6}Lr5WMS5Ou(y zcN>ZnNq>MT;wl6rhoWvtk4eF0(?8gB>~sG zxn91OIsQ3YMTm63q5BNT7q&bfK8Is|$jpPRbd&LiMc+ESr-t{2Wgm1!zSOEY zWCavdB4G|QK2Htds2`Hc|n(q1rzQJVW0T3|~+ zbti!>0Yk}{cO97?=P0W1!+<`p?QaWt zr~r;-R2$1H6;{Vh3)!TShhA$$q!#XXK^cH&4&)=N6s13<-`7GGx5_c~9nDkBt&oXQ zide$hd4qlV{$S6t8#SfZtg)UyRg{AIFE@ti(de#wO!?DGu**C&q(ZGRjiE%Uw9-f7 zp8?G*Bek`V-WSj8pqz#T>XB{T-v)D5{795-3YFHbhtjTJ1zns^jx%~^&b6bi8V)hK zzX|16UZl4bUp{iv#a3%(D^K@Y$hr`3!KoW_erU!m<0vV#+|c>#!VQ!~0%L7el*Jjs z@HDafq!Um7P9{U7tyIS-1AQ-+=qRunX4mH{{lK=tG}@rv6v7IE!x`wy1Jpo%8e_a( zW3H4wsf5tAw^r&Bd%c;wipvo3bg2zM`KaAoAadvkVdB0;YfYm0^raWNCheYpGN?JI|&wYThj-qX$qrpdjy< zkhW8wi3wTfEYtH~j|$0P>r-;nXe^N)!ftxRF*C;8=yc%T;7r^Y!u_P9o{ZVWXD(EE zz5NGb0ssVlA4`_*Ysr7f)L;A05YyDyh?tm|_xmDHb~bQyCJ?r>HMKBv`POwT>};W+ z{u_}2{!0@2cKeU(Kl5*CZv5Xa{#(Av=`RaR3-6X5A>uc=%+Krd*EzT^Hjl9w)ng z&_Q}AxIE2vAlgx>o|~jJQwwBFRSkHgD+GhDYKr{&d1O1;LY*3-6#{KrLHP+<55~E zQ17FSnC_w)rT7~7P>M-JQbwb#;w-I=XQXRB5cvU0$za9fo|-x3bV$K*Su z)T`9Fv4xFdEv}NkF%hi(kkdf6F!xMyCy@x8i!z#K4?Mt^mDcKm9JQJI;c zpC`HzrEp#)f`%jvyYPpSaqVb)Gl+bLM)dE7xICqzNSft-NQZ~WX7)>qGdYlS4(YV&i-J>~xJJXKcqJ};HGmQS;yK_>V_XRIX}a=Boi!2b*>v+t-c z;!}y!fdl{;NBvJj>RUF7(m0Dz9zyNfYxB#6KMy5|5+Nyzn^mz~G&Pu02(z@=I@>6F zpnh6ivleY>i!fL*#lPfp=Z6W3RnPwbra~=IqRv$Xk<5XWEg(gZ;8$@eF1-aTu|+Bg zUxhEs2~s*~JjzIIaXWWWq497#beqiJbkcJg9D5L}6JMJzn>nIRV~_Rw%59n1v?O9I zVC?YYD3gOGmlVNtr zD70bLWZH;NV$M`z0;ka<4G2JY73&V<5 z0esQ2XbwGcazJ_|jPw!7PhAOfV0c(>=dRO6zJzFM5x&}v#;u=N?zLsl#I0v}yxwtw z7$1L(cH)vU6J7zj6loVesgFQOnF6CxkyX-f&m5E+k$`P8Y7;y=?vl#i~VWjFyIw=u-k*NRTt-^1d9<3>)j+kv@THa1wGedSX+|8)pnywMP zQXWhu=;Fo-3WVe!9Ym6lPWuO3w{M)xVNa?KbP^RtrIIMsHx`FQ(Jsnn*(K!7e7R=U zx%8&nBE%#gFC2Y>=9E!SHBQ#=95U9L&|=w?KjWD!i$g~StD8X(CfISLI)tXLenaQw z1!bH6(hu3!i8T5&I^W8r+rb7LuYLrY;;sxd%EpUDY`uAg#3u2IU8hg3t>q6h1mPV# zPkWYpi`pimP2vmC$T%D(ob{vGDh+qyBx**M9!hV?0L<&SqZDZUlyg#Z_as)tSCUiC zOj$l^)Ps16w|>!J-V>JN>IAGXD*?{DALi`bXbKZ0`Uc1}%1A%O^1haPvIR(Md4P0S zKij%cu0dHWm62*{JW}&jW_%JJ$q0&`pOY#(ix>xftd9^{A5HOX$E+y3Zlet|eB9e| z6NN~*3f!@i$d#Kl3nrFzGO$M=k#jT><}ia^F%(qfQ5dyR`c%?{*Ux2Ip=IGj^yyLj ze9%@V6A5BP3^XpMe4o38$$)Sy&-HNi8=uopBj(J#hUJVvlC4x=AX$(-;rASAr~SV&;2NQ`m)q>!YMP_2Z|G z?&tzHseHIUUI#ixdVDsg3?~o!SBa$`$l;bNH&VCxXUgh|@eY4f5FK8azD{tI)qQ+z zzFpNE6h(Aj-jDm2912x>X^Uh8SEaPzQPlF6^`mX@$dD4Io40dR$#MORPONbWtcc6} zOY)OHBB<_B9GwwhzDX$SQ2E(N5KlgC0ek=*ay}P|xhY{^m?%QTi8owP*R?;-VC-J% z#dqFfF{~7yE02WRf9}cXRN|jHYYW4jIv?BtqG%S=aW&AzZgMrSc`*=sY_}c;0wb-+ zK_EZX70!KtmsHLLgM$hbr6VzE6`jG}W`v!KnhwiSsLM3t$0-LLtE_ZC$@F&)ijeGW zhvKwTfDe&QNpf;ffulf`Igip*-a(eU8+aIp5@Il<#>#~7C5%)m@B5=W-!lK!D>??W zJ9Z8Wuybj(>aOEj@Vw5GTfpThpY!(j87P!48tz64Pg<}O$CtBipH%h9FKkBf6EE&% z2=Bx$+v+UwxS|772^W_0vO9TOW4X#Kl=`zc*ruY+Xz90FeFL{V3AdaDcRR?XpCsqy z3}uev%l|Sg#O>hw3-pc405@@U&Ht*tespE@g1=Y|@`h_LEb7PSIq^hWbum(maT*LVS_b$rPWeR>_=q<^~qEg9BCG-L!Hd-!L zspXjlkjX8(!QTF>%&4$#$Q!s&Q9h0N_N|8-xOmY@VHQ0^PGXI221Y| zXw$?MNT3FlrA865@<=}g>x*k27Ega%^XQc}4cdolQC@zm4}N)-dUREQs+5+!Z#@J~ zdFN5$7v7}APa2O&vqCZ2Vqm~b`h0TmnIzIKMQCG2|3X7RhZFN}T1RN&Z{29qL7}B> zooH*PxfHu^eew~~sXaEm`d|)c?x02-FxgB>b8j%P%!>j>_f!`bISU0m)vbs~!Nlr* z%aLEV-}Kn#X&SfFKzk_$+k$|nK>IQd8o)-hjiPg4kj0@3b@k7brx2W!h1k_1QrQy% z6}xDhj0zLh%g>1zh)!EjH+Zfxh=UiW=&mQ=3&c>Kos410rWJ`%(FKWJL+dd6dblxj z@2h(@t4WU4j3|iJo0lpMa;KO8yLDC3K1fPV&h8GM+I7RCyu?42`mwP^_}8Cu6eM{o)saC+FNF%>Vfgxjz~y(_8+>K@Tp!rgOIWWZ5(*yCWL4Q zg4Q2DL@eaXneY@8y$%fByC+EpK7TcZma9rfx&9cB-v&f%U^2pZKoOnQU3oO`E82U6 zNHKn&s5=E#dZcYa;qU*Vvj3%LoX5C`ub|)b4E8^#XLc^?vY|MlD3@uQ z?^p%E4vfXd5MToc7rL6h5OFUjSsSLUW~-N}6P3e{gHd7r=3oiyJTL)I9Ujwt6oP_| z7(_^M2uq=4c>OaS?g*H6wk&5L^x+_0TVQ`Nsi$6bl>e*QHZ?@ES zDzNW=)XQZ@%XRSCq|Kz>9TmzbBX80JdvSPL7n-D1&2;|g-gJ=?WYp+?!n>k=l$qnc zKbHwUuF6$T1a4cd&JWpJF~~oDx!=LUH(a@sTUmUT(e>+S`54ybQrY9c^_qS=18&F; z^A&Xme~X32RmE3Hd+r=%QfuOiLTbZ^*CA# z>s$o;xP3uZT&ds!neR#Sj4M7cF--?`2sEO&jv8)TuF6&P696|Cj=hoK*x&IRD-VAt)_8!>{b*=O$Gps z73B6}gqr?T{H4w>=yJYUICyyT{ASVTz6(Vh zya~s|xLI}Ms7Y-?8#Ii{39UHPMB*w8C9!t)73QTXf>XKW;sp9l7Ah%@h7cINW&2T8 z5xZbm!Hhzpq9BTHWL%m12aYqh)40;8zQS20O3`8T=N1)6B_e7Z&*Iz7Lqq#f9~E0L ze_dh4afjHF<8|)of-#8R2gt+9fw1mnZ_oxo z?{oHfFo&a-rVU3SRIR7z?0IXgTKGfdYD5mbSq0CJHoj*U93MOGU}|d$|FuP>>T-dn zsz@NFu;obWx&GcuT0AjHKU>szTF~W>qU^XhOIOnclA(_cscDOW;sa)d-5c*fn6&2V zzVV!}*@;G`kcdn_!QIX(xD}H>5_vZAe4umNElt~zcuVX1+jL~8KcBYLUI{Uq(;4iE z=+bF75=m>+^mMA#RvzKNuPk2g&8!hTYgUw9a|*#T_Y;sjvtd2qI>y4hLvD9F#t4d` z0i2wlcnogwPnsvtCq%xMas~oebZ2 zXpmWD$(0>VL)=u)L`hU7ZI=$DaZRYf-XJVz4d2P?z+O9!iqpj&xL(DGvx(U`i!sFL zCe|>6IgIJ4SA_9SjS`f5Xu0OQfHAPXJGvk&2RN6akJvdx-836SSOk3(C5*7SZrOr4 zS=-0(T9IP(XgX5qD!+GLKXm7NZ+=L~?j~%P^5IzG^x%c2cR=>JT_aBSU6g&QQfSrP z8yz@`8D=ZN>Z1y7ZwOu*^Sd3vilC?W_569uBbIS(Sw_73uwdZ8m$?aA^Bn(mbF;RU zn-YYM@kN|P7CmsVr_+%&Z?4In*z1!2wd2V@`~&U;AYv^?`fS%R%}eWo<)VI^C9bz^ zU2;x-OafWnic_}gy7F8;d{wyu+M~d;s!FFw7$i9gtF|vJ939UWzC#I{aRz2+H2sxCF zktO)Lp(VMF;VEA8-M=V$f6Jt@8e$*cX+6MSBm1_0uPf32`wcoLJ4fgL&rMfz<9|qb z5CDHGSX{{7K{4OeE28g{=|7Y5oE+`{)?wEe5WEL})X3xh4x>#cQMgU!a30oAgMpOU z;%O}BplbXx6U^qj)u2Ogz%&5g*x)&7NpH4vvSH2T=UE7w0(97JUQoRKY>kqOsK|i{EU}bI$jRXtm{N%<$hD@DJJt}SaWs>GLEo|5u-IZo>gVZH&<$cC z>m1CYr;(=Bc3r!2J0GT?n#LIAtmYUc+l(T0LzT#Bub~?_J_yV;nFhw52B=D-jXyBJ zZ-BVb_`(>eQXeJ|@c1vjZ)!KMwg5`mLI8_y&N!b08q`IAl9NVu1mrYUP$!8ayo{0; zGNij8VE)*939wbVv&I_~TRP7%M?FIc_PblTk$1Yil>r>a-bDvA&$! zDB?#T@1>YprNK7#O#rtuZ-w*`miWSrc?mfG3 z6e{?h`03jBpVA6&1-4mQZK5}x1&n>L&S`AzE>T+`Q>mPDs{63MoTOF$kcmHInoXLy z9tlp|l-RT$D$F(3Y`!unPx%hEEf7-F`4uQJYZJEZ1*(7ZuF*vu(4uMY6o_#}d7cx? z2Jrt=2K&3CPcT9}$G*#j7;yjTj{c5{Of_w%QV9f~Cw1o+XC+jT@q_c-G%8S@T%knJ zc;1y1%I+|OKp0Tr$=9o&&c0jhGV*TB@gPr2t)P$x4v9`0b3vwjMbr?D z+m>#1l*e&h1|v|Nx>?eK{qoz^_Iwy{KG=^WkhUwOp_JXc6StwHR5~!7`MRc*DMs0M z6n}0@kz(V-KRb9*@_D>vmO61n!f?bPwQj2+ykdR)69uq_NTkI22n|5hLxf`u`8E5- z=BU6Zx5(Zhui2yWhiD~y(01p$B!2b5N_eF!^?;u+O6ij{8@%Iy4iIZRwMLj`)S@W3 z5M(SQ+M@wu2|zWLWFHx$cI*=r$ot4i{=UZ0u{%x0>V$yKjzf)w)mBunZ-x@|F~p|& zHPAY2%x&~F9eY$;WEDi(?__GmG!?+iDZt2Sj5%R~Rft||qj|fQd5H-o)J5KVj*4RY z0h4%@qf>ljR+_i{nHZr*A0040)u@jZ!gz_wNh=5jR!~ExxpVvaRtanImY2d{cCro99tPQlC%CS+F+@zUR5A?&*urZ zt6|=DvsHeOxBGtd+MY8QRhk3O$bTe9s9QtkV;{qh_wq_A_-B_Q91ry|##7g7pn--+ zrzlQL^Dsp-M1|Nd7DlB5xa<48pgEcwqv8C9XYCn=$C}Y<{Zjiy*P-7=H1nAR=Re07 z5I?il_$~*D2o@M|A5%yr^s@5c0T|B!r24HgCP;7atbswuBH~@V!>jySjNU!WXzIZY z)1j4YPpI|Zp!M%K7U!+=HaMeeuA>8KJmqluSat1A+KE-KFqFGx94ir=LbjLT&1q^& z=&|H|-@_lXty%{}q5o zA-_+H=5e%`ZP6ucu}Mvp#UtHmpejmvnO|l8 z9}5B-PqBxLx8<_YLx0Y&?9(XoHPTv10n~BLHQq6`jvM7?Q@P6inXFz%$A$X9gw~+7 zTXI8CRC>@uz~C-&b=qrKW>eTXtfO8{2VO&(!UXE$ zvqJ7n&5%ugP^X;sJnY5$+&W8(#+2mH zKCkej+UJ=q?N5TG&u@=+&Uc&hu)o$fKjzCMwjwB&{CV8D#E-RPmtJkgyM(eA?lpzC z4Ak@8hFu;vTI;Me>sXDK{S(zTocVS=LOQ1El9e|Y zeQ=JFMb{5BlZ$^o8RWX0FOx-&Z9mCc*8>+@UlOhz6O%rb2Nn;k=`Z#^R9r*})d5Lc z%rCA zshBSZE9%$L-VEsEm}O%7T7JTtaJTj++`@op(i~&|WoQz^+z1Tx1hh<|5cr*aFSPhg z#Mf#x!^(S2&pd}VKo#rg)L3mVlVdJ0TL6B&qA7jwm2lUf?2$n&x}3iEy*|D`Ie*B)jvR(!eZ?ycl2)Z;Q|)A7 zR5gjzBQfsmi%}i3i2~)yjGaU#af+z1S8A=}e&wT%;CT?cP~mZ^KRKdf)7Y6=Aw#E9J?XgS%Fq2 zKkSfy)$mg3u+lAY2Js>`*xU8o9!9vC7T2S8$7)ppyyP6;>*ztub9Gi+bpKyVRnU!<@cqo6Xn5u5i z^0_qQYX*C0$i?py1>2CbNIqmn zH&;4Q`G%8gD@I1skIj+oc-A5uk|)%ef!gbTs4In;y;F_#WJ=&!h}Ll)eBDVF@YYNH z(crr!vCLB%0$Eewg>oCW*d-)X%rtg7ijbqL z{Oz_ja5B~wG;+3ZHBol4xBuqa1ajgcy8lM5jo-_J-oIUTeYc2F{YS2yZS1Y-zWGR0 ztfF)u14{7L9rffMSR;w{8HuQ18@LHJa;Yb*Cbn3PtW+A`aTR;b?zF#qHr&yAy4MpK zBwWlHE!SFPMF3l1%AnOr11kq*e#U)i&kd~V%d34%Lvki7tm%@bC*M=ptE zbvj;;U<={6)BXw7D}8>i99K1}#$+9-84UEOBT}Cp*9v7-h7UZxx5IqnS%u7|oUqo||e2hG((*$3JI4J?s2VL5KK{M;hh7)l*(v zf7tr8-31ie``IP$@@vbuRiGjQy~DrCJSbXg7jxJP6^e`QeSO^4)IA3Ps zIQ4wDydWA-A|)u{K9@bZ zeHk=IagG0L0ox)y@5ijQ$;*nxW2XnN<&4&;`xpl|f4(J^i(~q&!uUwRqyN$a)V4gt ze%+0r6RBEOLAB^xyX-4ZIjn_Do*$uGY*%3W9Iutz9S%aSa3|DJ!Hm_AuFCCfpBxGVQjV_GwG#FQiX6YsfaU+RAz*=lDP3z$6Ono z!L*1|Mb=_Kviv+7(PntYT2o`exf)}{N+gDn{_=KBbRtvvvv4lK=-s|MG zHw>dU?H6XSZwC?>U=t$Ev_uq<93&Dcno%(1G?i5TEz!QL$u=bJQs<>@5xgBwh*lO9 zGRQ!iP8koK8@$)k{H1xn)wx!6u%&wChht1%sFnAQRPfrq!?%bRgS`{EUeE>YnR(?m zXqKt4E@ZSXf=rGUC4ZdWC5Nl!`{tZ2=QQ9jd_l;|>&>bePTAnX18uiG3Rbf3c4Mg> z+1p>bw-`rctX(22XF%A8gSJk}`e7S#H`Mm-9g?t4PknRNnggsn0#up8H6ENI-<-3n zwrgj#P527G5_s^BAq{~4N}#{P`X3j`_qTt~x#^ueY=1bKIJsCmJJA~&7+Ja4)Bh8I z{w6H{`1$vj|EGt)GgcP=^zgrv_#fsW@HXG^0s1{9@;~CtzrKU94ZXF2hlwKtox8Ek zg{HP$z66?2v@fV~QrM~zc6czX1Y;_rDj6##T_KOFTYb0`+2bHs8>}?4^vi?x_VQrL zpScd^)n6Or;FBdzFO$JeRQzA>b%~!=FD|#&E_d9RcfON{34>J|>5uexcm}@@rvbAH zfN4zuA91GsjP(PVGg$i%(l14+y%i<*Tq=)VI%K^8o@HU9!1GGQ)!ky4fK(mIT{aE?t^2$M2dj` z88@KdzQ@wHmiV6VmVd;3Vfpf8j5|ev@lLa1URN+8emVEo$Fr5!jiOvz z+i#uE6Glmy0d1jEi{eDPE`k`X*C3o*Mw4XQt42*-D5E8PVqmA`RihIS`V$vOW+?g%Du(~6CPU7vakT#FB;6$L?O z^k?~)W4%Y%9D*{D{UzXmgBu*s1VN?92go#9fm%F23LY0E_kHfw*vnK0W99{B$dMVTm7c|)P!kCsd;)* zHzt9Zf1ACs?#OKWNSEo4JMPd>YnI1J{&Qyn6IqNEPUF#NMla;J{~4ZvY&`&ZCpsY< zV~laoNqa48bXoOa)!nJxZL)@voX$8fk(4iTdX%h*Pw4*j6wc+Q^=)nW3Vzr|2bs5A z1dF%byCDJmZ@6_TJ(KlN(z6?Zd6ve`(6mldZhl*qNS=&0awQ5SGYKUJxiBN z{nTtnHOa@^*>8Xe`t}0;DQ}HaX@6d3A-q-OWLHyaK1Lz7y18J*@~x7(fk#|*lfYnP z&$vkyqxM4dfGo*5IO&4Zk3{Lzc}eznC9|}GMwJoFB@5Rc=m)+8CDW;Ap@zC$J@1_a zXxlC6)K?;-_JX9=OLft~`G(4XDod~1QZl3VTuJJY?MzLF>?o>E#h!E?FREoCS`!pm zKBy>ix{ZRBw93nw1upM;ceY-8L;I4KL|)%b&r2MhHSnnrceIv)V5pse1Vsq$xkUPA zzaKgF?1rV)ca^gby>0QUWlm_bFY9L-9&zK7-Nn`pK!cp|DO zxc+m~?r@-2TVtCO2NRi+C2i{cgqhj(E~}V3zaBlOZ0Y5K*XJ$$J(KrylKrKQ_wzh< zt5INqxL5H`a#swhwv9=Bm#grh6)2)USz7kNii6kRVg)&TMwxlPgwW)?GOgk4x2;u^ z`!00yrL{`Bfji^JPn0Ds{N+RcsLIhSN2M?KT(u6c;#o;wwvP}=a+|gL!Uq~;{Flc= zP0#krs9!C&0S|@zokX4+(m&#K+g%#(Th0#%k~WpEk}Bfgc^i~g)E8VWw%AOGszi9P ziyW=)yi3+tI^y*X=skUhqeigWdJtXhrbn;Vu~K>z+hGvoq&@-zc{DI_@oGnG`8M^D z3+pm@KKQpJ_r7}NUU}b!?UFHe?&?C3g^c8*kuP&JVptiUNF@Cn4c5ZAg9TlR0Gu`7 z!Th|7ALATjXr3fr^t12VJgV2=!MNX!1e`)sw>Nw^o)u*}*29ptck*=+E$rnG5t$dx zo(nkUp98+^%Ku<@^M9=uNrqTy=RtBNdR*m#4Q=VSvC7+sptJv;*7 z@2oBTt3rAPVtYk>(-*uq23sh1GvFBq^#Ft)-KKsG-^JNSaaBJwoo2PgtW6k%$$C5$ zlHMV>K0dicC;|w>2XkGq1X&1ul1IGw!S;VjmP;dUIl_BWTp`lY65y{Bt2Tz9X{_HU zDqf+BaVefPY*|w`Gqzu{zuCm4w|P4=O2S_?P|(~FI<(9Y<0!#r)>~DZEgkxt^&R>^ zQ%~$beiiI~I+YfjZ2HLe{1l^b0O0YtIZNPoUm0?_E@+hp-uBnbW9vcJeFsyOO?*-8 zQugENVL0N6@xmY9Ve4wA4u78hfPFKI6d^f6FgScE#CIX=Z*T2F@eCf>a613V7sfyw zWG_DdhQm!JEN7^+%FH==Wa?P=goDG=20qp4&IcVDNPynL&+aWV2?-6diZJ0cD!E^N zGDFC_I(vfvy*8Ow8AGK9H`F)#D6kAUg~PeqNB3wTiYbD$`kE<9v>b;_!N3&>%8zXn3&#i+i@rya zbZcwgdgk^XnHKqY%BpklGzv6fb^?E5Yk6mZcVciE4}en9yt4(=X_AXOty7%Z zdvm4}XLg=_vLvMa>!NZ+=`u6ju2XO5-2{&#&0rMsK>x7hdUYJ_D%GI&D!c5IYw&6% z0`7fzGCYNxp?YDDv)(qJ);EyPCqXaccia;LcShG);~4qj9hdhzm@bkFGjiZs@$jY9 zvl?3mQcOadSnKF);D?Eo@6D)OeN0#DrbGAun<=$(Qm_SA$H&iFF*Z*X7c+aHrQjPL zs3=JaUe*`p=k}&1dD}XpRB$&8&lV@f6P$wyW{t>x9c(t(Fkhdajb|?wzY>UPI?cK! zI&0{=rWYoMo8Pi@==~HAF@4q0W%au{)~xM4t~Q3yhU{Bk=MnGb&uv}|3vH8`A50rN z?Wn<|7EXQLmN;!$e3G!f!2U`5|0cEqRCKHG-<1Eak$>C&HF5ao1g5Nkg{`2yy`-&$ zGXX2ZzX%S0eJ-Op@AiEATKP_xS^r-?|NVh<2972MPL7ThG4jv>0tf?FZ=9APy#9USIP_rwWU+ZRh9dFB6@t6TT1w^(Hz(JskV>mAMsXP7BKz z*{ShpgV2O6qXoMtE_EiPBnS2-f9wH)sk`-7qJhD(CKOTJ1(;HQ=r%*=>tn)M4VY^} zbS5tyDH2haaErpu;FBsxok#qJlJHzTuJsOZ`=lfaid47aX`9*`X?_zf2N$Yd0M1vr z8_35u4m$qor?=_)`m$CBvRzDSp0RR~Pf3L}Zjgsj)m2zNo zZhnSGsx!^GBAju0(xFzH6m%mw#~hIvF={nJ!R?;BUa>>^_@PQT7ILpej1JxT1hZET+)tHQ6UJq#1X|t$JhV*n|~&Yg+nN{xdQc zm${l2BV!r_e*jYsy$&Hedqz-l7UuYI80f+yaW}TbkaLqmA+fA`#}E31_aPTjwv9MQ z?PVt90J<1XCI;W8&AX2szXe2JAEwZ&)aI?~b2vy@{HXVhVY@dK?uDw7+%K3&Ll$KH zAXC)Dcf&yeI2cGl7GUk5Z?%$dS6EA~8~$OOtWbDr~D;=902{esSHPr~_A*^Z@z zV%kksX;ZBvzRxsM?n^54w*5b-XI^G|fE1xI_@%Z4eS@Mfxl+M8`HuP`%o}YW? zAKF@2uDfKn)fPwX&)P$+e~ zw5|zWj%5Q!I|CK(qANSPahZPw|9IF*CcaLODzT$7b!H4I0#`{HTIRk0VPbDzS* za}9P;z>02Bc)<$k3!+0M6q4u~bKcsM8Eyoa}EsZV}U^q`(>t zU|fTa#<%*AhX4$!bohf+R&a83{9fdU0;xE-%xDftU>K@8v2L-duF?31i`v*nlgZ$PMj==^_wI7=7Xn0RJ{0VX(c z3$76Ka$J&pRMCz4)v}8C1t|p;a`U}suHZW2{o#hI@2|8c34&45vuTFbg>mE!W`19UrhNgIj1!cWg|}MNaPtl1RrR}w9w7fU!T$h@Wad>6H2*vS?0=`< ze}94wPQRPgChh+5e7Y`aF`kL9g%eWLEaOccgb)#M*zCN?EFH~Qi>2J8d6*)cTl=XRiGuCA_vvQN0D%%VFa+6FaNXDZYrB^~z1coUU*VIRt38>v+REi1U&Hv5aq z@E%S;ElJBJVXBpy;R1z9vEl0W;j5m^)bc z*W)%6dXdLA8o|}*Q&OSm27#lwH{O)HQlsG*YuhBmTT+4d{K?C&or@0*!AaWMX4)L; zZRax1J(Z-UAca^n@sd@mE7+|YBB$idq)W1qOuao7JzGZ>%TG|S1j-TU(jg8b(keo0 zL{=*YLyagR$r{oson3`{@Qq^OFdX_N3%^^-!dco9Ju{!OTa^qrJU$c*|580d86x@E!8zT3M?VUVH8N9#<;? z_4r*H=6wz4Gi6N+HbDrA1?y@hXF4-#L7a(UQ~ZzzBSc;6f7N4mzWM_m$voCY$;xem z?U1_ERjB7{A58WZ#do|XVhjd?3IR;7VX*#nN7>d5X{X-N{&i8E}KJKMjy_mFF>yO~cm@YZDNI^M}R*(AC^n!ikanCFw(nG^_e` z7en|iT>#~n+;dP%a45-F535gq4{>725}K-jPlv}{n0y<~sW#8J|F!V`vr&H@2gN4- zqpHRHYybU)=j>z(5`*q^K^O(~zqUKrQu3q>7&BTZ&^MAvrQ?Du!=TCKu$oAv*b>>@ zh$88Hs6=|K*mY+|O_yvb1Q&bjC_eAw)Q6j_bn_b2HrZqepOjX57~)cmC_skS61Tj` zmaYq60fnf_b}q=sLgfL{>Iz*=yNHxT&9%Atjp> z+yXLf0e!^3tiwMr+Tp{JjWAd$BpsOZMCrlzSwzFB08Ap3B13-jB9tMe#M6}Zae41Y zd9DpK=RhHG!6kpsF0b@}o&Ac&&eeGL+|ZqPks}v*n5P|B=)TlO{JnlBm#bv$Qp%?) z)?6NYEz^OV$@PD_Za==iuQ2893p?C=A86^)#pj!#Xv@UvpyS8Qkfpd)Sf@!EEUjS4 z*d(HZ4E#SE<^Pg#da?ql4Kexa<7R=BSB6TnpW190FG_-v5Qv0X zZv135-ad04@}r3|qtimaO_*VqxUJ45N>F1ck;E>B!V5xvzwOCMAeSBfLJ=Psh*P_x z$R2p?u6vSPY>FcNxCKewJbmB(IfrVN><7l4ogglj>QA5jx~sP{$dwHt5bV6j4C_e| zP_goGtup3mdCWKEC#S(v$VKf>K%; z0=I_R2VWt3IP#4qDvT)VH$XXE!6`y)zsr+)0QZmC3+}Brppe~){FuTo8p}RtC-mJU zpWUmBL=N`r2HJ~4!R_v@_R|M@f5BdC_94c6RvQk!;yz(S*C9Nw?&aOS7#_h{F7=g( zuKCZeR3LAKzE|G%Ht*x$Q8(O}zf+kis{!1!k7#K;n5R28Ea`xi1aCM4zVPSF^#NJ-{iK)}!MdJ1-pOXj&;;lp~czSc*X_BkQe|$*i!n2J((j({Mbb`ZefW z>cj|!5lh*ts)R>0gj27kMRR74teAhZh_US~C8FlOfF!aj_L&e0^w)6rZy}v_E9hsF zJ9ZcdW(7^x@FYJOz9!=K_0;FAcHrN~%IZ}Aiy^Q0NAMj56ehsQM`0C^W{Nl@#Z~3x8e?jPK<&A%fdC_eS9Tr)j3t*)7 zYf{gP$bX+TwE+LZf_Zh1hV|W8xGY zuoMO`^M~-`fBj)*Mvv+x%M*8x++Op&=sjPaX4h>x8{TDD)z)srEW`<|DHF;)0rrun zDvAf9jWFKfG-n;|V(&DUmJBcW>Fu3WWv1NapU@{yewY$Hkdb4Ts2hlz$+yERw)^%y z`O4-ph9;=M}P>fcJAm%xcow{6Up zV9pSIsLnR}e;+CQlV@_2)sR)mt1Hltn+c}m`B9(KGy73(&0>d)qjlQ$^ppHsi_0MMde=_M$$@7|-Ly(M9NIqToVdr@|!lDaS1IFCWBhD1ta3jNfpW(=;d2h=yi3P|DWn4zXM z62I#<~wh^H*b8F&jKcwb?dcjd1E(bpvLI37d-@Cw-CedRd>+-ymXcc z9aXD~{t@tOgI;bgfc6VB(H^`KyR7Hq)5mB9lW^D8sB#=?O>Y2&VlF2x{&c-@sS>V~T`!td*?a)+xAbYv39t8XYu!ue%^u)v zI7`94Bd~_@Q^8_d6yA5zJLgz?a-H z^V*=%Q=qZ$w(WA;r1lpP6?1KARPZ= z)TG#sQsER%ibp|eW0VM|X0Wy`+`vi~pCucJeaewSOKQD?EfOi=86vsBT9ghvg_AcE z;$vnxk$aw3n+A&;o8LlexQGguM{Vd!bKhVD%}xSmC5aI?K`iG`Tmbc0k#}89#JHA^ zf&$+E&I4NNe{S|8-+NES(^gPS&Qx4*H?CJudO=C1YfxS6HxWEeG+iM}pv_*%akBxv zw4a%Oe*O~ZK3vHuu9s*~(tL*2YG+Wc!zV{o5ELl-T#K`rB?Se1A-G)`@q4<{;c(C_7r*;Wc ztxmdj0A3Ynf|31-uMga9>5cDWx(i_^*p*pCA21i6??5{Uf84Kgk7k`zy_iii5n?@M zrhS6gB;KY8%XdTHu{5>}%N0wpC?ytHfQL7o^jY<@(*%g~{bM>g-uWYJWJFn)9DSr~ zKsgxQYF;W*FxpeMqs+nK!SKDZEs!OqEK&!-u5`fz@ALI^%yP!UtfK}s_ZK;n@Pzbm z@;E~+-c&J>{|9xkYs&IhI1yW0Ka6@?xfSfVwwPsQ8TdvFWUWP*KsmUAaxjiwg^=ew z#o!m)l!`V~Z+-=*x2z8}F}~{|QRyYJe#rVgEuRXgY3U35S@(tK z6XeblKxgM$&ptzhmVic!MQ}_T7`K7*!~tEj_kTw?dgmBNn_6ox__=I5n0WK;Pc{rD~sc_cnvCbKVYH4!vQa&tt2wK{wCteWK50y6nc*D?6(m z@BCcIGm0-L5M`&6GV|)e0yzt2Mkp*1Ue@eCa#|YD4F&uQCVJ*`bJJl6laLKT=+fx? zFG1EW>xk|s21Ng`IGEgtaQN#DmosYslm!i`rVMld#Ys5Z5-~X4`os@g3~z18X{74< z*a~@8Z`%WP9!47!E^u83q@e!L7Xv3j726;bkIa+abnase$fR(tI!wuf{^Dw!^=u^? zGg8OQNiwAISph;uzq8)_beH=r+85pSpB!lx)@9EE+WjKSdr@ zV4PSKcD$MGbRL61X-eY>AIKIf+ivu~HunVxI1_fV*Lz1#d2z?psXnUwghH); zw{MH8qnaTE#CGyV<$a;LRqbzo4=1sHiBs3p-grj>>Yc(J_VC39O?5)$^w45$q@mK97|O-ONX@jHPaww36Ab$J#f;^3+cap~ zUe4Y#-xBIkFHnv*Y}vf(izHK7#kuM3)j514KihrQ?q7NORRpxUE1!RunC7rK0CkR4 zZvZG2(0nz_TJl^m$-_xzwM9gYxW^bBTF*AexYsw;I@Q$UT$?bgZkl1^WJTpXQ5eL= zqSCXfdfsQ&nvB9pnxVdz&Tp*FhACs=2-0MX8);;gI(*h8n{}t)V+#tFH|Kp$w&7pm zNfo{&n2BsOFjKx#uc2+*GMw z?J&f6`CPCG5c>&UTl&xYI^pVT3h2A$jof^%*cuDe0j~Fdy(rE;yfB55k3{*)?aW{> z9v6{ba`~lhiN>-VjN4+x$(o?<&a@oz$t{sX1`bbFzfo8(-cLfduAgR@*5&8}T~h~p z5Z-?{bD>1@k~lDQ|J1DAxikP8hG*5RZ}TS)I6(GY`6EnrL<=i^j^WsNAQiW3j{%NX zJH(1@X1T6pNK_2X)eOvtM|k26t@DCMY`NF*Mmq@XtP9V@8&EH0mMuC+sZva4QQ*qpPQG4s&VChP0b)Av|*E_(kS#wD%34j8z9;uXsD zlQ8@R#usZ|;dV>5I_Mw=*6l$2ddx5X^BUvSW@z>&8{6&@-Z(Tu&d7pDd0s_rzy-l6 z48l1yO6K@p_I98D?N;FZmVrb@=Le*vc%%OqhF%kb4<(gGQ6kE;;`e}};j8qYJ33ns zKZ}hu8I6j51=FR5{efHx>Z^*j{bHI7;{Y67={Jhj1*iEeJ=5MhH1PzfQmTQ(BKo%^ zJOh0br#lGTA-En7Tmm0+C_d5{#x(?k?j9&|(sqrgUGO)ks z>rWcS^F6OVS_CqTKIMn^b$fXtz%1cqJjQivB z-igc_owTqBE162qSf9(~8Y@GOo~DC{_eO%3wv9)`dfq$PMXysvzNOvmfY(rJ7j;%% z2Jew!Kg(};+b2vN{mQ~z*6A6P26IED-MA;=4s*9B=+AG=jSH~p;19cx2%VKMz-s3k z{t5Y(w2a{|$V;u7$rtHU`FAjGbVlCUl6zUtm(=d_wr|zZPu!fOEe665tY>#sUta-y z7rp8*QPjfYXYg!D!;GBM@$<@P`mwvB@!=IgdXsNrjy&EAvffaPHK^u1`lM2ibHVib zUGDPJ+EhkgK|c>}Rd{KdK?@=3&&k)cg{S4C&E1pg)SlRSp7zaHIQhw^!ys;I-|Nzq zQ)=GHW)?(3YY+jW*j~jYVLQK-0A55RW=$vtt^$<(>jXVIji_M;Tqyh~B7DfN+nja%9?zUK7x0vebwA)JGgc=d+=vkE4_m{ zP6W~+donnJPAbGm?@W0AbDtSctT7#uZavUC70L#VpeKKPN*{SMA`R8r%{^fSS)i0X zayNG;$HHiA5c(FWBWEPMlqt%`98c`dc7Spb%>cjar?q=4DJID4hwdC=Hw9d&-k5Twl#+tR_TTAZPT;2p0Q;*Xe zvxYWBP$>ly;fe|;by><6Vq1Hzlga9wMSe|osWpX$L8d8taP#fjw=S42*~RDu#E{_S z4|jQVvU3U84GTMri*B+p7Sc7#Iq!=r#6N_7tvzouMS@_DFY2~Cq@v)%cEgpIx9u!_ zLhU4bY7()`nutU)mndUSg+o>CRIZ6Pc<+04+6t=*tIDhRY zDihL2R%}9z_k6t-!rhh9!82_kJ$LFzM^kDf*@c)~1rgh`f86v?zie;6BD{V+pFJvB zZ(k(W=->W*5Iz2yqOlN!?1hB3p4#G~_?gqlC9@z?;C4kaCaTG8y2BP7XelCXHtz@P zx6IOySxj~{!(o>8{!sA4d9~hs4tE#wtksX`tycIEj9rR2+H1lt`){4Y2&}1e<}iyQ ztky)X;i9`ctbD2Dl1p|!Ww%HR@iBvB&);fhNDXY@Xpcn~zuyWsO(zyCA!Ep?K6?_E z_)+p6%&}Jxlq&2Ly*cZ`pjall)oPS0>XQhI9yvTEe`g~Y&||Q~ZsFQ(|3+vbPG!=U z0d`yYPXkA^=ulh|(i8UQ0*ov4suER_nT|@9ll)DXWuRT3Aa$i7EAm1tQFyX>p?zu_ zW(J*x&>!^!(Mgpb^JjPQQPdpo7;IESbAld{`TbeOL+RqHT>Bv^uA^Mj=A_BUJdD55 zlt?A=;j@@`*O>rTLSvl^;Vzr-foGwwMTg3%zlOjeC5qA`cu@sy-GxhDokod6|L8aV z5P`=&na&?*>Vl4~U`09DesHetR&}a2p&7@vXLQ2Gy;vDG6g1g+3%|_%eA}1>d2@KW<>ZPQcl9xj z8}N-Z5+vG190L*^y*GlyY)9sCOxp*srq0(Hq$~Gxr#|8LMYkZNC&IE-x~XrR&C@A1 z85#;7`88s-18ejN;>`!p3eBA|NE1W1?jF~vvZN|J=v?`bMnRx*i< z^KT{X0tNibq$;ewy`ZSu9=4J0v6$B{S8}kxI%ub%6CgzjTv^HKK{jl12ol=5>xm&r zi#qD>h-H{6JN{10jf^;^!dMblWfOG>7y7A))UUMXE_t@=rCwBurG}HWhc!g%gU6=F zU)*nH8iV%N?bvIyg1L)|b&2KC?2e9Ul1V-!?cow1e60(}>8F&(VS)ZaW{r#E9#F;t zpAx5%d*w2_;o^)Nfl5_{u*E*ugR!T2(jc~+UVBX>-9MDEYh@D07 z3vKML^I!O$-Kq0;gE^H9V*Q|6EZT)196An#$L`R%r$*HAieePM`j+YXDY(DdM5Nj) zDO$7|ei|az2Q5i*&7#$@H#SCnJEIPf`_U)@%y1ce88MRCWS(nJJv1@mDDNJ zc3}Q|pY$cfv-mE+1qXT;cKZja*X{Na#Kw%?s+)}}Sz~0)@#E2Ok!VraiB?ifbq@ZE z+!JsZet#V}o?bZUSNm7EbaPoHfa#)~p1b-JF*TySkmuxVJ|QotK{c+WlONL;OH=&| zu8}JMZQe!zw{wi5%`GT8fnD1XF_r1KQLXxqbdC(dO1-t*09~F5RRFBOBxp!Cnmq<} zZ|yk^RLc!zdaO2o=!V&~hWzi&%wKWbI_3lg`c}n=qlTBnFjeo=b(mCtotY>_I~Vmw zxzlToUC!dncCS(G3X%jQ0se!?u~tb|Bg?P&X=e&Trp%cb{aC|T8H*RyY#YK^9jkDg zp>SZ3BS^mvnN{e32d7-pBdlqFFJ5Ob79F-;=i_FBpdH-Zs!@wb)>6QU@x6I&RGIvw zu^+4O=uUY-J!fwSA8(5Frrdh+|#@J+kw<|v22ZSc4)@_4#aA6q1HRf%e3 zomcb$>GhwLY_w3@SI6aIB`v>l{Whab4&*QD&r_Kh5vJBB5Cz&u}O|qMp>7a3b;KNIg%-28D%DsN9~{9Kq+ zyNitNIw|QBg=5%SB`%r&fu|Lqziq(sD?;evIER!T{JU|NW)+M*-`Ft!L#Y;?UyZur zJJECXLRLz#jO$pl``)EP^IM}@blFd>x4J>-srZSmIAxR9lC2oVGKFjt7n4M7S&cU=ucTNMVGtpwJDQ)qJ(SsxCZaNYqF z4zeMiW_gdxujsO!MW!z9-iAs*+M4yKIQcfaypFMi4`UKuodZq1eS1DHm=xL6?M=E@ z;sEqXhku&0riXrW0x*Ju&dw>$1#>*YgRBOUzCphYe7m!cu{ZL0UDV$my0`H0#j&!F z)htB1AlzK@R^Ws*L4n`^*?9~V&hVxCZoM{nOr_CAxNj`tIO5{WkBq99!=HzMkSQlSm=7>IH(33f=n2K zWO>wl{*lJ`w=#zd3rO#d2o@ghDlmo%c1h)pR1h+Z&yc0wzJcZs{FmxOT#V2EK=A(XQo_x-!WLCsF}@ zd@2gAdCyQR2oUkMgR;n=i{}$Os($Z=EFe~4!i23fs8!}})pnt-p%KJ|Rg59u{c+_q zG`m=)?<5#JI{)&)a&20^rmSLXZ_9e*S0>=rjRb_0c}m*2V~b3*q0p!C)R%bVfa7;xvb+o9&U}x{cWRR++`NUU zX5A`UAMi@O{_p?){3n__ilnho#rp9>;a~gzq3hU!C}7o}{uf zVa)a#Ge%JLU2X5hh?|Xl1FLsOr@mFoqMG}%DqvY+*J5HN#f>*;g`#=Qz1*U+EG3h1 zKdg@~FkOPijIN0$XMAdDv1GwA%2*^k&G`-$a^y9Oorl{2M(7+AWb30L3$A#79dGiPnc;X z21YqYnxVB&o$S#aAuSb;^b!?Tc>g6#?)Z6SY z?Gbc^tTPnZ*V$iI73A~_WH^k5hvsjH!}14~(@YDD*G|CMHSwAQs9F;X%UFC8&)p#D zVDBUG+rk7sgiwTYZTxR4{GS2N<^IA`WOj9aG0Yr}I&8i{M|^Jj>yl5_Xa! zI1*K>LY#t{K}+46S5^Ytv^QZ&^p{wZ>Yc9;zhSSuv@Gkl5Z{rES~qGhlC@9hy*ZjR z;F~Pb_Gn`2Yl_t!Te}`FAN;p#MWtbz$rUPpZ?oy(mV6I*F<85uEo$Yz3NbcI;HHpf zkLe_ZVomB)pu1OVtU|yCh7Z$JT9&cG!fAu6#Ta9-=rC^^$8t-@t*sMt&K}Qp?cmwA zn10tkJF{_WHf~Jmn8^xw{rX^z?LjJ)T(jZb{hR5tHS3!!n9(T5yg9Y$M|KFWH@p2dK!gNbyWTa%%<5X8uk_@Rkb$>6x6D1g$ zhQyOrz$CS`yU`t1E3$!2FId^6#Doz zOELB_WBtoZR2Yg6+Ygh=9(`#oWSlf0efL zx(7RI`5c#BEz6YEU!4)I^XiO#j?+@$2W=$4Ig-OtiEy^C-$(Ub>Xv%e%1>7JFk+S0 zUsyA=*D5c1kh{6~3v~kvlHfRE#Ek!X1D=^jn|?bdN7dbeZ-Ny5I$je(tO%GpyE zJ=0dVp@KUO>KTBoG~& zYYc}Kc70_gL9Kmc6=Vki7;ozEzpo61p@H!UNs=%Sq5iW-8LRDWCNG{Xb%YUh#8kbK zk+ZL~OJn;>6r0lz{h?nixAA1gFkWs8h9?mHa}p`-pJr&qCf=kjtZweGmuaRQ^4?yJ3(gWj}x-b8>vSCm%i z1-i5ca9NhSMlZ*ym>hnO=`d9U>{2`x*5CgG$kS*K#U$x-Rlj(eIF+Z)7b-;*W7H`v$taDuk{_%^Id z|H=i(+X>-d#eLm*=S~mjWaF$C_7W+&b>{zMNnYMLZMR&KnVFrDPF>vI<80Cn=kaQx z%rM2>GR&oSs!+e!5aP~}#Wl8PG5NJBwPh7CeJu_A87(f52*-PfWkVpj{=yPgEJ@-+ zORT$e;yk5!pA2_>5>BJEbPt<5l71A2^e2qRN7#Ba%{3G`#W9-Qs(-z?7eWykH^Sb# zVS<>0by(u@(#?KTY@$dz?6RW;q$T4NR*%*jk=-dZzgh%%boryk6pX=}z30)yqBLV^ zyf+&8dF`pA5{C|3CRO^uzP72x4_5z$7wjnS!lSd8ww%IYFx`6>yd@`Q?ytTQ)s7-c zFQ9qhF1DVwGn?zsX$`!8r!uu-Zltp=c z`R7egz#R_Zsjs?7nxngcMRH)GbPH#mdSfo5t~mcUbB`gdDkh7TKx``$J75Lfw8-~|oCnMz!p zbovV*)2>_il$GEAM=5Ef^xU+}X(J}IKlk*PY?z%s7J%T>XzWvSNXXr&b zg;ztWmAFfW_^q2qPp`ZBvT==W#gvNv_i)Hb{2hTyx_Z{%e52&5!{T6Z`$Pr+f0TEP z`dgPO8g zR`|C$(p`JHvr>ll;A&#KyP_RzE3%q_8{gz72K&&`;2(}VqZ0m!hxDb+xUufHss1_@ zU!=>XZf9JR>O-z@HX_kDvJ9Z?o-osxc&&VZSDRqfR zpfgRA|B$0;O(Y3UW^IJ*n=JQON39Z|M`R`p<#(kPav2!s^zs7+3u9yhW@)w?4y~YU z0QYQuk)_2u5$aFGZf*1k-FwsjvQM5DigzJLg16nsK9i!eK6&=9Nj{zbWcM&ZO_don zzI6Fs-FF}h2krUuv&ZJ0@CQ3}TL)I#XiM#=HGVdOm`nJ@;jOg3m_P9u_M_^|d0Zn{ zW%oV!#vGd2oFAcqEvmxF5yn?u^-=n0Jav2BX$a*1%Tf(>l3w_2#t(}9^k;CT^UH&d zyR(wlzpN9u<@!;@OIXtPN;0`y3CO0n{^=jk{$pw?(A>xubSb7%)Bo2%_@v90+5soM zN4q-z75bl`JLg+PSHToiZfGV_|29+!6uhwm_jYIuf5I0x-?B!CK0F$fX*J8u}r zg+qfX0tkQ1TGzbGw}CB1zjGM=F*}Dh{GT>7JKcOOv2J_LFl&`u$E>JN(Y{WG2%d|% zyJ6aLTgd*p^q;g-KUbZHq>dGbe3rI3{pgZbi7|^bOxd`P(%NMAKA%Z*Ja6>I`A-(& zn4+{o3MqYS58fq#!x^ME=;fa{RgfQFD2*x|B&l)fDbUE?VjXx~6Jp)(JO2^Y`hQto zTHp2uJSg88ALz=_E>Q}>Vi8i{6-`4FuDkoeZMEyy+ck7sZm`l+!gf0NalqbPE}!$3 z_nkY@{=?Ukj5~{w!SZ*$W)i9DZ8f_2U8Q9aipqF{_E1{q}D5JQKM>;^e}vpvUy zV!k3QTQbxLB?L#5uzNJ!^dnJa4LAREL2QiMY3}}HX5JH^#C#342#JDh$mkZQ2Mh27 zP2N-L!_;Pq8aSsmC7O48w=cA}xmGEn_fOGgASO$L5Jf+A66U#z_NjWX z+JmWDg^y~v1aBzjEiOnE4GsetwLYEB3;26)${ZME`o|&CBxC_3b=pB`(o2X6xvCC! zf2dfHDl(4wcHs39x3NciV>Q`3c)v(Yg^MG#z=`VFW8Uvk;Lt!%X85BJ5F18wfh2}s zO2Y*FIEc}_OJ9ij1&*;YO0+{UFp82Qv6+Z$Lo(Zc35j*(I<5Z|n>2F# zh^326PVM2Wt(+Yk{F=pS zKm(OhW!8%aVqDrUv$87jHP`ST_i23F6!cVuezD662KFz z(<>7|qfWk^_sRQ~|N20S5(UmZLzv#`PBt@+zGPn(9328aTU3NcXq+yJANf-85i_5- zfcL&ntT{Ak;zVwYCW}0)7G`qtJ;dl(faMx27cYb>hJE(#nK!Vl0i9YVWdD{(n?MT* zONZ_e(6-SOE{O}@JKO0KIE!udw}$QWh>Z)(k5f#IRNInBBsWY{F39x zS4<|6_`*&7M$|f%KAKH(3iY8_wzog ze-yJU3_KtHs6M88ls}C8%>ZhPJYtWTcOEl~%;XOtY}GBO`!P#j?8&}l_Cre)xO&-; zG=A7p4yb8J71s{wi6{7Pi4wB@@}N=~gPh|6@kBPV7%&KddG73dOJDge@nni3M`Lud zbQk{u0822fgTDb@z4^W;^iDp(-r8%`kja4bM0zpvK`c*B5J>XSA6^wE^xxg}WdlhB z=blheNDQAP)uX8VcQnxI$4oed-%i#!>`_ma=YE&E-q;mbpu|9jFf#>9%~iS`pf=|} z*3H$i!LV=1goEO8938Be)2`z4M0r^4$&4TJ;Mb*rTO!-K{q5v*Bmb4;yF66NOA`Q) z%)8C#(}t_?i3sAluTv2I7e_(7!m-0|0n0 zG9nw4;%hvibcbGs2Lyp^Kx4qjefsojeln_YaglM!i-hD`C8)V5ZJCKpo@G*C%|^sx zJ{EmS%GEr7CZa%IqhB2AeQI2R+;tZ~0e!P)sB@7!(t_5`5q|iatbbzSJo zTyub}5f&8P=fG!cArOr?YLYAweoXez`qUcobp(x2fbo#F z$z{0wRF{ItJtfGN{31+Lw{_k7eqVu!_k z>=B+o&b1S8TC|sH+??H{Zm&v2N!pMuM2lQKFid18t~;6->$&ZYozz3zzebNCX^I-@7+ z+GWd5z@H!{*QYF_bY9T+y@r6DeIk8O{BDp@!j^d84@srbcyH!zR!7a|1NooA#@O&I zS%XF%(Gb$;Hy)kohA5T^aoef}>#=uhw)V2D6|hm&YCh+^((WE}Ic&>h<#~BoV&J=; zDGTIS@a8|<@HJ@SnfAi2W>|s5PiU{&UhYWIHpsHw7TC1+0a^pgYE!HLgPE6V&F)E( zBd)Zymv0;FIkS6gg;9sCMg~9w;n891+30Am z;=`0~2250XSiag3)2;}z+R;ilCrW;X2V+)_qMp^m)p6bpcfauSw&(9qgAWlzJyupP zCC0=_l2a2ao3>cMfQx+LYl>ea@0KHVds-`2N5YLM@|NFUcEby=%bKapI~FgC)#L7A z$Q_Q8L)V8``|)Yi+>Zh4TK!wZtzdU65~1 ztGf^OngQd*m{xaO+RS;I!Kd+Q`YJ0Ue{Zo&bn+Ykvro=c_t`(rt%n?`MQEp&NRH6}`4k#i^`62#(x}n-9MVaMOhlq#DB;R^*wfV@kF?1G zi2EOnW>z{tpTkifubfcO3G@8>eVVW5PS`0@?l{5=Ku%ZxwcFB{lJ9zr8D&wA0&i!* zOEJ<12EJuEVUn}QdpfP>uK0PApi5~%OhGx4J0a%~_amfIWtK8i6>G=Ny;rQI)!4l2SjNG4?7BsL(2@Dv z255`uuN?^(1bGFP7XzTM8k#=1OIrfL3*;=IV6B!h=&);DIZxH{tyJh~@*(&1rL19n z<}bOka+a@oPruly^Jgwz1beYpiyrb{#dkK?T>_icx^L#S77esAl8;$ir@lSmW&mW> zXim@jSy~pnqk&J$m+Ij?fSPJUUv=TEGMvEH#xp@0%-?^~&$_X&Y>Y2$B>vpAUHF-&k)^|MazFO8DRBB{cedl`er=f+!AEV*l#PI1|;;$4MW&3G`1{voCJ?oHh(F2$WMv+_7E%w;Ng2Jah&mwbwu+|EQ7o3+>ARMJB`Jy0?Uu&Fne5uOAs}n=No@)O=NdFTs`TJtqGca$JS>2#&lJlp zzMxI&UH2{rjZD@fGaOU9G}a%S`Rp?{#UZ-s-y-mKbo2p6ep>!D_4ywyfq&(j;p&>s|_KYX))_-6m`&Hmw={lho=hi~=|-|Qd0**|=<|DXA0 zf90W$8ZmX(zNDcR{}1J%9Dx>5DgQ+t%2RP88i^6X=cp=0K!OFRRnN|s9My}%(P6*) zXu_tc`o1+&mK&9QC)zd4mCRySiTwD|arM{YPFH>*f!uoDj47>KE_NnsdzU4) z_7_@|U{CU>pgZ`ngVKIFi$9HIas(etBfF*rR(B^tWaHH6L=N2QkAll9?mpstbq3?` z_wBnDQnxxU=wo-C@jaD<-c5LKut!z8?PUviJb9;*48Kt}0ov@%{CQOJATZ-U358)S z=^_YC$C;|iEkF>T^vf#1rp&WJ+AIz1!itr*>>i|imdI`!$kN*RriOi+{Ga9P^9i%;z=`@rtR*Ewz*NaV)* zRhQCSt3&A7(s(YSAEVp)OguA(4)l%!-kmo=g;%-x@njqIve}G$c_BaJq&z!my<*Fc zg1yL6(7Y2=TBwNf>ff-NpWNcnjxADA$KEbf3I^mLF(-RMJNj(j0PP&!>q%x~dvn3s zF=dVlYFUDR640d{q-%WK5IPtO8#Uq*Feqf>(h-R{3Zrj9H*WcJ6b+Z?4I!iADpV!2 zs^(_e|pX`3$9^nvpU)h}XEzK8(=1>qBlDO1weViQaCz&pTi_Mm$JRNrYQ>Hr$KiuG&i7m!e0d;Up+{}Ag)jd~ z9R8=2HBo#~A8v}TnI+p*=t_{Bn5_W@9n6yt$m?gWUH|<8-msWBUH}7lhm_Fh_XQQN z??LPFNB(eb4*Y}`pIneUvt499`@93g=r6Sw=3!f|L-`-`P%k8yh-kF|Brgk<6I)O0 zPi0<)*04s5v&Cq`{-&-Mb8r-XWVa*pxEj-pSa=^l_gm3!eSg=kGY9ZM{xSHWuwY6T ztMUgH=GpZeciK>gaHetcxGVRzRZp2PouswP=2rI&yS>c&&*{nUiN}Yn9u2|oKH|km zd|2vCPg9Pr(z(DpI3X9zmRt_t`XSE}**V-5%+Yq!si)r{VavKz^xok!TQcr=?_1@+ z?~*S0#CT8rxEW9shpcbqcX{WVJtxn%9A#u8t!lUZ0NT{`sn7c1bXfVv!}*?{Difg& zpBw0Qnc<>HMGrT6&O3zCK&T^3=7pui_SIPNVQW9xJ4^2n8P_;28=RrI6M9Excv~J4 z+xGxVJeBNXxM{Wd=qA`*v4q9!)p`>gg;gQc6<41{`!SKn)Af!{3FeEpqa~2Vl_K(+p3+V_)y@|+B#2{zd5YR8mB+W9Ed(&W+Nn`NyV`}p z$UZEt$@RH=$Clw}l~yr_V+k2V}{Y8{2wXTJ_o6wlPEi=(VZpHk0UX zYvPKSIWwVGm$)FI(8~KpeoH~t8|&6_uAn9+U)?bLq4bNE>I$nqXzaS(nf%g$$vmoC zXM4h58>--&Rkua3xesVp8?G|qByiiSnXvX)CasSPE za^k214C9G}zkroSnHAfJ(C;MthiUc0ah9*A?MU8n-I zEIJJ}pC=3sIT~~8^`uwBf8>Z{sPv8h3;;*y4B31eGhXuKRnR6PpFdwGCeO2X{jM5y zjKf3Ut=-YyR(Gpm;kaEKY->j{Czt52i7k`C`O)vn}P!i2aS;=(FRiLI3-_%vd{k_xu7o|4BL)>oXsh1EXY zGwE0{%ZkdC9l=#gKlDwV$ybi5;6Ca|;ni|UyBO8On1TC`ondzG6S4Agc0V!gI=b*I znF5Eca!cE#hNXM-<^JD3^Q@!~@5jbIjQG0anc*!OLXkn#ndJN5i0Q?ReAIAa8zTEQj^heZFup%32S#M3pB z;2w%8sU8NPsLN|HlEKz_0m6LQYx3F$rC!*g7{D?dVK|Z*r=#-koM8dDoBdkcs&so5 z8r&&yEahre@Ynv^YsU7<{Dg}P(hCyAVQunA!s?fopqUS?4=!Fto&B-T7?Scj58t;Q z(QkJK)>~52kJK5n*=8xD#!=%h#&}aSc@i$LJtU`jt0_*U;?TDIlO*MCdHpF4P3uv^ z)nXm>{70;Zb?ar(aY`O{s>;s}f_zvr2PRXn;TH~{bygQ&)T59tT;YIzvH@`>lMP+B!)Y0_GaS?n)XatLWE+Cp9m^dgV}8RE*ZVksn2w-dxOW z`YLDPX??4^CmW$4eV@C5uV+wELxoRvWPWY85Rn)f>XdT^Orc+8MH{&z zjGQ}4d&9jh*4JmE;so`wx8qj|sPQJN^jz!uIl=SDX_>+rQ;QYqF5@(%`K}}xA>W!) zzB%-K3Rc=DlZ=r1JYI|XgezCKbea#|HI~qZJc64&z>U7?OzHa5JRK;8HXRtz({k-A zM>IY39y*A`tsFSX$cAxkL?7%}`d?soppD8zG~GAOlnvHT91p~iIiKjAppGfNt5&V; zxy3_wJRA(Zm90(pjs}S4y)KD2+Ef|wyu86pyeHgvg!I~CuoDFj<)r%WymP;r5!`-M zTVp%b*yEsLTh3(9dJlMrn!SJgfz$icNb;~I-55)CT$B~eyiy#1+_Z3EU(CVwDFA;+ zw#J-Bs54~&=WYGc2Lno0IcanPWEk~d{=Ii>Ag)0{OkonkKVp@CSxq9j=18SnEn%hCn4&MoSX=D5VjS*uv>eJvoy2HI zn8l2(yl@Jny@^yCVn_1#6q}cHr)2TgKZe*Nm25w_G8a73uv?tKs5O?w_FuY*xp%>P z(n^WC+{xDqOKK7Jh(^z?kdx)=zcYG^u*c`8+#WQbEV(=QqRwH0^ zchyxA^YtP;I7Mk!ie%hy?bi_8L9Wc`<6@jVPY7#3d}0sT51vwAN&~78 zaBH8`o^{IKBgfG%&BEMF_iWLMT@ffKK3$&KiUS(mUJ)+IDw^1?U!VAvmWj|ChxymE z0+)!-oFnGi)@mLZ<&0)WUoj$xrl{7Y9wgztZ8C&+#=rR83gebqV{q8{CdEyihvmbj z&uI5ZHOqX_HB0N+Cq?vJtGmLf2KkV@M|-<&M@a88msj)N6uTW}FavK-jWc(uUAfHB zne3kOHTwlV^o}O%>b%F;6Fab-3i(~UT{Y52Lnk!sUEJS_AG=1zYhVseXm0K4408r< zD~X-CKL1}?jH)`e`BF$;Y+c`H01#NPV3?R7wJ3AeMUDqxN?CT~tJsM$8IiCq>uAF5 zHx{3-Y$TJ*Ep?~p^9%R(r6*2_wjk@xuD+-sOO?i;i?jkT&Q$_~Zj|M3W> zXi(AKTh>5g^s6X9CwCs0zz+wlMcA!vCZK>-&O(pXPEc0Izs zx~8iLK{L?VVUEh4>OIxq=IaP@*{?mR35Z1GAvlX}owoF5X9b(wwQh3fwCKD;>W0D+ zvaSZ)%mzQM-=wVP$s%LN`B>KBfWhpo<^48N)K~m5_^uSao3Q~^&heQ)>Ln*g-S?TX zi@c9L+V$*5+7{nQphkglX|MSz%<#sf(W+N`m-;fLH#cxrU0CBB+#b{;Ci`^aa7v+JxN8% zYTw$})QZ+d8if%g39z4J6tnAMVsV+=Ag2d)di}Kr=|5~4doWQY&OL{a3|knKJC;?x zi|pm0FcBBdF480xe-nzwTpb0()!`y6K?T#V%EGeu*#z;x=}_DG(~qG=a}UD!`6L-b z)i1+P|FT+ta80W%K;OGd{}qvQZgN{QctD{!#Y|f%Hm7Y^zgWvK!eMo3KZC&~aWukw z^25I^J)Hn!lXnxeZ#&$hLk3uJN7>hqQH_y)*E*Y|zFoG6Ci8)TY>Pm)lyZ=Hv1850 z{8mA9_LxSnXu!Y=xKyJ@`YP|KVD@R2#$V|J-P`96d}Km$XS%K3?7}{erhJ_O>H$TA zGn*O@r^ued80)#g<{7T|lK3p?T)h;=H55_U-R95ojzBsB#?5gAQU2W+I*566uo&qL~L z?5-CVdpZ8h;_S&#u17_*H4sZy@ArUOYB2LLO^^Hs80y`o*WFvMYkPUe((3!L(6rAA zx;W!aLwQuW+3$A7gh^#+<#tz%3;C^|%X@rRsWT7L z0sfUf{FMx?whUU%p0xtji;rHFmnD)9zmy!k+nviY7{b8lZ72hp*e zty9$+4YxzX-7f|42J<3^GxG)w482EkMP|+n%p3OO186jRt$m~mF^^2+<>Y@3Jzqa~ zSz$Kxjw*@~x-zme$2^>9;A_br_vJevJ#T7lKE-iXHT+IUqXkdIUhn503&OR;@v9yb zCng@NTrVD-j?S5ndMhLCZ;stobn&IDDt0J0h3C(DW`a%a^IRShUO+FlhLe2aak0)! zG$78s_jE3?crcI+d2E3ef=A)Flz~@#K+s|))EfLK5Ubw>9oN{jaONBlyiErJiYK!KlobtSfH{KnpN&R z7n*d@w|1(V)%IU>w!UB-sRA6;irK)3(}I7RyEI@fLy7CP%bc%FgHOR4Vg$p8>-UFyN=y0RUd% zl?#xAWVB>^BTuB(N!2j|xE$vKh*Pa>hdITD-7L z!SB~GV&>i!YtgSM+%=5-_=%YL=k}hPj`X&!hE&V_2fjve(;N#biFlJOMWqPY344TN z{FL949?yC~2;_3Jwh~UgyT7>{BN35g+48z}_o2+WUGD!{v93MO=7?^5<_zDaeE|;M z>Qx4kyQbS9;UO>GMR0aXX=I$SRTLK67^ofBUBtw7R2rOE$VjqP92Pc>QWwKVZ-l9! zE%QGy20J=7-3H)Ud|U9V< zYskH>ee4$c&zdM@89vQ8`H#{jF>BX}*3);*^z_8vI->1p($|i{Bt+yhfu)2|Cp0ES zM+_Z9=`K~Q-ME$Pu#M8KaC=$AIjZ)g41LY44=QSFMpC~Bfq*i@xrd!}z+iw|4QynYEbx0 zCDofu&7L1#fZYqi3E(j521zDXbgx zD6MZM|V*8rcJhZHOVVZenVx9&wPO0|S%n z@_tSo8g9)Ml^D#2kvVorj~i;Vf&hi!M-Na=;s7e+6?i2H&!52K06>{VMVwO6^dKF5 zZC(&~yR9G^$+tZ2)7qyn^yfM1feRTjw1>d+qv_*Ba1~4n7S2&oXa^fLD{qxKR7oM= zjM)ML@L-l813-r7jpFjn6I1~qJ!D~wA#to9_z68!gUD%hYM+LRN*O_dl3`Tcj}%co zY)?UgEu?8ITfXwj&wv}sD5SU4uw@w}gwG&z)n3Ew0*xB_?GbN%oQmzHb1bxjm$V3h zvjnCprV%I~>=^NfEk|3pKp$s~PR#pxs$#AZ7mn!OEcgDBN|zRVFpc`txW%4rO5H zxRS>_w?hQ8l7##TS`Ka?-g!;3yyWZqt$VzcJ^0>?yTbRk!AhOSj?@!{h@@)bm);8~ zku}d6r}Iotq2jJrw8Q#|W_k%1c!Qk00r5eA+xrOmrncp~O2c(Dx>F>lz1F2m zZquOy8!_28>9Hb%Y`j6+B_G%jFH-HA@l44QI^i?2J)YLPy>#gP8WQ`c*{0#bY~eHx zowU1t{7Z79K)WKa@d66tYz!1;@cNrFLdJ8!wpiksNEwmA4YgThGy-@ko6`J!L8fwI zN9*p%^ykS96wKl3pN)NcAx>B`vQ5EmL5^58XC)U{O*#mG)(0m6n$xknO#%6E4RpuY zrIkTHm4#b{EwvY*2I%>hzD)~W4?NF8IEvs9O>t$kTv?VJ_(N75R2Zu$sc+k70S`Si~J7-5oZ}3r0{YusVCmpk_|#oNz~i1ExF&WkZ^xG-Cvb za#|hF+}}&xqlgt>S`d|;P_A#7r|Lq{dcT|e3zARvbCvN%ua9t)>;<9F3w84jgK{k& zJDKk<{`Axm>2aw{vEq9UHB#_o$L;Sv5LIF?-W~TQ>9Nx9rY?EkNjRpr?!3T9>lHh% z(G(Mbm$Oz60#9MN4FaFSdKNi`9?V$|`&8fGUPf%Ru5W>5vi^!b6L%f-MGjwIae5e| zX@l}|KYCQpC{OpnyPBBt;oB4teEJvDs=v))Rum&c+AsCVU660z*#37TfS#j~ktLmj zol}LR6Ns6I$d2J~#Rz8`zVV74zutH1!dbjU zjaWnShet2d*H(rqR+Yv^1~ThR$wU{1Nd>+<&YA|7V|fCd`C>$#+?p_!1asq`AZog( zm>KZm{u&_Ea5yr5ASaQ%Uxa6jyGz+D;<-66vxPo)4c*W_M0_ZSo9Al5!?iW4>s{97 z2@1G?lW*5FXwvzzKw=F-Lj276}mwPs{q+7+)-?eU^K(5rrQztXTLzC`|Gprw|wZa9O4y;xB-9 z2(e*k8Fg$}+k}Snvyf9_=n+3w9_2*r;TawtbZ>M+$4K)J3;(ZVRYJj*Uo; zl`q*!3{;7xq8fV(YVuaL$Xpg%@UMxh^|AABeelTlqyP8k|LYpJ&4mp=_XR+lFJCB{ z|Jn09INRvi+Buoq+BniVxj8kc$U4q3AauN{opGS2^w`I-dEQCnz{qf)*9SVxQQUAL z#*z|_n2%SP8W$t9rS1qt&t7zTx!ZQ&8Dn-^=SSX0`haFPV36m#J;G)ti}fX2v8853 zkzdxXoob-Bx*URseJfOkcwSXb33pd%^TfY;-UtR|PTSaM=O6Lc%G#H{pd8`2*J3O@cB_bSFb`Wuz7S(DianDJ__8d_)1gIrhuR^+r&ta%@<$X1_= zpPQF6UCuXTGM)r;1=yQ!N3=(?XvIZ`VmLv&*WVsn;*T$| zTY>;x&V;;rR6l1$7@Ry(`cQ%eRTW~q3lmw;-^*q>e5o&U_D7BQNnEW4fC0U%&wf}s z9!R4hk@!ySEqdh&R+V?KRbaWRXv*lk0tczpz+mbFqf2#*zcpil!F z_+WRLB}H>nO>CeeDq4yodKJy$y!WyVEK*RaFJaEY0hRt5JXw`d^Snhaju<2w3~rEK zK1F1lsd|p+3!m&1Rl-g+B+G?)@aAh~;N3IhMD5FYbP*l^r^U9B zy+vHQ(qX^294&Z(C}f9CI@SR%2|QQpMN+3zI$VAoDfK^2(Wt?l6Wmt#=n`sgGCm39 z5$)7UZtH$T!?bW{`?miX9Li0kdM#hzNc;lFU$NwWPeK1ve(GrCVBlnAX6ImQVQl0? zXXoJ9@$dLR0^>lU0FIYqw$5E=32T=V`6(&kpm}?$u>#v~W77P?bI!ZnYqd!;x)x@; zb!PBouZGSQVom}S;Z_=yqk^qPGCzl> z_pNtNgbBOa<>bxwqUm#*2NV;WS5)uh?Sez9R$^pOAZ zDxAGlrhHs1FVz{cE=qY}%z0CwpUepBI%bpq9liq=)}S&qFO|+JtZA6Rc zsKxcBkdFrY!*0s)=%+xVIC%*)1Mfk&(w3{+Q3=n4;k$U$vblO3Ma|NdYR6O9R0XMyV1WG}FxVo!TnPf74X~UAWo>;RNkHW0 z|Lx}7ww$-Db3&~PtKC3LL2vPEl-U3rW_RC^P!lpbDCN~ zc8Py$6oo|1T8h-Cae}v`#J03SL9u#PtsI-k33q(gu9YX&72^DmK_X7y4%ywOsOU?a z`GC&l^D?I$PGKNUe<>_$aCIHoj4A9PEDWOhrx*d~Ul{PL<$uAz+u^^$;Ie(#&+jh` z!oFZ|A^rseI7{x+@2wN5d^6E|Gqe3d#qc0|(iY+PTa-41pJT&qOo4q#Xo45^)!jb- zPmcEO+utQpnw*92@6z~peC^fxpP&F>V`XbfZ*5>l_hl~rH#z(J@h?#Re*NzN(lfC$ zHPHJy!_L^@@A)+U?RPY?26hB8wx<7Lc>Z^>3i!njB>(lkNc#1@DEPm4C;!7Gjcl#$ z%&q?G_0Cn+Y_Qo8ytb-Rxac7X%<1EtS2{V8fa`r}AR6QNP`@Wj12pVMKsoCxdhxe* zq+Cv=k(z`2QGS0rr)g&92{sL4KGL$rYI43B8-Xb*vME4n1WxYdGH0>V9Eo#^kk(w2!dm4&vjdE!lSyp0N8ZVZJ_*Hk#u)hxnq!YP+q{SogQ=~3~zdz;1$5xIOEYf65f1jopJ~v|aq11fD6Gyk-E5pFfs=siz`)d0iJ)Mm9CC3L z+Ny;>gxa>j|6J)(GSuh8+Tm9FEhl9K!*c7>B+TX}2HMICe{+kraVws}31tLTjoU{t zrVq0bEy@|?2xv!vy@Y?Aq2=@Z;LrZd^~9TSOv#Fncz7dVgB`Sq#`du?J|~U8cqR%@ zq}d%SjlfOftpx|9-QPN`r_pq9qK6QwQCJGDXDDzJk>tAo?GseW&>CLQw@0Ecz7cY? zx&fGUFgrA}a3788MfvGch{=Vy`~BF1uMOG4u?tldO(koY@a9MW^>)$}_<`a{8#J=O zBnAD}9(KOIL;1gdS15DQ*II5TZe!bJIqs~lmSsPT!n{^6ZN>7CX3d`MXA4eI8?kqY z6DCNFwimZ=nm$LqQOYL<(X#7Z+SUEcI5e+q>U-Vx5LeJa242X|R0}lptfLxd6$!U{ zFG=##@9~foeQF3vR>Xab@2+QEi5Ho5Qd;QnXz>$(BQ)HiPmy)OM&kt#@(PP--1UEwjOd>N4FA9tLjB^ zYRiEQs+2oNbML?tnehOT!z=WIUt)>e%z`Y^$K=ZTQ4T3gP;x_3a(w9>bi6y4%%2su zI-Oun4*s~PSn7aLSUfZh(U`k*cK4)%LhE9v_{x;t_B5{$Y)n^L#S@!J_xXH%zeMCm|82J3EpswaHvUB5{F}C;2m=dHmH%kxRQzc7 z27KamXW(61)w)F8`jH9dkN?B3>tAdG{-U*%h|K)2z@)<0kodoW*8dhw=H}=t$HzwG zm*|H_LZ`-=nHWN*=xG^26i1$0K)=K%McoHPMY*1gGYN4(v)`QP{^hp*7M*0V?9`l~ zzJ2SW{`T$5(BOY5oc#|$-mgik66e(KnE&wQSM>1VLwg(rtY;m%;%k$g4KsNWSatCDI_S1XA7cQsfSC zX>;3Pt%Gzrd)8{iLr>eKL}8(fWsn5Kk|#-nVAE~em|euW?=y^>gi&K+W4oYkWDF4k>Y#w_C}j}egASoGRj}j|=;=;qcVU!A5S$T#a74EDaT@*(~ zhAUH>^-7E*Y~(1_4YEfxT-?jTS1pc7d9V&6#TGazs4Rl0>0`6+zJGFK2`a*t-`58{e9CNF}eSAD<+4)>YWpBfU?H`#W(WpLmvK7eM-v zOe7pa9P6q?z71PoY2Jy$i%BI*8ZnAQIlJC7L_Ic!8VbQ-k;o>XLm~_jGuqy5m z#JnH;pdKGxp@ZY*g-)7{N7D-Vk>1n5_&TUwT zYlKL5|6RInHy4i&gl-6fEV4m3OeI4o%8XrJhBFM(rX?HdhK&582P)SywoDB{u~^aH zd6kvWAd#LivAY3Aam$dNIz2dpzwwS0!v)XuX=7!eu34wyqCsP$3~gB{G%K~(%%=VB z`0bQu!1tB|{2UzT?I{;JdC%qOTkBSbK8qUyg6+|!>jrS~S}wob>qmgy9LWpJ(WUoS zZE^l5Q~?uQZ|Eh6GFHANVnmG}BdhhIR6g8l#uk(|Hk1rbim-r8@h~HTr+OR-=agc{ zYj(oxe*GVBV(f;-8Ss_pCA%(-si_20FxzB@8x!5|2oaoIuv>2&8$Pk(&V5LZE&sZ$ z^E2m6IA_Ag6|z};amIBi`=!7a^(1s`g;C`MFptoYtczYA;aaPngSM>|@KlI7G)6y4 z$P)^&>e8bG17@rN*^^(3ekeuOj<>8T{P)^mWx?lPf7&W-fRAcUC@RGbEpPx3lxUyM zn!jvJ4#P^>HxF+V1Hvj;Hf`PCmLMgfiuZLos#cqo_1~Cx5Pk{18Mf7T=n9KR>P`v# zRIvs|&(!o+ae*v@5%tpoB|Fh878H2be~1nSPF{r)iY5ONVwb6kZMAKqt^XC#@sK;h z^m~vX*Z@O^*vVB>G*Tm12jnau6!V#UgyD~Jw)`&oq`o#jm@eYP%Wddpx{>i%*Ii< z#Vj>0b}oINMOCsohQ0L3l3!PgzsSQ>w$3k7OessiEP2ymo-0&3Q+}&31ixWx64S}L z%hFF$Cbh+=g3HC!!a8u(>m{q<&Ypacp$Iaw&v3x^!l$^O0>PKR_ml!RF7#RocI*~g zT_&4^kX^I;V;^&HEd_HCLcF)gi#54M^Zd6-Zt2N+)&~hvDnZj&Wv%(o$)>pn3xK_I zqqgNm9V?c_MVK94+8`I>mr%Qgy1UiObKTjLUFX|L=Y`=js~mhDP1>Frij>#k*-LxW z&LLL(YkEd7JH{ICaoV^9{apay8RHPg#2P7GDq;@PHl#%c;zV5yc~j;$(OVa1{2b+Y zT(jINk0{B2X(>NhiEJ%ZQ+jPMT{)03@?9r_Bjzkh09Tzka(RMe%=-_cuma@`c-bPB z9FN4K*vp-kSG9&wa*xz&8r*8;+?E10Kt2*EhU)l(^GfBhZMZsJ&=WI z$qSOd;6QbKgLmaX}$V)YF_{iBP3S5KoDBi@b9;@#1Ii`>- z*Jb4WzI)n)G(_LyfV^5?VVQ;QME3%;2c?k)$~)KI6Wbhtc2L8wN36zcuEFcw(2M94 z@ynkhJQ-?D@W=w?oIi|JVLi_j;u%D724|VX6t9>l$YuN?(a7c4)np(iXr(SH(L7u@ z(uXQR->LjJjh(U;Ri20WnLD(a1D^?@-xyasU7rz`tlrP@ z93I5+qM}tXW@`X=ueDVWq>HJT)@NJrvP!R?N?>CBg!0{KP8GDCJ(8{ecwegnm)Xi} zd$YvT`R!}%92I(;tCyqk;hCA3C+N=Af9T`!`-oKNxwpF-;^7}r^e7U{+XVOvrO{X@ zOu8ReeW|r~MGy3uAazj$Hc=F5>5AdRE!3Af4N9rkMWog zw&3^Px_JUpI^N>UMdvP!&cSJXbcY&m+?y7+HOCI88pdL)`Q`i`!=^-nIvMjxC6=FXgepBMz#@4Tu_gK?F9JF6!ao4a)8xt=Z19XDym@qVo0K!WeC43ZQ&t`sYs|1KGdCX^5{ zpIEB$(?hBuy?)(j^yM|FqjYmU=!3+sq2#?i$7eolA-*Vsj$+Wkoni%uC0>YE zwf7!V4CmmbWdpFTI9`ZnzhY*L8W{=D$2jT%MFh$KX)rZqp;vaZY>>++{|D|r^{eDR zqY;q8U@kb?;B)9S;$+-b%^S!JOI9n;Fl&60Xw+zevgRjPG*ekQT;~1C!as-6=`czB z2CESmsZpt7V+mDmarVW;8Qp{?MbfAx0i`)Z$SyJXX@WjT7HUZ(WBkx~D-=OJy^g=gARO*Eh@K`JM9YNik&R~2g1Y<=^Zwf@LS@e>O>+Z<92(=juf@l3;*|I#|JnL?%T_hP0Vncu+piQ02)vXr$W z5@{2&m*UNiwJ3R0nKCQ`b@XxL06=kVv^);>pI1jmQqCD9vuO^{VcD8{s z&0UNJ_^}L!vAKvM=KIoN{Kta={o0WmXs)n=zd0Qw#W=rz&iBxUo6^vCJA7uy7c<0b z=rm{)s?_>$3cXCsGAVYBV3tWKA=i!Uagi{0M{~_^$N;Tbk8-nH&B~+RgdR3{TbPM1 zZOHM>s01Hf=&31LP$ij{-}8)l9my!PBlo9EM?Qp5?spqQ0O;gU2AEKSWMJhrTbZXj zUcWWe&6^jNcODIsQ*t`!1wz04K@uK`8ja}MFbx#a580_^(jRTOGVHbJ7se}S$B#{> zb@Ov&@>&k1-Q^;8rvK>Qk+!@)z+dVUvn+cWk!B9*o5Yt6&z6Sr^68;=e1p=s#xlR2 zoqymBo#FN(VbmpNhA~?fnU+tcT8;&e?`d~H;Fs2(|5a#@F?+BJW_x-5P3FgyX#7lS zrvH*E8qdC?2g}CG!T|kX+oV(%0~F1a(*@W)sa>~`SiJCVB3AookkMVaYr4zU zK9trQl!dAhEk?1?WoAhL$DH4i2V)v)w;N3&vG2&uhtWq?j-{tmT&Y=?3TA0cj}@EX z7UDPu6!vF{VT4+qeoH}2DSt;rQj-x(j*^Avs#vhkJ4%-6URis?SyyhW|1J0jWFY4Q z?0*iI3#v`-1z!dj*wIowlW#R4Mj~gq0s=y)?MT zIGssi;w>ceP^7pKPTNO(Z@A*s;9)sJt{pgZp&^h^6IglysfhufwSjk5@nr@xZ5wmk z$7lq=eV8*M&X5SN9`8l>^hDCqRXCDhf5xc*p11>Pt`(l}+FFE;pz9Zm4Co$dA@j{oxr!{oJh1 zhBUGD^Xg>^*ywA2gt`yIs%gA?;DKeDct12|f=?{+u!Pc`m0feR^H3i&Gr44hD4S6d zY~O--yXPN$kAPA>%#)cn#%-R_U>ZF!LZ^tCEN~tM;SDCT8x+M-UZz&UM609{Vs+(* zOufmsn`Jd(<;!B3)S-BJznu=!E>h&o3Svsf52~|5BjdtMZ%>U5q~bEjy0zbE7Pc z$a){*DD1KmO>Xa^hUQRMAteTq>D>^YXgFULR)9#4v%rT*D zS1u@32HteXGbx~H?GT{uYC?mo3o+T($|OlDoD6x;OCmieYweI29j@(kW5rV{#ofp> zroG~wm?QU_q|X(cV@(m2qtYsago}Nm9fLa7)j`59mP)?+goarVw2%U6q6HZ9H^kka z_;KRB9i0`a5E%mTi#8lCKoq;(nR$wUd?{1;Y+g#+wB)@K78D;QzVkwV1oqB#3y14_ z%wvVJ!HLkwfk9ee-Sb(N)kTzPLQ_72SL?%wuEG+>X!KkIw?adkm&x;TgYig@Xb(Xg zmt-N_tC@G|cBu_hlr38q{Ef>Ijco_)p(OLXBtOkG9LS0`K)v1{NJJA(kv6{5k719f z+*B;rL{kdGPw{OSDw=xO4$Doc*^v5dnV?O3^}1q=0^8fm}!k^5DOs++i;)|b%; zdMMvddt#+-Ej2X?r;igo#=~tCA2UM`GGCBGA9%GX3MzUDVUp*TUMym&5o@p7r?$v6 z;;!1E{cJ@R^jdl!0}Ic&}O7>yWG*of3LTTjlG(ne09O_pV3_2Layjh7JA5- zAgX4oP$5TR3E4D9 zmt^*<8{B!m{T?+V9!B|Fur3ui?M-9T6Z?w?6-;wl>VDvKDIm~P2XE`&WI9pTe%lUL z#^=kA-w4~terylw`Eww&4k;oeC)futnwX?20+pwXR*2YZ_^Z!=<0fp>;CKINs~P2$ z%WeB4A#R!rJlUT|fy@Yy1=^m)84~1Qois#rKz3AAvYDY)tx3TG6-b3n>%Rs*6mk3) zwK2c3*22j#P(b@5%eJu8erMsLG%q0MjU;RhJkKA1&-VANhaKT|a*-PD1pY5y$p_XE?XSJ{SrF2InX;v1O_5tR$H1`JY*2~w=9kTG zx+MLi=Z5cVNEkn$DB7ryLla;*y39l-Me~MXJ%zq=UPd7 zTkQaM+2%m@{rD%Ze#MR){7uXFZOYN}5)!JBmfjngt0K_onAkOQP;(9Ir1|Wi&Ca zl$Q^4{Dl3AK2>!bjysp=_>cnw4EESwka>n#kHV`FS(2f-gnqgre%iI{6JAhFcCjYK zsFm#8t9g&x5Y zioi%H+V3z0j#L9T=Rl)->t;5*Sw?Tu>GQn7eMjP+Knmw39=+AhI3g*7{&deZJPBie zM(ytijITw!5<2pX#qp1@`g${&+C27@Zj+mV>XlKO7P-wO*&I2d2WAoU_%<@HU}60|qWqM6s_@~19Cvp4gv^LFZ^BdZckb$~Q;kJi-Obm|mX~_W za@dma@|lLLtZu5fQR(^UVv^oz%`S)GNMf@=zAiP}MEPN4(LG}P1%kND z-QTXJzTAWrPYuJnV{8oI5>L@chE_L7o7*3cN)CXW97HV*^iVES+E#6!6h_}{@ZFS~w9?cRrR_$A z6DR%?x7y1%tV)2;*kDhE9NcmKww7$9n6y;rTXdR*kBrkJl7ykdZgzOMAfU%*v2i*)J*Ay5?|QqnY|})$jrv44XK6f6fTycCm<;ziEReG#koW@7mhd< z;Ui0wBUTJtVQy6N7U>aGx@8vaGNaqc=)j6_E`Y1Ci8j@VhS zrnYZ|%asPPp^wSA&`0HejELr3hCs)CJrdGEN%QS=m*fuScp-KF!6Z(ir1n|Svfsnh z;pr*WRbx5nv&~as7*=p{56@F^R+m`7Gw+>p&Cg2&D!8exTcO*tMV4J(y=~Jz;TL@1 z`qF~ERdlw1?gYX0xje+^C@Gd8s+%d|<#TlHlBVGqBFCx36+f?ZpEHFoeTtA@z^?%c zg8E)kE@4f4BRriAA;C=;KRM>`fK+sSb2Bu#0$Sw(otWrZ1^mU#*uqpRaT+D36*Yt_ z7>UMxNcZc_Ew{Z|jA>ZvynR9J-tNQaNQodn*)*Fzl#9z~FGs4`p_~-+ZmM5d8LTHJA9a#yOPzi65Oo<7arb zH#6-qTVhtAqhDf5lY+wiy)2iS$@{)rz%NB>n8`~&BeKNownq3K;UBmJ5==(8Chl2~ ztFsVJ%Zq^1t-?#uZft9902#gdR@&`bi^sBab#rrfm!Ngn;KJMV09OlLmL5{^jn}PD zCh>RM*cZ+8WR7b?hAcC}z`<+m9oLd*nVz<(=&lwVN*#uv4w&02V1;I=XwYX2iFcnk zu0mN`Z+RAq_AYG$?Is*bn;L)b^MD~oh149{FLAJy$YsBeLYmW^Ry1(GHXB@NQYx)O z_g?lMi2y%v9k8tNeKZXmP9$HCkvm4|94#eJkDiheY_C-kkutAil-tE7L-itrd&iAa zQzNkFC4*|&A}h5R5~ag}QoHdvul%%Zy|=3-y87Ly(t`+_jFnldOUNio)KCwOQ+$YS zk(FkESiQQVDz&Q`)v=gRf8DB|sfejwNR5;&AR(;&!`p{zV@h5n)UU1(CiZFlqIi@c z^L#&bGAf3ef3|g((fG$u-H_9@Kje0A67_{VMXkGlF(%SDN8Mb8U}U zsqv$nrRH(Zk~^JX`-`@@^oxROFLjiOP?Dg!bxr(Ha6I!tE9=3B$1EJeoqs>NpdSC| z44D!zERuY*QH9W2g+p}x#lgu(F)ukxT^IOsrB~0F)=df5m0v}^4amRAjis= zgTJKjzTR*|FqHP&hF{7`W2`>=bv(Z}&X;HWP{$<9xAONZwcM=tYnW2{{2DXkp3c%jm^e+ z$30%PT4?&LWcfjmzXhw-2Kq}KXQnClah!qc8fh+i%6&X}rY0%)v$!mjoLE!f+enyCwTj5U4cdGM;3dduC zsis00ZB)vRAeu;*SIHWss8WrM`B;g^OgC&GxPO+6VBHhvMDT?NkoQsj2%xBqzIRQ%la(>+Zzq;N8Z#NlRGz)*Z;r z>lDIp9+#+3_U$k9u^*Ljm=lQ|PvZw99&B3ubY{3&z+IcCzDr_QdaU8ri?a9z#IFKn ze%|H*Ic1pB(u{V>wZ%<9D9g zWpe43>eK}|y|)%++Mh4=&a~2CgUJHLm!|{egPJkg8HFdCf_63uj|mu4UH$a&Zl>Wf zLE=uYvbIE|Se_%z>slgT-&Tby=cJ3AbLf*=SAC{Tn?sG?y>d%iUKMbXTgL9{d9z*arjsGK<4(d9pnF|zFN#iCG0QO^_C4x{Y%GQI7 zShPd48s-nO%1!K?&GYSyu5X#wwwY~&|L!3mar<1WL<1~=rrLooLmN6rj}u6=fcw>p;N%q{FGiA^9oE!NOuZe8GehHF=| z6pMC%*X+-z zC0u!4a_nus`8ygEHy}_{I-TbmzH12(ZacN{o{c#AJ7V2$FFrZbZ|YFVd>u!x`b02T zO1VNFo!UE)GU2)C;5)xM{nF)VBEkCLkTT)ZdiA)PDN~MDK#0|Dqem0Owa-ALgoO7# z%UOX5i{Y2DhHE~*D1sD3m~H7^?tnut)UYsWS=$=W8r~3g37s*@jQZl@hdSFA@iYzR z)2<>*p^fXk@!6{Ga#ysth!{q2b<3U|JGs?R+tdtFN;DSd_3M5Lr*nI+AG2N!mTXY) z7k@#CS+;)QPmFe7td0+V`WKK9OQ!zr9!;gx`tS(Oz zZEa^vxIDqt_eR)9##0Qt9wwG>gp@_7=a~-#VHr$e?De?Ua8$iQ6gV=7#0#R2wsiQG z4&?|-2al*?@r1!+A(KIP{Z1dB)|%)EZEk&&txp3#fg@IidV;9|^k2En5Inu6Q$x2A zyXyi5YY7;ZUiPBi$<)y&51`k<$pn?;y35k7OIRz>AqN{MoBVPkH!A%zFXc>liSf8Q zP{ZBPIM=X`FMjTGTV8E&loiE3P6;e8=EEslAVG>jNJYCBs!Zc7Kb_%R^e?O}g({Xv z*$ng!=112m*KMV!6wJn))G`i!u|QLbU#O)kEy$wX*_OOcqVlZ zE}Q6Ud|_zgGgVv0xcn!^v~)GPTF9FZFxERDab1H7TU(#{=xI#4TnG3#A@LXn(XRFz zlHcmLBGP&{XOL)g=`rB+UNrXfZ8lrdo+8VtOXPnaNVNRw%v01Yr*~sPT zN5LIIvcCEH?1p@IZ^%;oeW^2fYvNrkC$s8doiuLt8S=?O89#o6IOn&vT~aSO--SWq zkduaWO?tG6)#0PZBwGBT6Y{V9VCN_5^HjKFY|=~t_#}y1#UA^K)Qa7VriTNu2Cndn zcl4$a;&hI%&wwdF3Gb?g``{;dJ)qtg&p?9EH8*Vt(hr|ZqV)q*N}49G2Cnbz3P+?6 zaQo%S-Zq%>pAOQu0Zz9TXZ2=dLu$WD`iT7-U&^;MzpP04$ehP~4(n7KY*w*yZhL}+ zZ=DR04#C^JO-))$+eS*UT)qPe2#yFjt2|ZhJkGlZStMOUuuYktKPw~r&PWmH+dS-l z`?cfmM00NaVX5K5vecxo#Pi8v680f!2DXOX11{j@n02`XYR)pmcdgkpfX>CzVj=y<+HO9+uEf;-mI^A&42`B!te|CLRwW|&Yi1Wg8Su{4Xk-uur ziPLLsr2Fy+)R~zFide%>4UI-)uJj#<-2-E#!<#TL9O_3>HocN7;_h2=JTH29q|#=w zMjk#c&G3xBvo&qXrpD$YH%g|8IPORouV@};dSac28cufE9A|h8A-3r?cu$r^)X?AH zP20I8zw}+qFScg*IJ-Egh0L*ZzA0ZA8ZgZKOnPP3I|qt1CMg55n?0-;!W{ds>!fhY z{~-JBY?8tED8SZy4&yS|njTJ+?JVn`Fgc;8xavb^cAuTG;A5|hw`2SfU+@0I<%yoH zJl!Vc!^z}p^On#$Tk`#}3tT3=&(XBo8Aq`3k>zV<-}4JcaP)%2W(>uEGi!UZ>{bwc2Waku<6~cZQn_vChA^F=QUnh^@ZB<}uh{BYOXyEby9jGC+ z=$7(iC|_`8E#p@B3Z_G4)=ZW3Uh^U~E%RB;o>tf4@A0jzvRjAXj-Ps-<&y(b^%_p7 znF}n}U^oU6Br?JSJ{H&(mrfll~@7@CK}19v=&}t$81@ZRPFG z^@m7(HPU2FTw7I4FT0XxdF^p$GwUZW>Hb}c=xHN=rEq`6}8zXI<6WOiTF z{$kqylL8360AN#f3w=@IYaMQOiOfxXK^&_kY^9}E|6 zPnFg8KoOfOrV(>a_G%mO#k)Kt)NX&;?xDLi4tnIYFmN+w+!qIXpP*kN`ibdF7 zS}sB0JbBj-YcqbeCxb!5{F;*aM(TluDqT?nAMndTltpyO$Xlxjz&5HLvhaB%3uAB(9IS9%=1C0B<$#rLQu#nBridgA(c)p{hYuDF^|Wp6vtkqoRC zs$U%WV-4CEbq$PGqO_U%>1$=YFvvzt9vB*58MeE>p3f!~=XwgqG0B|-L`+2erW!ML zT_`yvWitnSHEA^gPBg^1>9pSfw=GOn!*RF$cO2IG>&JCM+f4thnFTf?;>Q#LYe6zu zj|-wOQ$=+J_0X&}==NuqV6f_LQ>7kE0#^I)Bf$uRhnjP_mxWskU$|C#7j^=Y$ZSL#{3o3iD1J#vflvlhf_b4BArHh??Hw=k=kY!?ZeXjyjdd2z+_t z*&bx$Ld`;$8_d*{*v;uPj*JgBe3Oq~5gR-os2EyKh!a9Y6AXnXk(899xPz=d9R5aS z^wT#i`TA{yMk3Dd<3c25-245lj~q1!vPulON1fdceDC@WSbV>E;*QAxh2VRp;3_0r za{rhx#duB|1DLbWGWZ(FlTXf7RhuV|8XzfslJ-T*X6gMRjpN4;R4p_iwzJ8q>b638 z%2E$NR(emd*v-DMNj!|R_j?7sW}%89B8nTUSBpLWy0hiUPb9wY6;tIAEnv2}WO;Dp zQ-d!hRcKq7^WkZ>x<@P+lC&QF3{Q;k>LM|qCXA->K{<46&JU#dGmzX;=5Gm&Z5;)& zw~cw43=g=O>*li#7;h@`NSQl)l4fy>=Ins@M*+&7gojz_Gm>xK33QZk^r0>!S+wpI z8ff+ok#`laFCJ;0 z4p&@8Okf+XhlJ(UG|3&J-R4`Mlw;(;f0Id$!8|&%=DqOCyr-{vLP1~3{uHh*3G6jv z!;W>PBdFe#UxH<}e|)RBpTbqcB9=N_e%_VU@aSBI|Gt+~?LK}z#Y;DGIj6LD=!gly zp{}#qA{JhzJuxjFk9U@&6#9AsVR1Zhb)5TN=ub_-uRaf;l9ASZxgB~hizgl-i1T2y zD5A`@Zbtm-@mlC#n=kY2bij{zpPA2VYMBH6Rr_X6XZ|yb>(wSmCQfF8d+>X0+8P9e zSp{rWiSLQU_Hj|pq4?vngeo|33F<6M3|u`QkHqV>hWYUF*eAEQiO+x0@6v;-RDVby zAa6kbxAhzFqSzbq=ObP?;LH9TAcm1#FuimrLIB_>)kZ%WHI4K@bfswQMXpq~74ZZN zZD`KZUZj7#?atyf=i^oNu!-MsuRPTo@KP0cYl@ zVBJcL%g2*Jf{CVk7aT3k=`8^D_W9YbqGf}{g6g&S7G})`ztBr0pHwkUkuFp)mU|*d ze4wG~1sV2}3=K|nanCPy9sT**W2mYvvdwK}Q3IHn6%+0fVv6mt&RNWlxtdcYZ-1Qb zV5~VpWlnBcONyTf^RhLTeRZzsV5tq%%EXc~5E&Cx*^>5pU5QMtGE8roCz zs@TJs6c?C^BDoqF{PhA8vB`qVR%6NyD-BA@CrXd0hF8fC!BwT~=1vsCz$~RE*-OC{ zf}XUPG=G7E_u)lmk_svd`8Me!t}0_K%U0Z-yAWEubU3T2+d8eS8K9JBB!&DI|2eMy z49630h1B~?yyVo0h{WAYoy%N6c8;uqJbekICjPyeqa{Tyg}+_$i&nR|X#YiJUU zOy@DQGy_K3c1?9TEo0c|;~7URujyMWm-G_P#)RSzjBt?gzkVEN{D{PBSn)H-c=xev zoBkz|o+{-@VtY#p5@eQR@b#F20Bb+&JHE`XjA!ju7yPD(F4?g~*tK(h&6{zRWXhTd zlVTurm-VTKx5L3^`BPnCf+q3ltghS2EX(!m)-F9GiIh;uFmG?>CtB4It2jwX`vF@A z($FVv4`Ymh)mGf^;~GVx40KCVAq(|q5ZM->mG|U*1;!Y;WlK4kf*rg3&)ld=?t>H` z&J8egV&AF;J$d3ZtBD0ocx}<%sdfytDlMjG5xrH2b+qY}FkkBS^P81YBwh48mCg@B zELwMC9R-OmnC!gge99BfMANBcru@6SFGhCfnCy4kUNe#pX~*2E-rA04Jx4d*KSpAd zd1i(was(H+%4xW@_5`M$_iqD?q7y~5WQz41<#exQ-j+OLg>Jnu=02V-K*6p@l;J}{p*EcP|%i_VeeDE6|#g)CTu`bGO{l-Z%ankdWEv_ z88tqFx8>uZ8;ZA!t++R{_NrQh*3VoZ1&hF7G|E1CPO$(5$rrF__JvzzGqH0hsA@@Sz{eHzXI$E+w&> z?qY;6PLLCv0gUj&+PEkM*rka1TUt>Vhr-)Cr{-Udso@S)!pr-vYsh%lR$Jf_>8P;E z$!W1d=|1OJ_dtAB=PbikJF>bu-DtR6)!WoXzGh?_)(#OOGcu-Kbo%5!P_HJ~lX@D- zkmoou>+r}-c$SS-8PUL#;tnifl~FwXa((}x8(IsWf!iz2r5AST;90o04pb+Wz2CQ+ zxW8u_m5~Uv-d-F9`YHdDkLVma3=`%7n9=!=Q(j26MG_Rj4wyB8E59kt5$UC=z&hlT zJb(>m=Kwd^MW=UlhveXUY28sy`H9XX_<*N7Bq07|%%%z3%e#X3ZFXO+UQ{V7aqF2< z#EJLjhhPCA%I`sjIuuAYm;|c0IQn2h-5_l|hsZ&4{bFd^d=t)`)Y7%)CtaX8y98^C zi@WZDfnEecHg0t0Geu)O+xVPNv8%TOq5JxL{8U3`9nOzDgSGlveWkbbT0=F-quP#m zoJMe96{#Hc+SbdNEaZ--;wXIT=`Zp8#fk{q@J2rq#ZzNSfNHb29aylDZRh~vgesI> z46Rh~;xSF&of<~SOp3B}%3re?^d>9Ex18<4C4Jn|J+R*5SKR&UG#@$bmqnBgL`n1O z24%F|mW5RWGAL5;f@#=L4nH{c^mp^w-dI>kw|JADm!12$PUC)x-&DK~mN6sU?s z-WVEW@9+B{^7Qi8OJ6Cd%6M3znQ2BY=WUjL+dtvL^E|@u>|D>FAd}$e`!owtkv9=* zu*hmfReN5a!bcIJdDJM~4F0AeBq}9E-0JUo7i4R4&A|fA`8ZXCjYSzBrM=m;Zv7-= z27)hDY^^?V5={QObDGXJiOW{sXLigc&{?v{eNRFGi+jaD@`f;1kwi#<&2{5=itdgh z^a2Ui%dF3>I=ag;w_)k+=2i`=_fSj5{ZXC&^c20j1#mAuKmQsB0E1E3UbNn)&D-^Oco$nLZ?*1-;Eoqi-8;KpBWT>u%mAHn6D~9c8(3ecuMF}|Z zBHM8nT{|?!)o2WO1JuUe6*p=GFPDTs{KOhzwgh2hMnMhu69H@JFR5#7&`F7I$QbI& z<0hdqBgXgGz{+NjCyUHtMJGUnl-atis=TF&giOVZ^l%u3nUS;t*UPjS*(^oG{Q3Q9 zq7oInm-z}#nO-PO^`H42PL=d%%HB`N9xMw4#|f$xnn6`a`)y-7)}pNyscrX%VKB*a zAp;TGPIoxoQYg=*b~%1U4sm5x&Z6=TFAQwZr4Y@%Hulk@oM|UIBpt&z#x!{28Ipuk zmVq0FqYdHhj|3js|0*_yySm*UfTvXh4g@6spL$vVju8h(Jx3=88dodJ1;sVy zBb3Zd@h>cp#cA{1 zr6=GaNBCl0xQ;)l6KbHf_0|N=sI)jIk;L1TDWy?$!KN~l6KL2;;9E`q&^)?a(dRa4 zMKCLh>Z4l-#0ns&FBFH8gFNMR$#sdQJHc7%WFpmP@(+p_%jkZ}Q)F*046Kf4i_oy_ zPctNma++tdrnz{Yj zzPO6(z0Vy}20)$WqB8wWm*y%GPgAvXKI-S(UA%R&)k%<Me$0=M>-0OI&%L>xzz{gFHp`|BDC*vIT%*;$iM^)6oTuUj#1l%Hl70UQC%nupo& z!TyvE;vCSk>|^WE5SLLh(!D!Zd)p^PXWnZahaayZn4iG^Ds8UuK&4RtDXReVKak@8 zh;4Auv$r<0Hu;-*Fbexa;DZ9>;ynPv;Uy#}^_?gRwm*iISr$v(zq$ZV^21fnxpn8F zO6H4{MivdeJ>x~bTp_vJS-XeDH;{)%VfMK9J!QQ+gTOt6rV%$B+491{Dl5BG6SI@< zR!8!rj8CYhG!>dtb!?Y}Bby^+wX|;e5D@aOe1&!~<+b)IvM9VX))?~B-RS;Qum9v= zfCTyj@5oJkO6Ucsnm+*Iq4ID3_`je$boA_v^mP7iLI3Dmqe74Q-XBIEN@Fi7V*4hl zvmPcn)EImwu@g?1at?JTzebLl#fekP9Em|T(H z_lUvg1IY`kim$o5@VugTj8O}9go#>SB9J$#7hP)F*Qo0jn02hYg`YwANxt#xF+!Dc z$?^sWe34ffWb*5+k!73XC8`jDFx>W)6}L%b)rac!{}$D)SggIp9BC_JYZ{qv=XmdC z!U(N*&z;fF_f^5}cY$486u|*{gQ+d%R9mL=yY00_cHGNJU~PYlFErMDVU!{?62pTo zrlF8VbCgx4TfZOYSTc+?<5c~ch46*#1Y9pfoZT@7>I2qV)zUb{K;cIk@p=QJ7}8;M z8ia7UAAbv_LjC3$Ek(5@wJV<6lD@i%?r)(;0~2zi;*q=rV)6_lTBl`~fP{O~ggO?9 zps6cKJvsJ{Tsh)%#>uZxU>J+DE>C=M!HWjZ-y7>kV?=*E)$`rzyihj%1WsgM!ky-G zxv8?wb=`U!2SL@r+@Mh4&I-$N?pjl69J8zYN@a+G|&Q`UGa9ub= zsxhwDF*Owle#gb~@bH)-)b$s!`LnRYTnOG*1H{DuAUdl5L9qd>t@>uxMus{DmVYN; zlkI`-rGxEwqy%mwBfCHw%%Gch8qY$3Zyc0cm$&-e-PN4#hs)_oSDgH)t<8@f&fgo_n+awWh2+cP~R15MV51YZ9#+&N-^H>jxb&K1B`3}v#LRzd3}6B`AL( zvj}q+&1YtQ?hq8NnuWO92xM6oOBaFvg5lQ3EiEItVEX5e`P{Fm@pK=0J{(j{@^dwy zP;ifzL#(LguAqhkZ^1r79)r05Ff4!PHv!DcKaYQR8T+el8QI(0*#FJ4{A0Yo>$1%6 zW3E5COasv6f7g)xb-aK2Ip^{dQ2<`9&RdjQ;L2I~vamUG6~WyaUtN-x+)^$xVW**< zEJqf3yezvo>bCV3hw$C0t!f+Yj^J1Kj+LGR3))_LAk<}RR1alVA(=5279zv8jot$q zK^IW814(iR6#O19e0ZXgkJ?r1?VD+&e(AAV;p1s*Vs=~QHihAZNgnUcKvV`%#bsou z{U~e}NpC0}U_H{|f*6SB6_ed%M&Gi~$BtPf($=-h@=;DNtOA%bRfv}GI3|K{{)wO6 zxux}atbW?640o->ck{Y!x2xS{<=-b?gPVVk5?+;VBRSEN%PMo{$_LTp$mP0< z&SdzC43zI6?9FIKJ%50oQudOPnyS)`eMbW+j7ab50nb7fe9DSjKA4*=6EDk9V*o-ckgrJ)9QBX zUj=5E&V4f*ATay@uUHu%s{hW)_g8@dP`Ulp_RIHJuK{%Um688vbPkWW6yNQY--(hq2@kyCDWZqI|Ten>~WSH?P%H*@GQoDsZA<17I8 z=EmHXHuGa{ z7Sl~x7$)U3w9n+85o{WH*3dyD3q-J*5jLdX6VO#WLxOe+r#D|F?D%ceG5ucJ+BIlp z{{!Tv{e^FLGSv0f=~fX~neeeJWs&;NmpX8Ry(qUPaS*w*Sn{s4SbjWOSZL}lzY$6b z>D4*gIzyZ5$mY%1m)4vTGc7M|B%5>+vlN(|t4YMov}nVwHAEtkZ!ZK= z=34A!D<6%NObmsEFfUfo*6bXAH2OB4Q z10yE~Jrkopd@EX`69CJO0J{CkJ)jNB6+*8{&h_H|6%>>3n+;N56F6Zav6l}_p7CXOLmAKxT}!#mP(0)o4|{ED0k9^PmeZ+)h=ZIK(bUcK)kk zd@uWOyZhr60Rj-E|Ku$EOAL~rWwQ#18ZU1tC+%y)#dQ^anV$nELM!t7!ObYPMM$#p zs{4#^ucZ0#2%zKfm(7c3DsJ^(KVQAJhi0y~lR&h6|#aj2Wz|BAgqIt>O%tLX={nl_EZ5a}eRo^)2>ySA}olC;fQ z#CpYqac?m3-ZaDbISVsJWJ=->$~(5BRPxdRw%f!>eqnNfV7g>ux43xfGkL?tLa9s5 zUS`6)0O+`7uabt^&Ko);L7}J^{mxlZ$FiIt3Drytk~3dB3sI{?v?Fgv<*jGO(B`HA4t-{2ChJF zZmyq}ja`Ut+ZlEqx*u-7cKL6SEgd671%ay$R|`x>r)kY^onvMzD1n|b8=wOW5%jDo z=bxqF4^Iz`d7bzH)%+`XA{xL-%!1@pfdb{0*zB1)+BeRxr@I9>9ITUPPtIq;|C<2q z?+bP_QfOu&K+K{5_V+)jY>sADfY{@&COB>kV1f~Z9$&)9lfM{JKmj`vP>NcWf7?bQ zc9xo+UpA5og%)Fy>*~A%acePk+41{T02k~K9KXrKg6W;>G}>Tb&m|72ODR>kx{=~( z;`Wx>?S)#Hy^CH2kTN&=>>fvDsZsrju!SG$Att&-{v0vNZX|)=rIG6#uJY=A;63^# z6+`Zzt+jdwoOf{44IzynHoc3?RQ@S>XyOK5+(=qp>mhrxMRQE+;gP~6iN71rT}=|9 zq=V>N38urqhXP7T717H&%>r#@(0@TEl=y=U3zranAtG5}(*y+R1$?$Kg-W;=uLz3U*Izba(Lwrh^L1 zjG;rV7^(!*gznw7%g42`U|X7^A#W;ivK|q^e=&F z6unFz9YP==FfB!|n#wApS#}()pW~4@`H=k-3| zqs>*cup;?B^=(mEB4!=Effq9gvV0b!$3pXqi*5_a^lzMbC3$-df1!M8C%^C|EV=!T z8$}0=(sjh*(Vx3W5IdeCOm{n6k(vpo*9choOXQr_UL|7ccDn*i3rK2mRup6M<#;vt zLv`0=OB7S6OJ2gxD<%>bo^egb=%|N85+)4B0mBjt;d`1Y^N|LJ=83Nn;!n`tGDL-7q7pIck;Hnv1@<6zG&VCTt2QkH!bMzLG>0`mC3VV>R*8 ze1Ihr`UJ4K&z%z0y%J>Ue12s-47kdYxV^6+k+t$nc#G>Qm<{NZzr6Wz@yPS2aj;zf zdu;MEsBin94AB2w`DFex0`^zq^$&CT_rcQoQW;g@0GknjPV@g`Fh?s}S_4ZP2O~oo zTWgb0S!t24(3k-7KA^8+qJn>dbwogig#;|jK=rRhk$^v-CVWzSKtQ!op09H8fdBCg zMCAcCB^VwEC<4&m0T=z`1p@MN0|Gh;2Lj>*c+eQ*4xE85KtRxJWTvZgkUHV&pX zw)kQK0{8$rUt<7UD8OJ0WhS{ODlVZ0Pj$Gs=T6W8%Li??bpy?M#A^%brC{iifxs~^ z))M3aBMJ$o5sJ$8`_9M`D+|^lpeWWr5JQsDqYEig*$6L&S5>q>-rT(IRoI-@KeoP} zbxf(F0mT*5CMSbSe2E!FzVheHI6;h+b36m`{er9OOAaKdEc{ES#sd;zWNacZi@+XO z2lUI8yJ|bI?c6kjuQbFbphU!p=g;F5AjI@~HVi66v=CMji5Qxusjw8xWGZ8H65~!X zKE$z5Hoe%d+^OyFeJ81bhBsdI=U9P!3rcKomb!ky zOL5YM0`vWfthG~CPC`uX`+5q&eQ#da;J7v@V-g+)nCA~jrW zQox{w{c9&X_z4f6V4xK> zboL2R)*!-n-idu#(ke*^?5We`+R&{ALcI$qpm zP-s5-WvB;Vb6E&XJ_b{;A-?adV3Yn5+n6n1to>hgQ6zm$bWz*EVGuy|2!06u+$Z=Z ziaZ-Ujt_1K-^$l1i+}<(zz>B0kHqgbZCrv*;a`^PUV`z0%MO(pT*d1@tz`7%?hC7Dd)_I;IhiK^;+lA!z-y=5NlY&9lk>K3z?Z4*NAf zvbNV%pO9WGr7;C*oLdqL!03g*5S7}eUwfnKRe`gJH`Whb6Sz!qCU>TGhTshR3NF%L zx;<`t(L#m|pB!D@jnk9a^L2;R4#t(dopclYGTLO%;i~XS*puah-5a=zH-^*{`3#aE zfVh{GpCmWYHulQ*Do&KR46!owryz|TQEi-Sglj6Ru%|>E!AY#9=nOG|8N>;~3HAxi z2}!EtA|Xdy{xI+joIS%c@H66bB&blYXs?7HbuC|>aGrp^=x!^qw!Ffun0=#Rm0{pM z)X48R26!lzu8Cm4~X(l@BLtVq|2PJQ6fwJhnKTm4s7Bz(}2j zMjfau&LNFe)S;2r&~FB1GV(xDDG`)3K1QABkgzxWZKQEzBgx~tOtMS7Owty~7Wt>_ zm%^N4gp!!T8l9cI-f{U;`L)7|LLrH0+KysK%2RT1@+u|HlI3dF;)06(+=ME{LPtI` z;nbqDl8ge)DoRu&s6}Ix51R4pKyy9ufla4zzB_SmlrCjrlQ{Pj+v?lcu z^-lG401(QLU4TowL$gD~OIbjuPliY)UuZ9jR69O5wWL+0UdBONLW5G%qFlLnF`+4= zDcQNoIs5?xr!LBW5;GG#Q{xx9R*-ew1@{J)drelLcAj?RrjwWImV9=3myFLekd>}g zH-jl1Iz2H4JBBf46M7WJOoV6TO(c6{7M2CN2*%})3x*F5jN*0yKxTbf(6vAbluq;nnTAwT^cliG#6pq?7LPmadE!%RHjtM^W^#DEe$ixZ_UY8!YbS< zp(+sTAJ)0n3)a!=3+u@18tW!pRGf?M8MlHSFRm9i*w^wm`ff}f6Yd&r)wdV-1ka$a zim#jx3%3Wa&aXY*o8E2jxE0fyJsLA7@NXS&F0VYW({QTDR|fc`boe!-S7I?{vf8pb zbR?{#Poh`95sqSJV_tLFb9<+==tgReYYuCkZI`aWQ$AK?gfxZFMBr){c2IaMBrZUgD=242#YX52W`qb01r6bbdQIJ#Fw-6Fw(pwn z8LQD$bX1sFia4`*q!|p6?@11>4I~f9kSvp&NvB9VidKkb?EJDVZ>5dnj6aP#jDL@Z zC-D)7k};DQC>$)x+8?=!bL%i0HCr+BKLNbhImx%MTs%`_7<=4dG2+E2|M3e{ab`-f zOID;sh&9P9TP^;Xl#=SVV3Q*=Z5xjWt%=8^VU@>4vxS_6nuW)W;w|$*WTk_;N*As= zUUR33|4WeHS7aYu3(giB z%=63_O+U{M*UFoGsPxgasF}&D$h>uWDOz75PgoMSJXaCB407h{96zPUr8g&cwBXv{ zlEsn_k|Hl3);8p%X=y2Z8owJ)C59P&bMk=l2=ox4^rCDlV=Qx4?x>co=+xTNoKr4W zZR>FM#`D;k_8xk#bP{(OaJq!Eg!gg$FUMXvR4%v;JMQssU{^7;=avXW7+4b9;$+FTWzwsL$$WXvgmN(w(`;}ex z9@lsD@9I9&Z@EpGv)c1toc0~Zok^XeoQ0gfX5DeG%vr5%eB{qW2TPY`m9bHK{JMkP zk?cv8F>#oh%#`3^ap2y0bCr>tdWS(ov%Uv^fYZEdb$yO|Erjus_A;Se)8cj-I2;`* zk0{SvqN;Yf)3|H?G`v=L^*!Jnaw`9=#ZqD82v38@$*@o7_)+wGLZZ3dTC1&N_hH-i z_$o8@ICh5Pj6=ai;i9u8+0AC>V-VsPLI}PPSD91ZbN;ISV#+E%DZh9~bLa&Rf~S*b z64U2FVvzRoJ9Jh|wxVR2B(qGaRA!oUcIUO@!Ca`x$rP$Fv`^wk<3sD@EuOc-Tcr=P zzVj~F=Ron6g!X6`=biaVc~+}$t4Oti4(&KvN34&-6ZM|eR@;?JJdE09?Ik78vG?)E z@$Gd_@w`m-2N+1o?c1;ZW57-T2#~C#q7Xg;0zN(h9T`3^E1fJaudFPuuB@!CuB1DfsCwC>fk?I)lu+s1_WrlzLm#Q4_a#MG3;EX{R& zF8h9N`(ACwd;;2W%++?z=W))}an0vd&eav*T(dAc@_E($`(*FodjIqD6W}%f-{b$z zz`rvCDvjgxfa~ML1o;%vRk%j2uzu2^2kj_r5)tUnhAWncs#%SHerjY{;l2xoWe2=%RVpJgFIE+C0VH`q2`l%7#f`Ag3%n9U`lvDZKf(# zl7{Bdu#b+~dR?$(dm*BD=Gi%I?_r)K*Xg&f)ONOSsW{F@iQA>X5|HEsA-DsTmyAom zIpS@n$C1wlPi2F$USHiCNGYIOu)`q=At)Azza(8;UX-v1o2t;0;(wo9CRfNI#B%Ju zmx0{U2?+rG?LsdID!L8jM$^r{i=kQ-8uU;dZ}mhiIe-C1y5JJ zTqPhUp2b}oEa_?hr2~hU+~ggdS~ym|O$`ijA^O!n%kHvQSFSjEvNu2@gxoMS>h#A| zbEek(JvgIU1RXoMys?*l7KMD)^{yV|Dm5rJG;EKz@F>CekYdyhR!PJaDf2~Xsovx3 zGsd{N?$7TRx4m!>ykYn@7@=z7-}b0!H-Eob*IXB!vMVofZLR+5Am6-h*PflvxF1M_ zs67eqkJ@?cvb#nta@wzv@&Gxvo zv6y=Z*+Bhkp@Kgz)4Ig8=wkq7er5q13;$ycFhKg_Umo~hUZ?);nJa6^tkI!(PgU2r z+GMgOGjm2oiXoeZ&|jOjPKsfg4`rPvD33;GZ;0m?!uB^LB@eSLO&w)i4y&34pZ`)= zt)l*5CbWx-+_S&i3))s&(-XrwF43KA#74k0%cp2w{5Z~RF#XMRPgw06mEP#Af&9tj zrP2saz^Q^ncbUGqjg^n<%MpIbts}cI)3zo3*@NJQQ`hRiu zj#0LBUz%^)wr$%dZQFTLCvDrdZQHhO+qRu2^X6OCRbAEH4lwy<^9Rh#7OO zSifgJvzgdVTID951CF7ruE%ak&pGy?Kv<=enQGg+Mqw2Q=0)>bQl z-ml74tlcTZO=TN()(-MwrR@v|O@6u*pCf?TJs{}cr~?Aq?fa*pv>wXFcB?d2{Lxiq zpPzln~AW5{x}-_*jpOXixP<2JAthKb)t9WhLjb%8-Nubi6W9& zY{ZplhbAUg{5;ER6bY^F{pjx~`&$yP^m?W=IJ0E2|5Xj74vD2r^pn`MeuO7H|96S)zZZG_ zdv=orCj7~64=A0zkN3>E3q|crIxOqI%pg@S6S)D&*8kvbZwwb|eygsdg2;9|(6OWU zcJptGTt3hZSkbnbl66+yoFJ(ZUe{Q9Lg?Iu)wM(02}$*3*L7c5hQ>QY2q9)Jyg8pr zj#4s%#k4-{20z8>W#5i<#OvERSTU{-Z6*1~t3s(xNE1o(2Do$uzgdEntO@}sB5>Wj z%%rmA2rut7=ip>okj#C9ucmyVFPA|nV zM&`#2+ZJgunitVmI6N5-8lrHTZ{447TD@aPV7h1GgT)WqxYkKoq`x@0x$5gTS~j+0 zfU-xit$)J32NS|F1~LfV<71Nd48+ZzCQ~skQUCWrg_Q&Ruyk~Uv@3Pn5BnA#vQ(63iF+#waxeMkVrjpQutL0wE(? zy&!SAoOIlDH}p{agm`2@p|Ttio>}u8j&66f9Ub|0&UN**ZW<1bPrvX? zPV`D;H0)50M@L$Y>@_755m96V4IEHplk~OA*Rj;uC)yo54YrLG8k=p$rkbCQzdVQ6 zK1(_&wZ6AC;Bx=0*#k(qElGDhZL>r#gflB({hoVrHr06Cu0Y#l5nMVwG>Rz@@!0>{ zAlZ4G=~i>K-pum15z$lb@}0GJAlSlaQ%-A7DHDwvElFP_a$??x;l5fMGSDV8)L^<%-;lYyqHGMrz#>SC_ zyV6O|mOHdfVv9-}^-{4$ecnn;k948Z$}+f^>74bsa(neV(9twgGT(h%z(4>Ru2_hc z@~x(VAwH{RD_~6qohwz_I{r3(o*(0Ei>K?j+5F#jI}-)=HB$q4d@!y$eHV8Xf`=+D zGv#l|+M0&)daIZM&4!U$9%!K8C_fU&KLmYo!nY3)(RC}xRZAuByrbYC@T zI8q7Cb%SX=tT%9K&QhB5JX>Gvt1ukIc5rGjBzLKi-`VF;G-h`0T!SSF@u+U^*2!5t zi6sT9!Uu#B4ZHuzNdI@jK>shszO}xUk%f`lKW!%e<3alOlVQ~sV-Nl$v41w2fAM5C zwm+5W|8M-@=fMPk7VA6x*#SBziU|T#Pve~ZeE1KT5Ci?G|1%r>518Oi1_0n22mtW@ z^Of(_k;~p|lzk{0Qp?rLJ&(2HZM=2h8nSLQGjn&hj z_7l(?_TFHWZvZ2-fAWXGG(CEKG%;aGB(g~Ynw^7zh~aZR!9m)>%A48R3TGAeHY4G; z;xN)Zv$4)^k))#LA$Ct^?$gJ#*iCEYz&(>W56U-Zj{9NY$!(9EJ zsax!d4{*iLuL3`JkmG0nucX!g^%y!^OOq)1e`;_Mwmzt>7X^OmB{YTY6#T0=LY{l| z5)A0zo>n##<;y6Yy>wk}iX?%wv3|TEHB;DQ32dW6CDxhUCj4kB^(Ok%aZCNPNRyN%}X4MDrhX)Eo1%v-eBIx|~Q&)yC) z%8@DgHn_8i3^MB`c~*8S6+@7ffcnQ{b; zAFk#5!?^y{G5vqN06*pp_H?F>R#)0?4jZkg-y5GOtFG%!T2e%1156jD`%+=;yvkA; zmyRYQLUFNy=|md-jaAaTo;$#<=l6(G5-$CiQat>-ds#gp;BU4#vYE?!y$vN*Le{dM z%X#>-$@Z@c9baL2OOK*LRjTlf$@N}1j3aE~Hs|kf*s>Drx1)Sg?d413=K^IbOE00O zf-GGcUE9Pd+qiQo{;-Kw$M>_2I04E$e3iFl7jK^9)Il#vR~6X;(`neh5R5(oMub)} z&`v;G zE;LcJne3^2WoSLi>X4O2u2@)d6l@T&nM9iP8rT69TaEz zQwMdL2Icvg2ke7{3-A@tI0A^7Uh>gy-uY4G@vwK<`B6+-tsqZPShxkXqhz<5 zYtPYh~)bG@HIJwG!12f!_6EqiNTHYg!v|Kr&aKaNW(?(oK_0b_WVA}&QbFm zY16N+E@%IZVqVVWLYy627lY40h{2gLnm^1?QIv4*!3(J0U`rJ!B$PR=O&(s$vg>5Z zi(nyLrPWV7k)H`qd{W|e##%0N*7ub8$TprES%_{2Sqb2n-7rJ(?Lps4JM#qK4r`3y z7vB?!8$;26?B^Ej4>>0+Ew7f5o>zAF^5w5#9OY6ww_uFkBRm4nAW{;^=(d}>d^Ob@ zz_Bd?4x1d2Ke8;u@TOv88Hz4*ilR=~U0%TnXq7z_%01!%hJ37P6ApN~qx|-S0j%2A zDguMiaKfP1{1l8~Ydb3p7E15M3Y{-S&(Kz{M%-IWcflAmXDwwO@1cy*z7!&x1Y$#vh*C} z>y>X*;$`Isp8j+Yc$2g?5fv1$G)>^SDZ+4H=>bjlDdIp! z|MJL2(>w4b(P}=1qKyGK{|Xt_6@0jy5Ufgw>GA#`hLpc89q>pBiwj_q0lQ<1Ls9fD zSEg0y6yEp1{mB(^Og6SBa|9U)Dd1u%>1P z@4WWn&ECEDo z6%Kr@_}j*O>GgsZ2`+>ggf)^8jS7rr*|h%q2G< zJWj!SvHB=R0&sBRZ^uATIS|;w%-ihNcOWFDNW0Ljx{^mq_PP2>L~68ku9GI9->q1% zg1q`-iX!5E#ZTSy(Z{+|_W3(AKZObi`U3OK=Z_>*lgXAKs4*XLXaGy1} zieZrnrL#D)S$H96hy(!b?pYCXjR-UsNWxXuCyhK|rn*64k%*jRw+%rWh7qpGwsB!r z6GV+DQs({uFadpsN8wlsGtvn$n`3L8$AhI79b)C7rbQoYDPdQQMF2s(PMPWEQr zwmg9$Qrj5N&XL1)6~NgU(y&;#au$=y zq6clfZ%oFSW^+`Z`4Fc9q#tf3U7A0mz>~Uc!QGOsq=#3~!KRv!U%e~k442#h!}n`0 z3!PcO7qNp%lIOF-{IrvMz2)qtswhv79BeSYe;l^A788%UfBredclAD^KGejJzM;6X zmA3?~CfKN=#?MIq`LO12T&(0itvaybgL<#>&jCEi2k;ng_#a)k0CNj}fuXZl?Ly8YF9Fzq_N80zS2>Em4Uct+vt zU9vtY(mGq~1pyW$Wky}~;DMwnshOg}E0O9flVhjvOxL!yTUZ$aQaw}6Jao%whqGSd zNMzD9LZS-M#Ug?0-_y{R=}d)z8e#tELrO$C5Dvl{2);6?Uz0Ed?T@4qpjSIEgp@Ab z*n-(aWL^vH2f&UDL7afYfB?;A#etYOnU?d!L@h1N!X}}~EzP`cfQO#HXc(-mvcw3< zQGJ_+fZJOJnIqRJLJ<0x>U_zho>H?N?>A zYkIA{dJj0r+m=SbHF{ADFbU*^JGa}o$PAULf5A}nI$SG7z+(Cu%{!Gs^5d#u*wO-> z8f_!wdQ$kY=Sm6tQ3~0y3Jg~<2DBooADk7hpa^HihiNnfwc(sS#Z-SBmdnUa*T{Mk zs%?3nnNB}BON=qKH-vYcRd3FExk@%5f=wrSIl5p4LR>SXi!nZ#03=gbQF-V(1k7VF(NW2S?khm8doCKn5Jevhsj^|zoqtMJfZh`(WgHX}{HnC`9sVzq5_(04>7iOL} zOc;&3m1A)Z4^*M=?il(qb=L@{H#Dqc;sH$q-~#6AZ9U1=V zqc651qFaNS?0D z872)45#z~V8EB_SMN|@lPHR_XZ@H~tdZ7s6gzyA`3#UJxugF9!+PInK`K=pwmOBA( z(39-#%)Y^#><_yZCyWiA?^~x6h=ajKzeDefxgk+crYoBw>ev2;dX=s{w;dd3H}iyB z@B&7O=TMYgi4mX75h++F@HPlUwHyUUQS>1rQT5GK?u&jtgHW9Wsf z+l&I)I8pc$X82P{DP+ma}2t2Jw}FP5iSif zU^;mXuAv-7lHzG?h^@(JVAnEXUyQVr!K)$~Gz#UqU{^Hiz`v&rn|1lOsdP9-@Zq4N zDo3OHN#d0&x+S0C^~Uxn6B#LDLndzqCVNERmRTyZCulM!%5B>;>-5?+@<-BE2ERmi z7^R2k*a31MdX4mK@rmPVC8lDu#gxU7nbFL+IfxvkFjf^`h?l9ZM<%fhHwSrTm{@Rc zb!H17=k5S$7X2_NvBo6{9}PY3&_EEm&H`3l;7sN#QH=z$X#p|unR6^OrOKW5uI+OO zu^u|vJo{pN0n<#U?le5HM_mrB+}JiaeAq3hv#GI_zXyBA(x}fF#pUTJLp9nipBHYZ zcKQnw8t6p^WTy9?i_k;xI3&yDb6i~djWA&M(2E8jeloW7Xkf9Ee zm@t`T<6=U{?yxf zT}s?*G$NF!XqB+AV4_9UF#jRJ@kO*q$~`sfP^}*$vqbKbuV_+yxNrx;f?Q0{3jNJ{ z1q2>`$J20Df+Hr-YfG+92jLR0TQo=^7|PH+Ib=N#3OR8QA3TOfB8?ACsH+jq_S>&23{=n0w(1xPlk0b@zG3VQUDT{Mr%cPzWHex^K!8;EAk5lHzHMLQ=hO)EE z;Tq%89nB>Pw)Krk^2%byvD%|b{?%^I_)pEd-`R-F4U%!Alby#VDw@31a}w)9lzg;j zQO@!8=X8vCS*pz{>vy7)@fn#3K_&f-iT)Q^36qm?Zkgear29_|qy}D>PtSF(T{*d& zYrx04{+zvqeT@i&g&`lfiYOR2F^@*G5ZW^y+Y=g%rHB3e(aS92e@r3}CW_QVc?*1c zSR5GtSm*iuiID>YiLfF3yLP+4relv97JhV5LN=6I2V@8U$_zn_&nVeewRc8+7I$J| zc3s)Hi%ICdd~m=ryjOV;Uo!Xlel}~lg(azOgO35~cuK4$+yg`#xR(f&gjSjkYX0c;*1h1S@d(U+9 z+iM=P$H}Mpv1}*zOAsHGYue!X5~p9+dJL3#&0PV|QLX>C;#DotcbBHfS4~s+>xjkp z_kU4z|FdRcCt!tV{G(W{|Ix1g|9)k6v@!hWm3>Kl&U%9l#cM_lVO$DIy7&+I#W@#H zyCX1DVJc$DYJq@)8WM6iia1r-`%_2a(Yl1@l#T+w85q}o^@4q`op zpAjTmtyvpl6LEFb9*^zq*qoO03|kjqU2qO~TP#CK;`zG529?gn@_bLf8A^_9KKq_I zXOUvzB7arXg-;>f@-%?7wN)(5>9N<}M8#5W1O9CAOLqb z!5h$-2ga_!1aY*>up3Doz$2MCjCPiHwAO~lP`1yGa}5OAAL{5x^`$Ks7XneMu4uWL1l%Ws3C0Xlc_%iI2a^;e zynpNsCD#pP|WdYge*2dJ!;*n24xjUmN=PLXGv*}Jp!J3Hy0WwIZ%UC z>}S`i+!kFDP)^sPzTcP1>B66d3*UYJizB$TvW3&y?bhQgz~lvoZyl8~`)>>M@t-A4 z@FmkHb>&^#A`OYn(BYDRaMfSQG^|)7@!)%s_c3hCan`TmGH_HMM7FMK4`Lyn`uBJ| z1EdZ`Q6cQC*pzs0QBd1g*4@ik3C4qG!?v%ccbQo5H*VD)G;gPYC^_(me}8xsjF#&> zUGN2L<<0~GPijKKtED#=@!iEOFmnwaEjjmghf>AOdR7^?jBb0+hiEPUXfS8*NWw|U zXV-@Bb$I`d)UGA;y3W!k^#5FP`=_t{{5q_}!q4in@ef90`WM$6e@ZTNf68smY^?vW zSx8FQuwLg!2)_A?qNKZ02`gYlY+H!bln+Gi!|H^p1xLQ0YVuK@WQW zTSgy*zs5js4fd8|*@R=@c{Yjv8{9PLkUu+Wzc4!EboBS_A1}TF(S@gj6-3BtthjJD zX{Kn8ehY50i4bsuqC!FVhs<=0a2|5+5=vv?Fpy99aU^tBR<>OfU~MpuZ)YNqYQPaq zF*xYs+*gpH*Joo#Efn8?=pT#5dTaWP7$FS^S!G6Bsv!8>wEcz0+QIM;-{9BAOP*ev z`In6nT#PO1AW>K9wdiiK&&$Sp*DT00fg{dJdDU#AX@%YJi{9T29*@|Dbcz9W%4uZd z$d~Kt>W|~!u;xL(wy+HqO&w`z>D9RL0?+TGA!0&am)vdgD>$EKCbNznxhkLCHM9>Y zo2}A#i#-jovV3n8SWIVL@5R-}sm96}O!H5w6QccIyx=Cs=sSyq=9AVm>x!_^T!qrC zPlGM4f9p1}Jn8yu8Z3+G8$W(^*UbHuRS^wOZ~i^EP7%>^AseYqi_o^R4McwUNt+~b z|DOd-|Gp~wrJ5L$_Y)oyNdG$R`*+tH#eZ`%`X{wp4yjwo9dICgNqY~CmL#phlTy;J z>xdK8)lS&A15xR0jZn!HTfvdTH4prLdt#TJC!wXalG{`uF&|-$Il-KXBzG#|+T&HP zZD~1?Weoi^NX&)PD|cG%8{zT3UkwsxEpBH{H5w(LF~M7SZE1!b#0*^Er&|17DSYy9 zl0ctD2fWb&lOD|~_AMLU!?0*u1Dr+OI+H*W%iIc0cDi09PB?Y&2uNZdL;35Vv^X@~ zuQ;mWuV4{|G->p&i5`TreL6@BccMY3~@wq1+o;au_ZlG zxbN6Gj6Dd=+PIM%%lm?&6mm*&`0@Xu=AtB?SNvc1?SXV)O)4z^azg5so-uWKXM%7M+or$k9YA zvQp5ruKK3MhH-F_7nmSC*$5ybb;VgH4D131vgA~co}(Q2!)JL1FkvKjWhu?Row7{P zkU=tf!pU(ATG)MPGp!H)fh+i1B9 zY#!hj@1+NSSQnGuB@dZ|TT4K+2hYBw61u@DIYF!MIm|mQ|B!dk8LEJMH+ey?lnI)V z?DV!m)AfVrx<3XgRt0ZHA9}^e$I~2BtfYaWd8OPL`?(ooyj_dGlOeQBTdB)hKjhLd zdvG7UpdY_HG?wQY8Dn#wKpOOYDj9{X^;gZC&JZ-|$Y*?=0YCVl7r2SaR^)e4T(z)O z?V2aJQ8^y$#46&Ds#qR4#ca){8+h+6qlfnZrBy3^CM-*XYL!GNLbb`hWoT$C>JT~F zy&!|#W!_81@q&3KNW&u&n$$pOgJE5viF=6}h5B)SYhpI>UWTY7dee-iQn1=hC>Rb+ z^PF>CNpP|Wm0&t+%4Hoc+AWT=x=6Qhm%gTLil?;h{B_*L!NuX)LSA8$BKx`$DHDq4 z;{3&%4xOm(Y$2ia44-ChF+**W zv_BLj5W-o`Rr~!tpIdyZZNOmDs-}%yjR&2_{-|u#IdA8@q_UpW-n5#Twn{z0=xRy8 zk~GgNYan8TC0eH%OC7m-oZ&7|)>f9`slGnQf)hmCvX!f9+S^eT+Ok~trs+uZ_hEaP zn-y#MYe61tY=rhzdwa*K%P+3!nK%hHsvqi64RY(5etIt^C!ngO0=3f13L%(h#hkT7 zB`JTbN0o>6k9^0Gd(R^#3ha9Z>FnM*h<%1JvboSQ9uP_iz~UH+zDkRYmif~iVz-p% zrpQUgJom+>gZq+oCYVe^&!XNm#Y958GCkeA20q8^$6crK-(%0JeLtnHEm~0Zv$uNQ z9vjas<73p@HdlJpn!q*crzg?EEJ)V$xU6&qx4V4C-oW7GP$$odNhnW4u z#pND<&c-opa<8Wzv}(1hW0VN|y08EAngIa#XIS)z)e`+lc>w=xwEx1e=wf4UL9c6N zs%vI#Y~#u33H9GcSt+x$@_)?5ynnds4_^KMBzymMI!k>wBYOrq<3C2WO^GrwKPD)_ zH*ct_9)3dSB!BrgupNUy%1xWBW|A*BqBKU>@H#9>zFmto{8XW-X_dA2U6~#xu|153 zn=D-y1soj0KS@$fnwQsH8@7DbJ=bW(C|5dDelL}YyVcThR;4kiC@^3PY2+@sX@zW$ zfppnvvi=g18n>{;MW?h`1a?d%JQ`=2XO7)*t}?f^;klWW%aSp%*wlpJ4Yy6^J(`Eu z>0p>u2!LWbQqVDVO1dXR(7aCKB(<0oAWsZ5aD_p;E#ShuAdLX~mc!Iwu8KyVX7pp*8XN)=g^}a3F+X|8Gv-spfgrOkiM)<97GLVbt7w4 z+Cqh?OrQN-&apKB*t0s3)N((6!9vhWG#xN zRZu2}<9^{7RD{neGi$Wol%6Op}RpDCalo1Qm^x2@w_g zW1BCEZ*j(`e+#Ec_iJzY#ibp2epBPu&lSr9Und;&7h9|Wzjqi<=x-m zmgCRmpEk|H3BTXpi07A9R>1vg`>`|y1%QJ*Gom5V1d+@4cXqOJX57!Ek-t30y^P*5 z$OYkbchEyCjAZ!7%_+LKB0R>05k0I_30;QfJG@Xk=k&zvCYq57!tgOGlk7j|CvZOd2n5Wy&5UWZ!|J)F1KA^o8h zROwm>L@av0*F4Ley5gzsQ!gW)DwT3cwgN||tmyVw(jj=2H5saETgpVg6VkKsp92Vc z+_#5%xgUzIZHOr-_G>~ODlg`Q1HyDDHr$jU?)rQ`PkW0_R?TX+v_Q7?RIb@qO3KA9 zp32b?pq4-gBF=XCyV)K*1!D|&@OpndVgW~pr=>^v+VV-ZrkJv{BG@>J#lu4p-Nm9t zQP1Pk@Ef_>;LFzqopxBT5IuWd4sqkqqrZiZ%uBcEgwEy2Q9a%YUsoq|gty$l!=>(8 zYEWf4v^PXqD_JUs#r(!gas~j^y8%qBWhimFTf_D}v3~RBCs~sv zab>Vlae6F+o|=U=dxNt^nApUFY^BL0w864KY%J99qIz?iwEMtdUO9GCNVG0|aV#5@ zPYdup)~%({TCm=TRN z_wnW;`m(gOeR*r2c@>V#t^$XeN<7`5)woYfifyulRX9Py)^h(*e15^x*(OX&t$3cF zjrjmNfN3MAPZ{H;jDc687tu&0pS2Klh~)O2Ka)1!FljvMc=tpRn3LJ%TvU^XcEC}N zl=Ag?n2i=?|C1~1N7544jv!g(Ck!`|jiFhNu%IT*>+ll^0P$a9@k%)F zL+`U+UiMaoSoc(B9(uPU-A(pGn-^zuvtiBQAG$J}B`Cm`q&G^?__iMRg<+0UU=DWC_4kV2s2H*Gv2;**LM4} z{whHo+lNu9<+>HG3SGcoSQ*H`4!d2{BZ~Z^#tyU{p-7tA20ZEWTU1xA+6P(p^8WLr z(df8)z~SX>U8jUrV9R;3-IT+LV>{H}zq;S!EBm01)$K#a=Y^ux?Z;WP>vMHR#z-yfk}0)X zh{AOaP4;{AUy~tlP2daGGS$Yc6$un|`{s~b5tAxZ(GY!QQt@b{jA~>qIetu+F6%n!Y2ipG9y7{*ITn^}vZMw3i4s-&}%owg0&pFXCJo(LAk%3Vemy=lIQ$e$S3j z-Rl+g21v*8oqV3^&ToUekd{AF{rI2Tyd}`L@~T`TRvkAzQHt-@!=zm*2sinNV+U8c z)*K=ANUhg0WqijI@))Cz(y3SK^{;z6m+!E_axtJxwB0VRB{%poALr|ef9yl1U5Mx| z0gCX$JtuAVQ1e~kkxFV`_FxLQ_D&=ir4yD(Vz3hDQhvcHIMjq_l6Ik8j>{8Vra~|X zxgbMm_E4B9Xg6;6e8!B2gwF14?U;;eITOivDETCCN&O;S!L@{dg9TH>gl9_}G#1Lkikq*sqri;Y1`bf z?t;AG(9}!-w(Stm$P3hMv!Y*?Eh>M$e>Q2W*bL=grV-s+alKlB#tHaaC;wPuo~@sY z$ww_yz`r#M!`ZHgp<3SP?;<8nZ&FvrZqHX_ywE3%(uu-u+|V@w%AFKsQ)*$c?9>F? zbV=rt)wR(Mcw)MyYqNnryozD8TY_H#+MXHZ1<{^nd7HIc;aFjJ`+N|7RLu)ieJV2x z$h@M&R$8?5xy2cj3_g!#BXo_Vb;HbT)>G()4NJE9Yd82Z>N&nkeSIm(4}))KPNyaM zEnPCA*lC0t)q5@>j^(G_w)d!1vw4391Yr{;$z=T(ndks{r7n$j#vyG)P=KeO0`!B2 z>_C;*r&XM8DLVtm^W>A<$X%Aki;=7?KW%b`LL2AQCLO0h>~i zXvz0Vy>Y-^_m$DNsoe`*s!29e>T+wf7dd&CXLC#PJL@QP%z;fjt^iZFufRy$?e7(}gJY^|*Kp z2H>RD;m1p<^PJwyg`Wj0;Gw@RP{_$knqyDcLH~3s(GVE+Oz@njw%I<-EVn zcmcC4(pEjDdJ==g2Z1AIt-v7JdRp$8q{=n8$D~xYIihP%NE2#q?6@JlJ!k7))x48V z$&u&p9kSyDTY+L5RY{vyEt9WnN5oky~G1G}ajnRp%naqp*F-1mVt+ zlJL8_qisU)3GFhz*L7Rd4%6=#(AuE2+d1c*>?UnqQy6j2R`=(&^Rg3yWpkJE1m1AF zy4QQF+)W#}_!5iX@dvO4%)cpjwKTyS3~|$i)axoHVPFq*HSj`>QkHiRClfI^|N3V4 zJ|z#&rPb%D&-4ytP@K_k)bBMlrFs7fr@pnU3Crh7bJ7}?fNp7*h`^^OyEwQo9|rO& z&z>2Ql6@>(mUtsr!JA8=$@sFJdj8G~T5WKn%Z%zCPFRz{DPnxa8EWcsq`=^Z-8#SD zDuIXaf+@Di&OSXd+E0zbFKG1O4Bs=lfDSuJk31`JL^lM6kr&ZO^R(1!EPf{wOcK6A z_MfM)N(TVkpmo3IJ$Id#3BXD!$USWmP)+zKZqf-dqr>4@!~T@D|C&iC)oqY$w5){| zv>n=+Sp|sn1@Z^bqv$7rfVVIKZ?TGpXv1x;EAY@~(gOeq?*$k5;)(y#@q7#Ci>U+L zxe>he#Bs#)4Gq|nS>5QpBC!_CurhrX!cZ?pw+GA_8TkuDz%?rp_%JizJK?Ku%K?u7 z05?G$0PJV$3pumnl_+qZ_~^$E;R|k)|H!^f0OtZZl49}#KJmJvG5j55^hu5O#}~(U z;B!n90G#k)*GQlLP;j;;+5!>Nn$UzWuSe(>9ifA#hY#jR1jq*~9Hifm%WAyKWB1W#UA2#G?Qq?qVDCG8`4J-OW>nR6x44^9@9wxXP zh!`2&;9p6&m3I8*Cl1wtds;nMB4kXAEM-7W~llnRajU^*3-Bbx3 zIkBm_BeeBG&L1_$_c?$VT<*~wdoM$P-z*jYk=&n3__d(;YaFx7J<%ALom3<+Ck#Ut zZ=o(UbmquQLD7V7R01~JI8+}3{M0w1bXI;2*!l{eN}EGbzsEm@8F~Za6F-H{^x_%? zVKw2%DY;<+UrGEZH01GV3ARTn`}MzpDr5w@W&0;9`jt&WbZG)!j{?^2gEabks=i)s z4Zrk(U`~L6Qu83Xv0lG%nOyGq2-EpG#$Fu0m-!!heR+hZY7Y4>9OtHX3`4dK#R}@I z87_yO6A8~m0_dODb99PLX@RNc=5^0=YIkZ2iXxtM(6F50EhopKR=IYv{scSEZ@lg@ zzCLKL>{}=hQrc0Y6x$P~mp`Z&=M}^8Ks5{-%P9k*D7-~(sUAvVVtF4`2>aZ5SnyvO zj0n;91B)%be)DpC`gHB?;11kKdJM@7NnCJHR+q>IRb3rT2IA}7b%DqXhQLPV~fTYsf zrz6k(ZMOkVC*hEeWlU`&Ljr&moFxFY?GY!)8RaJ|S(K8g&v>&WZJ@qUL%&y3Lu#lh zQPa?}s&WVL84{%5zXdY{$QA?2(*pp41f2$!M)1jDSB4Uc&DPcw1^hOMoVW)wa zpmPYl1}%_q{bJ=bKuXW2vwpdC>Y>>BD{vGm(45#tl6`S`!vY*72?h%Vwl1M;S*%wY zhHo56ryNQk?3>yKnLz50BKYOK5~6)_OjwrUUPoC%TrG{Y2ek zMf#p3K5nLY7{Z_@a10+pW%wRSHe|C6BL=?!j@J02@PLb=7@kK91p_Te|DGZN&VTJ< zk^fDkzb%9HDd6^F_9a@yCI;JP#I;y{IwC6ye&$>}AbWIQ!SEzR^qJ&k;_tKOIh5S> za-YIH{t%vgdq^Urjb=VkTGJ=afEav_$-R+t5BH^8!6%;jmKjNhN6wRj1>*Jvwuz(-EB*JK5>*&kTL)*&mU^Pf6&$8WW;;W|qO2xZrg8gM< zN|3^Z*Kd<}VQnZajjN;wxm~wvi(6kLb8apAXz= zl}PtxI23kL2MHATW#r4y;(fa7QjFl6>u7h!36ZNV=%-g3n_l~{i$^Pcik{j8B%dp2 z9S9MspLE?Sj1;zn#l29xLr~7!n^o$oKnh`!?R;S<+Z$J#3`A+L^U zIo@rgxV`YkNCLU#KgK5vqnQOYoR$0s1iB1xJG07Om z&Unz-XNppYrU|oZ7BuUSQ0el!d2ll%Phg5M^Z543a3{CP*FV8%vfR(;In4n1g)Ny-fe9umjGTVd? zwc@x}d<3IK(vj;LJcj03A7|@zpOXKGN_Rf z7(6uAcWX}P^5Q-!Ps|IH4j_*@m6^}8Px5h9Z12+GK9dX1o!f)!LV;bEI)fqi%l4k> zz-@lQbtcipOu9U4!}uKVTG`Ez=inx|R@7rR--JDEv%wQ?bJcvARxuU+tV#_4vv3pc)p*>5z< z1Q>cs>_&0b`>3s=s&Un76WuXa$0ctO05bd~?(GlG3z7)Clw)_s`ZZ5o} zF5Nkt2Qh1;rfzd0bee?ab(Bqk{$NrIVccw-$0at6Dvc`%Amb7ZVJ>D^N{yLpdM}T# zf25B&VGvC(vzN)u)+QD!!eT1U+}A9!dni1(2V}9+Iuo(p1qB3tSM@3SN^N7P*EY0i z$DVy$xfP8JW?U{zGhg0EY%j)0*W+1dm{sBNdJ{P@ZyqIo(BD2~oa~lA5G~&*r8O_s z3?^{`6w$Q&U3;NPB+pXLl%?P9>elDu*2z{3>3$U5E9Sd7h~wsrBX_yEb$9zTU0~Dl z?lfyKJCcxV%IqG-g5bS@=F@WB9YX2GMViN|rP(a8l(i~ueYp!m=nbJN;GJSOWvppk zQ6oE`Q-`k1CDXZoIQ5u3y@j8SHL`a5i%~4lhWLi`(ryNBYM6!}3Nh(8$a|90it(bA-ALB^(^00aEigAep>`(9DkT+Y~C zb8=of0arqQl8Kt7lSAk8mO3j$W(&=*9$F5_XBXUWObD*HK|h>-J0NKrV)XAgwmQaN zs@4Wu&J4w>)B8KRpy^ta`uS!;tH2!wN-qxb?32s4Xp5eB{6nNUV_v|6WZdbq~74 z3rp{ZHhKZfX-2N)y_cyN0fj3~fviSqCDjy9nY3-c(%EIdVN<$F*fVr1?<_lNRw;#8 z=OFDsYYQ*Xdm1n1*g{-}M10?*PB7Q>Zd49D6TnqePeh6})b54bp-X&tj&zRr5x}5tppt za$VF29rhSswwaozseVyVs1#Dnj@u_ycG{V-$BX`hSxY)ez8ig4xl*?Hzk^JpY-Vhs zIK##N0x}20iC9~sBZRS@0CW}!5sk3UFh}V-R9RcuvRz7*$QRbIQqcTkh%yW1gt7KK z(CHi>bN!`B%9*R^klRQEC%TwM{=h;x3QCy*BIG?7gqU#1rq!<@X}+94PJTlYWp%mm?@7wRFB2aP&c561PoF4AZ=UH z4)_npguBxNsE~qdi$~iSl0x3)6t&>~0Z*d;13Yzgv>7kgc5JvfV14S#!sE#Q8^>(P z{fA>(&Flc}$kC)sc=Gm8{XW$c^Yf-Z8PxUpA|}R<68+wCbhhrldlcmg)3FlHsX_&D zZ32f(nOQmDAs)&>#jBTjP_pgrv$Rnj^`F|Nrfq2XA(>Jo(RMf@Fj?dx&x?}DF>UBq z%o#DrH11*bHvb(%_1>!CKcD~rT>osm|0;(1KZni_l>)p*LA>~%ZD&0dWo)n*5xO3z zMF|R3fjTGXgl)~GJj9cbP)^;Bp0Jjq>eLSG&LrRNFc%6H9Y)89-@K+8Mh+MqPbH|` ze>o}PMlA)*>49j;EQ9z%V-a2Ta&rj7@{tI71HT#Qpk45UxFyqLblvEfL@_M}co1qH z;IYc)Mh`3I+x)c&CKu&293OW1m98XptN(y_zf_)CTtRZwF&hH8$;BEVWB~-?Qy3u( zJI~5bn?vYoL_!`sT;aMLO@hfLL9kscR3Kphf4GCrGm+h}hG!1TSevO2Tg1aQPYH`I zbhQ-Al0C5W+ifNF5VaRy;EOtRWrfbR5!pNo=r3uHUC7L`zln&nGcx~_Sw?C6E(nj{ z!2iS9S;fW;zF)cxHq6jq!_3UkFf%hZG+|DrX{cf5OqiLOnVFfHIhnxrw|nu|lC;_j zOSWanmgNh-=bZ068#yI=uK1kUpSy*rRalNDl#PX)>pk=x%SJ9;eCwNlr?Z_o_QX$i ziY+AAyosrh2c_pIF^>__PQ$WH^Rg2^E*!)tAgwmTSYR>1=T`&w=E*UimXzf1-9z>K z2TKMuesN5``>y9PD97j4lJ+uW6hn9sEu7pWe-R>AR2^4@nzl9!P(z~Q9etTkKL1#y zM8w}DERRkPA54u$ygKdfnD(PFa}CTgpX-w~wtt_(=V!Z?4Iqyj=VSd19xAbLMy~mr z8miAP=A3d)VQ!ULjE>TBR95NX$iD@tCsn{`c`50pjnpSzl_8eKsfj61pYsKjp1?^l zIV{5t^?aqe$yd51(Qk;5^R}h4+$)WMdeb30qFmQJ23;k%mA1ik z>%FKIHg}g!7ahPEQmaNQ^Z~1E8E5j!h}7n?sL58K7Q7N87N;^OND_xmcj8-sDJ~C@%(Jmy8jErt3!Qt{mkyN=X=)FNlpo7goozbL34POjSFX9v z23DQUt0uSh-s*>=F3qCNaXH)CMSe)wI`FhV?(iw0Nj#_NnI=o;CND(?%z`f(E)RhD z;ASlWAo}n1p%coL(|28ccmduBD<8JEeIed2LK0ojP&1YXWs|$#rh1DK`k!7c+NQ%n zVt_v5b8#*~Lcv}tST1|9KU>|WziT`U2%#aenkN)nuN#WPb^-fik#DzLpM!I%O!@wD zck5i7){F53fF1R$Jt32* z2$w{DCz(=DoBU{ql94iHd;nR5b_rO-MaxbcB6QA@hw~Q2T$n|D9_}HBi8A6y0!`Eb z9R=4uxx5Q{F-BnZQZ^uQ?O>jD$=HZG3|L!tdjlJt4BIeTDq! zA5r{rhw`)qv@8&%_RbKz={x%`;*_@(4<@tE&J9#YfMh>0)%^%|L}5&PUL9NPvZf9EftXzl;!HSJn1jdAEcT6K=*ISZDKZeEHajUevLystK&xj zSYYI5PzWl~pe!HTbROc6`I;~?*~z0HWSjWc^^hDK6hQHo=f1b_aGs9Wj=AC=|NF3^ zGdA~pSU=C@O48adH4bjT0ZS4_w+J$21$Jsvzf6~;_O6ZRB%GMgCh{sU{S4Cgq&^9E zeBiD3GugLt_H`xFk`n75Tei}fci%nn`F@I;R^8-W)ZHvEb}&$cqRS#}M*xE*uV9Q# z62I>6ZoJQinV4>}dENN=aS=UT2KRYxhL;};Mm@QkMR3R*^;DTMjx{nwvw_H+9Ogz1PlzA_#_qV!hc1*AtohRUSO1 zNA&JI7K1^NkHNn#wKB zmGJ)2I4eCa;ZPK8Ff*G-aX^<_HPlob`kuvAW|d4ig>?>3nb-SD!je_O%nEFpAYoX*sLaQ~e1c4*?pbNXZI=bzHm*rXug}Vg1QYej-+lw!}#_X)t zdL3Kz`R<^3oMOLC>Ayl4f}6=t*f!c`9t0O1b^bPd*hK8ly_S3T_2e$fqdPtvooP*M zt>eIYTsZCAoTgs(LpTIAI(_Ung5*SwHEqK7-^ptq6eu`t>Qyz4#AKt~;yzz1Mo=`y zn)Aw>sR2I5p1zY~V_YvFz=U=(Le&SSrLk^N%))y2V|G=?Zk}&tSTD!rD*x?=)xf##l+-PIHsqyfu_+i+JQNFqX#T4c;{S9!q~UpiHzi#ZnYJCSW3HU2 zPgcK9me#)3zuAN{$(cUy!d9>8&f8uRhoyI){Ljk!FcIhCqs=6(rR~*BSDhhSxm624 zeN1We{y3Dp(aYxT*~j{(I?q!Xv-=R^H=vWYB!BJaIsDZ?tAJk%hH3vz`NdvLjmv|kI;WTSWjgiIs}N%`+IC{1 zaG^!juRN1>U`k7V32OUbNvMyGBT3D93mkm2d4}j0ou4UdWv!H7H{D9RUaQePCzSP# z6&kO&56$>=SC06;%wKqecj4&$=mEG!>A_3X`D_E9dT30_u#nEgAXW(`cg zT~%v#*WV22(^zJJ4HTx})Ew1I&;&QKU>I~8S7_TQ;1cvk7&umXF^0%hmkMZ*yC6$l zWg4lE1h*zS6Cr3;+O(i>TTofwR*fVcID2c3O`Pi7nzpPkjS4iS>Xp}Yn>H-BJ$GNV zZz4aZi%`GB2Ab+72A=@D_8LP#D8q~IGv zVuXwF7!b!(W1Z_8_!^nI@+~!q=+sN_A9(|{qdEU$)E5jgDlZ4jJ8TQ_g zd$)K^83TWAn%Y0dC-Xqc${&Z=0nqZF>zn}6hqs8k^UV)>F28G6d|U-B zUw?4VR3jyFgeHNt1K$+E#EWg#T9$sx$@yD4+>lF$0k}jV zN%8#MGNo@2H2>mS8R>V*Hh0I+wz#%GJ7p_q`mhTKI4gW#@!~A1X-nxwE^_u1s!26{ zS$j2MyK-OZcFvx8*>(v;{s2?`_u)c@PI_Xb=FrLEpJ?%K*6`8L1?C$(Wbg>%6j3A_@{)#--YL~$Q1jx z7g!#3pHGE8t9+P>hLAmulm6X1`D6X zq%tN&7>vICQuLY^%9guobStSbDQ=`HGYT?$1}i19i_)pW_NGbV2A5{P7L7AvTp0ffqavU zdD9P=jKi#in)OxO=!_ZA65ZuCLVw1sO^%csw(Q8|ds=VDRIKa$W}-8`fe3bMiY~Uk zprM7Ssqy%Z9l-!!1RML3FM6Q+Y;F~=CCCe)Gc?|bwBF?^ z+W{D_VqnFs-65v%B7mYmw4bh0s;4hFRwusb3!)!iGsydHsK;nqz$=G~+BiK>x&_JO zpQWLW>)3+x?^mEuSJ|lh?NMXL`);#a)sT;V)J|Wt87WNXrMIozsd}U=h8?P`ylEh4 z{qF7M=cI3 z%y^G*v4>f*9JF|=LkwEWlb4au739xv!yV{napb)s;~FwmN^CzIWuR3oYb@iIiS8qg zx=scPHzB?ys=6dNwHSH-$RxbaL|P6f2-2i7r->=f{HZ%Y)2d2JBfi6|Ck0U4Ub!sM zQESESkmNWC$h@d%uY$Z#+})uL03ut#IA%V&kx2_>27N^KDxu1YScOHYnf2zz{ekzW>6Nn65#2eKSdwH6H28TYK#570qnpm zyEH!eflHr8cnZtK^8RSKI_n~@f->cZk5c!VZ5IC6!!=Tc^6GT>+Bfs=JwEE@N&UIL znSL1@NX+fNXkf7VZ6fj#Ec-d`lxIS{?yg_RvSzE^hpZ3gs$bxfKN3Bgz1OyDpPXP` z#|z@~j_*2Ui`1;EL+?B5WQ^3#>A!!&P1mlSue*iYYluwMChDu;u_aEtq`Vq4a~_xc zcU6=6)}6)FL88F|)InujU;qWB^Og?l6J8V_u zlMgSYGx60RW#}<_Vg&KbTdo)BRM(`$+bgIfUI{d+>ix^UyEYSVwWfT=E?s(uam}#1 zw!x4j1idK~Wn^{H+h7ZhKk9zX8;H~3Cj@NYpzG9cZhnkEq_pw%qC~%6s^W_0YBLKs z>>)_3u1Tu`Hda)7FmsVn%gX|NA3El}4_o|hwe4LOQ?)vEi6ktxb*~<+5g#rg@}7*3 zNXk*N9~TQgrs@{}R+sOBuSfk^LD zXCf!y%sW790uoi4AwGcr<8yp|?m8*`gQxvx5Aw?oP{70+-9KQOEF{!F?exq4DE8hD zqqSAV{qjZO-^Tav?Ek8iGX3jz{zqeUcC~eJ{?GpZS&6q}bat})s(@Q_^j})4in_Ww zHT5Wyv^=B#ObN}+uT-8AJ><%N_xSfX{aSW_JSp@K{tqmMYIYH}9r5hn+p=<|Ni%rN z3Cs`C(gksHe_*Z~zcRb8ipZnl70Ht*Ip{a=l9YVHfFR|^tbmZOV_ZhQfYlnu-7 zC6;Z)iD^tbA>H(UQY2{2>Fa4hBjfXPg|m(eQbBak%EG3Ftfe7|(3VM&G)y~!rxO>U z68TDWE*e23G{AtF(v)JHWTz1! z{*v@H#A(08AgQLwQnh9)5gf0`?Fa4{Ne&l*6R z6D1mz7FimA#W0iI5@7977DksH4jW`8M5n4ouAMW&<@q9$izgCq>$~i7MAs zJv%@Tf1NVY9>h{eKSq~*nKJrSO2IHoj>lxUXYqPe482oUX*B=6?ZQxKE$lp2b{ zLM7p%IGpwub+QX6lwyv2u-W>$R3DA7ar5m=8Y7n>gru_Gr9xdETt(UMRBGdAuZlP5 zS%O#}yO|Km8K+tv`f zW@?bYPbAA2)`<_s9n&era<9->f=2rDcYwCcs+bJ{Q5#Av(gd4Thh@Vgibpznd4+^) z>R_sUlfbUg?6c<4nVn0sZgpJ8TvpJ_=#3?+6SYWk*_LlBJI!Z($~QqMwN8#@ZG6p- zeD7)-?~S6i8#8^y2aFbrck%9-lpb)#c6#>RCm9<{Cr$BTsWHi>PAKfxHHq{IQ{FY% zK3mV;aX93Xg?R|m+jD{cqXQw8QvuhnJk4kHEA~n_G%XueU7Gv}FI^OPoY=1E+!O2h zWqQ&Ul9{-r&>FdMKY}^yW;u-6VJ?9MSvhV+@J*b;zUx9Dw0o6%Sy&q?A3Jg`3YvXJ zniPm0!we2ouz5vNMLa+p(L$a=ev5}O3*O+{@ggw9vwmw>934B+1Mf#2KSRX90Gj7A>HAx&Lg(af@SOqGH$MQdd)w45~hI z2Nx3jB$o+W)aCT>x==?A8IrzncH%z(_P|N$gWo#=nKxlfVF{P=%(kfXB}Qh`)?Vdaac?x{Fi@nFltQk0~F+P+taNt}m^ z(CjK#-KBpkD83R>u)j0k_8RhLe8pu)W|%sCLvU5J@1+$84^<`H_v1P1*h3w?;n$%u z3oWQRh9jSECyK^LmzYTty<7NMJW%ZWsw3;l@JwNWN_Ey48&6R}0W`K`zq8CIqIYw# zur%9QqHDY8{2sn=g3qCrZpH>wXF_Z{KW3QbvJ(747k1?w#%ZNQJeAkuqY9R~rkVQX zC#$;`y2J+*(G2eTl@-y)(@^k%v5E^zbPzgdE^x7m#KNo1umJ+8x?A#(k|ABh$is#| z{`v=D^OVI(v(v3D<%!0&23i1Uyvn%)wOEa zPgT^fI<^-%C zfU$?pfu_Fi-?{c*Bi>Sv9Wg4lbb-M`K+>c0D1sAtxy34XeC=q#p)>cTuChLFx-9P> zSASg5o1|yylkcEpS#RpR9LFO;0v;0~s>t7q2vpdtr##A&>Gs4V89+8 zdADL1Jlk1E-JX@jALhvIvu?>Rjcwyicl@-_cCakm!jC3D*Vcij`xY&=`pVQSe$B%=~2E~?IaXN5<-`0Iw53{Iu$r>nv|AX$790IR9dlGOUI(1{D_CzuFv!8k;|JzQHN$(3i; zkOE0kA374<`9tS%&D#XTi^IRPO7ph}nS&|&(Wt*eczr}{hLT-_(GndaIDYl4G;~2L zqTz=EylY2EIN1gy?$6u+Yht7M+97AHjb9s6j}Y|eyiquul5#3UpZ3qbRGL9DdUJH% zn_3p7&X06Opgk@>w3gw~f0s#;z5`U%SNb97Kl4HEXPtVq70{JXI`pM@Z$UMJBB!(U zm8drr(Yvl1W^bZu=-M*4_e{^{g@AmAuK%beAWo4YqrI2=hU)8ODL}^#Vj#KaR zpd(i0l?9l~0RJ02;v-*m(PT$=1If+82FX?Nal+for^gWI^LB*?B3@9mbGPG`!9{c( zWR~)CCL`;l;y5+jICZ?s@K)-SPgh_nmjmtimMoE{;DmMapHv@qbocFx@BQ2*`+rVp z8IM(>tEE$(uhQ&0M2^@5fM1Hp!lb7sWRB`^;D9_6AF?TNR%Bynmz$MKY##`*DrW@* z8>Om8FM};0Im67cwLpsJB>6ct!i^eB8c&{yB&^6}X>T5S^1XsUaX=j7 zl|Z<6rTS}|7t6NbqZ?#5cB)9;9JTG@7$nKfqIxR#D~Yzk-UAe0zpo=iX|i z_oTPVCEUNC7N|EZDb7l%-hE4PE$)i;2u)~e25$Ug@7Nsu^L@WLZ;T5CM(N}iykpFUsUn`%V>+=h@O`r9Ei0<1kybgwi?bc2f4v+N(nG+h0yf#=g z%eltQ^_Zs33v6xY{V>|Cy&IJI?=KV^?L%F1WsmWJpX+T;Q>D;;DzcSXuJLWzYuRUx zfR!Q6TmL5yd;I2!{?f+r3a$r0xTM**E-p$m@X6;U)1MjP2Z6B^`ql47gEtsLsMo0$ zdx}8BLrB2+R>ezMPaBKTNDCZVA(fUL_!m&-7o0#LlYuPiL_gr@+{Q>542071%E z6T)L9;IOgp7*la37~z+BhAs7vGNuikq(8_U7sEe&QDBSoPdN=}nt^|LwSTaGCt zUZ`|`kiw;zCH$tq)EbQ!8cSad+A&?|vr$jKOqbK`$Lolxzm4qFZ!M-z3nUx@k0GEJaS@82<( zb+uhC29FkXfpe_i0XNRqimpP57(8&yWWZ(&33P(tUH29^Y#{Non{RO)bi$@$!7#Ka z!;Lq*^1Rd= z5jdQUW)9a1%A@kF+67BK4WyD?VwJq(mBYS$px3Fik|sr`B*LM2i?tGPkBW7GH@p6& zh(166S7}b`6R^vR{+arQr5xcBt`H~|Dg{+q-%shfwG-G}wQ{jhNx$xfAWbb|uR|F9 z)V0O!bJ7Ujy!qL)_i~teV>$Rf{%rYaVHPcs<+Db#qM0$I=bHZ`5PO_a28}Ps&><+J zR*uko+i|ymKOfhQ93xZ-*%3YD7E?E6|EIEs8!$!aJNESiPfr31-{F(QOeKvdse)|K z&^ng~>(e2oyr2bp50; z_{Va(hl;)m59SILe(Hrng8sCmy-wt7>L9<_zCLEN; zyo9OI%BvA}`U`}p!=hQPdtdJH>pHbpmlC`Kck;zlxH*$@ov0r@X8i62_YCx82Hx_n zqC#j-QN;+0Xqlhhb|W=!Qf4EKAb4sL@1oswy;+{-%ED`dc*xa1%cBkfJyWUUfU zBG93*U?Cn+lW>2H`bbb6ClJ@_eIb5Rtlqe__7?l1>Vx%1KYy3?09X4aB41ik{iloL zt?F8Wia&3lPM3^7tvba<);r&8&dVJgdN>r%BymcUJNe`Y);yp%Fd_(QDnFlq*d*mA zVc2u&TjWgKECG0jM6-X))QQ3bQx`vGfr)Zva~ z#$97LlzMT$G-1d*2*NC=oO_e(&=bm9qL93&B%nyf0CbQm9>-1|2?;GY!;_I?Jso>0|@q2!F6T@jKJ?{$7d;LGt7fVyY%s~O^@K^w59ksS`t!qIO&Jh_i<+67RSB)# zd*&uPudcl3n9vN02sIwiJA%*t`UiYng~NuId-v(md}mVQ<|gNs7Y)k!6|3f=v~Dgo zcAQ3uI~5v*bD#e%DOdUU9)}Klfpxm4_pWjN^`;~D+mmm`Buyq-YjVKy3Gx@9>B>6} z-o0;Iv-f>i=LIL^GI4%>Kjh)IG3}V=!G)txhG|-y00=2V3;D;OqbBJ*@!MD@ollh^ ze`~-XB_uCdvs|hRjJgzb<`GebE$(wqANVe*T;C8V zkQl-;v&`J}2TB-l9sO=ki8il=n`NV=S6G6<7GweuLocH@G5!v^Y4a^bmv?Pe*bo>D&g*i5mxnyfBhXmy^lbH{wMXvw>s4@hu_^>L9EEU+ zv$t!6A@3SpI{B`A2Qm4hd5Lhs{Vl`0=nu^Huo-R310!}!xJ-}Fm-jz@hgpD2iH~m< zwS3QfI^wPxcUIP#OXTib6q>E zw|&&UMh%F4*(N@Ooo*rGvTP~RxI7_0vgUaL9IV%^|2vu+HjF+kh8baL9>F+8JNSlI z7CGsS^qjr1AN;C}Nk+857te%)(r&=T)DZzYCF`h<`)Odn4{L3EjplISLC7#pF-6pQ zSANnV_Vy27?f8Cu6+ZlTuyk8U!nMbJY*_EyWA5yR(#GJK@hr4phq2Oo;*!+s@8CVR ztQ5=-W!4SF&5_o(mPB8_olEV?t4OghlQo$QcI^4j+HZl%_u*PGva zgdq4WbT}gXjDGYK%csgRcl_Z>qic8(;jVE9MT79T`E(gB?9dF4$cy-Zf?eV3#fl?3 z%)l9eO=@TQh0D6VAdo0B)2BGKXhz5vTuH>iF`CjRe$&S!VMo&Yo3zY$q$_PJy|r@f zj^cM-UDV%nS%W&>pFw01ue>@Fwc)Iz;&$b=Hp5^W_Lk!Gg)|(YTD0+e=!n+Ar!$MQ z);udjGk|Y`~8B zm*?48p@Hv8n(Pzjthd14s;@yk?}XR)3dTiP!q}GbjfK`kZG&{X4Z$^l&y&qlaaH24 ztG=`|wFdWi$w61Ts-qHx)s=MFcljg_I zV1qYN6g@UJFD0h9G14Q`U$)IrSG_Lsc`u26VSMY3G%d+ZxUI35W@zhv*&K$aUT2l# zYd5T3mP-d61F)N%hkLGfQGk&NjLf%Qn=1WlnjIeQZ+BCJ2|k|PDPY6Bdyy;u!w*q# zvFeci(M^CasL9>u`?3Mk>9AHubn@g$v%$N`QOXh{s{S8VzjpSKSW#-F$Mw0f#Iz;M-(h&&`k{)XWj&=PNl~{g)0aUn>5K zWft@~JxYSjSue#fA9$q3h1fB!PVb52&YObAHKKNVBp9adl~5Qq^oGL_g0!lRtzr!Qp;BW;fR=!k^;{CO$HWX}tY@6W%vo;QbYWVeT#x(1}&c5XnrZ@8#*{3HNo$`iL4>q)t zCo||u*KP8Z6|e7j+6CLx9aL&(*g^=tiMD+TvypU_8IfX7JbL#tY&`irdVX~dFV2a# ztyuEXww&SxtP)*^+Rk{hh%4P)*xgX>eN;q%aaj2}4fvt77ZK!c9?Y8-92?SD`<0aKfTVR;8ljw-$RSsA#78xQl5>p>D(MVAaoz3YDE z(2>c8lqO@ESLQ~8GoO9GED1<&`?r4hIXeR(F`k!yPyGk3`#*aXga%f|Zmj?1Rs8SF ztpAsi;QxzP@el1|$MiqDAV-bg4c4%}d|CXr{r`u`{{sa4XM{L^UBsd}{VyZLM`a@# zodp$mRFxtu#s0O`(7~Sy%a_~PX}|ku!oI2cp%o<0hsCuM?H=Y%X}zmTb#mprdg21# z@lz$vKye{~%5L6@HLY7Ab|!Osmp!)jH+GarPx7dU7wn0X>V7(V0KIf_gdk!gmyR`F zcPDCOKA6cFjvw8UY_I(S5N1ZRiiI@KPo_a#>CW;@-lLq7VvNZ~k zqDx7}pHa?#nexrNc`T}681v(Vf-v@UG1R6LkcL{z*KeOp%j%GpY>@Nte=K<>@Kkbb zXz8%@*c-Ths#M-_d51R6%#1;1X1{j)sH`eKva&2vL%a`vOXh3mf{DS>uTS?^&1i&U zg`fOF$llIZbmm~w2XimE&VAQFt}x!Ov6SXs{g;U|jsG(GDY~uC!Ur^TV0aYx?z##6 zbCpksNWRf9i__F!0PZtR#;23PH@5sJ#FsJ!+b==Ag_bn8{tdtR*&`nN#5xsg?CnxL ze?S55`(#gOM<3wLvz^;-J;`cpZ!SbHrp#GcGgIU^0Y~Ohwg%{d+QCxLs2!JpOD!Ll zjz-2^5Pb)|aVM0mVzR_!0v8omp&^}FH8<0yDD>Y)%>P8o(`A}I2tMKa#jML0aiQS9 z0Yksbl{EHPNI%-UU`BT54{jr1r#FvO0hbUPe`dI3Wj@(n$V_;oq`LYpnoD^3oeQqq z?qt`fSW0}*yUXd89-OATADo8bGaDLvUVaZsi`UbnQqP&qjl>3=HGE)?WS! z+j1Yu`_008L=4)byWXdvIggqQ!>3%tfO#O%QZe*TNdzuB0 z=)-Kk75mP=xOSZ_kRR^YSV?)ok}+2O7zy#k{ep1XM4x1)aq^@q=dRUIjU=7Cwafm_ z;0?dM%@J%FxkK>xlFf$K3D)TEi&R!NUjK&t{Z`m7=O0 z@bPui09c=?L<>T#Bj)>hx6FK5sBTCYJ?HnA##po?OzxGv1OPTydEDAh z_RBOp#2_?}%YtPt>O|O)`&YpZhwp!YCz(olIo!0`d~_S)rBcFf1-9FS#pF;9btg1p z*LzA7@@lcCcd}b4(=WJc+w(({D;_=2-NFWVGJP7h6KBh$|_!X_H+cjt_F_ip-lFAd?vCmlA_U=SDSCxD#djis=>RPzL94#E4-rR}jl zb8I&I0Y{mIm)a$Z;;Nn0m0#69`{{O|-kRPlcN4HarX5=*QF)rL5-xpHk~@6h`8rWl z+>j_r)m(R#B4JmJ%?Ud?X-$y>Ve=UA#bY?cg|k8?+>{ghgI)lsiNz8&!_7xn|$)iUZ|{otosP& zsvzptpepx7YGNS0luwNLXFdQTXTXt_BHOSE4Y{1Ozo?Ysx8CyaHF}44x-1PVBhO65 za&v%>jcuPJpp7}glSz-ZZZnDTt|qRKjR%CVy2J|yk6qq3@-L}eZ*EY>vx1eFe0|G& zO!FsNrYo%apt0+2XHsjm1$0!m&iPEdHdG-vtNt)rk#ZE$X2hU+J;Ss~xXv&j*w;AY z_w0U%)cv!y^HL+_TQhM$j8`$w>8Z0mB%%*GI?+2Hi+O{uR#6lviLOoeC|(JxY3w9-)F-bp(AH>6V)$5=bp$Uu5itF zG2|DY;1NcVm9XAgnSx0!| zH5;RlswiV3hgYIns-AaRPJD87*FM0Z>9f)h*Jou$u225VJ0SKH6{0b4NKMp}k#`Tt zSx-q{*|2eYa1_ut;53_#JLtBkJ3h$O;do_%wt2X?Pnn@)!xyjEEJ=^4MX2PMi`W>> zb~)lT*{-kt3|EIK2g;bz1eJcR5Y1%E-g6Yn1z=J%Sccir3Bg|95nlRzAYG#0J4g8) z4l5&q>#UctFz;bS_ac}QMLDRgju?BIx%1BJCRkki^Sbe|eQ~~Fb;XnUC8Wk6Qq&85 zvfEb2-a^qozr>F*-4^uj1mR+k;p)<7$Lmrrs=Qx!1lI09N)!cZ65#_6IQQNq`4k(y zh3-+(#;2^BgJHE_4NM9@sojZeeqZ~C`x+CT_qt-C!|umbkYeOY9w}7@qp=aoI%wFc zh6B=_3P7DS_>lr>XyqABef9VC29|QGvVAH($?Qpq;2f9LuH;&hgt%<7f+`!St(7H| zG%}Hr3eNMMlBaAAFhS75YM;TmY^;P$Mdiwl$g0gBoK5{nFn3i*A6?|n)pBWv7>&c2 zfrpQsVJ^Q@iSlx;KN5O%jNzGb`A%EqHh`sur3akl{$k)f2gT$2i8+vk*kBwK-l8oU z8B7PF+J`7qV{;j{-m9J!i@YT1l;nwiJe8OwiPzGEq*=@WpWh3ZXwy%M>6~|;Ztio= zlQ%jVw4xUgy9Z5>MAi0XNhugelSX-bqJ^B<#2MiZcgoP@9wI>Ty+JSFWWk(XB1m>c z&ot&4LU=TVJX>RRUncKeO+N#kGp5wU9=f2~?zFL_8twuNqdtR>iK7BQ>-*GP>*}t! z!DqHe3B!M*j|rGUc6f*kOr`jSVoIuqJu$Tuby+Bp>U=%J{JCm!+XrP{Iir|iGMo{) zlUb&t^6p)cJP9}Zb@?ww`eAb_Uj4QqqsKShD`Dev2B%ioYBaNYUX>xWxCCo))O4 zK9h;V-U>*PR=5)gpgy#$#|qbsbv6tbu^Tq1m&YL}xlAgc9H0+>j6vFi)O~MB_8^KZ zta8SvhFo|opid<&WL|Ph#g>h^FrSF-KtJHJv|iovXuGU_eH-7Rgydadr^u!;UQ| znVOThVEDYVy6CDFuJF46d<&y9ezLruOQ7D(A+#ouJf&+^Fw+*#=TMK*b?1Fs1pDK< z#N<7pgxHo-Y5-YF**li`?b#{|Fpu%YcP{*2g*;Na%3`ZBxg{`^-rf4eRsV@9yEz$$TBAM3#E2_ayGd=Gr1=Zu9>e8M5hkzlr-?{r zUX0_~sjjdHyLlroiYC3eh|dzNVC`dfXRs$9p)C85vq5ZVTv0l>Ft>^+m54o_!V?5 zk<95N3JjJBn$rANl1wq~e$l)+^?Zs{Iwq5kPynB9#DU>z)h(UB2Jah782&!NT0J6< zzUfaH1kgVpsDw5hm@+Z&?yE&KJ@y_tiN&oPxX8(e@oq#P?AQcc;&)(=%0)CiG|rR_ z)=!)a#8JAQ8lGZ}sl01ct?hZlBXm3-48E1EP4|ulisyotBpdCkO!;5mkSE>~ZoU8Z z0&uxVLx!?b19sl|z*ax*KC12TU1}T&u<&hWvS$4Tyv42FKaPj{2CT+@Z%vD=*P`G-VO2kxka8NpebbyB8>31N64i+f5^GpQh#R&F>o`rbsUJ()Ardy4&Qx=XTT>#+&`NF}EdFKEF# z4Zp<&hE97~V*j<9jBgjVC#{sU%ZqBgprjUck973H79&}q9)iVBj4M7b1HS5h3pB z%fvsH!zccb;7LPSLJSJxZha*=PLR8UGhN zP#(9@9Ye;?vnXonJS-o!e8GM|uUQt1u31{oIxS@4UETeeYMciraJ0AUafJRpb9FuM zN4?u&g*fo`+&FWu(Urp%4dU`qtl7^8GC5mtX$hR*PwXIdD(7_xbk)cn4V}_+b@6>E zdg>Y(uR%OGrN47zG|3*gt0Z&f{ro@LYa051JQ;Lf&Mt@<&u@50FyFsJ)nd-s6*?cl zsAf7)t>P!jWke#mtz-XezqS4ZbCORkx73~C%r87RmY%vK0-)BL-TkqkmMYDm7a4>R zU8{r#J!r~ndzTqE(5+20)vcHCkm7p~p99Bzl0~@Z(0@Qiec1MjI&er?1hAJ-(I2q~ zwq2eBc4;jWAoJX%izmJzRyz6POTp)LMtZ}%Eo{k|=se8nca^3C=UFI9HlneKOz5#? zo!7HgA6QQmrDeb@CBM3|Pm~_Zh8gI`mMhL{slgF!m<8&&gqoMx^p-$*pT1{V z7p;{a1?r^m_;3TgwKQA8+O!N0HZ^d#{p!jH$(;ulD8s>P5e{pc379aIvj}6g6Lgyk z1aLTRQ7p`R=#pA^`j`Bb?&<2H2+WKQ-$&)o44-QV^Yle{9oL>Ug~cLrQC-Ei&RTl2 zGD9rxTQ~W#TlC-I3__7eI93C1XG5OWZ&TKD9_-u(JwRTJ`+BK%B#WY(iK&v+!64&&;P27IWvMtgzWyW|6J|2F z0&3e}&)v&!?OJ_GR(uY0{+p$ zcPyz3pCNRU7G|}MWpxO#y#;~LL1`+=Nlg#1kml5fH+pa&lr`6`;?A@pTj>x{SxT_gFpw^jUW2lsv(=(}G ztYseIw!Lzk!R3`Y8euzC3TR7D|AD(HunFC_9q!#B2dT27=5NBH$-=a2mqlLRE?-Cw zdSs^D`XOIRGsw2su?GBgrz}2uLN8J{VC)N7s@)@do%@_W`#eh@p!$L12RufJOi1ob z2RO_w?DOj=)+u8hP&c@8YVz}l?J19OTo`Yj6G|>g&Qi?P%ivD#N~8-N%jlfmLQe57 z;vE@Rr5ikQrC!pfx!fl;4eq{ldO9?=uAgPT-05BNgtN2|gPb&)@mfBdtEw`<$9e!` z-Xj*5maxSrIvR zqYGq}L#Prw&SixRq;COhDT;t!vydF#*5sVfvCq;hB-Xn9ztmOn|3=Tz@nXTzQ8O1( z(ie6hMfxf^Zyfm?(q-Xyy}CIn2!V>SCPR6jRIt~eY&iVh18eDEevRpP=RG3Q?KXjT z?|g3@6`f0~AHqV@J}VgG%r{LGu@q**DCwDc^*x8(0;4NFoH04KRg%D$tGs6i14qfe3VisvhZ3EgN4eIUM;1#Pv`ck4 z{-(PtHlxb;Lb=&9Pbawt*1z_8X`3cm9fq9V*_>(#a}LJEUe}U?*>IXu+QMclGS9o9 z>T8rNtOGd%<(rGa=8Dou^+IaAPtmkX5rywgDx{3BAeuOW%^qCRiSA&dVniPKoK{c7 zpItdKbZJLZc&V3c$L)8}1|FZ*kCa+EuIkx&Y;YZr)3W0_SK&3|VMgxIZR+KX;0<;L zdsUoZ<2t|4vJq1qXdJcUdocI3Uqyti4ypS!M+FBRYnE#l)h&)nB_yI3_lY^~Ux_6)Z@lZ&Nla+7EfMzqtmaMFK0q3q@Fmaq^aG=o$)}yg zY-7IYyO4+o6HrR)cNl!X_P-|xv(={(tjccoeBVkpoD;)+^&PQ(ScqYvj@)=LW%iu{AuUQ)jl$$d)hiz>bCC=tvMq1(6J{^ zClTL5BfDqBv*;bAHnf~Tt( zKKe7?ecAg8GNaMi>27q%eDK-oTa#>FN*_*^_w1B0q&u1x_vPmXS7KG@>R4$wR9wgu z6xoYhUv7kXal^%j9bKr(;)RjDW`in|k+OGDI@u}g?KeRQNfPnMSqLoO4sm~9F!7dH z9p}skRj$>i)lXoN_kH3(Vc>n54<0Kq>MpP{*l@#+toW&Vl}md&gR8d#znzqq^&Mh$ zE13=i?d;gSg?|f`qY}3mb9~(5;NNexyv@g`o!~qB^A9~z1UpcM0FV5yum1e<`iR+IhW&tZY@s&(o4n_KK|4(46Ap*Kc%5o2eVUnMqL#=vBBy`8+kEuKEn-k zGl)3HT;0xB0#K!h`<`Xi?^h?NS48WCCHhw%-O@SK_ZkQru*KZh!u2+hA(T;L!{Q6e zBJ$lwppD@T6X!#p!sIgVjOM4^(P=WeXUy_l9$rYAOZvZGM2`aOcFP3p)GCRc}?KuD8Wq z?*TT4u$I(=Q%JGwISKc2njNbn1(xBht(a;W7ZW-M>MHy~?_5z`bK|!xGbT_@X#sxJ zG3hDLi12Rt?32q{mm1?*lusk#tGB$G{Rjm=K_Cqt|i80j|t`_?y1H8vHGkDgGV5@vplYq?lQsau=R2+ zL{t_|QKV2YDNgD|3s|8$?1fSJu6-?>9xrh{2SvqQdB~Hfq@l1>`Px4t+uoAaepM+W zTQ*uRm%uk*r~0BG`3o-oAf4uC-v$l}YP0^2$OAEAPoD*~&olc32awKF2`Js>O@51& z^HeSoCBADVIV=2_m}q4$fIfStz+j5yuxo{${ZNDWqcx9GQU{o!gAns^3E$idio>G|n2p ziVFvBib-nud>MiDoXLSz-x?P@czF-ev-zv@nmtge^57Zu`Ik(@Nka&ZC6oDyx>VXw zz2nlaGeW1NG?(qH8@P}ItJBcmln&7SKJ6l@^j@je)3;TV>`~%~x^2If(Jg};jD;2^ zh_$`!|rC|F{>OG10Ag)grkj3bOo@H=+>=$@;US?C8 zxZIe?Z%;NA-#xV17^Rp+QDbEO`IB+jmy@S~f4JVLN;@^XvkWE54Y6k{jZZ%Za;Wm`v+UX^yVFyWHTF^^ zxK9@!oM{M6HYCV2uesGG%&3Ul?T#XAR;4#umJ@$^e(i$lzL|G^;KMC@P?Syjct!E@`6k?$ur zzq}!B?$h1h-&HM)fb1GN7?tlrTx@31o2RF3feYFV|M5pg^8BJ zbQ-@a8EZS3RXw*up!>ieJr$dbJC$R-iVc79soP+!roT*;Vwb#LYcDw zCYcS%!K92^mrsljBB4T#{A-`pq^=(!c^h>NF@Nh5 z_`=GeweOQBP})9!iAdY%^yZ9NT0ZWbI9*Q+H?GT<2RZ8VQ0|a09q8{vTl$>53ll|I zHo4l4TV=gzi%cX4hoaooUm^<$ETUO%8Bbhvnsc|2JPEZyrnw_6wnU;eR(+WD#oHbA zD@HNz=FaKxMduREY@YDslDW=m6JL2P{WGx^SXB;F$=pyC)&U$pN6fW{<3$H-Gn}U! zrG~DIJDZPYISvvMn|L~ET`@K_;;jfjI~x;iMwZ7?M`KJUkvVqG&C5{JrJ%~+xg?mk zk$}pd|i8S=(f&;#Xa_5r$j&eZ6EGy)QUvr-iDQnRFr1Cgd;CtvQFW<~ln7E|a(c+eWS%HU`7Cahc?z@V7vOT1TSv02GB? z?X;46`sLe*ek6QzxrYI$Gjlv+LoE$5 znzNiCwo_#s$Ro%#5SL2NE;3YdpI0qj1Stj(3IG+F{JY%eD< zuNQW3sW!Bttvu{Kt9zS)C&>w86-Qt#{LErmPVB_7tiAC!&6u7wh~5`j{x}T&j^B{l?t%5q*#Lr4FX= zRDPjrxKc_%iPy(+-s6cMb0XA6eqQe^K1H&{$Z}OndL^qmr4J=<)s(s63 zRau=_Jl7u@eV9*2S@u5c@{pt5&@`pLGFxC`cWTwoV58+=%>sR@T8+Zw-uhhUS4@OU z=-;{hAA+H8Jlk|=eojV?gpV$@=BEj#Aj|(%eL|qoZzsW&vBE!K`{P{$7~TN)2E8kL zZDD?fmC4mf{(CXBOBY?CE@WF;I!IpeY~y%i!w~oAFzz%xr+TL(9nsTAmOxOHAu)zY z9|pvui*kI8Yw`8BE6j=Jr1~OANg5rZB;A=)Aw0Io8S=TIR*~m6Dh}0Y z`{GB@)OI0T0ok^Xp2^`^I?&;k;wnvSyy9adeaX9&?Yl|FM%2;gPqR2F4E14+$Ro1& zT?e%87(LOZ4EYx5gfabCsuyOf(Q5T0LhAFG5F^36IWjf93?EEtxLrLSOC#bRSe>Gn zL_e4k7@;PkR4Xcf5{#1h(eAVAJDP}>Zf<>a>5r-Mhw_m{BqSfnVoZ_Bo;dC&v2(Ca zo@&T+lekT%h}){&T6i0hKuo)As@a+QuuJ1UobeHF`*ZZpJ9E+y?wXH;7DYHY*`U_@ zmvUFQFHdInTx>NdM`gMZ@kWXYV_n9_VLGg#q6yRQ+q{n3;d=X-Cgr1uQJq+zc4a@| z=o6`|`}a~gkKeo=-S#jGa+mV6D!{|(NV@QIZ?0c5SH4a2>K^T($T&Ga(w^t@-aI-@ zOcmm%Eyhh*(1@e2ha88k=x)o!q~1%x2swbI#;eghlBBn+z z6k^u8cOREx9no_h>QC8_IJx^+I#8Bzi13hMi%Q|6htRX{cEAL)qSU1yeHIFW4GnQ= zP4=2asBQTetAkzgmc8T&rb6$CcENhAfl^rxvAx9L!!RbAh_oP-^$>vyD=9WbG}9zO zzP^xr!dS)d&W9>Etwp+0cTPHm8?Ox3xZ0kG_LZm(1im~}-r>QM3o^tG>-e%Qx*G^5 zfHIWp5_c-tdk-rK+g^a{j&7GzLyle|SHf#eRLBR3aRp2^g@unYawM7VNIXt&+9JGV zS=I$V;;o~QJFcYj9y`&p%#tog**NJnChPVyS4#zLL42!SsI-x;3Y#{z$y+FLF*j3unA&#M6*y^-it`bz#coS`;%{!= z;o(KwB`d2qVcGZ88+MR#_F7BpD!SrLahUIUiwCt5FS8Ctt~&hhL#z zA(`c8E?kvstvhE&I>^tAGNPVBA5_<$iDhomRs?cUM`g|ob|wj~njA0N#$B{;D{4E3 zzOKvDa4$;hK$sX*2@#{;PvPp+kDSfzhw3WY>w8~d$Ii0m=V!~VjL*x$xHqH|TUjl~ zno^3*ev2q@1I!G0E2h)fA_qq~`RDl`Q=29MX z=AEd_Nn>)x{&r5YC;3Rl-BG3T0h0?RHFW!Im`{N+!+V2P;(UXrkp9{h)k7+Q9jEuR zEvuy044$+2Ie%2&Ty<=H*7qq&QG(&2FGTm9Si!2ACzuU94@#d=%Dtdg)x(k`)_tU@ zA?P00RHzGo%F%02_EqEqbs7_bmLIgpyJ(fcV|%1j{OJ{YS*5$r#Xm9`GT3=$jK)0P zc}MeMS1rj%D0?%0tMP9IrVT!{W}^I@7Q)VE(xnX+E%#qip1cZ zIHSnR7upyRH8r1kM0UMd5FS;3Nj?rTvJGhUrDTwbp3M@XdH(J=d5!%W4Ft#9IlRIA z$~q4xoXrs)8tKG7A?F-Z^ILSc&*nDA)y8;4=9bZ_l^OZbx?vUiN{+_znpkG^Z#uZI zjs|=E#uPddL!T8NpbH8qea4ltHs9sI5#BqRgH0MoFAUveeWhNTF& z+DkuyBVxoFJ+iR)bo*%$Yb71$m?<-6m-_t(f{7S(&TXbdZWrY-wzJ-)?1Z5OkUsL^t zcD<9&Vm*F3Y|CWv>%M7~(bl1_k(m7WVW?HF8h+stdP%Vq{^7x!l7h+oV&5ev&tl`v z_Ho7&xTPtZ80T&B5?3J!Kc*LTeRBi!;8M0hS^%Io1KHr@-2v&jW&VKS)997>xwzr2km&(<#Lm3 zo(ujvGQArmib^vEcf@KgDYzg#okLg2KM%6^ujN-dVmqFVtomU&;Et^xo{;Q$))Ao* zJS1Bs;5q2^7$nqh?(tfe+q!G)7x_F&yP|Yp$;wA6r`Fm@twbrJlwv}mICl%3eJUte zj_sakDY;h$0sJ7dSUTEjJUjOCEJ6(o)jO8ZQEGp{E4bmIWy`ziZfvS&x6uGbND=yEjooMRajS z*eZi{JFg$Y<76vnhqMJ55vr`D!l7cH-gicEsLSuTJAFLly48a3(N&C~Mw@AgUA_D5 zJ#X=3r_PECT+R~IHlfk2tD^#K`A^pL%pcve&VVE~J(Ro4Eks0|-MKW8=heky{otis3#? zgnvl1#>rgnV8|oEuhtXmAQXwZ%%ol25$5G9T~rf@YBmm)lcHJUtq;O?h8fwmK3-N+ z#p>UNW0GC-a8Q|6e>;S)+$cb?=G(}ht8_}Zg|_f+`3ae1CoCG{XkvVm>f=HxX@pJ8 z4Hu4%Fv};a9?W+s-LDAz(%kj!(|08#A~NMPANvK z4BKaQ%@V0ErC8hG3?ZGWq8T!iicya({BC(!mC9FlQX;*;c1-J?>LWmRity|+2<3ql zr&{oGW#RpD^rE4)mGiOh0leDMw~GDF`Q`W?;773Umgu-d>s!?}q4%d&mum^gAM3kF z)m~JFA}wM6hRmi7r%{YMS1Y9;{-{&i0#&Qq3ga(Rz)wCv{mc^}#7WosDp4 z)Dz9okH<7FEh~+|v95c8Y|bH9H{yt&;qIfWQ0=@Xn~C@4&*JR&qYyAD5U89-xs@wL z_H=M;**BHDHU`4YV}@0YwNd+w7Y!g+lBP4M{emeJY#%Jr_VG(-3PmV=U3}TYJjF

hY!qFGKV%7$i&7Rve z@1BP?npSu8QHJJy>fu*$2CA0k@eb;rGP}J_wNUbk2kH^1ymof7!spX1s&+vU@KA-5N@g1WAoJhk(5E)`-u^$y-PWc znB9f4CUrY4Qo^Cgpm?*aw;J51p|Ye+LOE&S3%pKBSQo}O zS*E)7mOmInS!oy@$&DG{AvwIss`O)gDN1A*QTH+1UlI?|a@GuZ^EXxh?9~NoH3kFcjod#fPJFV z__#F2Bvti}5Uxsoio^##RbtLE1J)wB_>nyXeTfz12FIGNt!+H34sRk{#Wz#3tc!f3 zV|$;Ga5t=4^tTS8PW3iM*1CY7Mby#8o#ZooLh>s-E?dqV{w)W~gnq!q0^#D=WL)9A zlNAAL?wcbjmWMKXi)NE*&}&Sks9~~&M7-X7GcT%a8`!AB5tWW-MTTryR2N@S^e508 zbRQr0$v23n&_)&r59*eA6wnEsA2rn+=v}$^dxiL)69KhO&lUfA*x=k+sy>bXX$3F^!=g zFOaXkuB~Mb!<3(75?|&V|MOijRrU<>hM5_qtxfMYD+dE&8xd0_j(5$MkjDy*q+ks; zhL0m&`BnI-jXmO6I2V+-r`T;uH#}Pt?z>aMxM9kSqDB@IVE6%Q3fs7?{z!3wD>vPa zs%d<&d@xhMyN8VA?h0M)09h!%dHV2%>bWFrHN#-rWkONW{a(Y@RrtoErw;M8RGZVH z$M<484(g}GcsYylZE&s6kaE}6T^j5s$_*PHCgBd^4efHaXm!~`$6Rc9Vop`aozc%3 zd%Ukjj^fPFQ}1YXm$V`MCOII`dFQJ_QtI@$h`>}Ir2Tw3yw3YGtGtxtXVjD16kqtP z2dnyAhjEl;CKiD_7H7ejQXh|eN}OCVS)250(IkAuyA0DZs zvq4IqJ6t7MjW4C)H_&DU1{LR@ZgJo8RdYDc)h+TPk9Ago=W!5yca>dl102i8)Na|t zi(0)p%{-+%yyRVk*Q8`tJ#=Xpp zMTvMUGF^DIO;?kdYRuzxBiynMMh-)?|0^2;lSBwlBG=fTXX zt-auh^G>Lk$=cw^W*W)8;0~|y7FXIhYuvt-bGY9*i*=B>GR|m0zmmIzt&6AdmMkLdKZQL0mx>bSFl4cu>hpU)5Qjrz~EkvI>7_&{+hB zptN>B9i~wuJvXCpOIT*owX|cL^w(y-b#%TV>9|rG`)pD{eyu8 z?P)>vF@=b9P!BQPOcc(REHhIY-`p$s60b>;OT4IiO-sjtO^iMjgz3~N4+03u-9#}> z54~u%%-|k8Ho7uimy(1KPI}FQi)u|G6UXa($t*E;rYq;Z=J8mT8t0L!AOlGi9~tVK zE;r80dBw_YhQr(F9+ULwX>}AS^bOkL_pN+<5;knMt*q06eN?>(2Tn;~Lok%29k+9L zl4ispWC*KxQ(E)tu5_s56rmHgxnAUD5p#2)U`=g{pGMCvRp9)#Y zG@5#(%L0A=YVSr$n0r1v)`B8__jN5wo)?#k$U%HTFmrQmr7AI4yxrJ{71yxN2aNz;f0WLL##W;KbLy~keSK9|W%&wzPCEsrIM7i08>g>bj9w$JLN<2bB z%}=7hqq1m0+UFN|fib0XmC4x7R9)y9#dh$BUioO?MXHcHr2uwEoDLGDoMq=?6-L}M zs?>RVOCL){j{LYR!95G64EJzXYQ!(~vIC>l)BSs2%66L$n)B z&n}!FfHQp{j<)&iI6f~k7E3iZ{ka7Tb9E+^%Qp)sEjv{-o>WA${Wn|I>taM>qsyey zOeg8k3uGoQu~OV%+^UmY-s%L^K$L@ zU1j7)!IJD2f==n%>5=$7n_^{4ozK19P$LfrJRO&bRDsMYYgc+~8Uf$hF-%^zC6-3G{1*o>v{C0QeR)|^s_vCP~6CJ#xwesXIyAcJZdruJE0&`nG=V)8O z^uM;lq(1bS$$WyM`YPd=prHKID|-9Pz)WhjS;yL_R<0N0P0H`6cBhSRRfn}gZ(@#G zRmx|_$t&~)Kn0|TPriKj6?%N5v$Ipjeu&6S4MpO05h}9QEiX2$T!z%g+#cv6N~{>l zKA*ZRWCwQXor&IF369~esWMfW#&{*XLA)r&-!m%;co{JAQWF+My7I0&$FQIwZeSUT z6RuOWsnOl~nI0^rn&;$e2cEeXW=R8YD=trM=O_T(UmzWK`>N;g* zUh|p==iT&u!%~lMG`wIp7sx*jNA|?yLmn;#UB|-;X@4}1^EgdD47hvIocaqs|I3eboKYTRjyMSVZMu3yjPyh ziOQ21B*S?0!+Ppo283^|;k%d!7`l+N2%yAeV?^r4VFl`8mnar9b8%2;CJ;+q zuuL|l4(jugHT`Jb(A5;f)MFW+)w9dghFZ!o9KkjCeRZOpn~}l|*DRFLswsHVyk_%w zw;`~-Sc3BW;Fx-caiJoBS73cVJtU*tcQNaIjB7kDfA1SX&uPf?|j;8bED9Sj-U}R|AjV#-N`Ea#;+R1vJ={f{{5gWLN@bu$Ttu%I_>1x-MPYYRd>{Eh1%l=}I zi0rH%rv!O4c_mRVw*)$5GCamm*v3(}K`+wAq*qv7S6gGB%2@e?@Xdw9U^6IeirbCH zod4E{{b!QNbzxN8SyLM0$+tX9&{7v05wKS!=%!<8%`U^rLpd69XHFdVbMObJ2F3?4 zba5Fk9Fhhn@(bH>hNC7Ok43zmCZ&}2Ec8o!S@$d7@g_xg+F0XLT3C^I$X;9|F#ydj zO(CRWRF>eVd+|*5OGW;B|7P<|H(%$4K;b@^}pk$$7oXiaFGgPz5 zx854IH%fQ6wmR1`(pZJAb>>pIcxRRN%JAFP;GQzy*u>y$&wjJjk-h=L#SCfDpgs1y zOl;bw9a6ts<4xdY_Uyxe+cyKaW7LsSNm!kCnoxOx`YY3S$i4W@!50l9X}g zkB~VbHV`yEmVSVe(k_v#9q;^>U|~lB=W!?b3AuW=_*op6_tV=AR$V?*6B>-;4>gmY zT>W-e;(A8-ARVR9L+YEa3$7Q~{Clqp{;jV0Z*|Rot84ySU9YD#n*ZjA-=D*c7 z|E;e1Z*|Rot84ySUGv}Sn*UbU{I|O1ztuJWt*-fRb%nr1SAB1eoAPf zt!bobrfQ+7qHb)a2@?Az9x=y0##11#05otLga8c84q*Ae@r<-JZo+8-;<;eevT*}2 zi9iDx@W(R?2>cVAfv&omC16e)i<@$7>fsN&1DYcP#k+BTpa7qgVSWe5&|F(ZOV>b? z66l@lP#~F>Px4y{fxKwG02~Pz6b_*DPcq&7f>Pa3<0d4=T6y~xU^N#&G;l~)_+Nq4 z)G+!12?5~_NU3Kt9tKhr)W9JNk$(kJOGEuSB*<5;>k1Buit;OvdOBc=gCOB`l(+8# zjG9CElW^Yj4{DKnj#2<=(hh$#H zMLxX)KqB0P&*VA> z;6TO3o`J!#KK~V13qunF${VHtxvIO|<*7DciaH=}fJZlHp!mU0;+k7%7+YH0)Egw= zb(x5-{{;e{{`Y{c)pS-dqQfFr8@5h7@6(7lfM-IY{yJdq3m zMehL90=N;A{s>XSkjg;SR@01{(niDZx}+c@zIaACh6IQUYNXf2hLC;v2fF|MbO8b# zLARLw3V@9Qy#q*h?aBHh(3{;xscNRFYHnusL+A(K*JtX#TPB?u8WQMtQQ~J?A4Xb>sOJ5=IXQekW)4OT=y9@3CA0I$K_yY|bo>utJ!UGwruET@8=fk=Lr!z2D z+~T0^oB1cwkDo_88{F<6# z2$g?;Y_1OMUet9=%#8Ik)h#GZ%&z&*_dIz*q;wo0vw#{f3153qYyJq_)(F@xP#LP4 z0Kb(r1KA$zKxfK{SdBC;-T+g!3zo_R8Mimj=Yn!a^v*4g~sa-NA1VlX0!1Y|}`zKiK!1@z3 zdN=bc=;r}@ngF90Y}p5~vGx}kL1n9SK?z7fOY56H1me{2mb`QaTy_T7RdHVHOJHfV z@fSHkc_hCNlj{x(B1>P`j&z5CfN%mDIN5`pze)D9HFc>l4nWI0cA$aB+k=x|Bs0?l zdFB5x;6Vy0%Do`x0(z?nu%IZI^_)(Bjl)dS1laBUv<_ttSZ!{hYGG+kX=4a>8U*6E35G}y0C<6! z_qPc2LxiZye~8~&)yzoONc$)CK|vuQ@;IapP~RO03^G5sfluLAe~Gx~^x5;ym3s9;9g2;ji(RJ(A-|Blt5R2=As{uI^;jc|GNVLOt|ik|7TbLiBJk8)AoV7C1@;HA*mY z6_h_F2aXY`)D4WyH8m(rjKE$?fXs^cW~DR+Fe?>sWWorB4N35e*oGQrn(F^=Spj~~ z_lJ1F-e#0~Yt-o5y{^KSgpaR@~$PDIVxnh)o=*ofS^)NAprzoCN0#(YG5u#r3r6v?%YpA#C7=Bog^{YEroJYSO!)eX zt?%I2c_|MY084=y`48cNPYJ&WZfpW9`)@cDC`x}Ac81IZa9#q|VFE+WCI2p{U3_+kD=npNxduhNdNA&X7n0}mq++0$EG`W-x@k#)&+fi=%EHC%3;eTJU zOZ>L60BLY-<^KKr-~NLFFTel+Y7}59u<-ve#c!3DRSb1hbd9vGz54oowkxC?nE~3A zI01nQ{0PnaSE2v&Hb6^5^QZHbjuK1mDF7W8K&Jx}d{FQg1;2(459b;}sx2GGKV{Sn&neK-?TqH+O8m+5JD_TY!%> z5O~oG2);=G{1spu@V&K!<}ZTZl;F47g7Wt|;`;B%IKVo>U;A&7-LM)ch+jVuX9eS$ zaQLfShQOZw+5zp)p&UF~y$}7%L_oj-6(s-hf(4|~^<#2y^UeNsrvDTuzsYs8j?E7X zVrBhht$wen%@5+A%?Yk#W6obC2F=6YOO${c^k$KgA4(GB{w=N>FMvRucQfVU53X8Z z6MS4`xKObu+Q#bSF570gqiYGY&e=y+!= zW=~A--53MMv8q&zj|>k^kB$w0CmMZW=j7Dr#CS9{I!&D?HRwlIwAM)XSX0c@DbDX8_W5Z!f<#4do6j?j{H1eZz2js~Y0oZe+8Grg9f9(ZYvz{KR15lPn$ zdRKiJjid-tE68*NBizhOsp~8Qx!p+LESgP7)Llc;u9XJd&NsCwuLbnTd1aVUMZdY(B++4}0YA#d}Sz+feIw&Wg{T{*slH zU5#i{CM{(ZVYRCTl*~*F$cXkMc{MW|f$VM}nS4A|G`q3m>+o!Z3h@*Oqe946$|aM~`zVN9N*&$W3&ZFnduY)&*rQ02N)cB+`P zv++_dO6IS#)aMeml`EM=`g~4&X8KYkO!kL4sxy7bY`)ZqKH-dMZ6VE^S*3EG@foM* zAj5B{jz4Jzb5R=@HdJ?H9$F>I88TGl%epsg*MX595Xv-rzy!T1D_2e@Y^Dhl>=B== zf{nJty^IrRv+}kaB(?qqorbJ@qQb7S$Mc|bUn*P4Ue?3}tpf0@m%6@Q#TYX|^*l}1 z@)XoaQdY8HCo9FGnM371{Eb<`5?Sb{MBiO1GGq+cqy};+sn97p3P26bG-cJDV>ouDr@5v8OY-8q)Y(tC82AuT(q)opHSi7hdHHIuwD)4WuLuJ3;B$p?oZ6L zh(q+~qq!Xtw!Uf7-rTSFKxA;!auUkuSr| zUCH5BCDk-3NV_nc*J>W8V7J1*?N-yYU^D!$FQs{n|0or0oUy>*`e=GZuQyu$oAEm8 z1Kmid$UnN8Ot)1X^SoJZ^k1bN!y@epJN%-_&>M_|>R^Pxz+&F;lxf!Ko2`*}Q;`=7 zoG@NWxLx45Fuu)zzuBN_E2JL@h-+ATOdddQ5endf)`E@+|4As1i$ou9iNu%H=~)=? zc?duEi-i9o_*th1eD!wVnjhBCEnqludRIdy5W3qLg$rqsY9*&@YHv=8O|9=1qoEj z)y6ru;5XPs#u98~8Ej}7YcU*fKVK$N0_IgVReFATOOIi;_3hQf!$; z$t!LN4~;`d<>c_K-}<8xz~0fvw6gy5pL}dM@15|%LnWG{R=zxWZmZ#(UGOW>bhYxm zE7>cC^Gj}19$L!H$vGSIV{%Jz-Y@vEfd)p-xkWtbJ(LOn0mC^NbqfbFZ$K)1`Gn#8x=Y_6Rro5Z@uEziNS8~Jb0#V@cwo86 zRTK3kWbq0RcO;%5HiW5KkXLN^B#|$OMN8RwW983a1n#MY#WjrSaFs&jkp1k2e|>5= zznTG49vx3NtXB^_{fVdGZ!AhaT!T0mW7rc$>`}yINfde~zjy?imA)z0xo>4`j}cJ% zg~PMq%-7wwKhy4s~pTOy^=4-G;Gb%AAWc zhONFUGOC#(xfb$n7) z9rZ9+h(?>cF^;%7Jj|Oo;ed|txJ6D;-0^p_LW#jCei4)Fc2EbCH*cmDNxs>oaHCcW zD;7XorNSRorNC$;kH5xT$SWYPcnAmZj~}Ue0{KE+R5B<3e)BWX+EmA9QD6QHwHo`< zU(~)*2>^t@$(Fwzs4D+yD4&{t6#iDIj?e3h{HOdyOrSQ}5|69s% zW7}>Rt$|5($x>o!L7ys#O62pIRwXx=auyK)4NtRxSn?nf``;BhGI#CTx(aCbs1Bzg zX`zuk{>->ga{}haeeO0v$L4nrfVx&iZiOY-o*^qy+N>K$;>`)%KoYy#1g9Q5^)_ht zs>tnvgpfw^coVqcA=a8ehld!>n0r+%s$fFUK?XFwx zIX&<@*DnDM^N9Qh+?vD2 diff --git a/lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.ngo b/lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.ngo deleted file mode 100644 index 417aa392e33ac387ad4641e00d1b14b2e6d51473..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3532 zcmZu!U5pb|6rSlW%a3SEf<&T(hDbCLWOm8=6SAh=nd$Ci=eFatyRgRW6c<4flt$o< zhsI>z`^JMl6hhp@@X%lgh7c?m1s{yneeuDV@y(SVOMKB762I@vblUxyr0jRUd(OG% z+;h&omsUIMjA+B7ntVgLT1o@y)l;W}lOK&3MsWJv*WovvkunW0ym)c`Zkg3xjpF9DV&zucTACF9G z+Q2$t1a+F2G0lKM&3Q6%i@Ww1ENUL)H^_x3a4f!$<6_4L*-eIYya4i z3DX2V&>=3(7R=m)+xo*T-wwq&;wsm4*tV%CIorqR( zU5A^8O>zH>bY)%J6(%EUF*6LA`{{(S9G^<9gm8;F%eN2?6|%+Y zvgOP;mhA^>`p7t>_ZVw=Mz|OBYIzI%uG(6j5lz&E2YNqC^sFE zu8l*6NEgca@qqcFJPoH{I98c&iwJ{X2nCv6U{3qKU0_j6riFvz6WSVxN}iz)igez# zt?{YA%atd=z1yPnM8T5EcBz}1C^4R+RhcRIfm4ubyBw0p`}W(@cEOwtJX9UCgc?(e z&GqNFg?H;>s6ZDkae=iq&?4>w%xF3+OX4B>0d1!8ITTp|b>Ti}^a*h6^^HeJbb17x zdNJe}^}>1>HDn--5tNG4z8oUi2H2E6c?3o8Lh2l8cttM$bgd_!7e_&EZ1qSpCf&dL48U24 zr5lOZ!Jw<`KCFXHiCf&DhuLI!5W{~%47-gMnc33lqmt$RsV3}55D99TJ}Nm?pXv0m zT`$yTqmNCDKB|GGZ>a1%`=Uca-0|W?wx)YbVr_e-NA@<)eHelskWjH5I}%5{pnvP# z|EfLhuG{V-IygvOmd%D{PbBd+y13|V?AwKqQm?`9zcR7BMDeJN5&d9IcN)o5tahhJ3K`xJo%3pK7r#sBcmo<|MzZ_-zz~e}-ZLdOWH3 z>G9Ei57*@WD$5t(Kqm$xO&%3s#+tgyHUQ?JC*Y6~%WUfkk9L`TA*Wzjt`ZvD3$2%M z0pmz!?*Z(tux|i%)!0oCmX@IKPLB-^MlwZadxi|aV5lP_n39_25>i$K(jf#=83a~V!Yp$J z%jaQmp#`uI>gpnyOKBz%;4+xSI>2I+%(KP|caas?U25sQv}wAw1JSmbsc#w(kWWY2 zSyj5a+KDL$;<^;>UULP93eeR`j`!?pj_-oi9IxNi9A6u&x#ikgj_;7way)?7aE*01 zZyios%ds!}_aKEmV4v&i8mva2aCLGwYAd)bxtr~NjyJEazDlp)n&iHQbo9~QvO(^< zT0h6*N>{h5Jr2WpG%Hffewl9r9INyB@bl1@zd$Udt9)iUsln62OfbN+2Cwa!fpau)@a^)2pjn zZP=`Fbi0Zl{_^e4ZO0EbyGcjlVV92`=K$C=hpU zw_+o?YYqM-CvQODZZ%@l@cCLKI, O=>buf_CLKI); - - scuba_vhi_inst: VHI - port map (Z=>scuba_vhi); - - scuba_vlo_inst: VLO - port map (Z=>scuba_vlo); - - PLLInst_0: EHXPLLL - generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED", - STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED", - CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 0, CLKOS2_FPHASE=> 0, - CLKOS2_CPHASE=> 0, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 0, - CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 5, PLL_LOCK_MODE=> 0, - CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING", - CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING", - OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "DISABLED", - OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "DISABLED", - OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "ENABLED", - OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 1, - CLKOS2_DIV=> 1, CLKOS_DIV=> 1, CLKOP_DIV=> 6, CLKFB_DIV=> 1, - CLKI_DIV=> 2, FEEDBK_PATH=> "CLKOP") - port map (CLKI=>buf_CLKI, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo, - PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo, - PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo, - STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo, - ENCLKOP=>scuba_vlo, ENCLKOS=>scuba_vlo, ENCLKOS2=>scuba_vlo, - ENCLKOS3=>scuba_vlo, CLKOP=>CLKOP_t, CLKOS=>CLKOS_t, - CLKOS2=>open, CLKOS3=>open, LOCK=>LOCK, INTLOCK=>open, - REFCLK=>REFCLK, CLKINTFB=>open); - - CLKOS <= CLKOS_t; - CLKOP <= CLKOP_t; -end Structure; diff --git a/lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100_ngd.asd b/lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100_ngd.asd deleted file mode 100644 index c265c78..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100_ngd.asd +++ /dev/null @@ -1 +0,0 @@ -[ActiveSupport NGD] diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/.recordref b/lattice/ecp5/PLL/pll_in200_out100/syn_results/.recordref deleted file mode 100644 index e69de29..0000000 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/dm/layer0.xdm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/dm/layer0.xdm deleted file mode 100644 index 68f68d0..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/dm/layer0.xdm +++ /dev/null @@ -1,177 +0,0 @@ -%%% protect protected_file -@EG -- -]17p0Osk0CksRsPC#MHF=3"4j -"> -!S<-1-RFOksCHRVDRC#O0FMskHL0oHMRR0F0REC8HC#o-MR-S> -S -S -SF<1kCsOR"b=/0Fb/M#$F$b##-/K.cj43-jg1/u.D/HLP/E8##Mb_bEN# _boE3P8N"R=""4R"D=PDE8"DROH=#0""-4RHbD#"0=-/4">S -S -SF<1kCsOR"b=/0Fb/M#$F$b##-/K.cj43-jg1/u.D/HLP/E8MCkls3HOP"E8R"N=dD"R=E"P8RD"O#DH0-="4b"RD0H#=4"-" -/>S1S -SF<1kCsOR"b=/0Fb/M#$F$b##-/K.cj43-jg1/u.D/HLP/E8N0sHEE3P8N"R=""6R"D=PDE8"DROH=#0""-4RHbD#"0=-/4">S -SS1S -SF<1kCsOR"b=/lEFCk/Oo/ksu[sFC#O0/Ba7__FMad)A/L0sdN/L#OC/F#sC/bCO6p/upD/bDM_H._jjF4k0jbj/DHD_Mj.j_0Fk43jjP"E8R"N=UD"R=E"P8RD"O#DH0-="4b"RD0H#=4"-" -/>S1S - -S-< -S)0FFR"M=I Fs3DbD_.HMjFj_kj04j03#s0kOk"sC/ -> - -< -S!R--vkF8D7CRCMVHHF0HM-R->< -S7RCVMC="Okb6lA3Q3M#$_NLDOL _FRG"DP="E"8D>S -SS -S"/ -S -S"/ -S -S -SR -SR -SRS -S"/ -SqS -/S<7>CV -< -S!R--vkF8D7CRCMVHHF0HM-R->< -S7RCVMC="Okb6lp3em$3#MD_LN_O L"FGR"D=PDE8"S> -SR -SRS -SSqS -SRSqS"/ -"/ -"/ -SqS - -/S<7>CV -< -S!R--vkF8D7CRCMVHHF0HM-R->< -S7RCVMC="Okb6l]3 Xpupp$3#MD_LN_O L"FGR"D=PDE8"S> -SRR/ -SqSS -S"/ -S -SS -SS -SS -S"/ -SuSS -S"/ -"/ -"/ -S -SSuS -SR -SR -SR -SR -SR"/ -S -SSuS"/ -S -S"/ -SuSS -SSuS -SRS -SSuSS -SSuSS -S -SR -SR -SR -SRS -S"/ -SuSS -S -SR -SR -SRS -S"/ -/S<7>CV -< -S!R--vkF8D7CRCMVHHF0HM-R->< -S7RCVMI="F3s b_DDHjM.jk_F0j4j3s#0kkO0sRC"DP="E"8D>S -S -SRS -S -SR -SR"/ - -SRSqSS -SS -S -SR"/ - -SC<)V=RM"bCO63klQ#A3$LM_D NO_GLF"=RH"#QM0Q4_A -">SS -SSR -S)S)SSS -S -SC<)V=RM"bCO63kl u]Xp3pp#_$MLODN F_LGH"R=p"up#QM0"_j>S -SSRSS -SSRSS -SSRSS -SqS -SqS -SqS -SqSS"/ -SSSS -SSR -SqSS -SSR"/ -SSSS -SqS -SqS -SqSS -SSR"/ -SSS"/ -SSS"/ -SSS -SqSS -SSR"/ -SSSS -SqSS"/ -SSSS -SSR -SqS -SqS"/ -SSSSSS/S<)>CV -/S<7>CV -]sC - -@ diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/licbug.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/licbug.txt deleted file mode 100644 index 085ef99..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/licbug.txt +++ /dev/null @@ -1 +0,0 @@ -MainAppInit 50 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.areasrr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.areasrr deleted file mode 100644 index b4a79ee..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.areasrr +++ /dev/null @@ -1,16 +0,0 @@ ----------------------------------------------------------------------- -Report for cell pll_in200_out100.structure - -Register bits: 0 of 24288 (0%) -PIC Latch: 0 -I/O cells: 1 - Cell usage: - cell count Res Usage(%) - EHXPLLL 1 100.0 - GSR 1 100.0 - IB 1 100.0 - PUR 1 100.0 - VHI 1 100.0 - VLO 1 100.0 - - TOTAL 6 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.edn b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.edn deleted file mode 100644 index e7f7754..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.edn +++ /dev/null @@ -1,236 +0,0 @@ -(edif pll_in200_out100 - (edifVersion 2 0 0) - (edifLevel 0) - (keywordMap (keywordLevel 0)) - (status - (written - (timeStamp 2015 3 17 15 43 13) - (author "Synopsys, Inc.") - (program "Synplify Pro" (version "J-2014.09-SP2, mapper maprc, Build 2453R")) - ) - ) - (library ecp5um - (edifLevel 0) - (technology (numberDefinition )) - (cell EHXPLLL (cellType GENERIC) - (view syn_black_box (viewType NETLIST) - (interface - (port CLKI (direction INPUT)) - (port CLKFB (direction INPUT)) - (port PHASESEL1 (direction INPUT)) - (port PHASESEL0 (direction INPUT)) - (port PHASEDIR (direction INPUT)) - (port PHASESTEP (direction INPUT)) - (port PHASELOADREG (direction INPUT)) - (port STDBY (direction INPUT)) - (port PLLWAKESYNC (direction INPUT)) - (port RST (direction INPUT)) - (port ENCLKOP (direction INPUT)) - (port ENCLKOS (direction INPUT)) - (port ENCLKOS2 (direction INPUT)) - (port ENCLKOS3 (direction INPUT)) - (port CLKOP (direction OUTPUT)) - (port CLKOS (direction OUTPUT)) - (port CLKOS2 (direction OUTPUT)) - (port CLKOS3 (direction OUTPUT)) - (port LOCK (direction OUTPUT)) - (port INTLOCK (direction OUTPUT)) - (port REFCLK (direction OUTPUT)) - (port CLKINTFB (direction OUTPUT)) - ) - (property CLKI_DIV (integer 1)) - (property CLKFB_DIV (integer 1)) - (property CLKOP_DIV (integer 8)) - (property CLKOS_DIV (integer 8)) - (property CLKOS2_DIV (integer 8)) - (property CLKOS3_DIV (integer 8)) - (property CLKOP_ENABLE (string "ENABLED")) - (property CLKOS_ENABLE (string "DISABLED")) - (property CLKOS2_ENABLE (string "DISABLED")) - (property CLKOS3_ENABLE (string "DISABLED")) - (property CLKOP_CPHASE (integer 0)) - (property CLKOS_CPHASE (integer 0)) - (property CLKOS2_CPHASE (integer 0)) - (property CLKOS3_CPHASE (integer 0)) - (property CLKOP_FPHASE (integer 0)) - (property CLKOS_FPHASE (integer 0)) - (property CLKOS2_FPHASE (integer 0)) - (property CLKOS3_FPHASE (integer 0)) - (property FEEDBK_PATH (string "CLKOP")) - (property CLKOP_TRIM_POL (string "RISING")) - (property CLKOP_TRIM_DELAY (integer 0)) - (property CLKOS_TRIM_POL (string "RISING")) - (property CLKOS_TRIM_DELAY (integer 0)) - (property OUTDIVIDER_MUXA (string "DIVA")) - (property OUTDIVIDER_MUXB (string "DIVB")) - (property OUTDIVIDER_MUXC (string "DIVC")) - (property OUTDIVIDER_MUXD (string "DIVD")) - (property PLL_LOCK_MODE (integer 0)) - (property PLL_LOCK_DELAY (integer 200)) - (property STDBY_ENABLE (string "DISABLED")) - (property REFIN_RESET (string "DISABLED")) - (property SYNC_ENABLE (string "DISABLED")) - (property INT_LOCK_STICKY (string "ENABLED")) - (property DPHASE_SOURCE (string "DISABLED")) - (property PLLRST_ENA (string "DISABLED")) - (property INTFB_WAKE (string "DISABLED")) - (property orig_inst_of (string "EHXPLLL")) - ) - ) - ) - (library LUCENT - (edifLevel 0) - (technology (numberDefinition )) - (cell IB (cellType GENERIC) - (view PRIM (viewType NETLIST) - (interface - (port I (direction INPUT)) - (port O (direction OUTPUT)) - ) - ) - ) - (cell GSR (cellType GENERIC) - (view PRIM (viewType NETLIST) - (interface - (port GSR (direction INPUT)) - ) - ) - ) - (cell VHI (cellType GENERIC) - (view PRIM (viewType NETLIST) - (interface - (port Z (direction OUTPUT)) - ) - ) - ) - (cell VLO (cellType GENERIC) - (view PRIM (viewType NETLIST) - (interface - (port Z (direction OUTPUT)) - ) - ) - ) - ) - (library work - (edifLevel 0) - (technology (numberDefinition )) - (cell pll_in200_out100 (cellType GENERIC) - (view structure (viewType NETLIST) - (interface - (port CLKI (direction INPUT)) - (port CLKOP (direction OUTPUT)) - (port CLKOS (direction OUTPUT)) - (port LOCK (direction OUTPUT)) - ) - (contents - (instance GND_0 (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) ) - (instance VCC_0 (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) ) - (instance GSR_INST (viewRef PRIM (cellRef GSR (libraryRef LUCENT))) - ) - (instance Inst1_IB (viewRef PRIM (cellRef IB (libraryRef LUCENT))) - (property IO_TYPE (string "LVDS")) - ) - (instance PLLInst_0 (viewRef syn_black_box (cellRef EHXPLLL (libraryRef ecp5um))) - (property INTFB_WAKE (string "DISABLED")) - (property PLLRST_ENA (string "DISABLED")) - (property DPHASE_SOURCE (string "DISABLED")) - (property STDBY_ENABLE (string "DISABLED")) - (property PLL_LOCK_MODE (integer 0)) - (property OUTDIVIDER_MUXD (string "DIVD")) - (property OUTDIVIDER_MUXC (string "DIVC")) - (property OUTDIVIDER_MUXB (string "DIVB")) - (property OUTDIVIDER_MUXA (string "DIVA")) - (property CLKOS_TRIM_DELAY (integer 0)) - (property CLKOS_TRIM_POL (string "FALLING")) - (property CLKOP_TRIM_DELAY (integer 0)) - (property CLKOP_TRIM_POL (string "FALLING")) - (property FEEDBK_PATH (string "CLKOP")) - (property CLKOS3_FPHASE (integer 0)) - (property CLKOS2_FPHASE (integer 0)) - (property CLKOS_FPHASE (integer 0)) - (property CLKOP_FPHASE (integer 0)) - (property CLKOS3_CPHASE (integer 0)) - (property CLKOS2_CPHASE (integer 0)) - (property CLKOS_CPHASE (integer 0)) - (property CLKOP_CPHASE (integer 5)) - (property CLKOS3_ENABLE (string "DISABLED")) - (property CLKOS2_ENABLE (string "DISABLED")) - (property CLKOS_ENABLE (string "ENABLED")) - (property CLKOP_ENABLE (string "ENABLED")) - (property CLKOS3_DIV (integer 1)) - (property CLKOS2_DIV (integer 1)) - (property CLKOS_DIV (integer 1)) - (property CLKOP_DIV (integer 6)) - (property CLKFB_DIV (integer 1)) - (property CLKI_DIV (integer 2)) - (property LPF_RESISTOR (string "72")) - (property ICP_CURRENT (string "9")) - (property FREQUENCY_PIN_CLKI (string "200.000000")) - (property FREQUENCY_PIN_CLKOP (string "100.000000")) - (property FREQUENCY_PIN_CLKOS (string "200.000000")) - ) - (net CLKI (joined - (portRef CLKI) - (portRef I (instanceRef Inst1_IB)) - )) - (net CLKOP (joined - (portRef CLKOP (instanceRef PLLInst_0)) - (portRef CLKFB (instanceRef PLLInst_0)) - (portRef CLKOP) - )) - (net CLKOS (joined - (portRef CLKOS (instanceRef PLLInst_0)) - (portRef CLKOS) - )) - (net LOCK (joined - (portRef LOCK (instanceRef PLLInst_0)) - (portRef LOCK) - )) - (net CLKOS2 (joined - (portRef CLKOS2 (instanceRef PLLInst_0)) - )) - (net CLKOS3 (joined - (portRef CLKOS3 (instanceRef PLLInst_0)) - )) - (net INTLOCK (joined - (portRef INTLOCK (instanceRef PLLInst_0)) - )) - (net CLKINTFB (joined - (portRef CLKINTFB (instanceRef PLLInst_0)) - )) - (net buf_CLKI (joined - (portRef O (instanceRef Inst1_IB)) - (portRef CLKI (instanceRef PLLInst_0)) - )) - (net REFCLK (joined - (portRef REFCLK (instanceRef PLLInst_0)) - )) - (net GND (joined - (portRef Z (instanceRef GND_0)) - (portRef ENCLKOS3 (instanceRef PLLInst_0)) - (portRef ENCLKOS2 (instanceRef PLLInst_0)) - (portRef ENCLKOS (instanceRef PLLInst_0)) - (portRef ENCLKOP (instanceRef PLLInst_0)) - (portRef RST (instanceRef PLLInst_0)) - (portRef PLLWAKESYNC (instanceRef PLLInst_0)) - (portRef STDBY (instanceRef PLLInst_0)) - (portRef PHASELOADREG (instanceRef PLLInst_0)) - (portRef PHASESTEP (instanceRef PLLInst_0)) - (portRef PHASEDIR (instanceRef PLLInst_0)) - (portRef PHASESEL0 (instanceRef PLLInst_0)) - (portRef PHASESEL1 (instanceRef PLLInst_0)) - )) - (net VCC (joined - (portRef Z (instanceRef VCC_0)) - (portRef GSR (instanceRef GSR_INST)) - )) - ) - (property NGD_DRC_MASK (integer 1)) - (property dont_touch (integer 1)) - (property orig_inst_of (string "pll_in200_out100")) - ) - ) - ) - (design pll_in200_out100 (cellRef pll_in200_out100 (libraryRef work)) - (property PART (string "lfe5um_25f-6") )) -) diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.fse b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.fse deleted file mode 100644 index e69de29..0000000 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.htm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.htm deleted file mode 100644 index db9de8a..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.htm +++ /dev/null @@ -1,9 +0,0 @@ - - - syntmp/pll_in200_out100_srr.htm log file - - - - - - diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.prj b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.prj deleted file mode 100644 index 1131742..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.prj +++ /dev/null @@ -1,46 +0,0 @@ -#-- Lattice Semiconductor Corporation Ltd. -#-- Synplify OEM project file /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.prj -#-- Written on Tue Mar 17 15:43:11 2015 - - -#device options -set_option -technology ecp5um -set_option -part LFE5UM-85F -set_option -speed_grade 8 - -#use verilog 2001 standard option -set_option -vlog_std v2001 - -#map options -set_option -frequency 100 -set_option -fanout_limit 50 -set_option -disable_io_insertion true -set_option -retiming false -set_option -pipe false -set_option -pipe false -set_option -force_gsr false - -#simulation options -set_option -write_verilog true -set_option -write_vhdl true - -#timing analysis options - -#automatic place and route (vendor) options -set_option -write_apr_constraint 1 - -#-- add_file options -add_file -vhdl -lib work "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd" -add_file -constraint {"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc"} - -#-- top module name -set_option -top_module pll_in200_out100 - -#-- set result format/file last -project -result_file "pll_in200_out100.edn" - -#-- error message log file -project -log_file pll_in200_out100.srf - -#-- run Synplify with 'arrange VHDL file' -project -run diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srd b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srd deleted file mode 100644 index 979e704d176093b72852783c46304e04c52d6948..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5517 zcmV;86>{nyiwFP!000001JsnuN&_(zhWCAnFjBheB$-~cbmOfcQl)4ScVQ-z>BOc< z$fb4O-c(y8)P)-v+~km-{O5c*B&XZ$0&SFI)Ey%$NyP>0R3L#$J7^oJv~4WB?`H@P zQ#9#6FES{20k?Gttt-B&Y)5>DQjtT$Ds`>p;@S$wAe*VbYz* zOj6V6KmyS8*Bnw?Qs-gO>s3UA+ahu$#^YhclC{Jl{e~G%BbGr+EPi7M%{AXdG{cr? za>HF6k&N2vi?nCv5(L9C+uHP&;406nfOpYM@9g%MO{b1$X8iXX|Pge{_cKDR{H&& z^P!9&nv9o*|6?%=)H!MCMy3;uClEyH#E7DWYWmoRLLDmVYgr`QKdL!#JD;K7@1AF$;MnfvVQ??NZ2j zXyrW2eoR_D9w2y7$q$&!%*Yu%%wb$-!9IeWuRNg*mp~P9U&A~I_9P^#F;`ZA*yf2U zluCZlcos%`R;$qtsN&^TOk(d9sGB$B)rukaXlb$b4(DZmN3d=|{OXl7$5z_n8OK*B zbAcjxpAl3cmv--wn^n|9l21Csd5kc;sSpJ(CnfeOw!z1DIwRf&Rrtsw?QufZrePJ} zRu&`8;GNzKc%&T;HOL}v&Nfvd-zAJ_Bt9@Gso=phlEl`5pf#;pJU+qWAwDTTtvG(Q z2+|JK=hMmAJv)16XN#YC{0SGqtvFbW>q#jo33osD!a4!W^;}DTX$Vl(!~|;y;RMzs z^W=SuAft(-!Cn&Q)*7S_x$&P!^8|m5;Do=b;wDSniu}wSq{y7&xgUMoCC}iiiV=i< zz4vcJ2n^rf*TF4>d;SaR+rSTv{jFca`5W~XjIa*&*WjP<_+R7!7!crnep+Gs5Is~-9q!hH?tF|l4nuBRpL@raR( zR&G}V!&=c#>nQDUvIe|i4Ge2V_fBiz3)h_1TXR}(!#YYOuHiZ8A}g;5OT0}hH%_!e zNqCEqJXmtjVnQucdF!@MoZ@khFW2xHC)O*9E$x#Wp33U%?4F&y(=)UBS~;N7>gxy* zi$b-=Zep!Fe>ED4HGW7iF9W>xy89%~Buzqay!LX~Sgax#VXgf1L@i>fCE{%caY7pR zC#rJUM+aw!6$^`aIhL#`rcsJfLNcWStBSE}Q6y;?Si+y=6PFrzNWoStbg)Do#Sf=7 ztgJ!CRza5R%|o5f_yxUI-m2Fdl5`9eAX` zLh|kt%7o`;tXYUW9X>4!lvsIf^*ZluT(?)plX{ibC-0H3F*<1E6P{d761zfn`EVM? z)=#fWEB`b{igi2d&U&zdwK!I?UthSke@j~&kn^(dsI+EGN8q zZxliAFr}lxP1@GPfDdl;f8KTVF)EzMdpMaxMQ4ks>tkK^clw39Zq^m(%ieAl4rQXW z?F}LZF8<1o;apYFY2FR{$YmVsa3?u;ta~xrABVb&J~eu5ZSMAf?##~4-R>ZE6mceb zEcnSCCE`2EYWah&UjDl{?_fNiO}74Q75EOkw>yyfjrd!&hQ&hCEP*d6ezaAeiJ|+? zWM>5a7z+7!jyEshRTzJpg(O%mh!3-NR~qhKF#p@^-tG97L~2zHd}DHqfz*fZWq$>J zJ^27-X?~=OJN;$yelxjSy?nf;!z~GS(eg)as!%xZ zde2YYt;l>|Z-Zwt(cw^fX7@6XJ1FXUr zA%_+{PP1Z3EAlEq7>$^-XCa83rB+#K4*DhD%0AihW;FlFy9Mtx;*3E{1k6Cr6gK#c zhrdUnc=qq5z9sJN|;(}Chc!61VkGz@924tZbq)N zH$teLtuJ`3)M(~+c%Hvz;EdpXI<l4}!+m!Id)@|W$zM)ZON?&6W z(M$6C`0<_?h;IbJK`-nZ0n@_1-`H(Tffn6ck;6d^V`Dxeb$HzGJ9$o_jHUrh^>?96 zk?M~UzOZ{+=)vnw>+|B8J{)&q*Q5P%wC~>T@`$n4E|#FHeE5ROhIZW-wuCo@T?o6l zEu~gji2XXt$1`6uP?A`S_;+AS^^)0beRXwq$p#N)qPGrXqu;bS$KAklo#S~;!4Y1H3!<5qD<$rIC{@-! zT9~F1&-;7FL%*hnz@6FuA>fN{r$<}(oEr3lGhZN3Bu>F8*JUnsN&9%FH`L~fSjn#X z;%i<9vVTV5T-~cd818(-0dq8+cRo&~er9T?El(_UyUG*)5l>UUmr(b3?g(ET=iyAMxeIf8={3`KHJH;X2>+5Bat*rMk@%B&T`lUE(S3#ZC~2*%yXnu10FG+lM}c zhB^PryY$6QB`kPv;JsPU!4Y2K8`CT)6|e2VVh+wp7zL`j_rqOi8qnv!OP>QTkI2>< z9uu**dk)Pn)svhN@*6Awm2i#Kd8G1q?hHQ^`^LP>PxHk#kML3CftV*A--xq3POkNL zfm0gys?PtP$-ke;&pc-eo-^F}yw%#@jl!;B>%SF+4O^oYU-?_ZZ|SGcFclM6ye;(a z_G}fYZ$1=Y7m{xTs~M=i{QZ1k))yb&ZDFg)2-sZ05+=}{kC5ltv&^%i&oe&Oz87K- z$lRonbX!=gI{UgDfyR}-bBHPR?B=V;sgJ_`F8ToP%_sC-{~G$@n$B-n+PQ%3O2(t5 z$G?>+dQSmw_M(8Tw}N3W`mN1E&Rcpa*Gr-AJO4@NuI4*?#ka8dhVJ0+=*62(Z@Pl6 zC;d)m%|i`+%X^*WS|{=z5gPHoe**vj|NlxWNzKWz=5lZa00030|9n^NZ{j!_ z|4jahC`P(LCqg135`mD0*m&Gs>=1X!&fIlmkbN76H-nD^%lO=UnlF*4>% z_R&<$1CPkj+z_(ri-=7VvcQ*j+Aji}lLRv&jYVS*sWwm20(jO?M;ga_ws6= z_h?=H+rbg?d&`h3swT_Ms_Hqj*{Uac2uGM!DC*&~9`wqz>=8y3&+JS&H1~R0_Av(i zU_QO$)}5uXMzT*h7CB0lW8+j64#Rz!H9yVgvQP80;@%5(r;iHe5X2m($gu2t*_&)7 zDPw!Z6>_MR$d7&-e^mCXHQNhWV2h+g*^Fm2Q+eiinT~ZZmsD}biD*83iLH(euV|V} zj+^*C$+h26et1yNuD7}1YWERGs;D3@IDjVKuGL5K;S`Kcf(s&&G`RB-J&Sz!1t`nYMB``tCNyU`OF0UNS zr67O&DW!Fl)&7VeG`PVERk*2X3&~kTXsf6M+KmUVXNNwi1Wr+e8eFPCdC?ZtPxv$r zEuTPLDSCq(M_@z#p=&(3AyfqvDmblDfmF&;g0tX~6Vgv;exrEKegWt#xb_uI$PHDX zn4(#$qS)ha^68Bd5GN;9x*Y{1b&tJw_88c!REiOJ8_Dd|UZRAfaFwPN77`)v9bTYO zXa$jC@-qfUAzGyhvmEhauP6@o{5>D5AWCT=4R7>%R_Nzc;^4jgX$7S?t)ezo6{;Ni z6JBuxsdup26UtDe+EeaegqXJ3#I!H_To5Q+k~92Ee)ZMoK2%s;L4Iq%h@l>y(H;q$ zp=;0f1%{>qj6{hx&0b=-1UirRbXnnQiE(?KVvHT1NYTz-{4J3%a^&?4}nvYi288QHW9D%(^8-U$LSn$ ze6=t7%TotoigV}ntcnvsb0!oZ~_{U=-fhH=iL1rhtr6+ww<#my!_mS_Eosx z0&~R_!$G2T+3%GT09`}`A`?W~A4Q6vN!xS4FM*mSbFAZ)p9%grv(I_AAjn}=7oC$| zDty-W2aNkO?gn$rB64Q49>lN*bA+~2gu1<7?fcB@ce6*gSKFk=W(4OE$4)QG(?N0W z&%1NT1&J{0&Cax!I*7uYM5x`ff9+;{?>=)MyEUu`BL-itn*cb+x8A9%aW9%MV~j=$W~Q9-~p z)E0yG(S9ZQM@k^Rw#qW<}XbRC) zET!CYE*R2&q;NEi@)jy=IoRzMi^XUM_V+xD%gu-zd$|eAtx=EmA(y4Q*)}vFZT2IB zmonVgTlZCNNO=6E}ERGqWlvSjxnn7Z#S9Zqbn;7oR_a{9rK{dhoQvZMms6zR|XZ zc(FdI9K~q0*L@I5tE@NMcY3?U?oH3V=(&HZ=l-PkY4NGi^UBROY~liQj#aYnP@*dS zvd?Hb>@ZCXN1M2bi^g}2VdHDhwT*YNw6Aimu^R1TF4x;-85*05o%JSOj*b&8GgEo; zny+KW3`b=X`=`;`*UyJh7#cq+naN>Rnx}C6u#{!Jl^q#BKdH5!{mZx=>l{d<`jKTr zILFD9>*a&|9@o#ESm#CEX2!q5@k3a_*@qx?l4ZCw*RoTeWL$TiPqOZ;RqWm_je30Q zG(I7IKkaCL(S33oT~|I`b)UxW|JH3{KCtiY;b8br&-3^&h9$;}e@x!a7BA(bBkMSR zmfS;*GUCZ?7>`S16W`9Z<2+2%B?p4V%dPq*Yt!<}2e;YhnPjk?UH5gC!@dst0CPAJ zj5{K5F1-d`Ee1UuINZWg*5okK=2fgvM<-*&N5Z9&T%+cE2CY(lx#H_{p!0+wbPVbu+Wnxih}s z)(77;$}Uz)3=N4?`3&#HzvG=4R6_T8=LD} zv#C>U!!&N!8po5{=$PfY>HYU|?$fH_U3zZ}17KU>%K9{+lx*!Q(U{@jonqF zd%H4p{f~98#NbQUdb}Ao&)bdB3C&~K*^JHd7;bc2bp4Nylh^seUU&T*qe{UdE}-|2 zrC015y+^ljS`mV<-UVRvp{aoRjdHkOWq_O+ZtD$VhKWpJXCP70QdGip4swSDbB2pb z7L;XV5BKKt-4B1&2S2WUmJf5;YGv<`eHC|0DB63tKl!cSlMNr3SzZ2^8~+Sp1~ zs=-cV)Ux5io+c^ms<`2$Dw$$|8XHOaCb!aZ(VJXkzws1Bkw~W~!jhyd`jZ@9U1M_b z1slW+zIYoRd}atdBaJWE4qoN7uX(^4xXU%qzHQ$P?Baf!}+i9)BZ?%foO}%;Wb#oQcy?kV{b25K3 zzpX>HuH&Yk><=)8<= z%gyBS?ltck!{vQ|l5nyy-x zhly!Qt9g!m>(#DlUwFQ>;)(mS9{9N0^bfH+@k`Ts=Px|e-`#=vhADJaGdnJO#>IYU z{|#;R7g}Abqni|Zv2T_)`#!cyZRmGCEQ6$ zUtkC8Sgyvp`Ipl5)#TgX=B<{#jn0ssI2 P|NjF3)MV<4;4c6Gfy^3i diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srf b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srf deleted file mode 100644 index 15854e8..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srf +++ /dev/null @@ -1,373 +0,0 @@ -#Build: Synplify Pro J-2014.09-SP2, Build 1283R, Nov 20 2014 -#install: /opt/synopsys/J-2014.09-SP2 -#OS: Linux -#Hostname: depc363 - -#Implementation: syn_results - -Synopsys HDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014 -@N|Running in 64-bit mode -Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. - -Running on host :depc363 -Synopsys VHDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014 -@N|Running in 64-bit mode -Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. - -@N: CD720 :"/opt/synopsys/J-2014.09-SP2/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ns -@N:"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Top entity is set to pll_in200_out100. -VHDL syntax check successful! -@N: CD630 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Synthesizing work.pll_in200_out100.structure -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":2081:10:2081:16|Synthesizing ecp5um.ehxplll.syn_black_box -Post processing for ecp5um.ehxplll.syn_black_box -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":830:10:830:12|Synthesizing ecp5um.vlo.syn_black_box -Post processing for ecp5um.vlo.syn_black_box -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":823:10:823:12|Synthesizing ecp5um.vhi.syn_black_box -Post processing for ecp5um.vhi.syn_black_box -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":998:10:998:11|Synthesizing ecp5um.ib.syn_black_box -Post processing for ecp5um.ib.syn_black_box -Post processing for work.pll_in200_out100.structure -@W: CL168 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":55:4:55:17|Pruning instance scuba_vhi_inst -- not in use ... - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:11 2015 - -###########################################################] -Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:11 2015 - -###########################################################] -@END - -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:11 2015 - -###########################################################] -Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:12 2015 - -###########################################################] -Pre-mapping Report - -Synopsys Lattice Technology Pre-mapping, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55 -Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. -Product Version J-2014.09-SP2 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB) - -Reading constraint file: /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc -@L: /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_scck.rpt -Printing clock summary report in "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_scck.rpt" file -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) - -ICG Latch Removal Summary: -Number of ICG latches removed: 0 -Number of ICG latches not removed: 0 -syn_allowed_resources : blockrams=56 set on top level netlist pll_in200_out100 - -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - - -@S |Clock Summary -**************** - -Start Requested Requested Clock Clock -Clock Frequency Period Type Group ------------------------------------------------------------------ -System 100.0 MHz 10.000 system system_clkgroup -================================================================= - -Pre-mapping successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 75MB peak: 140MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:13 2015 - -###########################################################] -Map & Optimize Report - -Synopsys Lattice Technology Mapper, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55 -Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. -Product Version J-2014.09-SP2 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB) - -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 110MB peak: 111MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 113MB) - - - -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Available hyper_sources - for debug and ip models - None Found - - -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - -Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- ------------------------------------------------------------- - - -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. - -Finished restoring hierarchy (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - - -#### START OF CLOCK OPTIMIZATION REPORT #####[ - -0 non-gated/non-generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 instances converted, 0 sequential instances remain driven by gated/generated clocks - - - -##### END OF CLOCK OPTIMIZATION REPORT ######] - -Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - -Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_m.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - -Writing EDIF Netlist and constraint files -J-2014.09-SP2 -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF - -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) - -Writing Verilog Simulation files - -Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) - -Writing VHDL Simulation files - -Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Start final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) - -@W: MT246 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":61:4:61:12|Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) - - -@S |##### START OF TIMING REPORT #####[ -# Timing Report written on Tue Mar 17 15:43:14 2015 -# - - -Top view: pll_in200_out100 -Requested Frequency: 100.0 MHz -Wire load mode: top -Paths requested: 5 -Constraint File(s): /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc - -@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing. - -@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock. - - - -Performance Summary -******************* - - -Worst slack in design: 10.000 - -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. - Requested Estimated Requested Estimated Clock Clock -Starting Clock Frequency Frequency Period Period Slack Type Group ----------------------------------------------------------------------------------------------------------------- -System 100.0 MHz NA 10.000 0.000 10.000 system system_clkgroup -================================================================================================================ -@N: MT582 |Estimated period and frequency not reported for given clock unless the clock has at least one timing path which is not a false or a max delay path and that does not have excessive slack - - - - - -Clock Relationships -******************* - -Clocks | rise to rise | fall to fall | rise to fall | fall to rise ---------------------------------------------------------------------------------------------------------- -Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------- -System System | 10.000 10.000 | No paths - | No paths - | No paths - -========================================================================================================= - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. - 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. - - - -Interface Information -********************* - -No IO constraint found - - - -==================================== -Detailed Report for Clock: System -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------- -PLLInst_0 System EHXPLLL CLKOP CLKOP 0.000 10.000 -============================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock -------------------------------------------------------------------------------- -PLLInst_0 System EHXPLLL CLKFB CLKOP 10.000 10.000 -=============================================================================== - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.000 - + Clock delay at ending point: 0.000 (ideal) - + Estimated clock delay at ending point: 0.000 - = Required time: 10.000 - - - Propagation time: 0.000 - - Clock delay at starting point: 0.000 (ideal) - - Estimated clock delay at start point: -0.000 - = Slack (critical) : 10.000 - - Number of logic level(s): 0 - Starting point: PLLInst_0 / CLKOP - Ending point: PLLInst_0 / CLKFB - The start point is clocked by System [rising] - The end point is clocked by System [rising] - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ---------------------------------------------------------------------------------- -PLLInst_0 EHXPLLL CLKOP Out 0.000 0.000 - -CLKOP Net - - - - 2 -PLLInst_0 EHXPLLL CLKFB In 0.000 0.000 - -================================================================================= - - - -##### END OF TIMING REPORT #####] - - -Finished final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) - - -Finished timing report (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) - ---------------------------------------- -Resource Usage Report -Part: lfe5um_25f-6 - -Register bits: 0 of 24288 (0%) -PIC Latch: 0 -I/O cells: 1 - - -Details: -EHXPLLL: 1 -GSR: 1 -IB: 1 -PUR: 1 -VHI: 1 -VLO: 1 -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 143MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:14 2015 - -###########################################################] diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srm deleted file mode 100644 index 98174c49deec366830563b77c37a1f47bc3d1067..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6222 zcmV-U7_sLciwFP!0000015#C0RVXORFG)=c)0#Z?ZFcy1QLz zw$oI1)l=`)M&D=3)|GZUgVrXs*mtRCyQr0#FX4y4EP|LuDrGFRDxJy9)YGQYan(qL zD+N(NW2Q2yhm{3qmW?Sd;Xdlk?TJRDP%Csf?8k*H_cis`TV`5QW<1}vfvQF0kv5ba zO&>ev&~qdbeV+sjlO9PXL^dPpjE8|oEU_P&X+#4j&?A{x(yf$uy@^Rkfs-Z*!BIdGOUR1GG93~punw}* zU>G?7N|tnT>sgY(nV3bRPcQgCd5o}-01xRGlzJjH<1c0)ccwo%VE*~ zRn~4Tl}(>bcB>k#HVPsQ;iYbE$tp z%p`8GevL6UaauHeonVJeydDW9t&nxCU&NuT_2pjyg~g`kb9-y3krs@G+Suu9!vfq!%$ zEr%hlv~;aiP5ZAV#B!=@er{75#(Aj>w2|JFi8hi`tc7xewF0Miwbs^wc3ImBrd`xC zld*H`5C047dRS<&+zXcdLEqgY!Rt>ah6yPe2v96_6aksqm>+^rzuR}=- zwIxogYTYzi8>auldM;~r=+juC`9LqVMjMqQoXA>}h8W9Qsug#wR@Vg$f{4W`t7xb* z3k+EVRE=U5GFma+VvadUkJ#BEH_zqIyxtsbwq*aY_H#5hSF_s8T4hToe->8GM%( z6CK54!z!0a^ z5_)$-ycc$oFE1xFTsKuzP)CKZ=Xd+2977(&%?BJwP8TC>Zth#fRHSSRn4;F(D?Ji- zv~M{vT7@WHw(V>ZhYhacqwJ`Wi^y7bxMH)R!8&v(j>lgj{5HND^>5?*mYc>IzHgrK ziZ9E_;OIY)l@vNnAP0VIr-$j^#_^gn2 z))04@7vGcnXXdI8mgTa;`U1`u_3eqEuXS73eImyJ9VImVF!I7*I-CI*G!8A#>ii3J zPj130J&TD`9prvF>%HR;%JDo}CuE7GujmOR)Vv;#8xls*nnLr{L#V~5efnBUbuIWM zW)LUqwvm%1sU?45XEgI?q#i7GbY^E{;nyp>?Yt?T}3ei}q=gi*tEP6`E~ZvZ^_c7HWTHZz+1LHyz!$pd07( ze6_c;Y-UrWY&ZL_7yH50-oM)0um}HB5CK|j?G|v>HC<6KKUm=rQW0=I3VSJtp!qJN zhJuihlSc{#hJVixnb*0vWB7Ttupf!AAt=8`iqH8g)X3q~Cfm*P!G`{}@Q@^83VL?Z zU*-kD&?ci8H*5SkCXtTux`{rMcnyD%=5vH&ef=3X0UJhR1&*=j@Ig z=}k=5uXh_+k3Prich|qG!+R^Adm!(EbrQEp(kz$r1WPjUvwCsNjh#Js&4*JC8K)dl zGiuLxtd}d}L`N;1*PFpH2hMXvz09NXL=!pSpXb1NuBiTH4!qKKm-)84%(wGADg|u^ zF*rc>Vnf^9f9m9Bxw2hRC^3RZn{Snj);8Msk6oR(q#Gyt>XGcT+@7J#R`zuJb+x(L z53ct9<-WB~xw1to`;U!E;;s#WURKslXD8)9!VV_?@v z+-Zr;?Me3rj72_@3_If(Y#vA1_%es3MLj@(Z5EnB$j17~11@_tzVu^frMAbiQjf4nnE3CSZoNV0Gd+I*rz46<(9KHcvlj_} zInDk{n38BC%MtG0uGcgE3z1Umr5xR=Q|I}{xt&a3p3B>WCHR(2(^MVZv1ciHIn9<8 zjh9I}Y%F*ld(*$3*?%#y4qYnYZ|>v$hU%Cmda{;jMRb`(@OF5wf*OIw+Pi_mh5ma7w zJj?wSHN@1zfHv`Araj8@nAE$E$i4c^!LU+&5bLzPb2$!(HAV1I?Cf1UK)cZE!JQuT zyAyil$Utq|>DA`wT|O|BwdZ=>F;}cN%w=3J&}F4;Y}9@eylQ*3Q9ShRPquD}bfQb> z8-M@YyZ6tk1%6UXuAg<2yP(^k>v3<{$2RhT_jqm_xXr|>w#=2$uWXxL_508KM zeDR)vFbg0YVMr41fVN)z1|0Pf zr*0`$2DJfZMt+YR*0=a(^*mp}6I#%C?G9<2!1H~L<7I5=VDgh!$byuE_Ez^p9zogX-!@0us-lO0IWM7roj0k%ipW-oF?rC ze}TCG%*=vjVSNJBjjLCGV8V#YblgBOLM`!o3USioUaTGke;2QHpX>U<+)sY#3O&efaQYnv zY%1QpJhqN@;ByMtt2pH|6ZMRJa$gR4)1KdlSxnp!e_Jj6E6fJhbHqsHPsDuG-8X(L zlh+{^q*i1!IpmkPOW^(XBb|BfT**D=(vL8UScRh}eXrvCNB6~GS5qm_s95~8Ez5Cw30RRC1|4J)K&B?Lma&QFz00960d|3Z);yM!lnfw(| zjC6}mghYu*1gf;eCUxK8gt)KS?cJ^{+;$5|C_!#o9gp|F-#C2fw)@`kL&4+u=9%$l z#{JCrXa8nok4B$-+aB3hoX1>sq#%SA%q~h1JB43xg`BcX9cAEg;-x&K7;PjIiBJx4 z5{L2>TbSfSQC4<;m_Hd7@Xa~FlrcNz_eRmKDMBJnONGbWH+VAl<`hkNNc?1M%qjQK zRLn!4@bTP8xbl*ePIGdD59`>gLR^v@Q^Jj#Rv!{wmdgtEtSj}c!>xEQtx`NF)utT8 zjrxy+Qxpsq5fibPEPD59;LK+CgJghkierV+0hY~hP|JEiC=sl%3*p42KPZZiG4R6q z^c%CjS=!f>dxYVnL{d05mZEYf_IS~L^giQWTuR0KqwkJ~D(VnK9j7WV_x$3GSMiwA zjbH*fv6b_Wc3XQf_tcVZ5?*18NFr>?intJE;rNA)bvWm-V2+c<<@6X^9UG=%S#pNk z_&)Px&=Wzl6)I{4M~5JRF;kQW?68g-t~oOdaBb6HWGgoOE~H|M(cWHZ zamV0)Brco1)C=O`gK0gnEZQ_LJi zSi<=q{7enON1XGviv(4u#7P~8)SUukg{(N< zBj$MoYDT6c!Ks6%N?x7iqD7K4B#i?FKG8Xxp=fl zJ@G0DRpl@mqyKVioi&T~Xz70ITYDL*7y=>paEE11Xjj)P-uGEIRkH8wCX6&9go1NBd0(mH4#p?~{IY$?{~s9~OOm z&-$Hxp2iAqSd98=pKs`85;}*w@1y;m_VY`&!Po(L62igL&c5&Od($rwUZ)*a^%A{? z>xhAa0on-`3QYZczgPV)R5&;!I88!@p=ps+bWq%7M9b)!CK%6&ju-T#{YOl$_WhC) z2kjN=<6Qk#>;dt;q6qhWoX#np5xSRy*y#=ce`DGG7aH_^ySRJwioWeO1K1y=q{IW} z;9)AudFAbKKb&Kll0BUboLCMZ#&K67)F1rIzAJpMUp%^l#wG(gBe+bNbOu#O1)k>~ zoJanrVi1SDBur3S6h-WpPGJ?_zD4Z6yKlXb_5b`~gqF#r5QC??3X2U~3*w~E^G4q+ z|LM*j7RAtJPv_nk(@GKvVRN97XuFdC<6VFKM^Uu3ggupqG11sCzk&onZ}5-(ZYB}b zSQwvcSX`#56ElTk0+1|9T+P(^9$cm|;Pn}=Do@UsfJ=#0c#_vW8tS<6CBJ*JpNhx( z`}?E6atciY>Z2T1+`f6bc`9xWy@-0H?(Nz?1jvpH?ib_YU=vMskE+i7QS$=MAh_2Z z&3F8qd#8d1;K*bux%DmZk_EF}TSS>JjA#8mo=Ydky%Y-Q-rQt5Fd(G}5dWgpKI7>(dAW<^iPu|J8{JE8Ve&Oy} z_SyYgS)Cgj!aTei*^rxmW_KgzBS-LT^7GlUX2N?sd&wMz{E5hni|Z`P>J@yiXQIsY z?^eIa)(i8t;SW|F=(y_G35w=q8XkHdz+#`o)H>$;|SQTxxvGPvG0-(ls}&&9>^ zIxrgd`_}9Q*#+eI#JjjKn=|j)thZ*+d)B#kjqAm2Fll+~>!x0P*_uoDHqv`Nr}OOlSjsNE3fPN+BI7F z$iC1t`?z;sU$lCR^|h|?i?tE0t{2&|Uag~xU!(0%-iuYdS}<=y~PLKHs<4X^Nw%H z%@dyd!fUTx@G0RrI0*C4#*}<{z?l1A0dLUFY$GeVw;=d>PnYFY3rx zy^oj9>9}6~V&|CGGcP^ArAmyH(C-((Ok_d-%dci4;T@Qo2|?I_83RTu=p7gauM#VG zOe1H8yLv*MVZtTM6eO+SPVx}PVd>Bi=7ma$l3#`&*6D}!?87=qW6}Y4fL66LEbqW@ zAdBqBAg%W$(9B$z}blgGZMAtha8%(z2BERGiq){7A=LY9e5Sm?d%30J>SqC ze>KhDcS{l7HX>WLxZ-oGI5FvW-z(+4o%y?YyNtxL$%MuP`vXr#c@#BbXZCtaYXJ{H zpw$!_+N&^KH(T&^&$2$*tzTL`6T!on+Zz9)*LpQMtlNIj`fyl3o!0J$eK)X0=0EV0 zzO``g18A~(-lDpu#kw&k%WZ9${P-Q5c}-U=>+Qrexz&D;1M95Uv=4g$x3Y=*UJh)W zbOzhZodmUM{bVoFcr-NMe2QGr_Km|_M~|5N7qt6dXiXztznfdPeM?2Oz4zk#YqRC9 zS)6PFKKw$v|3EV#zpG#(7R~m1#4X+Te)f3*bBm~u0RR6303BXvVRkYA0LvwPS^xk5 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srr deleted file mode 100644 index 15854e8..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srr +++ /dev/null @@ -1,373 +0,0 @@ -#Build: Synplify Pro J-2014.09-SP2, Build 1283R, Nov 20 2014 -#install: /opt/synopsys/J-2014.09-SP2 -#OS: Linux -#Hostname: depc363 - -#Implementation: syn_results - -Synopsys HDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014 -@N|Running in 64-bit mode -Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. - -Running on host :depc363 -Synopsys VHDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014 -@N|Running in 64-bit mode -Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. - -@N: CD720 :"/opt/synopsys/J-2014.09-SP2/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ns -@N:"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Top entity is set to pll_in200_out100. -VHDL syntax check successful! -@N: CD630 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Synthesizing work.pll_in200_out100.structure -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":2081:10:2081:16|Synthesizing ecp5um.ehxplll.syn_black_box -Post processing for ecp5um.ehxplll.syn_black_box -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":830:10:830:12|Synthesizing ecp5um.vlo.syn_black_box -Post processing for ecp5um.vlo.syn_black_box -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":823:10:823:12|Synthesizing ecp5um.vhi.syn_black_box -Post processing for ecp5um.vhi.syn_black_box -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":998:10:998:11|Synthesizing ecp5um.ib.syn_black_box -Post processing for ecp5um.ib.syn_black_box -Post processing for work.pll_in200_out100.structure -@W: CL168 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":55:4:55:17|Pruning instance scuba_vhi_inst -- not in use ... - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:11 2015 - -###########################################################] -Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:11 2015 - -###########################################################] -@END - -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:11 2015 - -###########################################################] -Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:12 2015 - -###########################################################] -Pre-mapping Report - -Synopsys Lattice Technology Pre-mapping, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55 -Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. -Product Version J-2014.09-SP2 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB) - -Reading constraint file: /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc -@L: /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_scck.rpt -Printing clock summary report in "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_scck.rpt" file -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) - -ICG Latch Removal Summary: -Number of ICG latches removed: 0 -Number of ICG latches not removed: 0 -syn_allowed_resources : blockrams=56 set on top level netlist pll_in200_out100 - -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - - -@S |Clock Summary -**************** - -Start Requested Requested Clock Clock -Clock Frequency Period Type Group ------------------------------------------------------------------ -System 100.0 MHz 10.000 system system_clkgroup -================================================================= - -Pre-mapping successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 75MB peak: 140MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:13 2015 - -###########################################################] -Map & Optimize Report - -Synopsys Lattice Technology Mapper, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55 -Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. -Product Version J-2014.09-SP2 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB) - -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 110MB peak: 111MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 113MB) - - - -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Available hyper_sources - for debug and ip models - None Found - - -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - -Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- ------------------------------------------------------------- - - -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. - -Finished restoring hierarchy (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - - -#### START OF CLOCK OPTIMIZATION REPORT #####[ - -0 non-gated/non-generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 instances converted, 0 sequential instances remain driven by gated/generated clocks - - - -##### END OF CLOCK OPTIMIZATION REPORT ######] - -Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - -Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_m.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - -Writing EDIF Netlist and constraint files -J-2014.09-SP2 -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF - -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) - -Writing Verilog Simulation files - -Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) - -Writing VHDL Simulation files - -Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Start final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) - -@W: MT246 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":61:4:61:12|Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) - - -@S |##### START OF TIMING REPORT #####[ -# Timing Report written on Tue Mar 17 15:43:14 2015 -# - - -Top view: pll_in200_out100 -Requested Frequency: 100.0 MHz -Wire load mode: top -Paths requested: 5 -Constraint File(s): /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc - -@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing. - -@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock. - - - -Performance Summary -******************* - - -Worst slack in design: 10.000 - -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. - Requested Estimated Requested Estimated Clock Clock -Starting Clock Frequency Frequency Period Period Slack Type Group ----------------------------------------------------------------------------------------------------------------- -System 100.0 MHz NA 10.000 0.000 10.000 system system_clkgroup -================================================================================================================ -@N: MT582 |Estimated period and frequency not reported for given clock unless the clock has at least one timing path which is not a false or a max delay path and that does not have excessive slack - - - - - -Clock Relationships -******************* - -Clocks | rise to rise | fall to fall | rise to fall | fall to rise ---------------------------------------------------------------------------------------------------------- -Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------- -System System | 10.000 10.000 | No paths - | No paths - | No paths - -========================================================================================================= - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. - 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. - - - -Interface Information -********************* - -No IO constraint found - - - -==================================== -Detailed Report for Clock: System -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------- -PLLInst_0 System EHXPLLL CLKOP CLKOP 0.000 10.000 -============================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock -------------------------------------------------------------------------------- -PLLInst_0 System EHXPLLL CLKFB CLKOP 10.000 10.000 -=============================================================================== - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.000 - + Clock delay at ending point: 0.000 (ideal) - + Estimated clock delay at ending point: 0.000 - = Required time: 10.000 - - - Propagation time: 0.000 - - Clock delay at starting point: 0.000 (ideal) - - Estimated clock delay at start point: -0.000 - = Slack (critical) : 10.000 - - Number of logic level(s): 0 - Starting point: PLLInst_0 / CLKOP - Ending point: PLLInst_0 / CLKFB - The start point is clocked by System [rising] - The end point is clocked by System [rising] - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ---------------------------------------------------------------------------------- -PLLInst_0 EHXPLLL CLKOP Out 0.000 0.000 - -CLKOP Net - - - - 2 -PLLInst_0 EHXPLLL CLKFB In 0.000 0.000 - -================================================================================= - - - -##### END OF TIMING REPORT #####] - - -Finished final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) - - -Finished timing report (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) - ---------------------------------------- -Resource Usage Report -Part: lfe5um_25f-6 - -Register bits: 0 of 24288 (0%) -PIC Latch: 0 -I/O cells: 1 - - -Details: -EHXPLLL: 1 -GSR: 1 -IB: 1 -PUR: 1 -VHI: 1 -VLO: 1 -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 143MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:14 2015 - -###########################################################] diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srs b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srs deleted file mode 100644 index 57d784a7e92807440912f5797ecfa3f72def1659..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5590 zcmV;{6)EZ;iwFP!000001AJ25j}kEuf9J25Ku9zJx?gaCCl!4-b8_Us=gn@nu=To~ zO*<7g;g5HUcP47$Qzz-~bK2>23cW*8l3q{MlqYS}Xfm3>PLa``;NmoqvVazjLBW^G zL3#)2a#Q4+G=nTjmyA9Pwl`X88o<5~jkeFq&7cn2P^9nhJHsQRSTJRa{owSuC3v%a z3+Z}Y%;Qy*!rl*l2KQDj;Mi&p9#3?soPx?WKrNr%qtq2Ah6ZKml%77o4R@a($u!t`< znmq20_m8{7W_+E;m_;`~R>1vr3%lz(Dq{U7N8P36py~A((dAvA&+?Hs|8rH}Ik-Sa=7QexLHVhN6ttyW2%uhY@HPd@YI1v>WLdLUtxLf8HJjY zTvsPmq0*v~6I*tDmj$_!Gu0~$+_P2-S0|*Bwa)WOF71T5uAc`=UNBG1jhwmbLai7f zSA9ZNmwF`7s}7N$O)2ZhbLgvH(htbBcbyQ@>;IuFazpRsORZlkZr>kI`y-3!sx_5I z)?g0LKDacWcS7(%CnGks3>OA`J^_7Xp>V|Y)gH)3M;?SQ2fa!{$^z9@eFBEuljnmD zcyd1>YX3S3Jkq}=f8;&I$QSyu?rDnOcgLgt)C&3}84(t;ge3aauFc>0H~6c4Vr>m$ zG18|N$b)Bh?$Zt^f+q9H)E!ySF&ZBJWaPRQ^MRA#`#x?fZwn<%7H@ek z|6jZxpR&C~;nSo@Zr4LS(lI7kICdxFE9MQpeuC*ULXUp)a}4wSWA^k(0(v`1ipRBH zl8t^n4w!otPT1G6_X%YJI`zLZDSON%5(o$mMzZ&8Mifl|m=Dv+tHmdpR?fxxF-Iwt*{+J`h2hU+3!} z)TiK&NE1g>jH9>sn(Dq+?bcaHBanwYro1Us%-{KHKp1#)S&oL{T&0H-e>8WOw4vka zYl{}H@JVMW_z-p-6cwRkW8Ca<^$9J)AW<2iEKyYIuOZL%9PyN+-=-MTZa~9=Buq7E z!q%!!b=<-Kb@DS!m-*v~OI?w7$rRc?5Z}gAIL(=rge*vWkY)d*ZvvskaWW;KU#}4k ztRlpxsx^RI*nrzCaQ!QvR%l>(o=>c0Z+vTP!*OrBFpPOGTq?8PmM-(LlBP2`oeSF6 zItdCEti#EuN(r=OUb8vb6_uCp@-XNrf{#kSim>Ijzw_bFSlYRr=d;{4_#fNHtv=FK z^~$Z7=ZCvsEmQE(FWw#8EyX^=w$Xn0cjgViL%P21R+tF5AA^v3s5$EDb6o=rd=e6W zy$dJ=s<9h%#see=;aE?65*ij@-bfHnoStEPww1iH)#3+*9PC`BRRP#V& zQ7$+CJf8D^7SAUPVSmR|LU}Ga;|+6MP+d`9w&2FfZ^OlM(wi8@cGg?W#y7oj?`9F+ z=GNpUoKR~!lTWxuEEHHBP;sIRwu>|F$$HMbT~+uAvlL97oX=OCssXJ+o-?51aX+GO z6eYE1ift=D2k`S9?tcCa?h^EKj(+CIQ4IUDYSjXjzXtekSgwDh!IXH42l!%}>g zG?tb7p>*26m$D(0lAt4{uWLx(+1C%6BSERHHtfNv_n&u7>PFHb zlUP>+Db}3(?DRciSuD;(TI|5;-sCpaB(`y0x)P@!cZJO%jdcn36QCS8NaQ zgW%g`aa2Dc)-X%eNF$27PZC2|@9zbj_PX|VePh1Fk@*-&PhIu`-}e{u;lq?Rq--DJ(PV+s3DCTFh6^mDqb6?f3H@#s!#Y$7M4Rcmc(#8b-Z8L?JC^jFZ$n3tbr|+@}rWrj7xh``XmrZ_jgk16F z1y>$TCUu{NFW));$H7qWzVy3{H1^y^&h#BdMBj3mgCn`C{S{^M>)J7Yqb~V<(~Gq7 zcnzCU*1Az7XMc$`=^&YGxT+uaF_f~ik~j7F4Aw9a6S)MfZ_2xGr#f@&(cjb|ZnvW@ z=_xK--;@u&ono}5Z&M53%8`oPAWLBGBkhcji@N#-cPIM4TB}=YZOonGp4axy>{WK2 zrv@-P>8xGM>-PNAI~;oyCn{GBy{1PAN7ba=&#nv4a((*R^YVU@u!4@O@#)M2+bZl7 z6OyP@@tL~LDToGlkT-WFicM02w;oE=L^beov!J8TW8q*cpL^MLP)w>( zNOCZi9p(Ra7gLtDf!CiD|0rARKY5+uKI%kB$H%@-b$QPh@ScxjdJxI&b4z{>`_{Cy zofRC0!Z*Ahu0U5kmsP>>UV?NFJWg9@4P`4vrC!(^x0-?3mSYq1X({}2!T&+7ahD{? zC4cwvMSqJ_u(`fz#`LzSEr|CAX!Z`u{9VspgDm*vQi-?aV=pZ_ z(89`uMWXxHN49RSV?6r&4$b|P@_UHFZx}ZshsU6qgW>%<`)DBZ{;+Kubg^+96&q{O zpVEHCdqrsd{^$;0|5=uMZ6)2ynRC`nL7nhY#R=YOb~o0b8-Jt+_LprL&=9j`Fcwmo zA>Od3;p1RaztceiIpeu)P`7=9r*FilNU5DQcL)$;M|!`;eygn>245)2AFe+!mlIja`+Ga}3s;-=d2s664L8 zZX;!J4Wfzj`O!rKz$I z<08uKY!jDGv;r}HL^qS8nDgbe6xVnz4~Q4?cl)(U175RUF|ZIAz`wJuBOS`_3Z(Mj ztUkgJ_H>S1u0)*jI^?k+^az9h`OQOF2>%<$amMQ_?@M{F5FN7kSNUD6{f*2Q z?`Vp6XX9@cr*{x2DF1f(Hm8KPc>56VCat$N@%#8b*VrN#w#Yx*TZPvn>~)OOyW6q% zF-~LB%KI3MBF`$@&-O_Ver}vY;mo1TR#D7rTgwg`qh_bKzUHjRXZaTO60z7mkFnR> zpX#PBI@;nxnPH;|J?G=%c;^!R(eLooekkI-Xbi>t__opXR==S$kDWJsPqE~re=l=p zH&{LC8_nSjzEPvUJ-@L_=f~MZkdE@Gg?^R6RxI{yIx0RR6= zD@o1CvF37c1poj5|NneeU2mgG5`8wm0?~O08Vv>+83eKv(`}}6lf73^>M1|bd_%e+_-mv6$IC=Wd+tPKn!)SK*o3}tg^z` zF5MDpVpmma*BT9z!3_9>%zT$YIMWg!1CIV|!a{I0NM?gHsV6MwG=#hE>~G>KXOsh@ zcS)$t2n?=rfg4B)qrQ!M2K?3EOk!H~(_O!+n}5_XC8^zy79L_O7E5#7pW5{@=}$>Y z`!T6$zo6+*_8ZCi1rx|EEX&xf?6N5Pj58FaRiYhN?w~AZe@%SD_)BzH*&wA=A_^M` z#lo^F-#}R^E%j@$2^hpxQ45#8AMc?bW!k?apX7sw=JD|B; z{Rv))4Iwq!g!0md_uTTB+@ehr8-_oa^U$SVbDET{ zZ#`0D`rKi<8W54=Mj_qBSQQ^wTX}_IlcbaSj=;6{@{t!QYN851F<8OS;-ZX8tV-Ke zT--2X59*K@+70h``xpdwuKO6cGKkhu1~Ob{3NIh9+QzQ-BB2o&(pYC9Xz@*ObLZX- zgCKB+Ze#_rS#Mz-$*tC?_#9M0S=(1ZlMP5CS{vKum)%Qi_tM!pCp+hV**U-Lu3Nin zSGvI#qP1LaqmXyps#%ROPj+HsP-x}GF4Tm8%9Yqik_kJ+mTO`#BMGG_Y=(K_?g+eC z!%%2Da4Uhbk(sT!wk%P}5=aJQCMeR}Mn;mII|S?HvtPur<(p7%F~J!XNbP*b5@NY9 zRK3_$0z~7Y6fz^F($*}MrvO5e8QRKulCvEU*9wO(+D#2N!)}H=4qYp7gD=Y#k2}T; zf`67}3bfb^D}Zd=_IH}Hq!;7#n}CdQIBbo0}jQ-aXz0!{Cd1y-WjA;Z%WQF;_7!;%%QKw7gBGES>f+Cf^`Uswu`Z z*1g+*Y4cG<(&;Qgro~}>1FBiUOYoxjq|*4(EQ3@27k+=}4~>+UzMF4w($(ZVT2Hf4 z73Chc56Jk}cLVXvEB_BWaC zEShxmmbb>@`M!B@wz}Nwp84}!?m3GwxgVW!R=66u{&TOdocripZ(%y;F?6|#)}w9n zflt@wtCs7;`Q>Xh*Q2dmJH+~pYsTwxe zPMi%0gWH%-Z)ws4HG66#HU*LRNiiX6Tf}KqQyYkn(i*+XQPhe*J{9D+X!HT6?4R3@hTd`{MI`@o`Ucs<8En ziJJedE|O*5Vow!L(u=JE^9fBzcb5|^$V7R0^Kq`XEHM4hLt>?8cQ{E4weV>gvtDtj zx9n^1F`u!@xRg+=MJ);5lO{09@)BNz= z)Q^$Ay3ep#we!cw!1|<Ece zAFuXO&CU0(ckcIe=j}7+!mf>R^67ZlULQ-Zt>G!&L)7fS(T8VoqIUL_`UURa$d-ST z9UAOrSqSZZ<6Z9>JhXGL{V&qRRI|eRjcj=?Q~lM)y0_kAvoIc@n=|K_vxWJ(Rd4?Q z#y*pB75+3%@fs5snfHqNz1*Ms|9>{lXPYgDW47>~^vpAl`1-@xw{?B$YI=n2LiH>& zJsp3%9>*RSnejC4YOvTI)y*?LD6<0;c$O+3O6&rm+PJ~RrS zl(<#&eATyJ7vJHqxL4%*UEe$oU5!-(j=CAOF&w!c>!H`hX-p{{eSxbt%)hv>f^30@ k@t^vBePQ!o00030|Kf5`-~s>u0RR630A8gjhkY#o0A2n@&;S4c diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vhm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vhm deleted file mode 100644 index 89d3c09..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vhm +++ /dev/null @@ -1,139 +0,0 @@ --- --- Written by Synplicity --- Product Version "J-2014.09-SP2" --- Program "Synplify Pro", Mapper "maprc, Build 2453R" --- Tue Mar 17 15:43:14 2015 --- - --- --- Written by Synplify Pro version Build 2453R --- Tue Mar 17 15:43:14 2015 --- - --- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; -library synplify; -use synplify.components.all; -library pmi; -use pmi.pmi_components.all; -library ecp5um; -use ecp5um.components.all; - -entity pll_in200_out100 is -port( - CLKI : in std_logic; - CLKOP : out std_logic; - CLKOS : out std_logic; - LOCK : out std_logic); -end pll_in200_out100; - -architecture beh of pll_in200_out100 is - signal CLKOP_0 : std_logic ; - signal CLKOS2 : std_logic ; - signal CLKOS3 : std_logic ; - signal INTLOCK : std_logic ; - signal CLKINTFB : std_logic ; - signal BUF_CLKI : std_logic ; - signal REFCLK : std_logic ; - signal GND : std_logic ; - signal VCC : std_logic ; - component EHXPLLL - port( - CLKI : in std_logic; - CLKFB : in std_logic; - PHASESEL1 : in std_logic; - PHASESEL0 : in std_logic; - PHASEDIR : in std_logic; - PHASESTEP : in std_logic; - PHASELOADREG : in std_logic; - STDBY : in std_logic; - PLLWAKESYNC : in std_logic; - RST : in std_logic; - ENCLKOP : in std_logic; - ENCLKOS : in std_logic; - ENCLKOS2 : in std_logic; - ENCLKOS3 : in std_logic; - CLKOP : out std_logic; - CLKOS : out std_logic; - CLKOS2 : out std_logic; - CLKOS3 : out std_logic; - LOCK : out std_logic; - INTLOCK : out std_logic; - REFCLK : out std_logic; - CLKINTFB : out std_logic ); - end component; -begin -GND_0: VLO port map ( - Z => GND); -VCC_0: VHI port map ( - Z => VCC); -PUR_INST: PUR port map ( - PUR => VCC); -GSR_INST: GSR port map ( - GSR => VCC); -INST1_IB: IB port map ( - I => CLKI, - O => BUF_CLKI); -PLLINST_0: EHXPLLL - generic map( - CLKI_DIV => 2, - CLKFB_DIV => 1, - CLKOP_DIV => 6, - CLKOS_DIV => 1, - CLKOS2_DIV => 1, - CLKOS3_DIV => 1, - CLKOP_ENABLE => "ENABLED", - CLKOS_ENABLE => "ENABLED", - CLKOS2_ENABLE => "DISABLED", - CLKOS3_ENABLE => "DISABLED", - CLKOP_CPHASE => 5, - CLKOS_CPHASE => 0, - CLKOS2_CPHASE => 0, - CLKOS3_CPHASE => 0, - CLKOP_FPHASE => 0, - CLKOS_FPHASE => 0, - CLKOS2_FPHASE => 0, - CLKOS3_FPHASE => 0, - FEEDBK_PATH => "CLKOP", - CLKOP_TRIM_POL => "FALLING", - CLKOP_TRIM_DELAY => 0, - CLKOS_TRIM_POL => "FALLING", - CLKOS_TRIM_DELAY => 0, - OUTDIVIDER_MUXA => "DIVA", - OUTDIVIDER_MUXB => "DIVB", - OUTDIVIDER_MUXC => "DIVC", - OUTDIVIDER_MUXD => "DIVD", - PLL_LOCK_MODE => 0, - STDBY_ENABLE => "DISABLED", - DPHASE_SOURCE => "DISABLED", - PLLRST_ENA => "DISABLED", - INTFB_WAKE => "DISABLED" - ) - port map ( - CLKI => BUF_CLKI, - CLKFB => CLKOP_0, - PHASESEL1 => GND, - PHASESEL0 => GND, - PHASEDIR => GND, - PHASESTEP => GND, - PHASELOADREG => GND, - STDBY => GND, - PLLWAKESYNC => GND, - RST => GND, - ENCLKOP => GND, - ENCLKOS => GND, - ENCLKOS2 => GND, - ENCLKOS3 => GND, - CLKOP => CLKOP_0, - CLKOS => CLKOS, - CLKOS2 => CLKOS2, - CLKOS3 => CLKOS3, - LOCK => LOCK, - INTLOCK => INTLOCK, - REFCLK => REFCLK, - CLKINTFB => CLKINTFB); -CLKOP <= CLKOP_0; -end beh; - diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vm deleted file mode 100644 index 2c7d22b..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vm +++ /dev/null @@ -1,120 +0,0 @@ -// -// Written by Synplify Pro -// Product Version "J-2014.09-SP2" -// Program "Synplify Pro", Mapper "maprc, Build 2453R" -// Tue Mar 17 15:43:14 2015 -// -// Source file index table: -// Object locations will have the form : -// file 0 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/std.vhd " -// file 1 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/snps_haps_pkg.vhd " -// file 2 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/std1164.vhd " -// file 3 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/numeric.vhd " -// file 4 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/umr_capim.vhd " -// file 5 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/arith.vhd " -// file 6 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/unsigned.vhd " -// file 7 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/hyperents.vhd " -// file 8 "\/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd " -// file 9 "\/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd " -// file 10 "\/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc " - -`timescale 100 ps/100 ps -module pll_in200_out100 ( - CLKI, - CLKOP, - CLKOS, - LOCK -) -; -input CLKI ; -output CLKOP ; -output CLKOS ; -output LOCK ; -wire CLKI ; -wire CLKOP ; -wire CLKOS ; -wire LOCK ; -wire CLKOS2 ; -wire CLKOS3 ; -wire INTLOCK ; -wire CLKINTFB ; -wire buf_CLKI ; -wire REFCLK ; -wire GND ; -wire VCC ; - VLO GND_0 ( - .Z(GND) -); - VHI VCC_0 ( - .Z(VCC) -); - PUR PUR_INST ( - .PUR(VCC) -); - GSR GSR_INST ( - .GSR(VCC) -); -// @8:52 - IB Inst1_IB ( - .I(CLKI), - .O(buf_CLKI) -); -// @8:61 - EHXPLLL PLLInst_0 ( - .CLKI(buf_CLKI), - .CLKFB(CLKOP), - .PHASESEL1(GND), - .PHASESEL0(GND), - .PHASEDIR(GND), - .PHASESTEP(GND), - .PHASELOADREG(GND), - .STDBY(GND), - .PLLWAKESYNC(GND), - .RST(GND), - .ENCLKOP(GND), - .ENCLKOS(GND), - .ENCLKOS2(GND), - .ENCLKOS3(GND), - .CLKOP(CLKOP), - .CLKOS(CLKOS), - .CLKOS2(CLKOS2), - .CLKOS3(CLKOS3), - .LOCK(LOCK), - .INTLOCK(INTLOCK), - .REFCLK(REFCLK), - .CLKINTFB(CLKINTFB) -); -defparam PLLInst_0.CLKI_DIV = 2; -defparam PLLInst_0.CLKFB_DIV = 1; -defparam PLLInst_0.CLKOP_DIV = 6; -defparam PLLInst_0.CLKOS_DIV = 1; -defparam PLLInst_0.CLKOS2_DIV = 1; -defparam PLLInst_0.CLKOS3_DIV = 1; -defparam PLLInst_0.CLKOP_ENABLE = "ENABLED"; -defparam PLLInst_0.CLKOS_ENABLE = "ENABLED"; -defparam PLLInst_0.CLKOS2_ENABLE = "DISABLED"; -defparam PLLInst_0.CLKOS3_ENABLE = "DISABLED"; -defparam PLLInst_0.CLKOP_CPHASE = 5; -defparam PLLInst_0.CLKOS_CPHASE = 0; -defparam PLLInst_0.CLKOS2_CPHASE = 0; -defparam PLLInst_0.CLKOS3_CPHASE = 0; -defparam PLLInst_0.CLKOP_FPHASE = 0; -defparam PLLInst_0.CLKOS_FPHASE = 0; -defparam PLLInst_0.CLKOS2_FPHASE = 0; -defparam PLLInst_0.CLKOS3_FPHASE = 0; -defparam PLLInst_0.FEEDBK_PATH = "CLKOP"; -defparam PLLInst_0.CLKOP_TRIM_POL = "FALLING"; -defparam PLLInst_0.CLKOP_TRIM_DELAY = 0; -defparam PLLInst_0.CLKOS_TRIM_POL = "FALLING"; -defparam PLLInst_0.CLKOS_TRIM_DELAY = 0; -defparam PLLInst_0.OUTDIVIDER_MUXA = "DIVA"; -defparam PLLInst_0.OUTDIVIDER_MUXB = "DIVB"; -defparam PLLInst_0.OUTDIVIDER_MUXC = "DIVC"; -defparam PLLInst_0.OUTDIVIDER_MUXD = "DIVD"; -defparam PLLInst_0.PLL_LOCK_MODE = 0; -defparam PLLInst_0.STDBY_ENABLE = "DISABLED"; -defparam PLLInst_0.DPHASE_SOURCE = "DISABLED"; -defparam PLLInst_0.PLLRST_ENA = "DISABLED"; -defparam PLLInst_0.INTFB_WAKE = "DISABLED"; -endmodule /* pll_in200_out100 */ - diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_synplify.lpf b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_synplify.lpf deleted file mode 100644 index 15716ec..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_synplify.lpf +++ /dev/null @@ -1,20 +0,0 @@ -# -# Logical Preferences generated for Lattice by Synplify maprc, Build 2453R. -# - -# Period Constraints - - -# Output Constraints - -# Input Constraints - -# Point-to-point Delay Constraints - - - -# Block Path Constraints - -BLOCK ASYNCPATHS; - -# End of generated Logical Preferences. diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/run_options.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/run_options.txt deleted file mode 100644 index 97483f5..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/run_options.txt +++ /dev/null @@ -1,67 +0,0 @@ -#-- Synopsys, Inc. -#-- Version J-2014.09-SP2 -#-- Project file /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/run_options.txt -#-- Written on Tue Mar 17 15:43:11 2015 - - -#project files -add_file -vhdl -lib work "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd" -add_file -fpga_constraint "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc" - - - -#implementation: "syn_results" -impl -add syn_results -type fpga - -# -#implementation attributes - -set_option -vlog_std v2001 - -#device options -set_option -technology ecp5um -set_option -part LFE5UM_25F -set_option -package MG285C -set_option -speed_grade -6 -set_option -part_companion "" - -#compilation/mapping options -set_option -top_module "pll_in200_out100" - -# mapper_options -set_option -frequency 100 -set_option -write_verilog 1 -set_option -write_vhdl 1 -set_option -srs_instrumentation 1 - -# Lattice XP -set_option -maxfan 50 -set_option -disable_io_insertion 1 -set_option -retiming 0 -set_option -pipe 0 -set_option -forcegsr false -set_option -fix_gated_and_generated_clocks 1 -set_option -RWCheckOnRam 1 -set_option -update_models_cp 0 -set_option -syn_edif_array_rename 1 -set_option -Write_declared_clocks_only 1 - -# sequential_optimization_options -set_option -symbolic_fsm_compiler 1 - -# Compiler Options -set_option -compiler_compatible 0 -set_option -resource_sharing 1 - -# Compiler Options -set_option -auto_infer_blackbox 0 - -#automatic place and route (vendor) options -set_option -write_apr_constraint 1 - -#set result format/file last -project -result_file "./pll_in200_out100.edn" - -#set log file -set_option log_file "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srf" -impl -active "syn_results" diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/scratchproject.prs b/lattice/ecp5/PLL/pll_in200_out100/syn_results/scratchproject.prs deleted file mode 100644 index 02b31aa..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/scratchproject.prs +++ /dev/null @@ -1,65 +0,0 @@ -#-- Synopsys, Inc. -#-- Version J-2014.09-SP2 -#-- Project file /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/scratchproject.prs - -#project files -add_file -vhdl -lib work "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd" -add_file -fpga_constraint "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc" - - - -#implementation: "syn_results" -impl -add /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results -type fpga - -# -#implementation attributes - -set_option -vlog_std v2001 - -#device options -set_option -technology ecp5um -set_option -part LFE5UM_25F -set_option -package MG285C -set_option -speed_grade -6 -set_option -part_companion "" - -#compilation/mapping options -set_option -top_module "pll_in200_out100" - -# mapper_options -set_option -frequency 100 -set_option -write_verilog 1 -set_option -write_vhdl 1 -set_option -srs_instrumentation 1 - -# Lattice XP -set_option -maxfan 50 -set_option -disable_io_insertion 1 -set_option -retiming 0 -set_option -pipe 0 -set_option -forcegsr false -set_option -fix_gated_and_generated_clocks 1 -set_option -RWCheckOnRam 1 -set_option -update_models_cp 0 -set_option -syn_edif_array_rename 1 -set_option -Write_declared_clocks_only 1 - -# sequential_optimization_options -set_option -symbolic_fsm_compiler 1 - -# Compiler Options -set_option -compiler_compatible 0 -set_option -resource_sharing 1 - -# Compiler Options -set_option -auto_infer_blackbox 0 - -#automatic place and route (vendor) options -set_option -write_apr_constraint 1 - -#set result format/file last -project -result_file "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.edn" - -#set log file -set_option log_file "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srf" -impl -active "syn_results" diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/map.srr.rptmap b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/map.srr.rptmap deleted file mode 100644 index 14f4991..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/map.srr.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synlog/pll_in200_out100_fpga_mapper.srr,map.srr,Map Log diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr deleted file mode 100644 index 929e022..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr +++ /dev/null @@ -1,47 +0,0 @@ -Synopsys HDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014 -@N|Running in 64-bit mode -Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. - -Running on host :depc363 -Synopsys VHDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014 -@N|Running in 64-bit mode -Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. - -@N: CD720 :"/opt/synopsys/J-2014.09-SP2/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ns -@N:"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Top entity is set to pll_in200_out100. -VHDL syntax check successful! -@N: CD630 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Synthesizing work.pll_in200_out100.structure -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":2081:10:2081:16|Synthesizing ecp5um.ehxplll.syn_black_box -Post processing for ecp5um.ehxplll.syn_black_box -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":830:10:830:12|Synthesizing ecp5um.vlo.syn_black_box -Post processing for ecp5um.vlo.syn_black_box -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":823:10:823:12|Synthesizing ecp5um.vhi.syn_black_box -Post processing for ecp5um.vhi.syn_black_box -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":998:10:998:11|Synthesizing ecp5um.ib.syn_black_box -Post processing for ecp5um.ib.syn_black_box -Post processing for work.pll_in200_out100.structure -@W: CL168 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":55:4:55:17|Pruning instance scuba_vhi_inst -- not in use ... - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:11 2015 - -###########################################################] -Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:11 2015 - -###########################################################] -@END - -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:11 2015 - -###########################################################] diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr.rptmap b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr.rptmap deleted file mode 100644 index 8315622..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synlog/pll_in200_out100_compiler.srr,pll_in200_out100_compiler.srr,Compile Log diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr deleted file mode 100644 index 600fb8e..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr +++ /dev/null @@ -1,257 +0,0 @@ -Synopsys Lattice Technology Mapper, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55 -Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. -Product Version J-2014.09-SP2 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB) - -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 110MB peak: 111MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 113MB) - - - -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Available hyper_sources - for debug and ip models - None Found - - -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - - -Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - -Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- ------------------------------------------------------------- - - -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. - -Finished restoring hierarchy (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - - -#### START OF CLOCK OPTIMIZATION REPORT #####[ - -0 non-gated/non-generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 instances converted, 0 sequential instances remain driven by gated/generated clocks - - - -##### END OF CLOCK OPTIMIZATION REPORT ######] - -Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - -Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_m.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) - -Writing EDIF Netlist and constraint files -J-2014.09-SP2 -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF - -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) - -Writing Verilog Simulation files - -Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) - -Writing VHDL Simulation files - -Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Start final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) - -@W: MT246 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":61:4:61:12|Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) - - -@S |##### START OF TIMING REPORT #####[ -# Timing Report written on Tue Mar 17 15:43:14 2015 -# - - -Top view: pll_in200_out100 -Requested Frequency: 100.0 MHz -Wire load mode: top -Paths requested: 5 -Constraint File(s): /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc - -@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing. - -@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock. - - - -Performance Summary -******************* - - -Worst slack in design: 10.000 - -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. - Requested Estimated Requested Estimated Clock Clock -Starting Clock Frequency Frequency Period Period Slack Type Group ----------------------------------------------------------------------------------------------------------------- -System 100.0 MHz NA 10.000 0.000 10.000 system system_clkgroup -================================================================================================================ -@N: MT582 |Estimated period and frequency not reported for given clock unless the clock has at least one timing path which is not a false or a max delay path and that does not have excessive slack - - - - - -Clock Relationships -******************* - -Clocks | rise to rise | fall to fall | rise to fall | fall to rise ---------------------------------------------------------------------------------------------------------- -Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------- -System System | 10.000 10.000 | No paths - | No paths - | No paths - -========================================================================================================= - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. - 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. - - - -Interface Information -********************* - -No IO constraint found - - - -==================================== -Detailed Report for Clock: System -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------- -PLLInst_0 System EHXPLLL CLKOP CLKOP 0.000 10.000 -============================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock -------------------------------------------------------------------------------- -PLLInst_0 System EHXPLLL CLKFB CLKOP 10.000 10.000 -=============================================================================== - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.000 - + Clock delay at ending point: 0.000 (ideal) - + Estimated clock delay at ending point: 0.000 - = Required time: 10.000 - - - Propagation time: 0.000 - - Clock delay at starting point: 0.000 (ideal) - - Estimated clock delay at start point: -0.000 - = Slack (critical) : 10.000 - - Number of logic level(s): 0 - Starting point: PLLInst_0 / CLKOP - Ending point: PLLInst_0 / CLKFB - The start point is clocked by System [rising] - The end point is clocked by System [rising] - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ---------------------------------------------------------------------------------- -PLLInst_0 EHXPLLL CLKOP Out 0.000 0.000 - -CLKOP Net - - - - 2 -PLLInst_0 EHXPLLL CLKFB In 0.000 0.000 - -================================================================================= - - - -##### END OF TIMING REPORT #####] - - -Finished final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) - - -Finished timing report (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) - ---------------------------------------- -Resource Usage Report -Part: lfe5um_25f-6 - -Register bits: 0 of 24288 (0%) -PIC Latch: 0 -I/O cells: 1 - - -Details: -EHXPLLL: 1 -GSR: 1 -IB: 1 -PUR: 1 -VHI: 1 -VLO: 1 -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 143MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:14 2015 - -###########################################################] diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr_Min b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr_Min deleted file mode 100644 index f1b7379..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr_Min +++ /dev/null @@ -1,116 +0,0 @@ - - -@S |##### START OF TIMING REPORT #####[ -# Timing Report written on Tue Mar 17 15:43:14 2015 -# - - -Top view: pll_in200_out100 -Requested Frequency: 100.0 MHz -Wire load mode: top -Paths requested: 5 -Constraint File(s): /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc - -@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing. - -@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock. - - - -Performance Summary -******************* - - -Worst slack in design: 0.000 - -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. - Requested Estimated Requested Estimated Clock Clock -Starting Clock Frequency Frequency Period Period Slack Type Group ---------------------------------------------------------------------------------------------------------------- -System 100.0 MHz 100.0 MHz 10.000 10.000 0.000 system system_clkgroup -=============================================================================================================== - - - -Clock Relationships -******************* - -Clocks | rise to rise | fall to fall | rise to fall | fall to rise --------------------------------------------------------------------------------------------------------- -Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack --------------------------------------------------------------------------------------------------------- -System System | 0.000 0.000 | No paths - | No paths - | No paths - -======================================================================================================== - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. - 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. - - - -Interface Information -********************* - -No IO constraint found - - - -==================================== -Detailed Report for Clock: System -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------ -PLLInst_0 System EHXPLLL CLKOP CLKOP 0.000 0.000 -============================================================================= - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------- -PLLInst_0 System EHXPLLL CLKFB CLKOP 0.000 0.000 -============================================================================== - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Propagation time: 0.000 - + Clock delay at starting point: 0.000 (ideal) --0.000 - - Requested Period: 0.000 - - Hold time: 0.000 - - Clock delay at ending point: 0.000 (ideal) -0.000 - = Slack (critical) : 0.000 - - Number of logic level(s): 0 - Starting point: PLLInst_0 / CLKOP - Ending point: PLLInst_0 / CLKFB - The start point is clocked by System [rising] - The end point is clocked by System [rising] - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ---------------------------------------------------------------------------------- -PLLInst_0 EHXPLLL CLKOP Out 0.000 0.000 - -CLKOP Net - - - - 2 -PLLInst_0 EHXPLLL CLKFB In 0.000 0.000 - -================================================================================= - - - -##### END OF TIMING REPORT #####] - diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.szr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.szr deleted file mode 100644 index d632b3c1e3404cf94c2e76c6e1caf74a93b362e4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6303 zcmV;Q7+~igiwFP!0000017wZ63c^4TMSH(un{?ttgN;@2(FF;Ten>WxhirD1*_5wVK%fX%j>(DX0KAhM2f8Dp8B`GXS>UD73dHDbU0RR8&Tx)aNwzmB&{0iR#-AUCGijXDSEZcNqfkNO` z0Hxh)rE@zOjc9kGlC-H5l~iZ^FiT4OdzHdmaXzW`6dzod?ap7U|txI*#-1P@$h#S&(Fi^KQh zW%Cehkfl}4%HLqo?4BNi3n~kd41!B2NA{IHG?4cpNNOqt6^wAG*jvRx2cuV_vNDNM z#nT!D9T-*m70yN42nU-cs@CBEuY*Q6?a5l>!q`p;z=J}{G1V%^V{POJ=Zf#X7Ea^+ zD0PXIX*8z(ILI_Dmn4uSW~`gzJXf7U~oa6;!cK{Ob=(_e3pc_ z+va&#cV;XTUI@;^x-v;6LzfBV!X!(^O>J_OkBeM%@@zb+N;|eP%Us!hm7q?jB*S+H zINMScIIe7*Bsw?EycjEycXCLAkt$EX>MS!s1*Iqw&A9^^s0j4vlguOI;a%BEh)f_y z?z}i-lPpUGk(xRBV&`I@E8VWucA9dBlj2q$cxj1+IkoT=rVd_H3TYU(iQ7h#eLX+oWq<548(T~#G2v(}Y82vt~0oO$J3I9KUUQcQSwq`G6%7*CGH zdFaCad0$!{x>QNY!!*ne=izL2SBFBw>tkH|f+vEmMObEc>$IyZL3>p7(0m%1-`BUc zLz~PZ_md9Yr|B~YAv5kL3*~*gQbAIELK!Eas_xbqS0h~7ahbVHwjJ7V7G$~;L4Mlo zz&DJ2dmMw9_FOS9yYJy(AN{m&k&*DtDf@O9gV9>p-xICDR6_Qg%_6WityL!yG>G15 z53oKCw$55UHqAKt4I$Er1ZmP9=~mssg8F|h;b*6~HYl9zxl2JG7fCaMv&P~1^8$|1 zM~W$^L9>|yn*3Sbd>fx0#)mBHs(R&g??6Devkz~Bw`a|>yz{&ct3H80AGQs)H|cQ& zd}PImvl5Jmpof2X%9WN@L<*u*7NrrwVt~V8IP!|hVW`CGx@alzcJsW>Asw1_4<_jM zK%I;P)h)r+(IgXqzAPdx0dOiRO`VBsx|WAPtrDel+)?W4sHpM!z44aqxnc1p;?0SEcq;c!(N71)4!?f_>RY0-DO zP(qs#{?_7V{u<{kfaBcK1SN#gtJjxWMDsRtg^JRj1xW3<`rg1UmSC3owF27`7*Gl* zyDAfjlnpq*o5@6K6oX7Mntz=4@;EQ^uX7IXyK^Wf=z&0Dtl?1)^0>_NnCJP|5*B4$ zVf-j~Q~=7$N%1y@x3Dv?pd3mk6Ns6IgNi*afp`)Bak%6n?kvu~IF^uEsNwZle#~$r_T51D6Puto>S$Zzf)iktFbWVm z;#8`B0g)wL&q}GH9wWi;|xAAst?_oAk983>Von$yTc~~Bfo1+*>On#cTcjk){Nz#UZ zcd+0iqlzex!OJ(9c2z5_)rRt@N474Xji@`|U=$PaoM-Nhax&n`@fue45Ta_GuT z#RKW(jdO!%74jHV0iE`;yBuX#e#E8HXvMQg7fmG*3EbQ>sTy$UC~kfhozrY-dYa2B zB7TaI3&Xo9$(C>Fe_&zGiRa0KhMgpj_S=Q|`$zpvxsVFuzmMrIEga(G_#8T0zk0Uz zt52!BuX2`(F}GtUXg(&bXlN9>@>e_^lm8yCeP)WTLn3Bl<5wvLbky^)D9NWLsYMl! znrnYgb@viWWOL%5i;GPEUTRDD;1l@V^W)R4&ppE!uuph$8pAA`D6x#uw-xBqnwNfl zRRu0n1t%HKU^)>hiv_f-cEWJv)is;KC9)i5xVJ4en`BD?Ix#kWjZzj4fhAAUlbXj_ zh~cHysThA+33w<%~ihB;MEJ)Z6B= z!ZtNr*OmSJ)dZ2VB$~B%qjSuD=)%>9OS^V9DI(h!MPM&=#KQ9rT zYKvjL0!2LHbF9gajCEVH@%zbfYdD#_--}VHhB+9^QFk$pqyEWApznwZk`3oYUlf{v zzIsePhdcTvdNMUiB~@AfthnUCHNAX%O~0^g&VS%PtYnI$>Gcmktn9Ltq(9VtnDIhD zBLqa=T41bT!QUvKv#;Q9yv>4#<#_tI9N(tw)fjaLJ^$FpG;~Z!lt^HmM}2?i@pqbA ze27szRXvl`RrR3HAWrHKqohJx1OpcRsH;nEB=Sbkp9f1mB9qR`bM_LZ@$&M=&e;U6 zdkU46e69ikX=ZC^U6s%jpt%-^J%ld{(QJqUQ?8qj z3%spH0<7oDZM4-_@NoRaSii*{4zY(qnbSCBPrKB*-a-9S*yiay&Tz%9u3A?xnY?QN zTr9iY*~Kq&xR?xwi~k+In_M)eu-BMhOs?SS>es6)q`bKa6h;inbA-mopfXlELF+-e za0fzid9d#w(q^D5#FhTOhRp0AaPrZ-kl#sd1j+%Bsg{O|gMDn*w<*IXYY*3tTWL~G z`4Kme;<=1~=OE>Gg(1$2c$MR8DOxuo&{9VRFxn4H9r_-pR#CXg^Mgv0l+`%O3k7y{ z1xI_+Ka>}iuL!H8G4yIO#pNiGt~fDJS*BqiPflXhcD1{?v;)fU6{*00u^%_#@bdEX z^psuZw0A(Z_71TMs+)+c6+n5zPnGMt%K==Qmpk!fGoEQ8g~st5;drQzPrMEw@awf# z!4V9X8=bLWA~aAVw6g{sr{)-Io|k(4tVP?bbC0v`<>~&XKq(8dzXtiOeZc{_ z-b;Adx~71%6YD8vO&h=6j_-Kk*Goc!_IW{{AaNGNt;_@^j!Nk|oiE_x5Ch=SqM+(au?*BQSc1rG552*54@<*| zz|MO_JMsNC<+(U^ch<$mb;jkwx?e?s6=tIx8r3+pbE4_v;jd%M@uvLz!Tiw)=OZS~YR|R!|C4i$o z>Spx}Wr^`))&3l-DyO%d5%+{u(-T?G{KaqJ^IF^f0{DAW^w0Cppe5>PdgYBgI%u}I zpz!UN5XhoENPnO6x){qct&$qemA&}Pew>h$;*Tid_#VGkoLWB&uo}u6K7|x0wHV{eTqdmgB6d#c54mibA zZdqC*Q)RSg*{IT#VtV5wiSgmwM7rk!%_*TT`cE*u3=0F$em0HXwHG;w8% zmuLjOwnocCW-Rc5e}1X|2#nTo7j4KwhHashzS5$AzK^rG)Lw7WwGStILre4du~jYt zLmz7MDAFTIry0eno~m5Y&N!LfP#WRV5!D;|SV_61FX186`;sX(1sGoJ@*mpEpmsh+ zd`ui6P|!)V!D?=#fb1S|u-B0nz$A5k2HN$N%BCx5VzPor&!8v zxwreV`_GoAj=eKBpV53_>`nProZavUx}HJz7r24V%FpBFO=myuy+Q5p>H)uWXG=KtbC}J4x$yVeolmPD zq#_%)YZRT3Zl(mbph3>ku$+a7Av)<+VCBA;w}t8*POMYPq*Y_Yk7xr?-l z?+TpCHWvDKf)>)ljQG$Ff4o;vDqbFb-T%2-cl4&#))9&!@bfyKk#2T|%3@FA#xAj8@>L6G5Q0^Y&FEd!CY0D_44}Adz*eJ z$WuIs5C_%~dSc+?8^3&KUS4(@4x&Wb{k07DH0l{~!8JFF5SZ2p`O*FmMo$_>9Nd!o zixy#}6b>i{mF^?{yPFTVy;h8Db9;`bN|eUvMQ6qjV!nTWxv-DTUOr-H-~VHRL+3a% zvmwvL7`mai2S37S&&TtO;@m@H+msn>eR4>Cmo1O!_5|^Vmm#ewVAxK%*BjZ7h~?cB z-a=8y@>j&s`l~e`m6IOf3CO^Y9q|nJ`ht4X-+j9dE3Bt~b(A;yYRH)11BO(F5~kwr zST)Qyfp!jeV(xBiMXc8*Ib&hv)XLkf+;B}SQ)$a}+egli9gaw+SM~JP{Vc(ni-SV& zVE@Va=qHQss8Z|YOSrne zqEuk3F?lz6r0%c4t|r7^8x_Xhj9~ccyfH#@)bRq`0)0*Ai_Y0$e0~;ppwr9`DM_f< z#P55-$!){CdtR)OGuor$wC{G4Ly33u4a~jPlq0icgd8HeQDp?eKDH1eUAM9Atb53A z?PbuuBKd%)+&{aU?~K}`6duVEZt@NJc@y;YWH+ZL*S?qE&HY)Rov!xWCX&+m2>5GO zAd|@?pzB_7mcl7P?qik5bz5$*n~!I0=J$Kf7nA076T0MwcK9vrnGWb2CY?hMmP@fz z!E()+tm2%u_T@>mJ&W%B7`DkIn>&jCgwG0Sm9Dh)hxiX+0K$-bg&~RCd0q!5{(qe# z+~pQ4F1CHNJtw?V=OzaZ z?U4V-U$0}{t~fm4d;^Q$>BlC=4{!X>&TdX{jZHW2{X6Cd$whWo_^#pi)Ov<%mhlHU z&uZ=YRuJo)m_x(f=EVHE;e&N9?QZ^TTX#3two}(X|2t=j{!D2F+Z@3LLw$6f?B6t} zw$;}Sr=s!f^6BmMN9NAf3w^Kdi_fH~*wIuf5l`WnB47Qi$LZ7zB5_Opu_vViX%WsD zMfqfZUYl~%JdzqJ;AkNx7=RjxMKQ^Hz*%777Wg}YQ8sennt7Ft((iJAlk7>q3sV&d?3po=i#Eo{# zCU(F_ly~Sm22NYJ$*4VPJ`HZCOzy_HKU<#EgUyjPY|28mJNu zv9=_li=j7L-JcT;T+>Q1UEQ02KSQ!_t()s%>6mG-cXs5qZ74EnMZWtOJ>Pzw@0`gE9ZD3(mgJHEj^&syTwQ)8;As_d&itWb>|t znTS)*Z%BpM#V`b93P|5p&WS;Xt+6!Ui`g1;t)9`YPUE-MEiX3gu;X37=IhMg->UO- zhrNMee5=mI&$C-~7M;~M@dX`YOC?W6#ecm+3bFowAwciF5+6LP+xgI0-Oht&bq`}W zzkB}GvALrDk-MwBcC+RZ!>~>$wmsjjHTvRA7oxn9?9trm`kRBlR2Z7c{{a91|Nr80 VP~ZXp009600{~u1meZ$700887tM&i@ diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_multi_srs_gen.srr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_multi_srs_gen.srr deleted file mode 100644 index cded582..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_multi_srs_gen.srr +++ /dev/null @@ -1,9 +0,0 @@ -Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:12 2015 - -###########################################################] diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.srr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.srr deleted file mode 100644 index 391303f..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.srr +++ /dev/null @@ -1,49 +0,0 @@ -Synopsys Lattice Technology Pre-mapping, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55 -Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. -Product Version J-2014.09-SP2 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB) - -Reading constraint file: /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc -@L: /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_scck.rpt -Printing clock summary report in "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_scck.rpt" file -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) - -ICG Latch Removal Summary: -Number of ICG latches removed: 0 -Number of ICG latches not removed: 0 -syn_allowed_resources : blockrams=56 set on top level netlist pll_in200_out100 - -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) - - - -@S |Clock Summary -**************** - -Start Requested Requested Clock Clock -Clock Frequency Period Type Group ------------------------------------------------------------------ -System 100.0 MHz 10.000 system system_clkgroup -================================================================= - -Pre-mapping successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 75MB peak: 140MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Mar 17 15:43:13 2015 - -###########################################################] diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.szr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.szr deleted file mode 100644 index bbfc77c192f23862a9f92d136213149bd7ed43da..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2174 zcmV-^2!Zz>iwFP!0000017wXo3&JoIMfd)SEZxKxEiO*QkF7|N?x9VeZ6wVLFNvV| zEQHmQWAv~SRM6%hC zcmDWelxJLezYZ@h>hlKx0RR8QS6y!#Hx_-?{EBWl57ojWQkJaDDw{>5%c1E+B;{LJ zeF%zyC>IV$*5iVa+!*=sd&jQ3jk|f-)>{HLI2sP`2hTb8vUW*=Hm^KaqU6jl<;%B> zSJP40T}?tY8t=K0I@;5=<=49eZD(vNg%cVCR%|cv-S>Jg(5OoJTzgSMiOgs(H@<9h zr4X`lC3?}n$5>^PYmJIG$pMeKi(KvVI{}Wp;M=8XRlC>@FEbab)g`x_fdiG9ECPY?6fVN+i+EyFbP^|RrcOq;0y>?kzaCO_;V-%~LmWb<0fy`R@4nK=+ z62iJlsOohiC3^L9!?qb zx%J?aoyb#iv=Sa#xu!2uRJHW*c{~_GRV&bZgAJ&}I~U_V^9<^pUb%N5wv4|}%hn9r?Lu7g%vYByu#9J?02p`N z&-VQ0#VP#90iA;)qem3|oScq+sOiXgcaC0V!_&$4P z8O7SU9w*FOrbsg|*UyO6v+M_-YXq(JDZ`{@8KJ7zpq)g$;zId87FBx2D*kq~3)4vz zuEu;nl3cGOL}x&77yT^ZWL>Rm(9#ipS0rCvFjX4*vgD(;RhUe7VSH8X<%ny!;*oSj zBZLBYl*Yb?h=Pb3mLUg_K$jT@#!KC@skl?Ihul?V(Y=o*bf>uNWMHAoU=mQ$&cwLE`#c_%q*3Q)@)M#|)J0@UJt^H0 zVD@!ZQ9DYLIZ|~-O>EO*75khjvH3jyey&A!r6Ab(+-SWL6Q~QqdM%>E`~y&9r0}%Y!x_JHmFvr`A(GKIMp)p2xy+76UZiQMTQ1#?-&Gq#)%1=v$ zZs69+0D)40K_l%7iW&>{0yK8!JW@qaxJ(2PaRNA$J)^dobQ1cuq;81sDTFx-;pHBe zcVEKV;U(oKsj}ywtLb0P2E}}O2;mV=G@mYtuL3`TBfZ~OY?k~raT|~f)Hy5 zNJ4uk7RQAs#+C$B*2?y0EwoN%(-Au*@%m(FxAKcNmbky$f=;AV($@@d9v<9#85pJm zD9mN-f6p#v(zW@Ntm0we2Vnd8==iWGZi_+_(Hq+Pukc~l`>-s|KUlmG=$awrZH-or z`@6zCmqmIXS9C_|e1l8*0c|53Wb$I26ntCHLoD8>yaGhEImhJ(=`4vlNf)U^?)REQ z{VfYcX-zAs&x2gI1oEDsfU7z7cbm*?dWExVx{~$#&_O9L0oD|~5lm|Fv{o0PxYgPa zCSKm=Hl;9#<(bYt;T*3 zt=_N*eXZ*shY{Y8CW!k7iT516U#FJR;ls2a#c!T(>0S^WnDSviDi%~Dei>UR{r%(v zQZZ8T*9|Hxsy{diHZIBU)Nj}8a`+w(Klcye;qlQF6D1vDf44;}DYhhAH&9)UuYB?9 z4~`-id$3jV#_KL3BdI14-^9QDL^-y2pc@3B{_0c=krd3zFY@AUx&IYF*v9yznaY3MmazNp?l^(86{9`G|I{1DTumLee>J@Rzw7_>bb>00030|Kf5`-~s>u0RR630P_d_?_v@F0JBy- AfB*mh diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pre_map.srr.rptmap b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pre_map.srr.rptmap deleted file mode 100644 index d87f979..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pre_map.srr.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synlog/pll_in200_out100_premap.srr,pre_map.srr,Pre_Map Log diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_notes.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_notes.txt deleted file mode 100644 index 73b8299..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_notes.txt +++ /dev/null @@ -1,11 +0,0 @@ -@N|Running in 64-bit mode -@N|Running in 64-bit mode -@N: CD720 :"/opt/synopsys/J-2014.09-SP2/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ns -@N:"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Top entity is set to pll_in200_out100. -@N: CD630 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Synthesizing work.pll_in200_out100.structure -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":2081:10:2081:16|Synthesizing ecp5um.ehxplll.syn_black_box -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":830:10:830:12|Synthesizing ecp5um.vlo.syn_black_box -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":823:10:823:12|Synthesizing ecp5um.vhi.syn_black_box -@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":998:10:998:11|Synthesizing ecp5um.ib.syn_black_box -@N|Running in 64-bit mode - diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_runstatus.xml b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_runstatus.xml deleted file mode 100644 index 26e4c2a..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_runstatus.xml +++ /dev/null @@ -1,41 +0,0 @@ - - - - - - /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr - Synopsys HDL Compiler - - - Completed - - - - 10 - /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_notes.txt - - - 1 - /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_warnings.txt - - - 0 - /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_errors.txt - - - - - - - 00h:00m:00s - - - - - - - 1426603391 - - - \ No newline at end of file diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_warnings.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_warnings.txt deleted file mode 100644 index 46bf347..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_warnings.txt +++ /dev/null @@ -1,2 +0,0 @@ -@W: CL168 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":55:4:55:17|Pruning instance scuba_vhi_inst -- not in use ... - diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_area_report.xml b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_area_report.xml deleted file mode 100644 index 4a0f6ea..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_area_report.xml +++ /dev/null @@ -1,26 +0,0 @@ - - - - -/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_resourceusage.rpt -Resource Usage - - -0 - - -1 - - -0 - - -0 - - -0 - - diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_errors.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_notes.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_notes.txt deleted file mode 100644 index 0ac2949..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_notes.txt +++ /dev/null @@ -1,11 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing. -@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock. -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. -@N: MT582 |Estimated period and frequency not reported for given clock unless the clock has at least one timing path which is not a false or a max delay path and that does not have excessive slack -@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing. -@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock. -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_opt_report.xml b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_opt_report.xml deleted file mode 100644 index bace87f..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_opt_report.xml +++ /dev/null @@ -1,14 +0,0 @@ - - - - -0 / 0 - -/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_combined_clk.rpt -START OF CLOCK OPTIMIZATION REPORT - - - diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_runstatus.xml b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_runstatus.xml deleted file mode 100644 index aa39edd..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr -Synopsys Lattice Technology Mapper - - -Completed - - - -11 - -/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_notes.txt - - - -1 - -/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_warnings.txt - - - -0 - -/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_errors.txt - - - -0h:00m:01s - - -0h:00m:01s - - -143MB - - -1426603394 - - - diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_timing_report.xml b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_timing_report.xml deleted file mode 100644 index 5d08600..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_timing_report.xml +++ /dev/null @@ -1,23 +0,0 @@ - - - - -/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr -START OF TIMING REPORT - - -Clock Name -Req Freq -Est Freq -Slack - - -System -100.0 MHz -NA -10.000 - - diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_warnings.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_warnings.txt deleted file mode 100644 index 97bd277..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_warnings.txt +++ /dev/null @@ -1 +0,0 @@ -@W: MT246 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":61:4:61:12|Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_errors.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_notes.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_notes.txt deleted file mode 100644 index 4081b54..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_notes.txt +++ /dev/null @@ -1,2 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_runstatus.xml b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_runstatus.xml deleted file mode 100644 index 2f16611..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.srr -Synopsys Lattice Technology Pre-mapping - - -Completed - - - -2 - -/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_notes.txt - - - -0 - -/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_warnings.txt - - - -0 - -/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_errors.txt - - - -0h:00m:00s - - -0h:00m:00s - - -140MB - - -1426603393 - - - diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_warnings.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_warnings.txt deleted file mode 100644 index e69de29..0000000 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/closed.png b/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/closed.png deleted file mode 100644 index 0d78634f322a904e7bd0c9466498c0a42777589f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3672 zcmeH}={wX77sr2=$PzQiz6{y-jN4KYF_wtIP-F`kBBt#7AfeD8`@T=sp)7-;LX(KG zMk;%mvP`%|gL&rp8=kk%xjyH6uJhu1o$H)eClzjGdX8O~9RPrH=4QsW^a`dY=UFDY zzNFTs(+e}w@P;7(v}Qz8ZL;Y-#M8|71^^^;13(%*Pw1w20|1DL008n+0MJ_p0HM(! zy`(SzVAu6EGJ?Z>0)qpCd;(Drb0Z@N>S3U_um4>DFe8@ah9MB2_>;#8VUZOd)fsIc zf9rV-Oh#q9!tUe?xyv(gK{Z zpEfu4{@LB7t~Lg4z5IixZW6|w1c0nMwY)qQ3kJ*wUP_`~F&Ug`6TAta8N}Vu3V@}9 zNw*s=>MUq@X`W(G>+tzJgd6bXv9Vx^T;JCdX0H}U~+(Mw$+Ww1bsj*JCH zwPXBAzHpwhx1fx7pS&S>BvtoL<~e<;a$8mY{0qH~lp)=^mv-|W+vk6GSW21>rnIDR zK=H-O;6(FfPc%`Wc)i|k#(?a$FuYXy9MG76_k)S5p)2)`{NnyZ!H1*!gy{9V8?C3ES;Qd#s z$CM6$uEqw6ed_P#zM-d<%4pb~-ul47As3uCpur=s&wXN@++Weshm_Xeezr?;(fw_C z=LuT1Swu-i=EnOvWHr=Lw0pTM`A~xOG(?=musEEnY=9T`D77|i!~)b_MEuU)<*Roo z?@w9bA@{&(^$?yvb@C7l_e?`ihbS7vZn4UIlXpCnUD)47;oTr?D!HiK6!}`HMYy&WIXK6&MpqK+*iyS6`Kx__7 z{ASEA(A;eX;&aUGh8O494$$}Dtipy+pEE>5Ih`|Oi55%3T?_$f zYT^$N8Uz-ud?F~tIe6x`X(cB7(X&R=Cm8l>247frrv93&#*A!%)$KKAeZ=nYn@eUm4Q`q zq?U_)DX%w547#U>8CCw>r+H5** zf@8(&_N78R)ugO&8pfV|FN|u>)J-8_~-dOd)h1RU_wD1BQlW2=9NphyM*@U#D zFDn^D7A32aWeO~3r7mYxy=UCzdI&vYJOUr3GsE7Ry|qYCX*H}gsWkF1Tfs}a+S*N; zKWz7E_DWh~8~&9AwGCHLQ4mn5osN7V{36@-f^EoiN?Vi{P{``U$&H$#2(R#RW&+z3Y`X{CL=VWcGb& zu2_wvrb?lJN|M9%%T^+_gxi&`27TF(!v``=7LRjBM^tixp0B48R2z6(bek!miG~4T8=UQ~?svFlT zo;M?Ef(?C53Tij8#nsNu(Iyd2NtGTgZ|xOs>Ne~?SG5SMtRpFr3Hy%rFneqJihG2g z=${FN9Zp!MKBuD3Mj;88jIOv6oC&5e4o0v6>kHOZu+>so*X)V{EdJ(8>mXN)+e*%U z4Gwj)&pV1c@x-0l{1c^uHTt^ZXd5?7k!TIrhpEhOgFqHS42 zzjYi5xbJ@dHPlC4@S?QPB_VI&4#5neiL~hS-SkW8r6PWUrb0U!+t5=Vk2_f&lRgg@ z5$~oKG#6hj?1w?GKyw|_=T(B*@I6N?d#ZZ^Bl~#|a<|+m+q|w^3zrFPpcuutQ=;JI&YyKc*Yx?2VdhvSt z2yttAGe7j-(5i!A>MbhMK^0d$mt`_bGBM+4hCfl3XfEecCtX)%HC}R~_-JfvY)@57 z^_TM)UaDEV8P?1cpb=0JFca|X%gh(vFSoxSuPE!yMi%cGNBs@o-WC0AyXz70Pt?cA z+Yv2$+y6=)F;fv#y@Q#(b!sT}P3*VWo)hs#lJlF}6J+jV!f_b&Dkq8S7B9sUBC8I; z$x_TQzHnE#o4SmS?4cRu7w89O5<{)HRPmNnsy>X{zq|Qs> zGhJ-vusCcj7M~NK^rx{nr6WZ(P29DHpcpliGsE6s=TMfBnRe%0aSDw1m?)kaGro`1 zQvb2iyK-;U+flWV(1`Rm4b_b*^du^*TE1Ht${VtlnUmSH%C`zOYcwlf?nX7>)w1-m ze`bBpKFQ{miM!5b?Q1bq^RBjZZJ3f3LGb?2tvi1P^T5G!_e(U=}Lr0vlhk%QUmO`_9tlL{f{NHr4cFtn-XK=q~`E*+_&1%%@ z+t{)TR}WX7dER<%`p&_^R~sueHO07gIq&hDCwI?>M6pFBMVTtaDD~89)`vO}TC5uT zTvnZ@92#!*5JF=mq83T9#FM6w>mfrSJ6tI4FE9RJcYH|qusSKa`4JRz&s(00ooRtZ zMSO)%iu6TS#DuSi26uJN*W-^;Rx&5~yI^w~F`9oj(s!Kuf8G1XzbUY){k#Md@e#2! zR)Ts$c~G``MNUx8DUNhp(NQw#I?WKW7Cag%8=4Uc3q4o5uRlL^f8pzC)x@)8s~4s9 zx++oK`<%;`ZwjoDLE~d37FV@{^p}srtu4n-IKTn{|FRr#Iq&1ckFuyW95GfgNVNqQ z{g9#WABG#!8cIGXw}kB9-tVM&{kEf`*A0jv4ZmEp8v}#6RVDPGYwE$LwZA@EboK_g z^bl4KmQWj%lFW_FiOZXp?ZWJ~`?~TX0+&zUv2L)!xNF26^lYQ2DKEFj?^oqk)e)VE zeJ}|rKlJPE-bt4zu(kNTbG@lVane-?jGEj0stleZi#?FKp+SNq%IFJ&{2mQ7{K8M?r^xf zI~+v^<&Hr?%EKXOlsh^cg+qe~&p|je1e1b#odP0ZK(F&5Bs%4grF_T|UCY&%udXL*$JVq7utpfAW!Cq~)vfZav1e=9J4)LuwIo$SQ2?#O z>5TN-e>7d4wWND_fn=Z>!aCPx#1ZP1_K>z@;j|==^1poj5AY({UO#lFTCIA3{ga82g0001h=l}q9FaQARU;qF* zm;eA5aGbhPJOBUzLPZp>)DD*xuJrLE&vMd$CAS~p-?-;7v0}#6W zK!*&q^nLraG8##gHcA0$>IMWyA3F6832+TiX>f2vout6F5+Ar6F)sEtHPYQAm=&QB zzRsNDFORoz&Rd@j_9k}3P_@Yj@S4LDdw+c%F*c^MW@FMmL^UFq*q*9=#Vw}!jD6ML zTLufTX7?w3b>Dtd?Gz(`Oz!9Ne%vt|je?%YYT1@aFN29GQVmgR!B8?Xp%fDaA%{f{ uQpjB7cbS-F*)`{!amLtrN{glG@TUKEuz}n1Y}Ahc0000

-
-#Build: Synplify Pro J-2014.09-SP2, Build 1283R, Nov 20 2014
-#install: /opt/synopsys/J-2014.09-SP2
-#OS: Linux 
-#Hostname: depc363
-
-#Implementation: syn_results
-
-Synopsys HDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014
-@N: :  | Running in 64-bit mode 
-Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
-
-Running on host :depc363
-Synopsys VHDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014
-@N: :  | Running in 64-bit mode 
-Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
-
-@N:CD720 : std.vhd(123) | Setting time resolution to ns
-@N: : pll_in200_out100.vhd(12) | Top entity is set to pll_in200_out100.
-VHDL syntax check successful!
-@N:CD630 : pll_in200_out100.vhd(12) | Synthesizing work.pll_in200_out100.structure 
-@N:CD630 : ecp5um.vhd(2081) | Synthesizing ecp5um.ehxplll.syn_black_box 
-Post processing for ecp5um.ehxplll.syn_black_box
-@N:CD630 : ecp5um.vhd(830) | Synthesizing ecp5um.vlo.syn_black_box 
-Post processing for ecp5um.vlo.syn_black_box
-@N:CD630 : ecp5um.vhd(823) | Synthesizing ecp5um.vhi.syn_black_box 
-Post processing for ecp5um.vhi.syn_black_box
-@N:CD630 : ecp5um.vhd(998) | Synthesizing ecp5um.ib.syn_black_box 
-Post processing for ecp5um.ib.syn_black_box
-Post processing for work.pll_in200_out100.structure
-@W:CL168 : pll_in200_out100.vhd(55) | Pruning instance scuba_vhi_inst -- not in use ... 
-
-At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB)
-
-Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Tue Mar 17 15:43:11 2015
-
-###########################################################]
-Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014
-@N: :  | Running in 64-bit mode 
-
-At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
-
-Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Tue Mar 17 15:43:11 2015
-
-###########################################################]
-@END
-
-At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
-
-Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Tue Mar 17 15:43:11 2015
-
-###########################################################]
-Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014
-@N: :  | Running in 64-bit mode 
-
-At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
-
-Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Tue Mar 17 15:43:12 2015
-
-###########################################################]
-Pre-mapping Report
-
-Synopsys Lattice Technology Pre-mapping, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55
-Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
-Product Version J-2014.09-SP2
-
-Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB)
-
-Reading constraint file: /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc
-Linked File: pll_in200_out100_scck.rpt
-Printing clock  summary report in "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_scck.rpt" file 
-@N:MF248 :  | Running in 64-bit mode. 
-@N:MF666 :  | Clock conversion enabled  
-
-Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB)
-
-
-Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB)
-
-
-Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB)
-
-
-Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB)
-
-ICG Latch Removal Summary:
-Number of ICG latches removed:	0
-Number of ICG latches not removed:	0
-syn_allowed_resources : blockrams=56  set on top level netlist pll_in200_out100
-
-Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB)
-
-
-
-@S |Clock Summary
-****************
-
-Start      Requested     Requested     Clock      Clock          
-Clock      Frequency     Period        Type       Group          
------------------------------------------------------------------
-System     100.0 MHz     10.000        system     system_clkgroup
-=================================================================
-
-Pre-mapping successful!
-
-At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 75MB peak: 140MB)
-
-Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Tue Mar 17 15:43:13 2015
-
-###########################################################]
-Map & Optimize Report
-
-Synopsys Lattice Technology Mapper, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55
-Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
-Product Version J-2014.09-SP2
-
-Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB)
-
-@N:MF248 :  | Running in 64-bit mode. 
-@N:MF666 :  | Clock conversion enabled  
-
-Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
-
-
-Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
-
-
-Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 110MB peak: 111MB)
-
-
-Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 113MB)
-
-
-
-Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
-
-
-Available hyper_sources - for debug and ip models
-	None Found
-
-
-Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
-
-
-Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
-
-
-Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
-
-
-Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB)
-
-
-Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB)
-
-
-Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB)
-
-
-Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
-
-
-Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB)
-
-
-Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
-
-Pass		 CPU time		Worst Slack		Luts / Registers
-------------------------------------------------------------
-Pass		 CPU time		Worst Slack		Luts / Registers
-------------------------------------------------------------
-------------------------------------------------------------
-
-
-Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
-
-@N:FX164 :  | The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.   
-
-Finished restoring hierarchy (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB)
-
-
-
-#### START OF CLOCK OPTIMIZATION REPORT #####[
-
-0 non-gated/non-generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
-0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
-0 instances converted, 0 sequential instances remain driven by gated/generated clocks
-
-
-
-##### END OF CLOCK OPTIMIZATION REPORT ######]
-
-Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srm
-
-Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
-
-Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_m.srm
-
-Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
-
-Writing EDIF Netlist and constraint files
-J-2014.09-SP2
-@N:BW106 :  | Synplicity Constraint File capacitance units using default value of 1pF  
-
-Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
-
-Writing Verilog Simulation files
-
-Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
-
-Writing VHDL Simulation files
-
-Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
-
-
-Start final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB)
-
-@W:MT246 : pll_in200_out100.vhd(61) | Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) 
-
-
-@S |##### START OF TIMING REPORT #####[
-# Timing Report written on Tue Mar 17 15:43:14 2015
-#
-
-
-Top view:               pll_in200_out100
-Requested Frequency:    100.0 MHz
-Wire load mode:         top
-Paths requested:        5
-Constraint File(s):    /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc
-                       
-@N:MT320 :  | Timing report estimates place and route data. Please look at the place and route timing report for final timing. 
-
-@N:MT322 :  | Clock constraints cover only FF-to-FF paths associated with the clock. 
-
-
-
-Performance Summary 
-*******************
-
-
-Worst slack in design: 10.000
-
-@N:MT286 :  | System clock period 0.000 stretches to negative invalid value -- ignoring stretching. 
-                   Requested     Estimated     Requested     Estimated                Clock      Clock          
-Starting Clock     Frequency     Frequency     Period        Period        Slack      Type       Group          
-----------------------------------------------------------------------------------------------------------------
-System             100.0 MHz     NA            10.000        0.000         10.000     system     system_clkgroup
-================================================================================================================
-@N:MT582 :  | Estimated period and frequency not reported for given clock unless the clock has at least one timing path which is not a false or a max delay path and that does not have excessive slack 
-
-
-
-
-
-Clock Relationships
-*******************
-
-Clocks            |    rise  to  rise    |    fall  to  fall   |    rise  to  fall   |    fall  to  rise 
----------------------------------------------------------------------------------------------------------
-Starting  Ending  |  constraint  slack   |  constraint  slack  |  constraint  slack  |  constraint  slack
----------------------------------------------------------------------------------------------------------
-System    System  |  10.000      10.000  |  No paths    -      |  No paths    -      |  No paths    -    
-=========================================================================================================
- Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
-       'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
-
-
-
-Interface Information 
-*********************
-
-No IO constraint found
-
-
-
-====================================
-Detailed Report for Clock: System
-====================================
-
-
-
-Starting Points with Worst Slack
-********************************
-
-              Starting                                      Arrival           
-Instance      Reference     Type        Pin       Net       Time        Slack 
-              Clock                                                           
-------------------------------------------------------------------------------
-PLLInst_0     System        EHXPLLL     CLKOP     CLKOP     0.000       10.000
-==============================================================================
-
-
-Ending Points with Worst Slack
-******************************
-
-              Starting                                      Required           
-Instance      Reference     Type        Pin       Net       Time         Slack 
-              Clock                                                            
--------------------------------------------------------------------------------
-PLLInst_0     System        EHXPLLL     CLKFB     CLKOP     10.000       10.000
-===============================================================================
-
-
-
-Worst Path Information
-View Worst Path in Analyst
-***********************
-
-
-Path information for path number 1: 
-      Requested Period:                      10.000
-    - Setup time:                            0.000
-    + Clock delay at ending point:           0.000 (ideal)
-    + Estimated clock delay at ending point: 0.000
-    = Required time:                         10.000
-
-    - Propagation time:                      0.000
-    - Clock delay at starting point:         0.000 (ideal)
-    - Estimated clock delay at start point:  -0.000
-    = Slack (critical) :                     10.000
-
-    Number of logic level(s):                0
-    Starting point:                          PLLInst_0 / CLKOP
-    Ending point:                            PLLInst_0 / CLKFB
-    The start point is clocked by            System [rising]
-    The end   point is clocked by            System [rising]
-
-Instance / Net                 Pin       Pin               Arrival     No. of    
-Name               Type        Name      Dir     Delay     Time        Fan Out(s)
----------------------------------------------------------------------------------
-PLLInst_0          EHXPLLL     CLKOP     Out     0.000     0.000       -         
-CLKOP              Net         -         -       -         -           2         
-PLLInst_0          EHXPLLL     CLKFB     In      0.000     0.000       -         
-=================================================================================
-
-
-
-##### END OF TIMING REPORT #####]
-
-
-Finished final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB)
-
-
-Finished timing report (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB)
-
----------------------------------------
-Resource Usage Report
-Part: lfe5um_25f-6
-
-Register bits: 0 of 24288 (0%)
-PIC Latch:       0
-I/O cells:       1
-
-
-Details:
-EHXPLLL:        1
-GSR:            1
-IB:             1
-PUR:            1
-VHI:            1
-VLO:            1
-Mapper successful!
-
-At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 143MB)
-
-Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Tue Mar 17 15:43:14 2015
-
-###########################################################]
-
-
diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/pll_in200_out100_toc.htm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/pll_in200_out100_toc.htm deleted file mode 100644 index 8c7cd79..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/pll_in200_out100_toc.htm +++ /dev/null @@ -1,44 +0,0 @@ - - - - - - - - - - - - - - \ No newline at end of file diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/run_option.xml b/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/run_option.xml deleted file mode 100644 index 3addc49..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/run_option.xml +++ /dev/null @@ -1,22 +0,0 @@ - - - - - - - - - - - - - - - diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/statusReport.html b/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/statusReport.html deleted file mode 100644 index 275f5ce..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/statusReport.html +++ /dev/null @@ -1,110 +0,0 @@ - - - Project Status Summary Page - - - - - -
- - - - - - - - - -
Project Settings
Project Name pll_in200_out100 Implementation Name syn_results
Top Module pll_in200_out100 Pipelining 0
Retiming 0 Resource Sharing 1
Fanout Guide 50 Disable I/O Insertion 1
Clock Conversion 1 FSM Compiler 1

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Run Status
Job NameStatusCPU TimeReal TimeMemoryDate/Time
(compiler)Complete1010-00m:00s-17.03.2015
15:43
(premap)Complete2000m:00s0m:00s140MB17.03.2015
15:43
(fpga_mapper)Complete11100m:01s0m:01s143MB17.03.2015
15:43
Multi-srs GeneratorComplete00m:00s17.03.2015
15:43
-
- - - - - - - - - - - - - - - - -
Area Summary
Register bits 0I/O cells 1
Block RAMs -(v_ram) 0DSPs -(dsp_used) 0
ORCA LUTs -(total_luts) 0

- - - - - - - - -
Timing Summary
Clock NameReq FreqEst FreqSlack
System100.0 MHzNA10.000
-
- - - - - - -
Optimizations Summary
Combined Clock Conversion 0 / 0

-
-
- \ No newline at end of file diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/.cckTransfer b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/.cckTransfer deleted file mode 100644 index c567c7ca4781deab32d9d8ac15506d0889f3f084..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 39 pcmb2|=3oE==Ah8fPz{Y!`kuaejTcvHe$1R{xiW@t9Z2&3e*nIR3?l#l diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/_mh_info b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/_mh_info deleted file mode 100644 index 37bc105..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/_mh_info +++ /dev/null @@ -1 +0,0 @@ -|1| diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdep b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdep deleted file mode 100644 index 524f434..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdep +++ /dev/null @@ -1,28 +0,0 @@ -#defaultlanguage:vhdl -#OPTIONS:"|-layerid|0|-top|pll_in200_out100|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-divnmod|-nostructver|-encrypt|-pro|-lite|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-ignore_undefined_lib|-lib|work" -#CUR:"/opt/synopsys/J-2014.09-SP2/linux_a_64/c_vhdl":1416524537 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/location.map":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/std.vhd":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/snps_haps_pkg.vhd":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/std1164.vhd":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/numeric.vhd":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/umr_capim.vhd":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/arith.vhd":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/unsigned.vhd":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/hyperents.vhd":1416524427 -#CUR:"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":1426603391 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":1416503391 -0 "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd" vhdl - -# Dependency Lists (Uses list) -0 -1 - -# Dependency Lists (Users Of) -0 -1 - -# Design Unit to File Association -arch work pll_in200_out100 structure 0 -module work pll_in200_out100 0 - - -# Configuration files used diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdeporig b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdeporig deleted file mode 100644 index ff57353..0000000 --- a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdeporig +++ /dev/null @@ -1,24 +0,0 @@ -#defaultlanguage:vhdl -#OPTIONS:"|-layerid|0|-top|pll_in200_out100|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-divnmod|-nostructver|-encrypt|-pro|-lite|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-ignore_undefined_lib|-lib|work" -#CUR:"/opt/synopsys/J-2014.09-SP2/linux_a_64/c_vhdl":1416524537 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/location.map":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/std.vhd":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/snps_haps_pkg.vhd":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/std1164.vhd":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/numeric.vhd":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/umr_capim.vhd":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/arith.vhd":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/unsigned.vhd":1416524427 -#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/hyperents.vhd":1416524427 -#CUR:"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":1426603391 -0 "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd" vhdl - -# Dependency Lists (Uses list) -0 -1 - -# Dependency Lists (Users Of) -0 -1 - -# Design Unit to File Association -arch work pll_in200_out100 structure 0 -module work pll_in200_out100 0 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.srs b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.srs deleted file mode 100644 index 7b4c239d6451b07db7ec83e3e6654fb6e78e5422..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6473 zcmb7IbySqw+m#rEp`|1Qt`Y-CNQ2Y}5`zqgq|)62A|(z|L#GlmloA4pq)0k+%+L%D zB`GN&-Sr{&-sS!7&)>J!_x?3&y=(7xpXb@nKIaTuB=Mz-hd^6bR~H1r2&!oa1oRj1 zY}g~D3XCXH^UC-!R)`k9w#OG!!yJc{R+E3b8}pQbEc*V3kg<-^ z^tP_jXnEXSOzZ;3H5#%2BHbK6$px9UXDgPOndA(G>94`Aphv@>MtKb@*5^*XkQZuL z=3b#-4c;2CGn0(V8@Xxhn|fOu-U*@$^(d_i!XV~(GSPi8(+|tlG$lSgbvM22T@E81 zahFo}Rbq1}e0*|?%Clm7N`sDKkmNN|F@Iy}v$?x#J9%VuUjTeUjm;{(6dIvmOxk#S zZMcs%-UH+^;nEhj+a4!Pd-acb)~(V6&-;mVpGui6E< zZGt7>-|`xzOPp3-3s57gaqxf`){-27@Upy(I(4{`=>zT^ln4`nPH0jeS#+cKG0)zp z?yPOqMAqm#KJhee?d)eh%I}5d6!mcuh`9GxCG++FrR&(!DY8t5EnZRd0k6Tj zl~;v8lz^vK@`EczQkVjAn38r zW^B~jg)8d@YF(PyX^EyjYX*EBkutk&pLJ7LP(88Hq5KB3rD7zWTfuB)ajF2PG4I_T z{22z7NxXDcYcr=y^7fV|v(ub{LIrx>$@O0VppmA$#fDH9v9%G_@hlVGm~{uEVY0r>N5L7BX((qu(BX8?9Yw zG!dPhU0CXVZ_dG|+D$l2$JliG^Jph{tGsmLCS@dgb4@!F}vH$@oId3$8P$5vgE z)>}p&i4RhkyYcz?L9&CA$d9K5i&ZHCoz(JxD6Mz01k9;VT%PFNdl|3@3eix|FDMIK zW06Wdesm^rKkah(k3;T7?T*^T$HK!K*~V$gZ*)lM12zOD5};2z^-eC9>Ap_vE~Hk8 zDZ^lZ-DSdf)L>RtFpkv-1}1826=>aXVTB!_q#!+DRwD?`=p1q$Zox67z-A#T#l52l zZ7&U>7DXw+%M@*q##EAy?CMijI;INzO)KU5E?>b9h*Jt1Gc+{T^?Z2khVs4=z|-N$ zBTHU=xi!4_AEmbZG%{8a8j)W6!N6WjeRNQg>#XsBJtI9Z|T zZr59(?Cz#xA+1UR?a~(2kUIIVydG}0d);IU-@dYpv#K8r7HIVD#ut5fOVT9x!RPIB z8b3Ehg){7y>)zW4h3_UDlAHOlPHN#?o_4DGv)LAW*FDK~N4@C^{27k$Gwq8PKD>)w zyFvHqF<-jb_jcdZy~8PQZELc0&fvKY#JL=xXaHz_kzlX6_a`rzO|Acf&TeQ`{>?^IJjEz8m$&8ZBIh}9C;ic4SO#=?ZFi{v5q zkX~Qc#FQ}JAGvlOVER)Ou^DxWm%5wEd~MbmarPr6)mZ?S8|38*xaHfnB5f4PDlcz; zmbuD_@Mq4T7krJ=d_B)L&=(Cw{jTO|dg`$=l2me(^-Yk&D+M7X3d=ZGgyd2Ni)sVs zQ%V*sbXfjNQ9~B%dmOM6Y52OTrh08>>tORvV(00*%^PsG=DoGny&<%fK^kuAaf-jw z>F9@mn~8eg@xDs77zS=VE??^G#p8(F0PQn!JY|8JTg=^^m1}+=srEMLE}`WfBZKBk zs<)9~{GEMH$nHoF$&1AuZ7GQ1^KI=l%c!r()F^6KoI}AE9Vu}W7Ota*+$1YT+zSw5 zs{;HC%Woo7Jc7DKf;9=A(ik|%8yGP^x9c#}(#zW#{>AdHFdJ>@sZ|)_o!`Krv%0S% zmgxYgLrVrj^ElKL6;Af;f2b~ckzujE`o*RM2`RP@t#B!Vb+3OPvPgF(ztJ)zrN=%* zKcvXBji+9uU{9l{x~34vldmV<^C5j=Ox^QUU~V9bj7(G6cQj0MUKU}m1DWGg@dRmP zJWR}&?2Iqe0YpJE3!yvLr^Gz2=F zlRt=R_^@~Xc<%7A#W7o&OY9MGc2&%-#Itcbo%WJ5_mf`ReT%0LCqjgv9(9_YPZI#> zp1CPdSIC@lw)+{Jk^$vWv#XJJZ@4nXQ5#z*@kc9K9%xvAJ`0Ae9 z8}Pn+{X_Noho!C6Bo$i}wVi5@->aI3nQn89H2EI(ma5UL5d?_R*8L>y9vlD-`O)wrbT8u86Q(i>yc+AbsFJhu)=Nlx)gNbSEx< zhP$V)O0t8w;YC~m(aPkpn7p6a{8nPDHeGlu_3;x_^A^2AnKHYWabx`6Elw@?DQ0(C z&ZNGVwz+BE&V~}{C@i?x0CY!kmdPOB<&lMZnYD8ghvqKS9e(b#|0LEfxvV%5)exc~{ZJ^8_cH$?i9^zy5Bhwy9;9DB|Go$V5 zgBX#kjCA#5Uzr|=WR}$3;ZGMEKKXze$M%%O&=|91GoONoHnJtZY)OaK)TH}#%P+$- zJ;n=brPi$JC(K-GC(M4ln5c`btseFFs(d~hD?Z+xTr1&)Tzy1aykz{tIn(!Z24dIh zxnL&h8drK3VT9V~^K6c-#RGmnO6X>%_a3cZ@MAD-)6{qmHv`*D>?pa-%Mmm;OK(st z$3#>gxvK~Zpr0bb!suf?y(PsrA&LY_ub^zTlE!fmu+6Od?xXf6T~yg4GGt^uR>&$+ z@08Z7F!lNUC%XgRiOw#<pG!eD)jx%xTf#>1jG4ObBc>H|K@8Iy5@cH;(2a#We z7P-~miGSWSLogr&FpY=@!Wna+#Nx>>D>6$}wA|_fA|Js@LyOBzp;`gj_FxGR}aky212U=h+Lu3USwysWXEtrHz#U%=*FZVsNE2)_DA+>Q4#&{PGltMzdtO} zf9@4uAP<=F+?v%uI2E$9Z?t##M!w`kLo0v%aP!cJAU8-+t&yja9UG^PM*f?DAW%jq zJuB|YtN10IIA*K-JgvCtR|UzAcE4E$;mZdzW%DzC-cf(m5v%^w{OG?$fZ;qq03#H^ zQw{%JJN~!HTuJ|$U-gS_@?6YsH8d9t$VF8D4t`Om^KSl}ZOjHQ3WafjVa~@>il_$# zKA*L}Y(myj3RIw`z0@~uyKX~G6Y0GqFy!jrkd+g*dA2jb2<@P?^1`8UXF1MA*CK+F zVwTB_WrEa|=PFHtjqSMPW(-Z9C!hD+(pmLxcl!{cY@D)csg&P#=`(g83qn z{!1zUWajz*Fb56r0DQsK=V}3nYUu9@%MvlIc&ScuGmoWsX8HZfeBR1(e5U2p z3UiCKMfacgC-+~p(DUJbgVqDjA%RdZ0D*)8=Kw~C|4bVo1UYwZeaO$W#(>+-4YA>Z zfnivs4p2Q{6cN|2DbVWmL%wjG%kDe?7+a5=zf{cZgXwH z@!eW@w&X{V2js3nrGgvg+2M>DKK7v_2jA0q(!1UrbiYx253K4iklwk^{JEtFc1Wcg z`RtjdZQ)_Gx*us?+T=v6p;c^ZVxS0f1yj02#_3y*dw$Lg6eBL2hSZznKMnq`8<9~Q zSiMDvDx`PUR+M!+AxhM4#AGl>k?>_wz<4BSP-74j_+IJc#FOFkz6$|c^Rr3koJY0e zi{0~04xjr~DaA75DKoO|%o{A!l<4vwgv<=|bp=R&>CI;A5Pvlv6NEe&eqb3o*!`+a zdevxs&`EPoyJW9%^%&PLBoW?on~q^3R~*~Ss3&~n=~0!^tb@@P`!bESQjp#1mX%XM ziFygHYqLfB-lOQ&iGJ|I7c+mdJifnT7zzRz5f*yCd_McykkA z%!g%V%qc>_6|-6^&YrOzZHxS&@`9vue_4COCyMULo5hulcJ9v;Mn%`<_Kz^A{=UM4 zi~k9JMx+o)1>{p1Q0$i4Ct)69cZ>&+jJKqRY!q2gy;;qr>{RlR>@}UFKY(f?y;6=XUKn7jH>Z?I(&9oUy`)zT%*Da9dd7%@U+H=MbsMug!*5sOCvmd9#o--9eu%&EiG8fwTHG$UVVy@f?>EAaT<+}Po(K)$u;!QB~yvnuQob~X!Ii3nX6<^-8B8lgl zTW|9onmDEBPBIymL2q^4(16io<%x|P($!AiO8q*M>ci z4qM2hkEQHCewp?>;bp9Q(z}!ho{Wqbyq@z5Y8fakpD@qOrv=2^bmAgtx|&KA$dRI{ zeKT+5q@zO6O=OmWCXs^)$Hl)EeY2{qdh!*|)y9qu>dM}U>B1}Jsr(`(qCc?g!S}|y zHf3GTMqiqi23jS;i%SR05*56occ?2f73)}+(;i*5UFL(y#;P3E)qPJu&+9U@OPW4= zO=;^56Gh+co=?gyBowZ2%bzgl9#THpe!F484{#S}drxZa9+{@=F!-H`7gpPlSj>h7O45khH`?c=uD6!A)e-s2NV14_ zsvD9upVVV^b@il-=GgQRD9oO%t7v*ytDnCpeLKxc9iF(D9Q;B~PFAJf zoR34+5SYKcv6P?g{q1>$+xVNzla5o&(uw|!Z6%@ijblpIdZ-@U$JJ2O?5RExUwM((CB_v7I^S>eZb z?{Is#{bkU1ff8fCox)l`E;?Jh%e2t!YRYkS>PXL(P9`LR%2wSqo~MdDguuONf1B$2P z$d0Vj;9lP+!$jKx5ea*AS<1uvTNh^9ibyFRU)q~Ms1Y1qk1cD11BJIFCI7AIU zBtm`z`C?rb>pX{fmM<8+?_Fn&GSq{6AzI@eHBO+8#!{kh{cnaRMmb~3#&6JT}rP4HrZ0)sQrv!_{7S*O=rLz#l>WD~Q+EE^xFH zYMlc8CBJcp&(?xxMND=RK+S2JsFL6WJVL|Wp{e6_8#bW-;-;Ce6>(xFwx%}R!7RPl zV06Db+}>~Z>*3QhmH#v)-wg+&&CiGRQ235Sc*!EZU#p&FtLc6>7YvSnDp@Vdgs2?< z0bX~w&dYqcn3s#<=2fKiXDw7bMHD;qzxc}parnBaysHimUkX837owJdK^}DwT6GJt zySo#ewUu%6EUSE^Jj<>|jEI&Mc)oai6jt^cvsu89yywehRurpz@afw#00030|GZdh zZ=*OD{@nZu1eK=IOt=VC5PWGcp{zDQI8w3`y{fDbGD*mdh^3k7uYZqCNJ+QdnfE$U z$1%3g&Chv!?9~s!gw8}v6<@toq)_tH+utRnXUO?{spmaetCXP^DAs78Sdyw^EByfe`Of{{cAV0lL5@P6zK0xO`NB&yJJ46y^z1R^~xRT5Ik3)CKnMn`le3W&av zs*-;jrjm54$iMe;-I(XvvR8Ss5{!n*K(dsOrqV!)QhG>luJs%L7yYVX8T-*(A2^l> z!HJ)mfh=YYZc13gBymZt_17-CO6+mn*03_XfetvY&J!2tbzx zbYvMGIIJIoKCl9>ts{a7=w%ua8mgE5pEw=xMJ1I~1f&CFZUYUZ zkvFiQW7IYU@xb$}SKKrEQ_!QZBx-x##0e;>pDLkr>)+xI^_1V`d2Z;ve5omw>?y&} zBbzuRsuFL~`|Y*v<$t96xL7@Z5`f&t=_c9g{aalMJvxkNd^7yyKRN(EM!y9F(P!nW z&f*Bq!l#tP_xfhL(2Jc!Z^L2iMK?YjKEfvlH*Q3N-@xjCv3On`1p=SA%}=`UwWx~X zZ{*93{PH*d#?wjGKVpuIbd@}EN~(Elq>lor$*WNtZzGIZsrx~6SZzfDiPL8}Hu4W; zKrvO+3H6~bCXDAs5khbh4;XHjtiJ`__pA+Jd*r~9>%sk{WFwU@9CBTVWiKSrMoOtF zlay}Nfas9pKO^ttPNa_@jyz1}O)8}-F#@V?a{@Y>;pF*6Ch{fqV$%3?wI}CqmwrT3 zG1$J3HU=f=s_)S<3=R=&iRk!j9|G=sguKFdE8G&5`+67#xMtY$D}8_mw81d& zPqshjDk(Q$f6Ma{R0I^1up#Ul+K{3RIh$vEw&gssCmu)yXWHORB56V(BAcLhY%jdOFJ{rqYTGo+dvK@>GpmDyF~%qhoKh_Z>ZmS zpN z3tz@W!l32w&7S11csXO|EO$9()*&8bCL8SU4Q9SO@SS5uUSbZ64J?c57WOr#30rt7 zzE*`)!0j-k-c1zK$Dz+-_^1;#BxTgX%H>R8IBL}oBOm!{bF|WX+gx5=`1(S5Ln1l&X8$!GE;*!Ka!5|7xnNk&cG_iJsOYLV_M04N=ZbutN7-eJ=YZSJfp)IQ?sX2l zj-9Ua?R1@Q?L5l(*absIXu^!H#3Pu;MC+S{z}Hk@vUt)LZPx`?5H&MlHkb@Y$>=(V#VPQ;6$O)XbKB-O z`nrj5S_!m^q&B;fsf}PZMF5_8_-d>gUGq#1SP!9%1ys1%h5L*<1*X8iy})jU*i5>n zK)*h}m?mIRyr}JZ%WY`e!g0A>JM#rOa*+tnUHSrF`?w_OGu^w<^*+{dT8Op&YmDU6 z`mB4y!J^hp5^?qC>sZa-+!qIU?Q-Gq>Y47u0&s=4a{lDDolHUKS8Iyo{UoyWP9-*L zv{P2+L`#l#lK=Xx$q!b`xTC9cC~tgWd40~y%QyL*Z_Qbk3$Ok*XJK2Xkk#D4_Mor5 z;-h`8io!MS+C1|9Sk;VOVeXvw>}(&mZD%~y8P`5HbwJav-t?Q=fz>FiPuB^PXZ-i? zRQZ4VPQ~Yycv~V^EV27B(=UO3%Py`YyeaXUxZlT_Yz*gjJTGX~@E!LXzC%Zaont;q^)V!|=#(Vl17O~1G*c-w_!0S~Mk<0QO zZ?o#&X4pr(BGsPf^7y~0!JouhpIGEr8wad5mUAql?QC4P^LuVb7cs)*B!b!f$R0M< z_G`{6XKe4$zq4O6tZ2DBERd>?;Bx{RXO*oFn7l;2!C=}M?A9s>dt2shW@q+!TyA7^ zk?xnVqlfWX9I9TJ0mb zSpPA->?{lzw}&D1rAP|4hmb(18Z8XWnUQm}wL7*2WZ<;`-4puyZa%rfsc_aGzhkjC zjC%i3qEWZ**e+J|M;+ zkj_I2561a*LzX|=>@DN`4#M9#BH(Xi{CD~qi=4%R@wdGH%+8~oQBnTp7J~&%|J*ij zBK7=?^X%UKEH{4ebsHE?Sbc%}!OylP4vbeEYH&Nx9L@P`pWB~Zxz5G*de~Kb&AF~I z{?6ua8uxPun+eBsyNC^xExXp+gpr?I=3zz(N#c|Wn4WBJ?qVl(e|*T8e2(fQzXgbx1uCjbBd|NlxWNzKWz=5lZa z00030|9ntXpAi^jIfY`yYY06=r%3}&P02n5)N#@#=*$ruwu3U zeY>5IK+f#)Vs+J5_ZznYZoT=y9t^&Cwmq=#03xVbDKf@0VP`o;PUdA$5hu@Mr!r_D zyHns}GVOZ|f~g^abUF5?V-|pCfSh(?S&i9((*WkpyT6O_1*04ooy)+O66oG61nxkl zR&^J(EcmOl8%H$n#QRQO)&Hm>N@AxIE`7vEELZJOXW~>V*_n`-b|O;IPDsH8!V9cHCivJI*en(Df89$TA&Qw68c4E}R8Mz^rROg3M}S=TWuaZ6r;> zy7J3XYP5dQkDLgd9OAL3?cV?q5sFk)Iep9L27mcJw!@e0!3D!>@=x$a>ikr(k5kf6n#^@*U;h z`+~{+8865U3dUQUR{b7W{YG$dZ9NcVVi_Wxea)e+lo~fak&>ei-M+GQDDIQ_EzD0^WMNyUpp+yZCygR z4T@U%!n>?;u*s{CGz6=xx5b6r^ZfZk&V7}0e=Fx+$$goBY2>_eTZ9mn#a88mSI~7L zhLzxZ2|H?Ox?u(TT!5%OEJc}+%)vmYcx0DM1x31WkP*4}dSE~A149VNy%Ot^B*H<= zR!Xl>GazwF1yX0RXOh?+^o-}f>BXkr0tl5;bd++rV0$2*9rT_J*oz#6S0`mDkf@$R zTg555Qz9uv;V@iCZ%^Ra?x|W-x)!}hHR*$%?XA481MN@Z;V6TD4rm5(p7wSlNI=(G zPFOr?VU&Ca;SmRoWHbx;ur#*GY!VIgaDip8O>|z9Wr6olo0ea$U6q^a7H;SBL2uA| z9O#^WC96R!^9e>%*38SLy%N@i?m31NiE(QJ(RVV5bIEWTsd*wZ$M{z~B)MWw0~Q&# z72H10b)g=gJx}eeudDa?FZDiv`LnFc@{{g&Y-r5N;Wn9IzR-PVl(TRf2?uLXPICs`wUfKxFjqdwP|IBiuVkq2qU8XVHY*oV! zUHdn*)jLh+LhI7>S#7+|%`n^=g*$tbzv?rMSF^Jc!|T2-jai|@^!yl`S+dl#@(JU$ zjhR^u`NAC>MV@5^L!%tyoPzPDB1^JdTGm0r~Z<*t&1gC!7l0TOvmcxz3RBc#j6jTt#!m7ycFXxl>}Nbi{kVHr)|CEq`^^Jt#mm#+oBU8+1b`5 zuD?PaPn$Sxed*uuec)5gPv2>aNkikTg(_#Dc7Z%{XrIg<=KUtbO`V|bC(8x<_2Oo4 zcak4}w9S+1>H7rhO^M+airP~DI~th2mHJ+o$M%oS$qegzOu`N7TVknudWETzP@T$C zdueXKvYr~+v8>T;n@Qm=+h4%4wO=8J61RyD$=cR?Uf&5kj`Z7me;2iWKYzU4E52R0 zxb~CgCTo3qCKB}5uQ!u7yJUmr!5o#av6`=cwym&uFgGJ8O-p}$^}W=4=DB|IS#EXK znOMGC9!6&1TkrO24E62;qxWIwA*>Ie_SU8T&$QKVXe$1r-es8yO&)dajxIKPFo5iA z(>>b1p{=fHN8dC@^%f7Xe6X~hp#Jc=jmxBd!NMw4sSaggZGOGmTh`dd);(;9(4O4dy+PEafrE@}HF z{(L!wK3Ivh4jVhm;-olhAIu0RR63 M03e-%&HO6>0Ou#vPyhe` diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_m.srm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_m.srm deleted file mode 100644 index 98174c49deec366830563b77c37a1f47bc3d1067..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6222 zcmV-U7_sLciwFP!0000015#C0RVXORFG)=c)0#Z?ZFcy1QLz zw$oI1)l=`)M&D=3)|GZUgVrXs*mtRCyQr0#FX4y4EP|LuDrGFRDxJy9)YGQYan(qL zD+N(NW2Q2yhm{3qmW?Sd;Xdlk?TJRDP%Csf?8k*H_cis`TV`5QW<1}vfvQF0kv5ba zO&>ev&~qdbeV+sjlO9PXL^dPpjE8|oEU_P&X+#4j&?A{x(yf$uy@^Rkfs-Z*!BIdGOUR1GG93~punw}* zU>G?7N|tnT>sgY(nV3bRPcQgCd5o}-01xRGlzJjH<1c0)ccwo%VE*~ zRn~4Tl}(>bcB>k#HVPsQ;iYbE$tp z%p`8GevL6UaauHeonVJeydDW9t&nxCU&NuT_2pjyg~g`kb9-y3krs@G+Suu9!vfq!%$ zEr%hlv~;aiP5ZAV#B!=@er{75#(Aj>w2|JFi8hi`tc7xewF0Miwbs^wc3ImBrd`xC zld*H`5C047dRS<&+zXcdLEqgY!Rt>ah6yPe2v96_6aksqm>+^rzuR}=- zwIxogYTYzi8>auldM;~r=+juC`9LqVMjMqQoXA>}h8W9Qsug#wR@Vg$f{4W`t7xb* z3k+EVRE=U5GFma+VvadUkJ#BEH_zqIyxtsbwq*aY_H#5hSF_s8T4hToe->8GM%( z6CK54!z!0a^ z5_)$-ycc$oFE1xFTsKuzP)CKZ=Xd+2977(&%?BJwP8TC>Zth#fRHSSRn4;F(D?Ji- zv~M{vT7@WHw(V>ZhYhacqwJ`Wi^y7bxMH)R!8&v(j>lgj{5HND^>5?*mYc>IzHgrK ziZ9E_;OIY)l@vNnAP0VIr-$j^#_^gn2 z))04@7vGcnXXdI8mgTa;`U1`u_3eqEuXS73eImyJ9VImVF!I7*I-CI*G!8A#>ii3J zPj130J&TD`9prvF>%HR;%JDo}CuE7GujmOR)Vv;#8xls*nnLr{L#V~5efnBUbuIWM zW)LUqwvm%1sU?45XEgI?q#i7GbY^E{;nyp>?Yt?T}3ei}q=gi*tEP6`E~ZvZ^_c7HWTHZz+1LHyz!$pd07( ze6_c;Y-UrWY&ZL_7yH50-oM)0um}HB5CK|j?G|v>HC<6KKUm=rQW0=I3VSJtp!qJN zhJuihlSc{#hJVixnb*0vWB7Ttupf!AAt=8`iqH8g)X3q~Cfm*P!G`{}@Q@^83VL?Z zU*-kD&?ci8H*5SkCXtTux`{rMcnyD%=5vH&ef=3X0UJhR1&*=j@Ig z=}k=5uXh_+k3Prich|qG!+R^Adm!(EbrQEp(kz$r1WPjUvwCsNjh#Js&4*JC8K)dl zGiuLxtd}d}L`N;1*PFpH2hMXvz09NXL=!pSpXb1NuBiTH4!qKKm-)84%(wGADg|u^ zF*rc>Vnf^9f9m9Bxw2hRC^3RZn{Snj);8Msk6oR(q#Gyt>XGcT+@7J#R`zuJb+x(L z53ct9<-WB~xw1to`;U!E;;s#WURKslXD8)9!VV_?@v z+-Zr;?Me3rj72_@3_If(Y#vA1_%es3MLj@(Z5EnB$j17~11@_tzVu^frMAbiQjf4nnE3CSZoNV0Gd+I*rz46<(9KHcvlj_} zInDk{n38BC%MtG0uGcgE3z1Umr5xR=Q|I}{xt&a3p3B>WCHR(2(^MVZv1ciHIn9<8 zjh9I}Y%F*ld(*$3*?%#y4qYnYZ|>v$hU%Cmda{;jMRb`(@OF5wf*OIw+Pi_mh5ma7w zJj?wSHN@1zfHv`Araj8@nAE$E$i4c^!LU+&5bLzPb2$!(HAV1I?Cf1UK)cZE!JQuT zyAyil$Utq|>DA`wT|O|BwdZ=>F;}cN%w=3J&}F4;Y}9@eylQ*3Q9ShRPquD}bfQb> z8-M@YyZ6tk1%6UXuAg<2yP(^k>v3<{$2RhT_jqm_xXr|>w#=2$uWXxL_508KM zeDR)vFbg0YVMr41fVN)z1|0Pf zr*0`$2DJfZMt+YR*0=a(^*mp}6I#%C?G9<2!1H~L<7I5=VDgh!$byuE_Ez^p9zogX-!@0us-lO0IWM7roj0k%ipW-oF?rC ze}TCG%*=vjVSNJBjjLCGV8V#YblgBOLM`!o3USioUaTGke;2QHpX>U<+)sY#3O&efaQYnv zY%1QpJhqN@;ByMtt2pH|6ZMRJa$gR4)1KdlSxnp!e_Jj6E6fJhbHqsHPsDuG-8X(L zlh+{^q*i1!IpmkPOW^(XBb|BfT**D=(vL8UScRh}eXrvCNB6~GS5qm_s95~8Ez5Cw30RRC1|4J)K&B?Lma&QFz00960d|3Z);yM!lnfw(| zjC6}mghYu*1gf;eCUxK8gt)KS?cJ^{+;$5|C_!#o9gp|F-#C2fw)@`kL&4+u=9%$l z#{JCrXa8nok4B$-+aB3hoX1>sq#%SA%q~h1JB43xg`BcX9cAEg;-x&K7;PjIiBJx4 z5{L2>TbSfSQC4<;m_Hd7@Xa~FlrcNz_eRmKDMBJnONGbWH+VAl<`hkNNc?1M%qjQK zRLn!4@bTP8xbl*ePIGdD59`>gLR^v@Q^Jj#Rv!{wmdgtEtSj}c!>xEQtx`NF)utT8 zjrxy+Qxpsq5fibPEPD59;LK+CgJghkierV+0hY~hP|JEiC=sl%3*p42KPZZiG4R6q z^c%CjS=!f>dxYVnL{d05mZEYf_IS~L^giQWTuR0KqwkJ~D(VnK9j7WV_x$3GSMiwA zjbH*fv6b_Wc3XQf_tcVZ5?*18NFr>?intJE;rNA)bvWm-V2+c<<@6X^9UG=%S#pNk z_&)Px&=Wzl6)I{4M~5JRF;kQW?68g-t~oOdaBb6HWGgoOE~H|M(cWHZ zamV0)Brco1)C=O`gK0gnEZQ_LJi zSi<=q{7enON1XGviv(4u#7P~8)SUukg{(N< zBj$MoYDT6c!Ks6%N?x7iqD7K4B#i?FKG8Xxp=fl zJ@G0DRpl@mqyKVioi&T~Xz70ITYDL*7y=>paEE11Xjj)P-uGEIRkH8wCX6&9go1NBd0(mH4#p?~{IY$?{~s9~OOm z&-$Hxp2iAqSd98=pKs`85;}*w@1y;m_VY`&!Po(L62igL&c5&Od($rwUZ)*a^%A{? z>xhAa0on-`3QYZczgPV)R5&;!I88!@p=ps+bWq%7M9b)!CK%6&ju-T#{YOl$_WhC) z2kjN=<6Qk#>;dt;q6qhWoX#np5xSRy*y#=ce`DGG7aH_^ySRJwioWeO1K1y=q{IW} z;9)AudFAbKKb&Kll0BUboLCMZ#&K67)F1rIzAJpMUp%^l#wG(gBe+bNbOu#O1)k>~ zoJanrVi1SDBur3S6h-WpPGJ?_zD4Z6yKlXb_5b`~gqF#r5QC??3X2U~3*w~E^G4q+ z|LM*j7RAtJPv_nk(@GKvVRN97XuFdC<6VFKM^Uu3ggupqG11sCzk&onZ}5-(ZYB}b zSQwvcSX`#56ElTk0+1|9T+P(^9$cm|;Pn}=Do@UsfJ=#0c#_vW8tS<6CBJ*JpNhx( z`}?E6atciY>Z2T1+`f6bc`9xWy@-0H?(Nz?1jvpH?ib_YU=vMskE+i7QS$=MAh_2Z z&3F8qd#8d1;K*bux%DmZk_EF}TSS>JjA#8mo=Ydky%Y-Q-rQt5Fd(G}5dWgpKI7>(dAW<^iPu|J8{JE8Ve&Oy} z_SyYgS)Cgj!aTei*^rxmW_KgzBS-LT^7GlUX2N?sd&wMz{E5hni|Z`P>J@yiXQIsY z?^eIa)(i8t;SW|F=(y_G35w=q8XkHdz+#`o)H>$;|SQTxxvGPvG0-(ls}&&9>^ zIxrgd`_}9Q*#+eI#JjjKn=|j)thZ*+d)B#kjqAm2Fll+~>!x0P*_uoDHqv`Nr}OOlSjsNE3fPN+BI7F z$iC1t`?z;sU$lCR^|h|?i?tE0t{2&|Uag~xU!(0%-iuYdS}<=y~PLKHs<4X^Nw%H z%@dyd!fUTx@G0RrI0*C4#*}<{z?l1A0dLUFY$GeVw;=d>PnYFY3rx zy^oj9>9}6~V&|CGGcP^ArAmyH(C-((Ok_d-%dci4;T@Qo2|?I_83RTu=p7gauM#VG zOe1H8yLv*MVZtTM6eO+SPVx}PVd>Bi=7ma$l3#`&*6D}!?87=qW6}Y4fL66LEbqW@ zAdBqBAg%W$(9B$z}blgGZMAtha8%(z2BERGiq){7A=LY9e5Sm?d%30J>SqC ze>KhDcS{l7HX>WLxZ-oGI5FvW-z(+4o%y?YyNtxL$%MuP`vXr#c@#BbXZCtaYXJ{H zpw$!_+N&^KH(T&^&$2$*tzTL`6T!on+Zz9)*LpQMtlNIj`fyl3o!0J$eK)X0=0EV0 zzO``g18A~(-lDpu#kw&k%WZ9${P-Q5c}-U=>+Qrexz&D;1M95Uv=4g$x3Y=*UJh)W zbOzhZodmUM{bVoFcr-NMe2QGr_Km|_M~|5N7qt6dXiXztznfdPeM?2Oz4zk#YqRC9 zS)6PFKKw$v|3EV#zpG#(7R~m1#4X+Te)f3*bBm~u0RR6303BXvVRkYA0LvwPS^xk5 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_mult.srs b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_mult.srs deleted file mode 100644 index 57d784a7e92807440912f5797ecfa3f72def1659..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5590 zcmV;{6)EZ;iwFP!000001AJ25j}kEuf9J25Ku9zJx?gaCCl!4-b8_Us=gn@nu=To~ zO*<7g;g5HUcP47$Qzz-~bK2>23cW*8l3q{MlqYS}Xfm3>PLa``;NmoqvVazjLBW^G zL3#)2a#Q4+G=nTjmyA9Pwl`X88o<5~jkeFq&7cn2P^9nhJHsQRSTJRa{owSuC3v%a z3+Z}Y%;Qy*!rl*l2KQDj;Mi&p9#3?soPx?WKrNr%qtq2Ah6ZKml%77o4R@a($u!t`< znmq20_m8{7W_+E;m_;`~R>1vr3%lz(Dq{U7N8P36py~A((dAvA&+?Hs|8rH}Ik-Sa=7QexLHVhN6ttyW2%uhY@HPd@YI1v>WLdLUtxLf8HJjY zTvsPmq0*v~6I*tDmj$_!Gu0~$+_P2-S0|*Bwa)WOF71T5uAc`=UNBG1jhwmbLai7f zSA9ZNmwF`7s}7N$O)2ZhbLgvH(htbBcbyQ@>;IuFazpRsORZlkZr>kI`y-3!sx_5I z)?g0LKDacWcS7(%CnGks3>OA`J^_7Xp>V|Y)gH)3M;?SQ2fa!{$^z9@eFBEuljnmD zcyd1>YX3S3Jkq}=f8;&I$QSyu?rDnOcgLgt)C&3}84(t;ge3aauFc>0H~6c4Vr>m$ zG18|N$b)Bh?$Zt^f+q9H)E!ySF&ZBJWaPRQ^MRA#`#x?fZwn<%7H@ek z|6jZxpR&C~;nSo@Zr4LS(lI7kICdxFE9MQpeuC*ULXUp)a}4wSWA^k(0(v`1ipRBH zl8t^n4w!otPT1G6_X%YJI`zLZDSON%5(o$mMzZ&8Mifl|m=Dv+tHmdpR?fxxF-Iwt*{+J`h2hU+3!} z)TiK&NE1g>jH9>sn(Dq+?bcaHBanwYro1Us%-{KHKp1#)S&oL{T&0H-e>8WOw4vka zYl{}H@JVMW_z-p-6cwRkW8Ca<^$9J)AW<2iEKyYIuOZL%9PyN+-=-MTZa~9=Buq7E z!q%!!b=<-Kb@DS!m-*v~OI?w7$rRc?5Z}gAIL(=rge*vWkY)d*ZvvskaWW;KU#}4k ztRlpxsx^RI*nrzCaQ!QvR%l>(o=>c0Z+vTP!*OrBFpPOGTq?8PmM-(LlBP2`oeSF6 zItdCEti#EuN(r=OUb8vb6_uCp@-XNrf{#kSim>Ijzw_bFSlYRr=d;{4_#fNHtv=FK z^~$Z7=ZCvsEmQE(FWw#8EyX^=w$Xn0cjgViL%P21R+tF5AA^v3s5$EDb6o=rd=e6W zy$dJ=s<9h%#see=;aE?65*ij@-bfHnoStEPww1iH)#3+*9PC`BRRP#V& zQ7$+CJf8D^7SAUPVSmR|LU}Ga;|+6MP+d`9w&2FfZ^OlM(wi8@cGg?W#y7oj?`9F+ z=GNpUoKR~!lTWxuEEHHBP;sIRwu>|F$$HMbT~+uAvlL97oX=OCssXJ+o-?51aX+GO z6eYE1ift=D2k`S9?tcCa?h^EKj(+CIQ4IUDYSjXjzXtekSgwDh!IXH42l!%}>g zG?tb7p>*26m$D(0lAt4{uWLx(+1C%6BSERHHtfNv_n&u7>PFHb zlUP>+Db}3(?DRciSuD;(TI|5;-sCpaB(`y0x)P@!cZJO%jdcn36QCS8NaQ zgW%g`aa2Dc)-X%eNF$27PZC2|@9zbj_PX|VePh1Fk@*-&PhIu`-}e{u;lq?Rq--DJ(PV+s3DCTFh6^mDqb6?f3H@#s!#Y$7M4Rcmc(#8b-Z8L?JC^jFZ$n3tbr|+@}rWrj7xh``XmrZ_jgk16F z1y>$TCUu{NFW));$H7qWzVy3{H1^y^&h#BdMBj3mgCn`C{S{^M>)J7Yqb~V<(~Gq7 zcnzCU*1Az7XMc$`=^&YGxT+uaF_f~ik~j7F4Aw9a6S)MfZ_2xGr#f@&(cjb|ZnvW@ z=_xK--;@u&ono}5Z&M53%8`oPAWLBGBkhcji@N#-cPIM4TB}=YZOonGp4axy>{WK2 zrv@-P>8xGM>-PNAI~;oyCn{GBy{1PAN7ba=&#nv4a((*R^YVU@u!4@O@#)M2+bZl7 z6OyP@@tL~LDToGlkT-WFicM02w;oE=L^beov!J8TW8q*cpL^MLP)w>( zNOCZi9p(Ra7gLtDf!CiD|0rARKY5+uKI%kB$H%@-b$QPh@ScxjdJxI&b4z{>`_{Cy zofRC0!Z*Ahu0U5kmsP>>UV?NFJWg9@4P`4vrC!(^x0-?3mSYq1X({}2!T&+7ahD{? zC4cwvMSqJ_u(`fz#`LzSEr|CAX!Z`u{9VspgDm*vQi-?aV=pZ_ z(89`uMWXxHN49RSV?6r&4$b|P@_UHFZx}ZshsU6qgW>%<`)DBZ{;+Kubg^+96&q{O zpVEHCdqrsd{^$;0|5=uMZ6)2ynRC`nL7nhY#R=YOb~o0b8-Jt+_LprL&=9j`Fcwmo zA>Od3;p1RaztceiIpeu)P`7=9r*FilNU5DQcL)$;M|!`;eygn>245)2AFe+!mlIja`+Ga}3s;-=d2s664L8 zZX;!J4Wfzj`O!rKz$I z<08uKY!jDGv;r}HL^qS8nDgbe6xVnz4~Q4?cl)(U175RUF|ZIAz`wJuBOS`_3Z(Mj ztUkgJ_H>S1u0)*jI^?k+^az9h`OQOF2>%<$amMQ_?@M{F5FN7kSNUD6{f*2Q z?`Vp6XX9@cr*{x2DF1f(Hm8KPc>56VCat$N@%#8b*VrN#w#Yx*TZPvn>~)OOyW6q% zF-~LB%KI3MBF`$@&-O_Ver}vY;mo1TR#D7rTgwg`qh_bKzUHjRXZaTO60z7mkFnR> zpX#PBI@;nxnPH;|J?G=%c;^!R(eLooekkI-Xbi>t__opXR==S$kDWJsPqE~re=l=p zH&{LC8_nSjzEPvUJ-@L_=f~MZkdE@Gg?^R6RxI{yIx0RR6= zD@o1CvF37c1poj5|NneeU2mgG5`8wm0?~O08Vv>+83eKv(`}}6lf73^>M1|bd_%e+_-mv6$IC=Wd+tPKn!)SK*o3}tg^z` zF5MDpVpmma*BT9z!3_9>%zT$YIMWg!1CIV|!a{I0NM?gHsV6MwG=#hE>~G>KXOsh@ zcS)$t2n?=rfg4B)qrQ!M2K?3EOk!H~(_O!+n}5_XC8^zy79L_O7E5#7pW5{@=}$>Y z`!T6$zo6+*_8ZCi1rx|EEX&xf?6N5Pj58FaRiYhN?w~AZe@%SD_)BzH*&wA=A_^M` z#lo^F-#}R^E%j@$2^hpxQ45#8AMc?bW!k?apX7sw=JD|B; z{Rv))4Iwq!g!0md_uTTB+@ehr8-_oa^U$SVbDET{ zZ#`0D`rKi<8W54=Mj_qBSQQ^wTX}_IlcbaSj=;6{@{t!QYN851F<8OS;-ZX8tV-Ke zT--2X59*K@+70h``xpdwuKO6cGKkhu1~Ob{3NIh9+QzQ-BB2o&(pYC9Xz@*ObLZX- zgCKB+Ze#_rS#Mz-$*tC?_#9M0S=(1ZlMP5CS{vKum)%Qi_tM!pCp+hV**U-Lu3Nin zSGvI#qP1LaqmXyps#%ROPj+HsP-x}GF4Tm8%9Yqik_kJ+mTO`#BMGG_Y=(K_?g+eC z!%%2Da4Uhbk(sT!wk%P}5=aJQCMeR}Mn;mII|S?HvtPur<(p7%F~J!XNbP*b5@NY9 zRK3_$0z~7Y6fz^F($*}MrvO5e8QRKulCvEU*9wO(+D#2N!)}H=4qYp7gD=Y#k2}T; zf`67}3bfb^D}Zd=_IH}Hq!;7#n}CdQIBbo0}jQ-aXz0!{Cd1y-WjA;Z%WQF;_7!;%%QKw7gBGES>f+Cf^`Uswu`Z z*1g+*Y4cG<(&;Qgro~}>1FBiUOYoxjq|*4(EQ3@27k+=}4~>+UzMF4w($(ZVT2Hf4 z73Chc56Jk}cLVXvEB_BWaC zEShxmmbb>@`M!B@wz}Nwp84}!?m3GwxgVW!R=66u{&TOdocripZ(%y;F?6|#)}w9n zflt@wtCs7;`Q>Xh*Q2dmJH+~pYsTwxe zPMi%0gWH%-Z)ws4HG66#HU*LRNiiX6Tf}KqQyYkn(i*+XQPhe*J{9D+X!HT6?4R3@hTd`{MI`@o`Ucs<8En ziJJedE|O*5Vow!L(u=JE^9fBzcb5|^$V7R0^Kq`XEHM4hLt>?8cQ{E4weV>gvtDtj zx9n^1F`u!@xRg+=MJ);5lO{09@)BNz= z)Q^$Ay3ep#we!cw!1|<Ece zAFuXO&CU0(ckcIe=j}7+!mf>R^67ZlULQ-Zt>G!&L)7fS(T8VoqIUL_`UURa$d-ST z9UAOrSqSZZ<6Z9>JhXGL{V&qRRI|eRjcj=?Q~lM)y0_kAvoIc@n=|K_vxWJ(Rd4?Q z#y*pB75+3%@fs5snfHqNz1*Ms|9>{lXPYgDW47>~^vpAl`1-@xw{?B$YI=n2LiH>& zJsp3%9>*RSnejC4YOvTI)y*?LD6<0;c$O+3O6&rm+PJ~RrS zl(<#&eATyJ7vJHqxL4%*UEe$oU5!-(j=CAOF&w!c>!H`hX-p{{eSxbt%)hv>f^30@ k@t^vBePQ!o00030|Kf5`-~s>u0RR630A8gjhkY#o0A2n@&;S4c diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_mult_srs/skeleton.srs b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_mult_srs/skeleton.srs deleted file mode 100644 index 977f6aee3b1d031f09834d8a6e16f217eb21e52c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 574 zcmV-E0>S+siwFP!0000015#C0RVXORFG)=KoBH85dTl2$L)p9XriIYdA3Z6rDBO9%hV^Gp}??j z`j9tfaG>$#t#3!01_KTa+yq_h1vZPp(}*FKd2269ysgKLg;e*aI_1~z*@Eb0-QBH; ztiOA>{9Ny3ehBqW^iW%op^nh6&h_ir)X{iy6){_tBuB1|Gvv8qk~XP^d4IcU%ktLi zeG$+!J*42HBmopF32sE@iJD^8=CQFUX0HI>c-e^2Nh6$;BLg;S;xzN@%bv0$;k+Ek zqACB^p}CxyR$5O<+Fotm_j$3P=xv7Nu~0fZ?eS&3rpsR){lu%Inl%jA7_wU-Wta~7 zJ2ZP7w#Jf;IR-(|MFXnEe|`p=w&auh>R@oKMlOm!I*2_koG0;RbP!tO)#;sIG{QSF zK3{+u0RR63 M0H#XJ64n9$02HAgJOBUy diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_prem.fse b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_prem.fse deleted file mode 100644 index e69de29..0000000 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_prem.srd b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_prem.srd deleted file mode 100644 index 088c601929063bd7ac3f140d670912807d94c543..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4925 zcmV-D6T<8tiwFP!000001Ju;bYr`NE0PuVM3h5|4HEP;*E4}QiFh)1ZR>p3U7}K~K z6+hbLzn|S&hV-(_2)igge2D|~ZtD_SSEga^&A{0{4WJ~i07$tdAu0f#eXnWFMM(s=4)lh&cZllTFzHUh zOj6V8AOUFhYlqa9)Oi^6W*v!eS0YzpJQ+uptRsu`4KtiZmQhC*-xxx3&6`Lw?nsjx z?&?T#-c?_u8-;AI$ z#RwL;4V#o<7BZ^O;c~HnS_r~rh7eKSVT4Wsk^QoRP6|&$nJ=-Aulw|$b+Rhy&xeYV z*Qe{pIT#!cHot!hRTgRJ1Sv#LH>6M>gRmWJIokmqfX9rTGMn_Ix^>1==M9UJaB?;O z1ONd4|Lj;zZ=*UFUf=u*3uaL?Ey4;a5p06VP^DY$b)JX8a_;BRTcUJPp#upgSj&CcK2I4*YEF~ zy)uAsG<-0;AG3+CPHA&*U^?M&1b(QFj4+(3rUwoTg0Vf`=w|+8+h#5)n0r`x5BIR$ zp?dkufH9SR3uX_K@UJPI{T(`kM<0ef^|qfb%#A zK^^*Z^!Q`qa5jXGLw{Nwc4_D#P?qeuW)2fNKTZ#oPgOrK=5z{61wFHxv4PUaKZHRY zhSg3{fkZ5$FIEH@VMoiQ5qSYtXGObLSh(54S)oVNdSO7aq`(~?)ih)PVcXSARsdqV|Z)QoafGej*0Spz_jODqwrW%djYABeH zQEN1gtT&1ltZexBjF0>LxOlgs=*6N)dn@0c&(`k6np~_czHW1+pl_DI`6-Vq?uA7R znCrQa{?d59r^kq54Iv!Ef~G|7M?8&KEbm|vN13$%=|gV(JJN`duTdQHQ)P5aW4EL~ zGY82!+N~7?RvBT}Vo2r`gPO$}7BPfKv9PFtjwSC{!k-w?g1l?U0wr>B$rNNs99`yc zs>s+{qBi5l51lXHL!cdLluFAkWm-6P(W0rtm%NKQqdR#nbgU`&Vpb4py%op-xmQ^4 zJZ3Kudei7TJX;FKuhgq}Jg;R60gFU0Qm=O?Vty{tEJUB*-d*v&^5QnOUc{aI>BT9f zk3L~U^g8l*UV}9*E5*?-p@ZPH_4{N?-o&9-$LoT>q2XUqdh*#3WxT1yb-5&C9$x+jP`+ex-H)#t=uI%Zb_u3q7?d|o#ysz7-HYnJNI((e`)AiL0 z+?~~tE4;ep^)YvnnTX!*z*8s3(rNVc@?o=>1J2g<*;>vH=Epi}ccamIbGN^n zZ$dB0?;gVZ;eM^>;cT-%%~s-#;9e` zcxMr_-+=_coGco^As|PVxd&$uETJxWF+mP9#eP%!IaXSpg;6xnoI@Rez!k1zBK=W}T4#z(ooH7ZZY3SmBRRIim^JJcME zeHiN-+qCsO;XnF5w{3wp@$MkvKJ}rZ#zf4&ffw#%pLQape{Uo1nYo=Xn-}1tD}Bx5 zrW0BP{vqUeQUtCY_w25z?n}#O$T}(e>Eimj4CzV0vd>@2f&F6dZUXGaJI|JB^$I^-?JCXF>UfV*ChzGof zi>vwwo}-=Fwbq{`y|4Fniz8`Qj4&G1U)mKbs6Myr{zPwbX;;Zh#V-w=;qjWU`+S~D z{ustGPCUrMKK^?nXXFmY7yiMZ#0$&q`-X?uJW<`|eNfE9m@HE0{q6X?UKzt`Qqid& z9)g|XL6;v&N;=zgZj+u!f%n+fVhmb*%?>^j^mX8lcxs5bmU#q4{gG!EHt=t_ROU?G zj}5OzdOFa~({=hpFu#UYN-0VL*PMRn9~m*b6FdX z6XD}T+SbU#S7qWqlzHE{J`vavz5xDRD*dWouQ9yl{gGUbB1vyLxsA?) z|89%3IlS6N4i#)Y!>hLP{)*!@=Xe$8bkqJm&+X8gs9?Y5xsVI0H&?@n*S;a-UiA9! zB)8?v{AxlDc0M8x%?wSEH6-K#ra>fGl1Yu4Etu{18Yh?f5LKFe)!rqjEvN!;?^>Wpmd$o1=V7T>*MEpQ3! z z#f~L9j@QjlQ1hFSW zW5&TH6PyKJ4B^a>(6}SKTtosB954FI=8{gQ%S(AlSjv)yq?fE{2bXnGU&cH}QQ9Tar1CDy z@}qBVFq`}-tUoPnYYJ{Gn5;;F)Mf>4C}%E|-H(13;3iek2=B#q>XHqoG2xVMN(Qc1 zzR@<2aK1x zO;S`KSQp;r#gJmE~=1o68JOu31=dbBQ{`ukafO%yu*;mgF9@w8e0y0Gj zGxkl}EJzRYhB48wG-ViHBq_*m#;gYp*-+Mf*LE}lS)8W{rWcg;3NRkR@83hC^V=#R z;4Bw8L&;)-w2%`HpG8BN02zP#4q%;WncL(+5_1&0*)?a{*Dm%wAR)jR{E#Os35R zF!3XO!DJ&i#X=G!JHmyM=be2Pt{ZFLcqM*=igkQ0xIRrpD;iE!9~P7~J@U*5lRFZ^ zsrRKzkqVWRfXSqX;tjvZlAUN8wqQNBC>UGO*k`iroorpWt|WU>)f<*LGMK2566};o zx^`7OToiGmN=MR^u_B#Zm(3er9Lcn4RR#IYOvV3f>q5#XPSUnv)Q>^-Uhza8S&?lT zmdwELPV$cnNfOqtfS&qF(Fqx&8hc4X1!>NH#I9)SIUBlJr-9T-rxMY@bm2|sl zFdW`^-e73g!)OiLwu_&#i8tWVBt})hr}?R5Zo~GK@3G^1Jo7o4&-t%>&MV)ij_=dZ z+YalfR-Bey;u-tyU=bL?o`7V1dHqY@xm4{K3pq@@2> z{Fnb0{||DptL6W>X6%2hnS5H|**6pV2lc(f`%kOxGrEsLFq*63)y<Tvkzd7k~t z@LXqql~BU4WP`M*%njVtUzATZ^rk}1YnkG&(*(}6^U{d2dObSpjEs3bULQs}H}lqL zox8nRJEpf9J^8IMzccraQ=0Wi*<#$y+r96Y*;9YCn)@eO(^<4X(ANHT-yfMzPsYlh z`_5wgbbF%xqptVP+Eh@~y)F7qCv!D7yE@Y{Ok=*%c04&9k6EvxwY_~u=R7UDw~nVC zse;+IbIlVuquN<-je36K`LPeR&ziSdj^5>PzBKea7%6tuRrA$$QAgGK1C3{Nz1Clk z%=!?mb-Y&VY%w~Ff0ASF>V3&7(x94LQZ^fl0M7-TO1!=(7{o*sw<<1KKrmry8B`=` zAZ27qgPz8-X$<;4(R(YwO^`6CI1Ofu2Tg^WU)A_~52qjC>3djR1~E~RIBhn1hN{_| zCh>(bkn&=qZcDB1pYO%_J&%D+S#Tk-py{NOwJb2#w!?)o5{aG5VnR}O(lf~msk)+* z@t$s({y=9Jn7+QHL{0LEIt?Jbz@+$*Zh4N$i!L@*o8qiXGBJhtr0YH1G5|j7{0wa5 z>Fh*53wZY)6eryDB0u9e$6ywMrE_@ry>A{Pb9I|xyQ;C9X_mTcU43s_Wf^icQ_MsE z_tnvi+FQ(`l`6IM7D`K9r5#mwbMihMO!N2S3ZvT=vz6{UMPt9Ci6Co_81+|ubMXLH zhijoenAmp~nX|R}@F}!AyEH%E`xzK^C?gKKZ%?pyf|U&?`0)fgXV`m%?F{|<(>=($ zp758LdH2xyr2EKhaaHffrU_Q}8T!^M?F!AkIj&)4b)UYzx6X3UZ<*ygANkDXNv020 zHg@*4W%{rBq87V35~?wKrf)IQv!vVeXZb(DEkEM4|96LW500tt53ctFZk@xvy;C#n z%|~?XpWv47aOT1EalCHt_KR*$THE%OcF|%Vv*#AJwN)SX^;5R8-t@JL=YEE@Z|=+6 zH~9MW(zJ=5#4yL}pc``GG?8GIeu>h}31^M=AB6d@Zc z>LEn+(S+3^Ysb)s>{;&yZ;Y8SnrFJV)aQ1JRj$8xeqy#=n71w5TeYw67CqmQlUY0o vPPZ(Fsv!*3IZvq+>YqIt=-&Dp00960;&M>n0ssI2|NjF3#qJFNW-I^zrj7F~ diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_s.srm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_s.srm deleted file mode 100644 index ea888b60f92d799ef6b27b478f133b639053471d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6097 zcmV;?7cS@@iwFP!0000015#C0RVXORFG)=Gd(!r@pOII^ibV`<>H6Zjc|Ps>Rs zh7e47z{ZZ{gUjb5Fb7WPGuaRI8<4Dy^>e5Vi zTqry5l|zm)mJMS01IyL}bL@cR{`4my?SLhCu}~iS0|z=*%R3wmeBWUq$hsumSVGPW z%s8s49x`8+bhvRXsRQu@B9o4?x~l_q%8A>7K+-Z<*Sc90$XZwaTHdHuIW?b}8$%5> ze>l*_j;_hhvt>;$Wl{eTSr%Hf3~4>OlqLU1WLav9I%cEH443C|GyR9+Cf4h4aA}v@ zf5a}4wveN6O~;Noa2*N4pA-L?f}kgxA#=t9-vvv|2j&P_I@kJVG_E6Gzm$=J8Ffc> z=IBVQbSD}}ftKlV(2dY4T}}OZQ<~PaG@fo6U)93#P#X*!ty^c5%8_m&U+Jd{6B_yu ze-eDcvCIbG_|}2%$RC8xwGCo3t92T^^4*9=wcgEW>3|EJ2?{e zG+1iu(PGimT1|t$Mw%|C%=UsbVv5$!^}HTx@-U`>HmWr$bGfvtK|^LfQ^TkXO13C< ztMs+Zk65U(vJ9++UQW=I?x>aBqENoUf3__)q=Jw8!Xs&A&Q^-qB<}VjjDKQU(=Q>~ zth1RF+m8e)viFKvYCblJseDYfF2K+6myn`&9INQeHn1fhy=qU)B2Ak9t265HOh!ky z=3+^j$8VKcRWj>F!;v3<2-xs<_~>F`8Po6!I9Th1d|%*WVAdaA#Yrvei^WKf zE|?$HA805!mo}BSy*k048Pe^2FUuPA#Tq$v{&b@XK=^16jiNx4bhd(aR0r7tBFgh( z%>*ACQhVRT`@+Xkr$JRa$_s4+e!QDDtE06O_;ic`hjzDG zz0EEUKpK6h(cjV`7Jit9(rlGP3Q;fRhk-gq!1qAJ$;m$^i}eg*=iR#W&E)8x(1irg zh37OvPQ%G!?g#YW>gc~AEGBD!Rl@!Eba&UgS23D;mKdLV7WOZiEpHd_jXXA0RRQN6 z5B<^b0}DF83)}cKj3O&Q;mX#>vdn_fqNYcA$Dw?zSL5^k$YY>3gD_qfONoNW0ja9Q zdKlDeG@l&kY(hYKtiCmsTS=|{e!Q-mIEJMx&Alo~m_4h&o3mZt#^Cc-)x0^at&HQE zVXG|hW>6XPRsOQykbbeLJR;WFh7^5_L~)*|tf)49!q4R^<9x4anDm|elO&!zJ)QB#c{pN(k_*-pwLKPf2LRwutwEu`ZL3bw&rdUWd^y$M?|qZ}=SV$e%%v>TrE_R}S?$g7q)Q|5%4#jJyYreZ+Z9w2qf` zT+nzadmvS8o`~GoRrCH?KAiI9xW;38W+x)nnUSAztYBnA`juEG#!IfKm$_n){ACa6 zdlxxyo-5Zm@Un;bwmaq9?mFMj^XQOo1a@R@_gUI(9uIs|thed0c-h~>Dnie17CtO`kdAwze%sqt7v3+u@kA5yXAI&hPLZ zcFc(*9b8l2SUcuN+1m4^4;}4x$ZPNQn)+((*z3;pJ@vvfp82shpbw0*__*k+*Kv1V zN8a3Pj{W5#=Gxw#v*dnuAv>>A_b)mdSM%m_-eL#qIJXm)ShFKWiAUi;ym`btFqaNp z_~K30c{*X{MJ>~zHEhrL_|jU$QKmDQvP{)!xP-DaOZ@l7zV&MVfQ^Tgjr=24I>%nt z?=KiyX3H8e7j^Uy4jAkL!;hrr=V5NC&h+Nfjq@~pdY;^raZbydc%+V|w@>9TcAupa zwp>PwQ9X#|60E0=dZM>v6M4NrAk04@xHqaeuhZYf(~e`kyAbZwCj^gbnd6W8T=se# zpK*J35~LGC&2&G&4jN$(f=cq{ZX%uEd*b&HfaX=to5QXzdK1U5HJEzfBhn7ni2EqV zeLJ^*ao19x#i%yZ9*;-E!pg|w7jZ}>-XB-|AEgFQVLhrW#Npvx@9v3H>Gjl4?U>)T zeFk&q5!$nERSInF*Z7!4jJk|s+8{pV{7O;@T+eYd*W$jHi}AKM?QuC0Vk?}EZIA@t z8gI(O_gv*duc>-3#@pt()vHN~&?_Yd=`_F8E0sudsn_jc{8S3PHr2}hp|rFR(QQz? zA8*M!Mx4D~|)UjE#U(_H9)_z59(A!_kkwm9zb_x#D9x$r0ceiC(^=j~gz z;Mfs+7VnWEf9FOK3*=Z1m+Oc^q+7v@jgi(9#tFA^E_7>Y8gJ4x-bwSeHGR%whuZ?M zPYJuKZ`T;ai0`6{Q6$DsC%Uc7o&0UO`8(-89q6KqdjhjS<#pz-X(C|o+_@xdBWisV z$ZHuN*GsC4`WhVLF3;7e7^g|uD^_XcC36ttTXYL4NjYEfmI_5JkK`cc-)fgSjl?&B zQw&T+4B+p*;*njY%z;!pZ~j&c?G)lk)m-E$??VBLVto9sX|#+ioqt~pM0`$#|EtrLpe%*&iW0F z7WXDT$P`cZz@NnOghEVxS^V9hf^KVzQrM#Wt@kYY5n=|bgzr74gwX**SjRCttNWOY zqR!^ezx9rK@O#4&7TyG^VxFYDx1HHxWi{*|XIrD&dR9K8ULqII?_=(@SgdKWPQg;B%?-_i_pxRj919a^T@0e zJYsglJ%Z6rQo%%J1|~BJkFbV=WFYdw=naysq5tn^B)T+_1)OQu z)m?{cVSidgxSvp{PiWj`Q+ zpqY^gGt8ZSmVJ(a?ailmRJ+p}Un6b@6tf&jVH#M9!X(({S^L+VQ*MX3tf=$qyJ@3> zm;oZDS!9^oPWFSh;vpeBK?O2HE#aT-w)R49S1H*sUSKVdfiMWo!c63u>10i;y*UpB zHO(l@r?0VXVneG?=A7a-zRx^$b>aGZ@nSjavtL~sQ6!2A@`61`W0NN|=UoEcTonW} zFQCru2QLu;tvZ-!9v`ecmSJbsdwTNlm)=A4l=Pl@1MFl8!w-((J>gmFJK}b?pd#5J zQOPhDoOs!VPN~QtV~}igGy1Z<_$^IVkatjqEZvSuWRa5{>qfkU06L%$7o<@KEIa1pDX<38o zgeF)p93WvzHYL1AD-%=BfvGB_u{*ZN#%T zeTiVEz?GC0W*i~soxeZ}p%j?J!ABoVfx=1(Omakvod~hFqwmkL@FE!&TyaKkcZGVE z9DDEePb+}pw2Hb|RH!n;5B{=iNWF>0E(i&VM0ZL}j1XybwlTH2O<4fI4RV5i$uG8S z>H@;*3i4Znj~MXqf_8}E3|(s0W*CYJd<+EZhS_Tjmq4fSE?ic)T4LNyCC1ov1J1hH ztGy*+dxZ0%^g?FgDG8`Yc9&QNHWd)V0JF%P;z;uA>P?O@KM13`s!W%lIp(i@)Ar${ z4x-S7i*^I{Mn5hYDsU|4kmHMfW-pIA!XeJhx4Q}&urN0x6jC#*&iA0U-2z0Kf_jx` z^a`)|p$FMgAP*CY=3BbvHh-o~%mqbU3Pmmw_-2z{6P7*}dS?^T4?AcOU~z=yd9B zdshWZPK*wE*{Q9w;GyzWRBg- zo~(Xt1bs3Ka2`=?_M==5ijzI>%^?>-gh_vP3j5MTA@oUvx_#rNFnf27G1EWuZ zSwE){HjBO_;9=6p{ugEuX2t3A?6|wr=<$EPt_|=0(Vv{qD-h3HP{j zwBf_R*=EKd+iG|8bxub`0Hy(31j?te%Y%Q(0OD(iEDN37%tqP2S}Z}&4oTqA`3{1Q zugRsZXLbnFP<~Ht)5z2(vAdfW&wvhX0JAtQ&&ah!*tQx5&LAMpYRHj0LUk8d`rz=Yn%Fq+_sV1wsO`* z&iXq!>xbNzM(&GKI>Qb3*X4TSk4W1KD=37JupKQl%p1B^jTAooo@GZ~`b#zCo5zyJ zwf>UwXIBx~;`u-0RB=aD#=j5Cj2}~9UEcG@Sm$;8_`?0n7s`0?pU08!E8i=g@nKe~ zM?bBX{8;N;=kZG|j(WUIE#XTiym_nQ!{qNBvuwR~djSsNAYR;PbG&0Tk_nL2nERhA+840ft1`% z+FrbqYMJ`$74(?Tar&&cp;))g!Rt(h z=X3e_T)v&-1cPrKIb~+cE6^%we2>6S)CmnKk|}1WJxk!dfu^A4tm8f3N{Z->Paq?D zcP6HhgvN)XFzCpSe5*Dl7hCWgV|W&{XBahG>g;0cJ>RP7pbg!CC2a1Imfu5yL~}?1 z*n6cV-t0^h9pZ5^Z{A=;=Lc2&?U)Mxwia>PV#k2jUe>N;S^IuI5UwB9-_+aG7ik?g z{d}X<+_AIE9M_uOefUj>DWj&h(B;!o!0e2<@rfrEe(mc=UFGRg8$GqOQq%`MRxL|k zZn&X+Y5gi;z`C)BjmLBU2b%{M29NXOd*gz~+xOqr$8%vBE{`9bi$16`@#x0w&f}A| z#>8GtC%ja(=GTSa3$NH%>LlHln#x~)-S>JgXYM|>KFBpTE;=nY-m8<+ZDM$_ckkDG zZmENJcKl{;WaB%uGkm+QnY2i)HM-2=%tyzt{gyGS+9A4dKUl={~Bl zs~^S7H*Md<^|6iVk#CleGk6cF;@it{;&Lt49w%)KmYXA-Ji0>FU;N`Y9&0Y_ORb%a zdjuPgvHGHmBmd@Vprg%04gQs-*Z@3V)Q#KPw+LU}0=RybH<$1>#*l!cs{4%%tK3i2 zk=xp-^%ADbQlw{}e_$cy@lqTn%`EvWW6x3hopOCir?I1H`^O!q$vLf00960 X;&M>n0ssI2|NjF3k!W3&_Amedvzb*k diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_s.srs b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_s.srs deleted file mode 100644 index a1234727eb836df3369469b2af319d7cd741e3ed..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5358 zcmV!$jKx5ea*AS<1uvTNh^9ibyFRU)q~Ms1Y1qk1cD11BJIFCI7AIU zBtm`z`C?rb>pX{fmM<8+?_Fn&GSq{6AzI@eHBO+8#!{kh{cnaRMmb~3#&6JT}rP4HrZ0)sQrv!_{7S*O=rLz#l>WD~Q+EE^xFH zYMlc8CBJcp&(?xxMND=RK+S2JsFL6WJVL|Wp{e6_8#bW-;-;Ce6>(xFwx%}R!7RPl zV06Db+}>~Z>*3QhmH#v)-wg+&&CiGRQ235Sc*!EZU#p&FtLc6>7YvSnDp@Vdgs2?< z0bX~w&dYqcn3s#<=2fKiXDw7bMHD;qzxc}parnBaysHimUkX837owJdK^}DwT6GJt zySo#ewUu%6EUSE^Jj<>|jEI&Mc)oai6jt^cvsu89yywehRurpz@afw#00030|GZdh zZ=*OD{@nZu1eK=IOt=VC5PWGcp{zDQI8w3`y{fDbGD*mdh^3k7uYZqCNJ+QdnfE$U z$1%3g&Chv!?9~s!gw8}v6<@toq)_tH+utRnXUO?{spmaetCXP^DAs78Sdyw^EByfe`Of{{cAV0lL5@P6zK0xO`NB&yJJ46y^z1R^~xRT5Ik3)CKnMn`le3W&av zs*-;jrjm54$iMe;-I(XvvR8Ss5{!n*K(dsOrqV!)QhG>luJs%L7yYVX8T-*(A2^l> z!HJ)mfh=YYZc13gBymZt_17-CO6+mn*03_XfetvY&J!2tbzx zbYvMGIIJIoKCl9>ts{a7=w%ua8mgE5pEw=xMJ1I~1f&CFZUYUZ zkvFiQW7IYU@xb$}SKKrEQ_!QZBx-x##0e;>pDLkr>)+xI^_1V`d2Z;ve5omw>?y&} zBbzuRsuFL~`|Y*v<$t96xL7@Z5`f&t=_c9g{aalMJvxkNd^7yyKRN(EM!y9F(P!nW z&f*Bq!l#tP_xfhL(2Jc!Z^L2iMK?YjKEfvlH*Q3N-@xjCv3On`1p=SA%}=`UwWx~X zZ{*93{PH*d#?wjGKVpuIbd@}EN~(Elq>lor$*WNtZzGIZsrx~6SZzfDiPL8}Hu4W; zKrvO+3H6~bCXDAs5khbh4;XHjtiJ`__pA+Jd*r~9>%sk{WFwU@9CBTVWiKSrMoOtF zlay}Nfas9pKO^ttPNa_@jyz1}O)8}-F#@V?a{@Y>;pF*6Ch{fqV$%3?wI}CqmwrT3 zG1$J3HU=f=s_)S<3=R=&iRk!j9|G=sguKFdE8G&5`+67#xMtY$D}8_mw81d& zPqshjDk(Q$f6Ma{R0I^1up#Ul+K{3RIh$vEw&gssCmu)yXWHORB56V(BAcLhY%jdOFJ{rqYTGo+dvK@>GpmDyF~%qhoKh_Z>ZmS zpN z3tz@W!l32w&7S11csXO|EO$9()*&8bCL8SU4Q9SO@SS5uUSbZ64J?c57WOr#30rt7 zzE*`)!0j-k-c1zK$Dz+-_^1;#BxTgX%H>R8IBL}oBOm!{bF|WX+gx5=`1(S5Ln1l&X8$!GE;*!Ka!5|7xnNk&cG_iJsOYLV_M04N=ZbutN7-eJ=YZSJfp)IQ?sX2l zj-9Ua?R1@Q?L5l(*absIXu^!H#3Pu;MC+S{z}Hk@vUt)LZPx`?5H&MlHkb@Y$>=(V#VPQ;6$O)XbKB-O z`nrj5S_!m^q&B;fsf}PZMF5_8_-d>gUGq#1SP!9%1ys1%h5L*<1*X8iy})jU*i5>n zK)*h}m?mIRyr}JZ%WY`e!g0A>JM#rOa*+tnUHSrF`?w_OGu^w<^*+{dT8Op&YmDU6 z`mB4y!J^hp5^?qC>sZa-+!qIU?Q-Gq>Y47u0&s=4a{lDDolHUKS8Iyo{UoyWP9-*L zv{P2+L`#l#lK=Xx$q!b`xTC9cC~tgWd40~y%QyL*Z_Qbk3$Ok*XJK2Xkk#D4_Mor5 z;-h`8io!MS+C1|9Sk;VOVeXvw>}(&mZD%~y8P`5HbwJav-t?Q=fz>FiPuB^PXZ-i? zRQZ4VPQ~Yycv~V^EV27B(=UO3%Py`YyeaXUxZlT_Yz*gjJTGX~@E!LXzC%Zaont;q^)V!|=#(Vl17O~1G*c-w_!0S~Mk<0QO zZ?o#&X4pr(BGsPf^7y~0!JouhpIGEr8wad5mUAql?QC4P^LuVb7cs)*B!b!f$R0M< z_G`{6XKe4$zq4O6tZ2DBERd>?;Bx{RXO*oFn7l;2!C=}M?A9s>dt2shW@q+!TyA7^ zk?xnVqlfWX9I9TJ0mb zSpPA->?{lzw}&D1rAP|4hmb(18Z8XWnUQm}wL7*2WZ<;`-4puyZa%rfsc_aGzhkjC zjC%i3qEWZ**e+J|M;+ zkj_I2561a*LzX|=>@DN`4#M9#BH(Xi{CD~qi=4%R@wdGH%+8~oQBnTp7J~&%|J*ij zBK7=?^X%UKEH{4ebsHE?Sbc%}!OylP4vbeEYH&Nx9L@P`pWB~Zxz5G*de~Kb&AF~I z{?6ua8uxPun+eBsyNC^xExXp+gpr?I=3zz(N#c|Wn4WBJ?qVl(e|*T8e2(fQzXgbx1uCjbBd|NlxWNzKWz=5lZa z00030|9ntXpAi^jIfY`yYY06=r%3}&P02n5)N#@#=*$ruwu3U zeY>5IK+f#)Vs+J5_ZznYZoT=y9t^&Cwmq=#03xVbDKf@0VP`o;PUdA$5hu@Mr!r_D zyHns}GVOZ|f~g^abUF5?V-|pCfSh(?S&i9((*WkpyT6O_1*04ooy)+O66oG61nxkl zR&^J(EcmOl8%H$n#QRQO)&Hm>N@AxIE`7vEELZJOXW~>V*_n`-b|O;IPDsH8!V9cHCivJI*en(Df89$TA&Qw68c4E}R8Mz^rROg3M}S=TWuaZ6r;> zy7J3XYP5dQkDLgd9OAL3?cV?q5sFk)Iep9L27mcJw!@e0!3D!>@=x$a>ikr(k5kf6n#^@*U;h z`+~{+8865U3dUQUR{b7W{YG$dZ9NcVVi_Wxea)e+lo~fak&>ei-M+GQDDIQ_EzD0^WMNyUpp+yZCygR z4T@U%!n>?;u*s{CGz6=xx5b6r^ZfZk&V7}0e=Fx+$$goBY2>_eTZ9mn#a88mSI~7L zhLzxZ2|H?Ox?u(TT!5%OEJc}+%)vmYcx0DM1x31WkP*4}dSE~A149VNy%Ot^B*H<= zR!Xl>GazwF1yX0RXOh?+^o-}f>BXkr0tl5;bd++rV0$2*9rT_J*oz#6S0`mDkf@$R zTg555Qz9uv;V@iCZ%^Ra?x|W-x)!}hHR*$%?XA481MN@Z;V6TD4rm5(p7wSlNI=(G zPFOr?VU&Ca;SmRoWHbx;ur#*GY!VIgaDip8O>|z9Wr6olo0ea$U6q^a7H;SBL2uA| z9O#^WC96R!^9e>%*38SLy%N@i?m31NiE(QJ(RVV5bIEWTsd*wZ$M{z~B)MWw0~Q&# z72H10b)g=gJx}eeudDa?FZDiv`LnFc@{{g&Y-r5N;Wn9IzR-PVl(TRf2?uLXPICs`wUfKxFjqdwP|IBiuVkq2qU8XVHY*oV! zUHdn*)jLh+LhI7>S#7+|%`n^=g*$tbzv?rMSF^Jc!|T2-jai|@^!yl`S+dl#@(JU$ zjhR^u`NAC>MV@5^L!%tyoPzPDB1^JdTGm0r~Z<*t&1gC!7l0TOvmcxz3RBc#j6jTt#!m7ycFXxl>}Nbi{kVHr)|CEq`^^Jt#mm#+oBU8+1b`5 zuD?PaPn$Sxed*uuec)5gPv2>aNkikTg(_#Dc7Z%{XrIg<=KUtbO`V|bC(8x<_2Oo4 zcak4}w9S+1>H7rhO^M+airP~DI~th2mHJ+o$M%oS$qegzOu`N7TVknudWETzP@T$C zdueXKvYr~+v8>T;n@Qm=+h4%4wO=8J61RyD$=cR?Uf&5kj`Z7me;2iWKYzU4E52R0 zxb~CgCTo3qCKB}5uQ!u7yJUmr!5o#av6`=cwym&uFgGJ8O-p}$^}W=4=DB|IS#EXK znOMGC9!6&1TkrO24E62;qxWIwA*>Ie_SU8T&$QKVXe$1r-es8yO&)dajxIKPFo5iA z(>>b1p{=fHN8dC@^%f7Xe6X~hp#Jc=jmxBd!NMw4sSaggZGOGmTh`dd);(;9(4O4dy+PEafrE@}HF z{(L!wK3Ivh4jVhm;-olhAIu0RR63 M03e-%&HO6>0Ou#vPyhe` -- 2.43.0