From a56f33812418564d18ac7517dcea4c761bd01bb1 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Mon, 21 Nov 2011 11:43:33 +0000 Subject: [PATCH] trb_net16_endpoint_hades_cts.vhd --- trb_net16_endpoint_hades_cts.vhd | 12 +++++++----- 1 file changed, 7 insertions(+), 5 deletions(-) diff --git a/trb_net16_endpoint_hades_cts.vhd b/trb_net16_endpoint_hades_cts.vhd index 54c6537..b464e95 100644 --- a/trb_net16_endpoint_hades_cts.vhd +++ b/trb_net16_endpoint_hades_cts.vhd @@ -199,7 +199,7 @@ signal buf_COMMON_STAT_REG_IN: std_logic_vector(std_COMSTATREG*32-1 downto 0); signal buf_REGIO_COMMON_CTRL_REG_OUT : std_logic_vector(std_COMCTRLREG*32-1 downto 0); signal reset_no_link : std_logic; - +signal buf_TIMER_TICKS : std_logic_vector(1 downto 0); begin @@ -212,7 +212,7 @@ begin MED_CTRL_OP_OUT(15) <= MED_STAT_OP_IN(15); MED_CTRL_OP_OUT(14 downto 0) <= (others => '0'); - + TIMER_TICKS_OUT <= buf_TIMER_TICKS; ------------------------------------------------------------------------------- --IO-Buffers ------------------------------------------------------------------------------- @@ -280,7 +280,9 @@ begin STAT_IBUF_BUFFER => buf_STAT_INIT_BUFFER(32*(i+1)-1 downto i*32), CTRL_GEN => buf_CTRL_GEN(32*(i+1)-1 downto i*32), STAT_INIT_OBUF_DEBUG => buf_STAT_INIT_OBUF_DEBUG(32*(i+1)-1 downto i*32), - STAT_REPLY_OBUF_DEBUG => buf_STAT_REPLY_OBUF_DEBUG(32*(i+1)-1 downto i*32) + STAT_REPLY_OBUF_DEBUG => buf_STAT_REPLY_OBUF_DEBUG(32*(i+1)-1 downto i*32), + TIMER_TICKS_IN => buf_TIMER_TICKS, + CTRL_STAT => (others => '0') ); ------------------------------------------------------------------------------- @@ -673,8 +675,8 @@ begin GLOBAL_TIME => GLOBAL_TIME_OUT, LOCAL_TIME => LOCAL_TIME_OUT, TIME_SINCE_LAST_TRG => TIME_SINCE_LAST_TRG_OUT, - TIMER_US_TICK => TIMER_TICKS_OUT(0), - TIMER_MS_TICK => TIMER_TICKS_OUT(1), + TIMER_US_TICK => buf_TIMER_TICKS(0), + TIMER_MS_TICK => buf_TIMER_TICKS(1), --Common Register in / out COMMON_STAT_REG_IN => buf_COMMON_STAT_REG_IN, COMMON_CTRL_REG_OUT => buf_REGIO_COMMON_CTRL_REG_OUT, -- 2.43.0