From a57709a8dedc39a9c62311226177c5eb2adf4d37 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Tue, 22 Jul 2014 14:05:39 +0200 Subject: [PATCH] added record for bus handler ports --- trb_net_std.vhd | 15 +++++++++++++++ 1 file changed, 15 insertions(+) diff --git a/trb_net_std.vhd b/trb_net_std.vhd index df73da5..3e230a4 100644 --- a/trb_net_std.vhd +++ b/trb_net_std.vhd @@ -186,6 +186,21 @@ end package trb_net_std; package body trb_net_std is + type CTRLBUS_TX is record + data : std_logic_vector(31 downto 0); + ack : std_logic; + unknown : std_logic; + nack : std_logic; + end record; + + type CTRLBUS_RX is record + data : std_logic_vector(31 downto 0); + addr : std_logic_vector(15 downto 0); + write : std_logic; + read : std_logic; + timeout : std_logic; + end record; + function and_all (arg : std_logic_vector) return std_logic is variable tmp : std_logic := '1'; -- 2.43.0