From a5da6ccfa4336fd634033dc285088e1df78c0d5f Mon Sep 17 00:00:00 2001 From: hadaq Date: Thu, 19 Aug 2010 08:34:02 +0000 Subject: [PATCH] wrong depth on 16k and 32k fifos --- lattice/ecp2m/lattice_ecp2m_fifo.vhd | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/lattice/ecp2m/lattice_ecp2m_fifo.vhd b/lattice/ecp2m/lattice_ecp2m_fifo.vhd index a580566..e14c049 100644 --- a/lattice/ecp2m/lattice_ecp2m_fifo.vhd +++ b/lattice/ecp2m/lattice_ecp2m_fifo.vhd @@ -142,9 +142,9 @@ package lattice_ecp2m_fifo is WrEn : in std_logic; RdEn : in std_logic; Reset : in std_logic; - AmFullThresh : in std_logic_vector(12 downto 0); + AmFullThresh : in std_logic_vector(13 downto 0); Q : out std_logic_vector(35 downto 0); - WCNT : out std_logic_vector(13 downto 0); + WCNT : out std_logic_vector(14 downto 0); Empty : out std_logic; Full : out std_logic; AlmostFull : out std_logic @@ -159,7 +159,7 @@ package lattice_ecp2m_fifo is WrEn : in std_logic; RdEn : in std_logic; Reset : in std_logic; - AmFullThresh : in std_logic_vector(13 downto 0); + AmFullThresh : in std_logic_vector(14 downto 0); Q : out std_logic_vector(35 downto 0); WCNT : out std_logic_vector(14 downto 0); Empty : out std_logic; @@ -274,4 +274,4 @@ package lattice_ecp2m_fifo is -end package; \ No newline at end of file +end package; -- 2.43.0