From a7949e0b66dcfd357c0d4aff35ebeec388867103 Mon Sep 17 00:00:00 2001 From: hadaq Date: Thu, 5 Jan 2012 14:52:33 +0000 Subject: [PATCH] bugs correction --- cts_beam_structure.vhd | 16 +- cts_fpga1.vhd | 2 +- cts_fpga1_tb.vhd | 179 ++++---- cts_fpga1_test.vhd | 6 +- cts_fpga2_compile.pl | 8 +- cts_fpga2_reg_interface.vhd | 56 ++- cts_fpga2_reg_mem.vhd | 842 ++++++++++++++++++------------------ cts_fpga2_trb_no_fpga.xcf | 2 +- cts_simulation_tb.mpf | 431 +++++++++--------- version.vhd | 2 +- 10 files changed, 789 insertions(+), 755 deletions(-) diff --git a/cts_beam_structure.vhd b/cts_beam_structure.vhd index 517ec25..d69336f 100644 --- a/cts_beam_structure.vhd +++ b/cts_beam_structure.vhd @@ -99,7 +99,7 @@ architecture cts_beam_structure of cts_beam_structure is signal structure_data_out_fsm, structure_data_out_i : std_logic_vector(31 downto 0); signal structure_finished : std_logic; signal special_data_rst, special_data_rst_fsm, special_data_up, special_data_up_fsm : std_logic; - signal special_data_cntr : std_logic_vector(12 downto 0); + signal special_data_cntr : std_logic_vector(13 downto 0); signal individual_cntrs_reset : std_logic; signal fifo_cntr : std_logic_vector(4 downto 0); @@ -159,7 +159,7 @@ begin end if; end if; end process RESET_LENGTH_PROC; - + THE_BEAM_INHIBIT_CNTR: up_down_counter generic map ( NUMBER_OF_BITS => 32) @@ -274,7 +274,7 @@ begin if rising_edge(TRIGGER_CLK) then if RESET = '1' or start_sample_counter = START_SAMPLE_NUMBER or reset_length = '1' then enable_start_sampling <= '0'; - elsif start_delay_cntr = START_TIME_OFFSET_IN and structure_finished = '0' then + elsif start_delay_cntr = START_TIME_OFFSET_IN and structure_finished = '0' and readout_active = '0' then enable_start_sampling <= '1'; end if; end if; @@ -369,7 +369,7 @@ begin COUNTER_FOR_SPECIAL_DATA: up_down_counter generic map ( - NUMBER_OF_BITS => 13) + NUMBER_OF_BITS => 14) port map ( CLK => LOCAL_CLK, RESET => special_data_rst, @@ -384,7 +384,7 @@ begin special_data_rst <= '1'; fifo_cntr <= (others => '0'); STRUCTURE_DATA_OUT <= start_q(0)(31 downto 0); - elsif special_data_cntr = START_SAMPLE_NUMBER then + elsif (special_data_cntr = START_SAMPLE_NUMBER - 1) and READ_STRUCTURE_IN = '1' then special_data_rst <= '1'; fifo_cntr <= fifo_cntr + 1; STRUCTURE_DATA_OUT <= start_q(conv_integer(fifo_cntr+1))(31 downto 0); @@ -401,7 +401,7 @@ begin if rising_edge(LOCAL_CLK) then if RESET = '1' or fifo_cntr = HOW_MANY_HISTOGRAMS then readout_active <= '0'; - elsif READ_STRUCTURE_START_IN = '1' then + elsif READ_STRUCTURE_START_IN = '1' and structure_finished = '1' then readout_active <= '1'; else readout_active <= readout_active; @@ -418,7 +418,7 @@ begin elsif fifo_cntr = HOW_MANY_HISTOGRAMS then special_data_up <= '0'; read_structure_finished_out_i <= '1'; - elsif READ_STRUCTURE_START_IN = '1' and structure_finished = '1' then + elsif readout_active = '1' then special_data_up <= READ_STRUCTURE_IN; read_structure_finished_out_i <= '0'; elsif READ_STRUCTURE_START_IN = '1' and structure_finished = '0' then @@ -431,6 +431,8 @@ begin end if; end process SPECIAL_DATA_UP_CNTR_PROC; + READ_STRUCTURE_FINISHED_OUT <= read_structure_finished_out_i; + SELECT_FIFO_TO_READ: for i in 0 to 25 generate SPECIAL_DATA_UP_CNTR_PROC : process (LOCAL_CLK, RESET) begin diff --git a/cts_fpga1.vhd b/cts_fpga1.vhd index 8340d19..d830b9b 100644 --- a/cts_fpga1.vhd +++ b/cts_fpga1.vhd @@ -20,7 +20,7 @@ entity cts_fpga1 is REGIO_INIT_ADDRESS : std_logic_vector(15 downto 0) := x"FF01"; REGIO_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001"; CTS_NUMBER_IPU_DATA: integer range 0 to 9 :=2; - RW_REGISTERS_NUMBER : integer range 0 to 49 := 36; + RW_REGISTERS_NUMBER : integer range 0 to 49 := 38; R_REGISTERS_NUMBER : integer range 0 to 105 := 103; TRIGGER_INPUTS_NUMBER : integer range 0 to 64 := 36; TRIGGER_OUTPUTS_NUMBER : integer range 0 to 64 := 19; diff --git a/cts_fpga1_tb.vhd b/cts_fpga1_tb.vhd index e0cb720..cc6c2a1 100644 --- a/cts_fpga1_tb.vhd +++ b/cts_fpga1_tb.vhd @@ -132,53 +132,54 @@ ARCHITECTURE behavior OF cts_fpga1_tb IS component cts_fpga1_test port ( CLK_200_IN : in std_logic; - ADO_CLKOUT : out std_logic; - RESET_FPGA_1 : in std_logic; - ADDON_RESET : in std_logic; - ADO_TTL : inout std_logic_vector(46 downto 0); +-- ADO_CLKOUT : out std_logic; +-- RESET_FPGA_1 : in std_logic; +-- ADDON_RESET : in std_logic; +-- ADO_TTL : inout std_logic_vector(46 downto 0); FS_PE : inout std_logic_vector(9 downto 8); -- FFC : inout std_logic_vector(22 downto 0); - TRIG_IN : out std_logic_vector(63 downto 0); + TRIG_OUT : out std_logic_vector(31 downto 0); LVDS_OUT : out std_logic_vector(14 downto 0); LVDS_IN : in std_logic; - PECL_OUT : out std_logic_vector(2 downto 0); - RICH_CLK_OUT : out std_logic; - RICH_RESERVED_OUT : out std_logic; - RICH_TIMING_OUT : out std_logic_vector(0 downto 0); - RICH_TRIGGER_OUT : out std_logic; - SPI_CLK_OUT : out std_logic; - SPI_CS_OUT : out std_logic; - SPI_SI_OUT : out std_logic; - SPI_SO_IN : in std_logic; - PROGRAMN_OUT : out std_logic; - LED_GREEN : out std_logic; - LED_ORANGE : out std_logic; - LED_RED : out std_logic; - LED_YELLOW : out std_logic; - TRB2_OK_LED : out std_logic; - TRB2_RX_LED : out std_logic; - TRB2_TX_LED : out std_logic; - TRB3_OK_LED : out std_logic; - TRB3_RX_LED : out std_logic; - TRB3_TX_LED : out std_logic; - TRB2_LOS : out std_logic; - TRB2_MOD : inout std_logic_vector(2 downto 0); - TRB2_TX_DIS : out std_logic; - TRB3_LOS : out std_logic; - TRB3_MOD : inout std_logic_vector(2 downto 0); - TRB3_TX_DIS : out std_logic; - FAKE_SERDES_RXD_P_IN : in std_logic; - FAKE_SERDES_RXD_N_IN : in std_logic; - FAKE_SERDES_TXD_P_OUT : out std_logic; - FAKE_SERDES_TXD_N_OUT : out std_logic; - FAKE_SERDES_REFCLK_P_IN : in std_logic; - FAKE_SERDES_REFCLK_N_IN : in std_logic; - ONEWIRE_MONITOR_IN : in std_logic; - RS1 : out std_logic_vector(3 downto 0); - RS2 : out std_logic_vector(3 downto 0); - DIS1 : out std_logic_vector(2 downto 0); - DIS2 : out std_logic_vector(2 downto 0); - TEST_LINE : out std_logic_vector(31 downto 0)); +-- PECL_OUT : out std_logic_vector(2 downto 0); +-- RICH_CLK_OUT : out std_logic; +-- RICH_RESERVED_OUT : out std_logic; +-- RICH_TIMING_OUT : out std_logic_vector(0 downto 0); +-- RICH_TRIGGER_OUT : out std_logic; +-- SPI_CLK_OUT : out std_logic; +-- SPI_CS_OUT : out std_logic; +-- SPI_SI_OUT : out std_logic; +-- SPI_SO_IN : in std_logic; + PROGRAMN_OUT : out std_logic +-- LED_GREEN : out std_logic; +-- LED_ORANGE : out std_logic; +-- LED_RED : out std_logic; +-- LED_YELLOW : out std_logic; +-- TRB2_OK_LED : out std_logic; +-- TRB2_RX_LED : out std_logic; +-- TRB2_TX_LED : out std_logic; +-- TRB3_OK_LED : out std_logic; +-- TRB3_RX_LED : out std_logic; +-- TRB3_TX_LED : out std_logic; +-- TRB2_LOS : out std_logic; +-- TRB2_MOD : inout std_logic_vector(2 downto 0); +-- TRB2_TX_DIS : out std_logic; +-- TRB3_LOS : out std_logic; +-- TRB3_MOD : inout std_logic_vector(2 downto 0); +-- TRB3_TX_DIS : out std_logic; +-- FAKE_SERDES_RXD_P_IN : in std_logic; +-- FAKE_SERDES_RXD_N_IN : in std_logic; +-- FAKE_SERDES_TXD_P_OUT : out std_logic; +-- FAKE_SERDES_TXD_N_OUT : out std_logic; +-- FAKE_SERDES_REFCLK_P_IN : in std_logic; +-- FAKE_SERDES_REFCLK_N_IN : in std_logic; +-- ONEWIRE_MONITOR_IN : in std_logic; +-- RS1 : out std_logic_vector(3 downto 0); +-- RS2 : out std_logic_vector(3 downto 0); +-- DIS1 : out std_logic_vector(2 downto 0); +-- DIS2 : out std_logic_vector(2 downto 0); +-- TEST_LINE : out std_logic_vector(31 downto 0) + ); end component; @@ -320,7 +321,7 @@ ARCHITECTURE behavior OF cts_fpga1_tb IS signal TEST_ADO_TTL : std_logic_vector(46 downto 0); signal TEST_FS_PE : std_logic_vector(9 downto 8); -- signal TEST_FFC : std_logic_vector(22 downto 0); - signal TEST_TRIG_IN : std_logic_vector(63 downto 0); + signal TEST_TRIG_IN : std_logic_vector(31 downto 0); signal TEST_LVDS_OUT : std_logic_vector(14 downto 0); signal TEST_LVDS_IN : std_logic; signal TEST_PECL_OUT : std_logic_vector(2 downto 0); @@ -487,53 +488,54 @@ BEGIN THE_CTS_FPGA1_TEST: cts_fpga1_test port map ( CLK_200_IN => TEST_CLK_200_IN, - ADO_CLKOUT => TEST_ADO_CLKOUT, - RESET_FPGA_1 => TEST_RESET_FPGA_1, - ADDON_RESET => TEST_ADDON_RESET, - ADO_TTL => TEST_ADO_TTL, +-- ADO_CLKOUT => TEST_ADO_CLKOUT, +-- RESET_FPGA_1 => TEST_RESET_FPGA_1, +-- ADDON_RESET => TEST_ADDON_RESET, +-- ADO_TTL => TEST_ADO_TTL, FS_PE => TEST_FS_PE, -- FFC => TEST_FFC, - TRIG_IN => TEST_TRIG_IN, + TRIG_OUT => TEST_TRIG_IN, LVDS_OUT => TEST_LVDS_OUT, LVDS_IN => TEST_LVDS_IN, - PECL_OUT => TEST_PECL_OUT, - RICH_CLK_OUT => TEST_RICH_CLK_OUT, - RICH_RESERVED_OUT => TEST_RICH_RESERVED_OUT, - RICH_TIMING_OUT => TEST_RICH_TIMING_OUT, - RICH_TRIGGER_OUT => TEST_RICH_TRIGGER_OUT, - SPI_CLK_OUT => TEST_SPI_CLK_OUT, - SPI_CS_OUT => TEST_SPI_CS_OUT, - SPI_SI_OUT => TEST_SPI_SI_OUT, - SPI_SO_IN => TEST_SPI_SO_IN, - PROGRAMN_OUT => TEST_PROGRAMN_OUT, - LED_GREEN => TEST_LED_GREEN, - LED_ORANGE => TEST_LED_ORANGE, - LED_RED => TEST_LED_RED, - LED_YELLOW => TEST_LED_YELLOW, - TRB2_OK_LED => TEST_TRB2_OK_LED, - TRB2_RX_LED => TEST_TRB2_RX_LED, - TRB2_TX_LED => TEST_TRB2_TX_LED, - TRB3_OK_LED => TEST_TRB3_OK_LED, - TRB3_RX_LED => TEST_TRB3_RX_LED, - TRB3_TX_LED => TEST_TRB3_TX_LED, - TRB2_LOS => TEST_TRB2_LOS, - TRB2_MOD => TEST_TRB2_MOD, - TRB2_TX_DIS => TEST_TRB2_TX_DIS, - TRB3_LOS => TEST_TRB3_LOS, - TRB3_MOD => TEST_TRB3_MOD, - TRB3_TX_DIS => TEST_TRB3_TX_DIS, - FAKE_SERDES_RXD_P_IN => TEST_FAKE_SERDES_RXD_P_IN, - FAKE_SERDES_RXD_N_IN => TEST_FAKE_SERDES_RXD_N_IN, - FAKE_SERDES_TXD_P_OUT => TEST_FAKE_SERDES_TXD_P_OUT, - FAKE_SERDES_TXD_N_OUT => TEST_FAKE_SERDES_TXD_N_OUT, - FAKE_SERDES_REFCLK_P_IN => TEST_FAKE_SERDES_REFCLK_P_IN, - FAKE_SERDES_REFCLK_N_IN => TEST_FAKE_SERDES_REFCLK_N_IN, - ONEWIRE_MONITOR_IN => TEST_ONEWIRE_MONITOR_IN, - RS1 => TEST_RS1, - RS2 => TEST_RS2, - DIS1 => TEST_DIS1, - DIS2 => TEST_DIS2, - TEST_LINE => TEST_TEST_LINE); +-- PECL_OUT => TEST_PECL_OUT, +-- RICH_CLK_OUT => TEST_RICH_CLK_OUT, +-- RICH_RESERVED_OUT => TEST_RICH_RESERVED_OUT, +-- RICH_TIMING_OUT => TEST_RICH_TIMING_OUT, +-- RICH_TRIGGER_OUT => TEST_RICH_TRIGGER_OUT, +-- SPI_CLK_OUT => TEST_SPI_CLK_OUT, +-- SPI_CS_OUT => TEST_SPI_CS_OUT, +-- SPI_SI_OUT => TEST_SPI_SI_OUT, +-- SPI_SO_IN => TEST_SPI_SO_IN, + PROGRAMN_OUT => TEST_PROGRAMN_OUT +-- LED_GREEN => TEST_LED_GREEN, +-- LED_ORANGE => TEST_LED_ORANGE, +-- LED_RED => TEST_LED_RED, +-- LED_YELLOW => TEST_LED_YELLOW, +-- TRB2_OK_LED => TEST_TRB2_OK_LED, +-- TRB2_RX_LED => TEST_TRB2_RX_LED, +-- TRB2_TX_LED => TEST_TRB2_TX_LED, +-- TRB3_OK_LED => TEST_TRB3_OK_LED, +-- TRB3_RX_LED => TEST_TRB3_RX_LED, +-- TRB3_TX_LED => TEST_TRB3_TX_LED, +-- TRB2_LOS => TEST_TRB2_LOS, +-- TRB2_MOD => TEST_TRB2_MOD, +-- TRB2_TX_DIS => TEST_TRB2_TX_DIS, +-- TRB3_LOS => TEST_TRB3_LOS, +-- TRB3_MOD => TEST_TRB3_MOD, +-- TRB3_TX_DIS => TEST_TRB3_TX_DIS, +-- FAKE_SERDES_RXD_P_IN => TEST_FAKE_SERDES_RXD_P_IN, +-- FAKE_SERDES_RXD_N_IN => TEST_FAKE_SERDES_RXD_N_IN, +-- FAKE_SERDES_TXD_P_OUT => TEST_FAKE_SERDES_TXD_P_OUT, +-- FAKE_SERDES_TXD_N_OUT => TEST_FAKE_SERDES_TXD_N_OUT, +-- FAKE_SERDES_REFCLK_P_IN => TEST_FAKE_SERDES_REFCLK_P_IN, +-- FAKE_SERDES_REFCLK_N_IN => TEST_FAKE_SERDES_REFCLK_N_IN, +-- ONEWIRE_MONITOR_IN => TEST_ONEWIRE_MONITOR_IN, +-- RS1 => TEST_RS1, +-- RS2 => TEST_RS2, +-- DIS1 => TEST_DIS1, +-- DIS2 => TEST_DIS2, +-- TEST_LINE => TEST_TEST_LINE + ); ------------------------------------------------------------------------------- -- beam inhibit in @@ -618,7 +620,8 @@ BEGIN -- TRIG_IN(i) <= triggers(i mod 4); -- end generate SEND_DIFF_TRIGG_RCTS; - TRIG_IN <= TEST_TRIG_IN; + TRIG_IN(31 downto 0) <= TEST_TRIG_IN; + TRIG_IN(63 downto 32) <= TEST_TRIG_IN; -- TRIG_IN(39 downto 32) <= TEST_TRIG_IN_B(55 downto 48); -- SEND_DIFF_TRIGG_RCTS: for i in 0 to 15 generate diff --git a/cts_fpga1_test.vhd b/cts_fpga1_test.vhd index 0b6a0fe..719209f 100644 --- a/cts_fpga1_test.vhd +++ b/cts_fpga1_test.vhd @@ -272,7 +272,8 @@ begin port map ( clock => CLK_200_IN, en_clk => '1', - signal_in => hit_cntr(conv_integer(rw_register_i(i/4)(((i mod 4)+1)*8-1 downto (i mod 4)*8))), + -- signal_in => hit_cntr(conv_integer(rw_register_i(i/4)(((i mod 4)+1)*8-1 downto (i mod 4)*8))), + signal_in => hit_cntr(4), pulse => start_pulses(i)); start_pulses_in_array(i)(0) <= start_pulses(i); @@ -313,7 +314,8 @@ begin port map ( clock => CLK_200_IN, en_clk => '1', - signal_in => hit_cntr(conv_integer(rw_register_i(25)(5 downto 0))), + -- signal_in => hit_cntr(conv_integer(rw_register_i(25)(5 downto 0))), + signal_in => hit_cntr(8), pulse => beam_inhibit_pulse); BEAM_INHIBIT_SET_WIDTH: cts_fpga1_test_set_width diff --git a/cts_fpga2_compile.pl b/cts_fpga2_compile.pl index 34b82a4..986a987 100755 --- a/cts_fpga2_compile.pl +++ b/cts_fpga2_compile.pl @@ -35,9 +35,9 @@ my $SPEEDGRADE="5"; #create full lpf file -system("cp ../trbnet/pinout/$TOPNAME.lpf workdir/$TOPNAME.lpf"); -system("cat constraints_$TOPNAME.lpf >> workdir/$TOPNAME.lpf"); - +#system("cp ../trbnet/pinout/$TOPNAME.lpf workdir/$TOPNAME.lpf"); +#system("cat constraints_$TOPNAME.lpf >> workdir/$TOPNAME.lpf"); +#system("cp .$TOPNAME.lpf workdir/$TOPNAME.lpf"); #set -e #set -o errexit @@ -107,7 +107,7 @@ execute($c); my $tpmap = $TOPNAME . "_map" ; -$c=qq|$lattice_path/ispfpga/bin/lin/map -retime -split_node -a $FAMILYNAME -p $DEVICENAME -t $PACKAGE -s $SPEEDGRADE "$TOPNAME.ngd" -o "$tpmap.ncd" -mp "$TOPNAME.mrp" "$TOPNAME.lpf"|; +$c=qq|$lattice_path/ispfpga/bin/lin/map -hier -retime -split_node -a $FAMILYNAME -p $DEVICENAME -t $PACKAGE -s $SPEEDGRADE "$TOPNAME.ngd" -o "$tpmap.ncd" -mp "$TOPNAME.mrp" "$TOPNAME.lpf"|; execute($c); diff --git a/cts_fpga2_reg_interface.vhd b/cts_fpga2_reg_interface.vhd index 9e16bd2..31c9c79 100644 --- a/cts_fpga2_reg_interface.vhd +++ b/cts_fpga2_reg_interface.vhd @@ -82,8 +82,8 @@ architecture cts_fpga2_reg_interface of cts_fpga2_reg_interface is component cts_fpga2_reg_mem port ( - WrAddress : in std_logic_vector(12 downto 0); - RdAddress : in std_logic_vector(12 downto 0); + WrAddress : in std_logic_vector(13 downto 0); + RdAddress : in std_logic_vector(13 downto 0); Data : in std_logic_vector(31 downto 0); WE : in std_logic; RdClock : in std_logic; @@ -96,7 +96,7 @@ architecture cts_fpga2_reg_interface of cts_fpga2_reg_interface is signal unknown_addr,unknown_addr_pulse : std_logic; signal saved_mode : std_logic; - signal saved_address : std_logic_vector(15 downto 0); + signal saved_address, mem_saved_address : std_logic_vector(15 downto 0); signal saved_data : std_logic_vector(31 downto 0); signal data_out : std_logic_vector(31 downto 0); signal data_out_select_int : integer range 0 to 255:=0; @@ -106,16 +106,17 @@ architecture cts_fpga2_reg_interface of cts_fpga2_reg_interface is signal ack_out_synch_c : std_logic; signal ack_out_synch_d : std_logic; signal ack_out_synch_e : std_logic; + signal ack_out_synch_f : std_logic; signal rw_register_i : std_logic_vector(RW_REGISTERS_NUMBER*32 -1 downto 0); --registers from fpga1 signal reg_mem_data : std_logic_vector(31 downto 0); signal down_data_all_cntr_up, down_data_all_rst, down_data_all_rst_a, down_data_all_rst_b, down_data_empty, down_data_full: std_logic; - signal down_data_all_cntr, down_data_all_cntr_sync : std_logic_vector(12 downto 0); + signal down_data_all_cntr, down_data_all_cntr_sync : std_logic_vector(13 downto 0); signal down_data_out_i : std_logic_vector(31 downto 0); signal cts_fpga2_reg_mem_wr_en, cts_fpga2_reg_mem_wr_en_sync : std_logic; - signal cts_fpga2_reg_mem_addr : std_logic_vector(12 downto 0); + signal cts_fpga2_reg_mem_addr : std_logic_vector(13 downto 0); signal reg_fifo_rst : std_logic; signal reg_fifo_rst_pulse : std_logic; @@ -183,7 +184,7 @@ begin -- signal_in => unknown_addr, -- pulse => unknown_addr_pulse); - REGIO_UNKNOWN_ADDR_OUT <= unknown_addr and ack_out_synch_d; --'0' + REGIO_UNKNOWN_ADDR_OUT <= unknown_addr and ack_out_synch_e; --'0' CHANGE_ADDR_TO_INT : process (CLK, RESET) begin @@ -219,7 +220,7 @@ begin if RESET = '1' then rw_register_i <= (others => '0'); -- elsif saved_mode = '0' and ack_out_synch_d = '1' then - elsif saved_address(15 downto 4) = x"A0f" and saved_mode = '0' and ack_out_synch_d = '1' then + elsif saved_address(15 downto 4) = x"A0f" and saved_mode = '0' and ack_out_synch_e = '1' then rw_register_i((data_out_select_int+1)*32-1 downto data_out_select_int*32) <= saved_data; end if; end if; @@ -236,7 +237,9 @@ begin ack_out_synch_c <= '0'; ack_out_synch_d <= '0'; ack_out_synch_e <= '0'; + ack_out_synch_f <= '0'; else + ack_out_synch_f <= ack_out_synch_e; ack_out_synch_e <= ack_out_synch_d; ack_out_synch_d <= ack_out_synch_c; ack_out_synch_c <= ack_out_synch_b; @@ -246,9 +249,9 @@ begin end if; end process SET_ACK_OUT; - REGIO_NO_MORE_DATA_OUT <= ack_out_synch_e and (not unknown_addr); - REGIO_DATAREADY_OUT <= ack_out_synch_d and saved_mode and (not unknown_addr); - REGIO_WRITE_ACK_OUT <= ack_out_synch_d and (not (saved_mode)) and (not unknown_addr); + REGIO_NO_MORE_DATA_OUT <= ack_out_synch_f and (not unknown_addr); + REGIO_DATAREADY_OUT <= ack_out_synch_e and saved_mode and (not unknown_addr); + REGIO_WRITE_ACK_OUT <= ack_out_synch_e and (not (saved_mode)) and (not unknown_addr); ----------------------------------------------------------------------------- -- registers from fpga1 @@ -309,7 +312,7 @@ begin DATA_DOWN_COUNTER: up_down_counter generic map ( - NUMBER_OF_BITS => 13) + NUMBER_OF_BITS => 14) port map ( CLK => CLK, RESET => down_data_all_rst_b, @@ -328,15 +331,38 @@ begin else cts_fpga2_reg_mem_wr_en <= down_data_all_cntr_up; cts_fpga2_reg_mem_wr_en_sync <= cts_fpga2_reg_mem_wr_en; - cts_fpga2_reg_mem_addr <= down_data_all_cntr(12 downto 0); + cts_fpga2_reg_mem_addr <= down_data_all_cntr(13 downto 0); end if; end if; end process WRITE_REG_MEM_SYNC; + + + OFFSET_CHANGE_FOR_ADDRESSING : process (CLK, RESET) + begin + if rising_edge(CLK) then + if RESET = '1' then + mem_saved_address <= (others => '0'); + else + case saved_address(15 downto 12) is + when x"A" => + mem_saved_address(15 downto 12) <= x"0"; + mem_saved_address(11 downto 0) <= saved_address(11 downto 0); + when x"B" => + mem_saved_address(15 downto 12) <= x"1"; + mem_saved_address(11 downto 0) <= saved_address(11 downto 0); + when others => + mem_saved_address(15 downto 12) <= x"0"; + mem_saved_address(11 downto 0) <= saved_address(11 downto 0); + end case; + end if; + end if; + end process OFFSET_CHANGE_FOR_ADDRESSING; + THE_CTS_FPGA2_REG_MEM: cts_fpga2_reg_mem port map ( - WrAddress => down_data_all_cntr_sync(12 downto 0),--cts_fpga2_reg_mem_addr, - RdAddress => saved_address(12 downto 0), + WrAddress => down_data_all_cntr_sync(13 downto 0),--cts_fpga2_reg_mem_addr, + RdAddress => mem_saved_address(13 downto 0), Data => down_data_out_i, WE => cts_fpga2_reg_mem_wr_en, RdClock => CLK, @@ -437,7 +463,7 @@ begin when IDLE => rw_data_send_debug_fsm <= x"1"; - if ack_out_synch_e = '1' and saved_address(15 downto 8) = x"A0" and saved_address(7 downto 0) < 240 and saved_address(7 downto 0) > 191 and saved_mode = '0' then + if ack_out_synch_f = '1' and saved_address(15 downto 8) = x"A0" and saved_address(7 downto 0) < 240 and saved_address(7 downto 0) > 191 and saved_mode = '0' then RW_DATA_SEND_NEXT <= RW_REG_PREPARE_A; else RW_DATA_SEND_NEXT <= IDLE; diff --git a/cts_fpga2_reg_mem.vhd b/cts_fpga2_reg_mem.vhd index 4e1c376..fd51461 100644 --- a/cts_fpga2_reg_mem.vhd +++ b/cts_fpga2_reg_mem.vhd @@ -2,7 +2,7 @@ -- Module Version: 6.1 --/opt/lattice/diamond/1.3/ispfpga/bin/lin/scuba -w -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5m00 -type bram -wp 10 -rp 0011 -rdata_width 32 -data_width 32 -num_rows 16384 -outdata REGISTERED -resetmode SYNC -cascade -1 -e --- Mon Jan 2 14:17:10 2012 +-- Wed Jan 4 13:41:21 2012 library IEEE; use IEEE.std_logic_1164.all; @@ -11,7 +11,7 @@ library ecp2m; use ecp2m.components.all; -- synopsys translate_on -entity cts_fpga2_reg_mem_a is +entity cts_fpga2_reg_mem is port ( WrAddress: in std_logic_vector(13 downto 0); RdAddress: in std_logic_vector(13 downto 0); @@ -23,9 +23,9 @@ entity cts_fpga2_reg_mem_a is WrClock: in std_logic; WrClockEn: in std_logic; Q: out std_logic_vector(31 downto 0)); -end cts_fpga2_reg_mem_a; +end cts_fpga2_reg_mem; -architecture Structure of cts_fpga2_reg_mem_a is +architecture Structure of cts_fpga2_reg_mem is -- internal signal declarations signal scuba_vhi: std_logic; @@ -116,397 +116,397 @@ architecture Structure of cts_fpga2_reg_mem_a is attribute REGMODE_A : string; attribute DATA_WIDTH_B : string; attribute DATA_WIDTH_A : string; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_0_31 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_0_31 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_0_31 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_0_31 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_0_31 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_0_31 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_0_31 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_0_31 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_0_31 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_0_31 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_0_31 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_0_31 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_1_30 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_1_30 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_1_30 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_1_30 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_1_30 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_1_30 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_1_30 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_1_30 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_1_30 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_1_30 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_1_30 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_1_30 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_2_29 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_2_29 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_2_29 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_2_29 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_2_29 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_2_29 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_2_29 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_2_29 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_2_29 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_2_29 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_2_29 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_2_29 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_3_28 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_3_28 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_3_28 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_3_28 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_3_28 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_3_28 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_3_28 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_3_28 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_3_28 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_3_28 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_3_28 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_3_28 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_4_27 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_4_27 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_4_27 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_4_27 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_4_27 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_4_27 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_4_27 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_4_27 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_4_27 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_4_27 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_4_27 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_4_27 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_5_26 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_5_26 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_5_26 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_5_26 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_5_26 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_5_26 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_5_26 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_5_26 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_5_26 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_5_26 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_5_26 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_5_26 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_6_25 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_6_25 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_6_25 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_6_25 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_6_25 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_6_25 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_6_25 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_6_25 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_6_25 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_6_25 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_6_25 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_6_25 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_7_24 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_7_24 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_7_24 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_7_24 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_7_24 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_7_24 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_7_24 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_7_24 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_7_24 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_7_24 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_7_24 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_7_24 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_8_23 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_8_23 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_8_23 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_8_23 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_8_23 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_8_23 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_8_23 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_8_23 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_8_23 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_8_23 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_8_23 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_8_23 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_9_22 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_9_22 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_9_22 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_9_22 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_9_22 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_9_22 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_9_22 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_9_22 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_9_22 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_9_22 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_9_22 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_9_22 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_10_21 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_10_21 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_10_21 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_10_21 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_10_21 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_10_21 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_10_21 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_10_21 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_10_21 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_10_21 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_10_21 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_10_21 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_11_20 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_11_20 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_11_20 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_11_20 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_11_20 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_11_20 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_11_20 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_11_20 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_11_20 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_11_20 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_11_20 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_11_20 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_12_19 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_12_19 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_12_19 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_12_19 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_12_19 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_12_19 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_12_19 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_12_19 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_12_19 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_12_19 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_12_19 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_12_19 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_13_18 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_13_18 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_13_18 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_13_18 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_13_18 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_13_18 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_13_18 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_13_18 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_13_18 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_13_18 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_13_18 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_13_18 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_14_17 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_14_17 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_14_17 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_14_17 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_14_17 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_14_17 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_14_17 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_14_17 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_14_17 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_14_17 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_14_17 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_14_17 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_15_16 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_15_16 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_15_16 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_15_16 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_15_16 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_15_16 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_15_16 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_15_16 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_15_16 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_15_16 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_15_16 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_15_16 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_16_15 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_16_15 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_16_15 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_16_15 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_16_15 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_16_15 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_16_15 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_16_15 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_16_15 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_16_15 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_16_15 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_16_15 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_17_14 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_17_14 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_17_14 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_17_14 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_17_14 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_17_14 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_17_14 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_17_14 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_17_14 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_17_14 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_17_14 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_17_14 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_18_13 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_18_13 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_18_13 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_18_13 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_18_13 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_18_13 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_18_13 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_18_13 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_18_13 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_18_13 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_18_13 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_18_13 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_19_12 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_19_12 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_19_12 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_19_12 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_19_12 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_19_12 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_19_12 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_19_12 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_19_12 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_19_12 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_19_12 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_19_12 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_20_11 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_20_11 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_20_11 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_20_11 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_20_11 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_20_11 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_20_11 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_20_11 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_20_11 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_20_11 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_20_11 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_20_11 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_21_10 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_21_10 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_21_10 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_21_10 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_21_10 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_21_10 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_21_10 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_21_10 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_21_10 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_21_10 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_21_10 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_21_10 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_22_9 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_22_9 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_22_9 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_22_9 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_22_9 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_22_9 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_22_9 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_22_9 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_22_9 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_22_9 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_22_9 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_22_9 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_23_8 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_23_8 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_23_8 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_23_8 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_23_8 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_23_8 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_23_8 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_23_8 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_23_8 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_23_8 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_23_8 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_23_8 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_24_7 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_24_7 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_24_7 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_24_7 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_24_7 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_24_7 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_24_7 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_24_7 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_24_7 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_24_7 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_24_7 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_24_7 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_25_6 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_25_6 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_25_6 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_25_6 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_25_6 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_25_6 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_25_6 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_25_6 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_25_6 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_25_6 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_25_6 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_25_6 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_26_5 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_26_5 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_26_5 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_26_5 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_26_5 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_26_5 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_26_5 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_26_5 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_26_5 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_26_5 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_26_5 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_26_5 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_27_4 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_27_4 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_27_4 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_27_4 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_27_4 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_27_4 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_27_4 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_27_4 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_27_4 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_27_4 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_27_4 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_27_4 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_28_3 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_28_3 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_28_3 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_28_3 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_28_3 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_28_3 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_28_3 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_28_3 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_28_3 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_28_3 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_28_3 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_28_3 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_29_2 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_29_2 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_29_2 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_29_2 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_29_2 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_29_2 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_29_2 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_29_2 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_29_2 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_29_2 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_29_2 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_29_2 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_30_1 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_30_1 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_30_1 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_30_1 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_30_1 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_30_1 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_30_1 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_30_1 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_30_1 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_30_1 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_30_1 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_30_1 : label is "1"; - attribute MEM_LPC_FILE of cts_fpga2_reg_mem_a_0_31_0 : label is "cts_fpga2_reg_mem_a.lpc"; - attribute MEM_INIT_FILE of cts_fpga2_reg_mem_a_0_31_0 : label is ""; - attribute CSDECODE_B of cts_fpga2_reg_mem_a_0_31_0 : label is "0b000"; - attribute CSDECODE_A of cts_fpga2_reg_mem_a_0_31_0 : label is "0b000"; - attribute WRITEMODE_B of cts_fpga2_reg_mem_a_0_31_0 : label is "NORMAL"; - attribute WRITEMODE_A of cts_fpga2_reg_mem_a_0_31_0 : label is "NORMAL"; - attribute GSR of cts_fpga2_reg_mem_a_0_31_0 : label is "DISABLED"; - attribute RESETMODE of cts_fpga2_reg_mem_a_0_31_0 : label is "SYNC"; - attribute REGMODE_B of cts_fpga2_reg_mem_a_0_31_0 : label is "OUTREG"; - attribute REGMODE_A of cts_fpga2_reg_mem_a_0_31_0 : label is "OUTREG"; - attribute DATA_WIDTH_B of cts_fpga2_reg_mem_a_0_31_0 : label is "1"; - attribute DATA_WIDTH_A of cts_fpga2_reg_mem_a_0_31_0 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_0_31 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_0_31 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_0_31 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_0_31 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_0_31 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_0_31 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_0_31 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_0_31 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_0_31 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_0_31 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_0_31 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_0_31 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_1_30 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_1_30 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_1_30 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_1_30 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_1_30 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_1_30 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_1_30 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_1_30 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_1_30 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_1_30 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_1_30 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_1_30 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_2_29 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_2_29 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_2_29 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_2_29 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_2_29 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_2_29 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_2_29 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_2_29 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_2_29 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_2_29 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_2_29 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_2_29 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_3_28 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_3_28 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_3_28 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_3_28 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_3_28 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_3_28 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_3_28 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_3_28 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_3_28 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_3_28 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_3_28 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_3_28 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_4_27 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_4_27 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_4_27 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_4_27 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_4_27 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_4_27 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_4_27 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_4_27 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_4_27 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_4_27 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_4_27 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_4_27 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_5_26 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_5_26 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_5_26 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_5_26 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_5_26 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_5_26 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_5_26 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_5_26 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_5_26 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_5_26 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_5_26 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_5_26 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_6_25 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_6_25 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_6_25 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_6_25 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_6_25 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_6_25 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_6_25 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_6_25 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_6_25 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_6_25 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_6_25 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_6_25 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_7_24 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_7_24 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_7_24 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_7_24 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_7_24 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_7_24 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_7_24 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_7_24 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_7_24 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_7_24 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_7_24 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_7_24 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_8_23 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_8_23 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_8_23 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_8_23 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_8_23 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_8_23 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_8_23 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_8_23 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_8_23 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_8_23 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_8_23 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_8_23 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_9_22 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_9_22 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_9_22 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_9_22 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_9_22 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_9_22 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_9_22 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_9_22 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_9_22 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_9_22 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_9_22 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_9_22 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_10_21 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_10_21 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_10_21 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_10_21 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_10_21 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_10_21 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_10_21 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_10_21 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_10_21 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_10_21 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_10_21 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_10_21 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_11_20 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_11_20 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_11_20 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_11_20 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_11_20 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_11_20 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_11_20 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_11_20 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_11_20 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_11_20 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_11_20 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_11_20 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_12_19 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_12_19 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_12_19 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_12_19 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_12_19 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_12_19 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_12_19 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_12_19 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_12_19 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_12_19 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_12_19 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_12_19 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_13_18 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_13_18 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_13_18 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_13_18 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_13_18 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_13_18 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_13_18 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_13_18 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_13_18 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_13_18 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_13_18 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_13_18 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_14_17 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_14_17 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_14_17 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_14_17 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_14_17 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_14_17 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_14_17 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_14_17 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_14_17 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_14_17 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_14_17 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_14_17 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_15_16 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_15_16 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_15_16 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_15_16 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_15_16 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_15_16 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_15_16 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_15_16 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_15_16 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_15_16 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_15_16 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_15_16 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_16_15 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_16_15 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_16_15 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_16_15 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_16_15 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_16_15 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_16_15 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_16_15 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_16_15 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_16_15 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_16_15 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_16_15 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_17_14 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_17_14 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_17_14 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_17_14 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_17_14 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_17_14 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_17_14 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_17_14 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_17_14 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_17_14 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_17_14 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_17_14 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_18_13 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_18_13 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_18_13 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_18_13 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_18_13 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_18_13 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_18_13 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_18_13 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_18_13 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_18_13 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_18_13 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_18_13 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_19_12 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_19_12 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_19_12 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_19_12 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_19_12 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_19_12 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_19_12 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_19_12 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_19_12 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_19_12 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_19_12 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_19_12 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_20_11 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_20_11 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_20_11 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_20_11 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_20_11 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_20_11 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_20_11 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_20_11 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_20_11 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_20_11 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_20_11 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_20_11 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_21_10 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_21_10 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_21_10 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_21_10 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_21_10 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_21_10 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_21_10 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_21_10 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_21_10 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_21_10 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_21_10 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_21_10 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_22_9 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_22_9 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_22_9 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_22_9 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_22_9 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_22_9 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_22_9 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_22_9 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_22_9 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_22_9 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_22_9 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_22_9 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_23_8 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_23_8 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_23_8 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_23_8 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_23_8 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_23_8 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_23_8 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_23_8 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_23_8 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_23_8 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_23_8 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_23_8 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_24_7 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_24_7 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_24_7 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_24_7 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_24_7 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_24_7 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_24_7 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_24_7 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_24_7 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_24_7 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_24_7 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_24_7 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_25_6 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_25_6 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_25_6 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_25_6 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_25_6 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_25_6 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_25_6 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_25_6 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_25_6 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_25_6 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_25_6 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_25_6 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_26_5 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_26_5 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_26_5 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_26_5 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_26_5 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_26_5 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_26_5 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_26_5 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_26_5 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_26_5 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_26_5 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_26_5 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_27_4 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_27_4 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_27_4 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_27_4 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_27_4 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_27_4 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_27_4 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_27_4 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_27_4 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_27_4 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_27_4 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_27_4 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_28_3 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_28_3 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_28_3 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_28_3 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_28_3 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_28_3 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_28_3 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_28_3 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_28_3 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_28_3 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_28_3 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_28_3 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_29_2 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_29_2 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_29_2 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_29_2 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_29_2 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_29_2 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_29_2 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_29_2 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_29_2 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_29_2 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_29_2 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_29_2 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_30_1 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_30_1 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_30_1 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_30_1 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_30_1 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_30_1 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_30_1 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_30_1 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_30_1 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_30_1 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_30_1 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_30_1 : label is "1"; + attribute MEM_LPC_FILE of cts_fpga2_reg_mem_0_31_0 : label is "cts_fpga2_reg_mem.lpc"; + attribute MEM_INIT_FILE of cts_fpga2_reg_mem_0_31_0 : label is ""; + attribute CSDECODE_B of cts_fpga2_reg_mem_0_31_0 : label is "0b000"; + attribute CSDECODE_A of cts_fpga2_reg_mem_0_31_0 : label is "0b000"; + attribute WRITEMODE_B of cts_fpga2_reg_mem_0_31_0 : label is "NORMAL"; + attribute WRITEMODE_A of cts_fpga2_reg_mem_0_31_0 : label is "NORMAL"; + attribute GSR of cts_fpga2_reg_mem_0_31_0 : label is "DISABLED"; + attribute RESETMODE of cts_fpga2_reg_mem_0_31_0 : label is "SYNC"; + attribute REGMODE_B of cts_fpga2_reg_mem_0_31_0 : label is "OUTREG"; + attribute REGMODE_A of cts_fpga2_reg_mem_0_31_0 : label is "OUTREG"; + attribute DATA_WIDTH_B of cts_fpga2_reg_mem_0_31_0 : label is "1"; + attribute DATA_WIDTH_A of cts_fpga2_reg_mem_0_31_0 : label is "1"; begin -- component instantiation statements scuba_vhi_inst: VHI port map (Z=>scuba_vhi); - cts_fpga2_reg_mem_a_0_0_31: DP16KB + cts_fpga2_reg_mem_0_0_31: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -549,7 +549,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_1_30: DP16KB + cts_fpga2_reg_mem_0_1_30: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -592,7 +592,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_2_29: DP16KB + cts_fpga2_reg_mem_0_2_29: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -635,7 +635,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_3_28: DP16KB + cts_fpga2_reg_mem_0_3_28: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -678,7 +678,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_4_27: DP16KB + cts_fpga2_reg_mem_0_4_27: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -721,7 +721,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_5_26: DP16KB + cts_fpga2_reg_mem_0_5_26: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -764,7 +764,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_6_25: DP16KB + cts_fpga2_reg_mem_0_6_25: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -807,7 +807,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_7_24: DP16KB + cts_fpga2_reg_mem_0_7_24: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -850,7 +850,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_8_23: DP16KB + cts_fpga2_reg_mem_0_8_23: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -893,7 +893,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_9_22: DP16KB + cts_fpga2_reg_mem_0_9_22: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -936,7 +936,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_10_21: DP16KB + cts_fpga2_reg_mem_0_10_21: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -979,7 +979,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_11_20: DP16KB + cts_fpga2_reg_mem_0_11_20: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1022,7 +1022,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_12_19: DP16KB + cts_fpga2_reg_mem_0_12_19: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1065,7 +1065,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_13_18: DP16KB + cts_fpga2_reg_mem_0_13_18: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1108,7 +1108,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_14_17: DP16KB + cts_fpga2_reg_mem_0_14_17: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1151,7 +1151,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_15_16: DP16KB + cts_fpga2_reg_mem_0_15_16: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1194,7 +1194,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_16_15: DP16KB + cts_fpga2_reg_mem_0_16_15: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1237,7 +1237,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_17_14: DP16KB + cts_fpga2_reg_mem_0_17_14: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1280,7 +1280,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_18_13: DP16KB + cts_fpga2_reg_mem_0_18_13: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1323,7 +1323,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_19_12: DP16KB + cts_fpga2_reg_mem_0_19_12: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1366,7 +1366,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_20_11: DP16KB + cts_fpga2_reg_mem_0_20_11: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1409,7 +1409,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_21_10: DP16KB + cts_fpga2_reg_mem_0_21_10: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1452,7 +1452,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_22_9: DP16KB + cts_fpga2_reg_mem_0_22_9: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1495,7 +1495,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_23_8: DP16KB + cts_fpga2_reg_mem_0_23_8: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1538,7 +1538,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_24_7: DP16KB + cts_fpga2_reg_mem_0_24_7: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1581,7 +1581,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_25_6: DP16KB + cts_fpga2_reg_mem_0_25_6: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1624,7 +1624,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_26_5: DP16KB + cts_fpga2_reg_mem_0_26_5: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1667,7 +1667,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_27_4: DP16KB + cts_fpga2_reg_mem_0_27_4: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1710,7 +1710,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_28_3: DP16KB + cts_fpga2_reg_mem_0_28_3: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1753,7 +1753,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_29_2: DP16KB + cts_fpga2_reg_mem_0_29_2: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1796,7 +1796,7 @@ begin DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); - cts_fpga2_reg_mem_a_0_30_1: DP16KB + cts_fpga2_reg_mem_0_30_1: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1842,7 +1842,7 @@ begin scuba_vlo_inst: VLO port map (Z=>scuba_vlo); - cts_fpga2_reg_mem_a_0_31_0: DP16KB + cts_fpga2_reg_mem_0_31_0: DP16KB -- synopsys translate_off generic map (CSDECODE_B=> "000", CSDECODE_A=> "000", WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", RESETMODE=> "SYNC", @@ -1889,7 +1889,7 @@ end Structure; -- synopsys translate_off library ecp2m; -configuration Structure_CON of cts_fpga2_reg_mem_a is +configuration Structure_CON of cts_fpga2_reg_mem is for Structure for all:VHI use entity ecp2m.VHI(V); end for; for all:VLO use entity ecp2m.VLO(V); end for; diff --git a/cts_fpga2_trb_no_fpga.xcf b/cts_fpga2_trb_no_fpga.xcf index 1291f2d..3309891 100644 --- a/cts_fpga2_trb_no_fpga.xcf +++ b/cts_fpga2_trb_no_fpga.xcf @@ -48,7 +48,7 @@ /home/marek/ctsaddon/workdir/cts_fpga2.bit /home/marek/.isplever_lin/ispvmsystem/Database/xpga/ecp2/ecp2m-100.msk - 8/13/2010 0:0:41 + 1/4/2012 15:5:51 Fast Program