From aaf91cd5471f1e21e533b8bb0b20071e16ce766b Mon Sep 17 00:00:00 2001 From: Andreas Neiser Date: Tue, 17 Feb 2015 17:29:34 +0100 Subject: [PATCH] Counter on data --- ADC/sim/adc_serializer.vhd | 7 +++++-- 1 file changed, 5 insertions(+), 2 deletions(-) diff --git a/ADC/sim/adc_serializer.vhd b/ADC/sim/adc_serializer.vhd index 50ef1f1..c2a1825 100644 --- a/ADC/sim/adc_serializer.vhd +++ b/ADC/sim/adc_serializer.vhd @@ -26,12 +26,15 @@ begin ADC_DCO <= ddr_clock; output : process is + variable cnt : unsigned(4 downto 0); begin wait until rising_edge(ddr_clock); - ADC_DATA <= (others => '1'); + ADC_DATA <= std_logic_vector(cnt); + cnt := cnt+1; wait until falling_edge(ddr_clock); - ADC_DATA <= (others => '0'); + ADC_DATA <= std_logic_vector(cnt); + cnt := cnt+1; end process output; end architecture arch; -- 2.43.0