From ab2cb529e88963338ad309276824d5c62b15326e Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Thu, 27 Oct 2022 14:55:55 +0200 Subject: [PATCH] add LED to mimosis design --- mimosis/trb5sc_mimosis.vhd | 20 +++++++++++++------- pinout/trb5sc_hdmi.lpf | 20 ++++++++++++++------ 2 files changed, 27 insertions(+), 13 deletions(-) diff --git a/mimosis/trb5sc_mimosis.vhd b/mimosis/trb5sc_mimosis.vhd index ce47099..71218f6 100644 --- a/mimosis/trb5sc_mimosis.vhd +++ b/mimosis/trb5sc_mimosis.vhd @@ -32,9 +32,10 @@ entity trb5sc_mimosis is -- FE_CLK : out std_logic_vector( 2 downto 1); -- FE_DIFF : inout std_logic_vector(63 downto 0); --INP : inout std_logic_vector(63 downto 0); - LED_ADDON : out std_logic_vector(5 downto 0); --- LED_ADDON_SFP_ORANGE : out std_logic_vector(1 downto 0); --- LED_ADDON_SFP_GREEN : out std_logic_vector(1 downto 0); + --LED_ADDON : out std_logic_vector(5 downto 0); + LED_ADDON_SFP_ORANGE : out std_logic_vector(1 downto 0); + LED_ADDON_SFP_GREEN : out std_logic_vector(1 downto 0); + LED_ADDON_RJ : out std_logic_vector(1 downto 0); SFP_ADDON_TX_DIS : out std_logic_vector(1 downto 0); SFP_ADDON_LOS : in std_logic_vector(1 downto 0); @@ -141,6 +142,7 @@ architecture arch of trb5sc_mimosis is signal out_data : std_logic_vector(15 downto 0); signal out_i : std_logic_vector( 7 downto 0); signal inp_i : std_logic_vector( 7 downto 0); + signal gbe_status : std_logic_vector(15 downto 0); signal i2c_reg_0, i2c_reg_1 : std_logic_vector(31 downto 0); @@ -304,7 +306,8 @@ RJ(0) <= clk_40; I2C_SCL => I2C_SCL, I2C_SDA => I2C_SDA, --Timing registers - TIMERS_OUT => timer + TIMERS_OUT => timer, + STATUS_GBE_OUT=> gbe_status ); --------------------------------------------------------------------------- @@ -374,8 +377,6 @@ RJ(0) <= clk_40; --Header HEADER_IO => open, --HDR_IO(9 downto 0), ADDITIONAL_REG => add_reg, - --LCD - LCD_DATA_IN => (others => '0'), --ADC ADC_CS => ADC_NCS, ADC_MOSI => ADC_MOSI, @@ -440,7 +441,7 @@ PIN(1) <= i2c_reg_5_40(4); --MIMOSIS_START PIN(2) <= i2c_reg_5_40(8); --MIMOSIS_RESET PROC_I2C_REGS : process begin - wait until rising_edge(CLK_SYS); + wait until rising_edge(CLK_SYS); busi2c_tx.ack <= '0'; busi2c_tx.unknown <= '0'; busi2c_tx.nack <= '0'; @@ -529,6 +530,11 @@ end process; FLASH_NCS <= flash_ncs_i; + LED_ADDON_RJ <= "00"; + LED_ADDON_SFP_GREEN(0) <= (gbe_status(0) and gbe_status(1) and gbe_status(2)); + LED_ADDON_SFP_GREEN(1) <= '0'; + LED_ADDON_SFP_ORANGE(0) <= (gbe_status(3) or gbe_status(4)); + LED_ADDON_SFP_ORANGE(1) <= '0'; ----------------------------------------------------------------------------- ---- GbE diff --git a/pinout/trb5sc_hdmi.lpf b/pinout/trb5sc_hdmi.lpf index ac569f2..fc4791e 100644 --- a/pinout/trb5sc_hdmi.lpf +++ b/pinout/trb5sc_hdmi.lpf @@ -47,13 +47,21 @@ IOBUF PORT "SFP_MOD_0" IO_TYPE=LVTTL33 PULLMODE=NONE ; ################################################################# # Frontend ################################################################# -LOCATE COMP "LED_ADDON[0]" SITE "A18" ; #was FE_GPIO[0] #RJ 0 -LOCATE COMP "LED_ADDON[1]" SITE "C18" ; #was FE_GPIO[1] #RJ 1 -LOCATE COMP "LED_ADDON[2]" SITE "D18" ; #was FE_GPIO[2] #SFP1 green -LOCATE COMP "LED_ADDON[3]" SITE "F18" ; #was FE_GPIO[3] #SFP1 orange -LOCATE COMP "LED_ADDON[4]" SITE "A19" ; #was FE_GPIO[4] #SFP2 green +#LOCATE COMP "LED_ADDON[0]" SITE "A18" ; #was FE_GPIO[0] #RJ 0 +#LOCATE COMP "LED_ADDON[1]" SITE "C18" ; #was FE_GPIO[1] #RJ 1 +#LOCATE COMP "LED_ADDON[2]" SITE "D18" ; #was FE_GPIO[2] #SFP1 green +#LOCATE COMP "LED_ADDON[3]" SITE "F18" ; #was FE_GPIO[3] #SFP1 orange +#LOCATE COMP "LED_ADDON[4]" SITE "A19" ; #was FE_GPIO[4] #SFP2 green +#LOCATE COMP "LED_ADDON[5]" SITE "C19" ; #was FE_GPIO[6] #SFP2 orange + +LOCATE COMP "LED_ADDON_RJ[0]" SITE "A18" ; #was FE_GPIO[0] #RJ 0 +LOCATE COMP "LED_ADDON_RJ[1]" SITE "C18" ; #was FE_GPIO[1] #RJ 1 +LOCATE COMP "LED_ADDON_SFP_GREEN[0]" SITE "D18" ; #was FE_GPIO[2] #SFP1 green +LOCATE COMP "LED_ADDON_SFP_ORANGE[0]" SITE "F18" ; #was FE_GPIO[3] #SFP1 orange +LOCATE COMP "LED_ADDON_SFP_GREEN[1]" SITE "A19" ; #was FE_GPIO[4] #SFP2 green +LOCATE COMP "LED_ADDON_SFP_ORANGE[1]" SITE "C19" ; #was FE_GPIO[6] #SFP2 orange + # LOCATE COMP "" SITE "B19" ; #was FE_GPIO[5] -LOCATE COMP "LED_ADDON[5]" SITE "C19" ; #was FE_GPIO[6] #SFP2 orange # LOCATE COMP "" SITE "D19" ; #was FE_GPIO[7] LOCATE COMP "SFP_ADDON_TX_DIS[0]" SITE "E19" ; #was FE_GPIO[8] LOCATE COMP "SFP_ADDON_LOS[1]" SITE "F19" ; #was FE_GPIO[9] -- 2.43.0