From ad74aca448b1effdeccd257648fa82d03baa1861 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Thu, 21 Jun 2012 21:08:47 +0000 Subject: [PATCH] *** empty log message *** --- .../sgmii_gbe_pcs35/generate_core.tcl | 43 + .../sgmii_gbe_pcs35/sgmii_gbe_pcs35.ipx | 10 + .../sgmii_gbe_pcs35/sgmii_gbe_pcs35.lpc | 26 + .../sgmii_gbe_pcs35/sgmii_gbe_pcs35.ngo | Bin 0 -> 389045 bytes .../sgmii_gbe_pcs35/sgmii_gbe_pcs35_bb.v | 143 + .../sgmii_gbe_pcs35/sgmii_gbe_pcs35_beh.v | 3372 +++++++++++++++++ .../sgmii_gbe_pcs35_filelist.log | 52 + .../sgmii_gbe_pcs35/sgmii_gbe_pcs35_gen.log | 10 + .../sgmii_gbe_pcs35_generate.log | 76 + .../sgmii_gbe_pcs35/sgmii_gbe_pcs35_inst.v | 79 + 10 files changed, 3811 insertions(+) create mode 100644 gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/generate_core.tcl create mode 100644 gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35.ipx create mode 100644 gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35.lpc create mode 100644 gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35.ngo create mode 100644 gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35_bb.v create mode 100644 gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35_beh.v create mode 100644 gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35_filelist.log create mode 100644 gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35_gen.log create mode 100644 gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35_generate.log create mode 100644 gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35_inst.v diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/generate_core.tcl b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/generate_core.tcl new file mode 100644 index 0000000..7083f76 --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/generate_core.tcl @@ -0,0 +1,43 @@ + +#!/usr/local/bin/wish + +set Para(cmd) "" +if ![catch {set temp $argc} result] { + if {$argc > 0} { + for {set i 0} {$i < $argc} {incr i 2} { + set temp [lindex $argv $i] + set temp [string range $temp 1 end] + lappend argv_list $temp + lappend value_list [lindex $argv [expr $i+1]] + } + foreach argument $argv_list value $value_list { + switch $argument { + "cmd" {set Para(cmd) $value;} + } + } + } +} + +set Para(ProjectPath) "/home/greg/projects/trbnet/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35" +set Para(ModuleName) "sgmii_gbe_pcs35" +set Para(lib) "/home/greg/sgmii_gbepcs_v3.5/lib" +set Para(CoreName) "SGMII/Gb Ethernet PCS" +set Para(arch) "ep5c00" +set Para(family) "latticeecp3" +set Para(Family) "latticeecp3" +set Para(design) "VHDL" +set Para(install_dir) "/opt/lattice/diamond/1.4.2.105/bin/lin/../.." +set Para(Bin) "/opt/lattice/diamond/1.4.2.105/bin/lin" +set Para(SpeedGrade) "Para(spd)" +set Para(FPGAPath) "/opt/lattice/diamond/1.4.2.105/bin/lin/../../ispfpga/bin/sol" + +lappend auto_path "/home/greg/sgmii_gbepcs_v3.5/gui" + +lappend auto_path "/home/greg/sgmii_gbepcs_v3.5/script" +package require Core_Generate + +lappend auto_path "/opt/lattice/diamond/1.4.2.105/tcltk/lib/ipwidgets/ispipbuilder/../runproc" +package require runcmd + + +set Para(result) [GenerateCore] diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35.ipx b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35.ipx new file mode 100644 index 0000000..5e5982e --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35.ipx @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35.lpc b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35.lpc new file mode 100644 index 0000000..38611bd --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35.lpc @@ -0,0 +1,26 @@ +[Device] +Family=ep5c00 +PartType=LFE3-150EA +PartName=LFE3-150EA-8FN1156C +SpeedGrade=8 +Package=FPBGA1156 +OperatingCondition=COM +Status=P + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=IPCFG +CoreStatus=Demo +CoreName=SGMII/Gb Ethernet PCS +CoreRevision=3.5 +ModuleName=sgmii_gbe_pcs35 +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=06/19/2012 +Time=09:57:17 + +[Parameters] +RX_CTC=2 +RX_CTC_LOW=16 +RX_CTC_HIGH=32 +EasyConnect=1 diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35.ngo b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35.ngo new file mode 100644 index 0000000000000000000000000000000000000000..a1ce723aa77bc106b0319797e0c73625ab824b09 GIT binary patch literal 389045 zcmb4s34B~ty?>LIG6G^mz+|#b(kzqGHZzlCW|FozNxCG^C3JzX&ZJ4&MA{}KT>>IR z6kbq-u!rqAB7e=pr!S&t9-@pO5)d&W4zeZziUr-cYN25&UkNoe^t!_kGHCY_|D#%_CR2P zCuidz!41s~Tk5x*NpeEK>(*8+j|A3&Av{DxH_EbLlto1b3%3B&i*~|z5QngfM4LrJ%1E(nfnIf9r3=lvm<1VfVuGG zLEtkE#&@;%?BBaD9$etbduKwS_VvZvyW19c@_$6>jDwN~ffqdB5r|%JRKeu$y8vbm z?CFMv3#g?0?wF40*s&`fBGNaPO)0_FeRi-ec&Pw(Z~7v$t~>a&%P!NE!T=P0j1;n`-Gn zI50uMjqD)2wrm%$&418g-%0DOvHo;TcQ>ss{;nL z@Ge@IZA8Q=^04HgNCe<7#&r!*fDig~5JRuvp-~+S156F*U^PN}HX%USp|D?2-)cbg zL0_a&TI0B21>sY{B}_=hK{|l^6kH*rCKx3AlOdgqpbPE?q;W$yGC3zeInLtU@j$#S zg#UmPuFnD~^B_q8LszxvoS}IC1$*`nv`OwDM4;*Ts7}{=3@Ny=J=7P!c(VAPB4I?OJ{-0JkZnK5kDX6 zQF$3g3cSilXh(dY2g7Rj{{DTPSOGxKDzlr+*rpLpwS}Fndz;6Z2Dv5ch2#4MI^sR? zYF>nLf0QEk{=LC?dp{f!%ZDJACe7;_wx71ZQ}IN$qVMkBdwzUh_a1oF-gjXajrVfi4YEKLtnv=mvCp=?^=0?3`c%K|R zG;>IBGrmuOdH$j_$0audyeV;b+)(^+|Djox7nQ|H$&9hNuWesvT)U^*w3b7$^1PPA zP*tOn_36>W;>Ku#mxa@Z#Vk|1bZq$WxS=@jFj?b`o40SneL}kyV~|67Pg^_36322F zVTpSS-YpcDF*XdiV7$NWU>tXs@#Zxf){04?q9`Vv3&q>^#yj`6?bxm7F%TbsbYx4D z;^=FScLd^_u>BC(JS&mGxV&dkH!0oHY!3v3DUKLn*1D%AY9dGa1yR&wlnaH|FXNF^5$=ol=4Z<(v#L?qK`& zE*CN`HWf1g7b0g&(BB<&qsyfJ0AyQWv@Kne3wzeX;u^XUu3hBjnrK$G_J1<7;zD3i zfNNmI{Sz)k;d|wkeit&P=E}-B7b2(DOgG7(OzHJgF2baXDXH?uWAm}drmnosW8m%^48g^W`oFIrbjNB6$^maN`Jy_N=@&%SA&FT~PV`CO0a3_uH*5RAHSQ zXFA1&MPKEAjk$@U_x|8_Afnclt7jYtK^AG7lL*(aOH)pkSeA>NlwlEB*+1-Hg;Ur; zp93L?GF5&)=|EuuFAX>lc$s`D+ljzt+CJ+<;h3DBcA_B2;)6|!g2f`IWn3^XpgAblrdUE> zJ!wEIY_X>oSnE0#TfjH!VZuF3wcYW41pnPJ#cemQFGHEwXY~Bd!^qA!XVgt2wk|6l z9B`us;<`O{%Y%lDi9K~|UgTW$lf%)+k@3B0cf;wmW#z*o^Rb1*9LX*()f>Q`4z&iZ zeAYjoGJP#s`P}fK`PivX&&|gtog?1^zWMmn3UBH1`PIq!>V!Ilx=vZtd#!RmIMP zoK*kiF3mpwaL}qe-Gxgp1}&W}={2hdt4BICS-P;FP1*&;Vl;4sDaC1(^42(Gz%Hj( zJ&O|~k|%^BQng}8IP^h;w7Iz_JpjM}nma_b4T4X@1xoVkA|?yc~Q@aUu_XGj1VB=V!E z&czi)c)dr$Ani_RB;fPEEYd5rW2LlY;~a>ZwQ8nv$yO5vJh|D_rSXvCGy_~6hmT86 zHDTb-l7m@F1~m%CYxu~}_vjcs(FzwN%KOTUfloZo@-}>9fl=q zO(wyUzt?Zz0mo-_7r2LqV&`w5eJv0qqiDy3f<+^>@9pQZs)tPncJEK4irhmuPi~;f z+_4sUQ#!qd4_-^o?lL0cZLmtg++y9Xu*0W@$XZd$AlOwpLUGN!5GmR-t5jKCgJZuN zHxa3ib@J*-(#@b0-fyLB+^C}Wf9%|Bkdh{Fr=0?4@k^5?1vPAw;^$%(Bnv!F&a^O{ z`t^*7PkWZ@6Srt-PNbvw`2iDW4Rcidw%>w;kHs$oC+jEzt%)Pd;`drDNR+7f%@zxi z&9t@Gf@E)mr+`d51mXN;<0cfdv(#fk!ES!zoC(EF{M>*E1@$F)|tw^ ztQxZ8k=~M`E(^YYZ+sJng71+LgYqDgR8miS7Uje@W!v$nNl9qJj)&@!Q)cXVL|t;) zupN)kOY(enJO@Ti!h&zx!=5QwX-xv~^s|8>8T|*IetyzeC?*Yf>TizP`RV88X*-^N zu5iFJUb#uD&qqQ(d*So+^9UTj!4`fWPSp5*0$6VjaTaCCXJ>&j`V0q_TtGe}5>YSd zw?>VSm+Y~o8h-j79)!5+LBtEbc54a{|B}v8ix~v7WJAh=L?phSwU{)*N8dwTCKT;H zkZnS-%N`%mQQ9FP-%|r76uk3IwVF_z0`6mA=@{t5(`k#;h46ioW?l5_Ni!GydSXb| zMM3ygw3A%OuskUwZ>{rBp*9bY=eO_Ow;O_wjhY1E#On5dM8*5}K+VM#HQY1x4mBBd z!yqOrD0`M@L?lfHFF>wiNuU-2CDw3IpWDn#EGDMAzqhRqhrBSK)0f=4e4vPC+AEvs z`6v)VA0AslTllR>O}4WS=Ke6O$a@93=qp)3h>(fkBd6e+P!N3(5pmOK=Uz5I5`4N- z$lsDJCJftdJC*A^sMnIsCJb9{okl*NN8fUn8!bxxHN-az+4!r77sEkCD*1WdZpn~G@uM0XV!17TV6wGh z3Qi!;$X0APoFK({{%XmUy$Vlprc|t`gdt*>(l6?+62k;uku*xNG?(l8$-|Uh<}>mj zrAscEF=EhV-izpJ1~!jG-*xZBy^r*bsVjLt32J8lg?rn@GnxJU+EYjd`7U*_>9kFJ zoG{T&AqH6{zlUjOlcnicG<6@KYpWiQf+#~|uP4VfUFp+_%uVhWCT)0@I#)bupzSn2 z{ms3w%f>HCdfl82&(h_dt71s&5v3N7xXk())2c_Cphvoml&^X^rh}+k?k&`oW%LR> z4-M-)sN|}j`*jdWTs4`{K~#0s!_1k~&n!K`v`7%uE6D@2V39ea*ffpY*{axN>6oIr z6Q|5b=BM@py^ka($3gh?X)`A?R_E_EBUz5R5{pjeF!GYcIulq|q$f%m62}!whM?2p zKemeN@>yjuTm&;B4J7QGNLR@!sB6`ceXvRep z7X6iO#2~GfMqNaC3Gw?Gg`-c@Tr}iH#XNA*-#t!L6zimaINC%p%0C!zlEFMfg>NRE zh$!$$e`AB&+(eB|ddJa4#&*)nSx!Q%(aUpA6eRkj+Z^+OdY|;(xRVSHp?j8n+?j;z zpY*QJQHoB4ojnKTZ4NP8v^*D6vS9=y%b22fmph73Voj4>0#4^0ISRbv6(Nc_p=5cB zT}*gTmvA6(K-7C22*^RnCMQ+MKuHx{umr<|maKOoa8k|bwM#I_;yF7W{JDP{1Ku&n zS_GNbOfg5YSfdoTx{<|F<&#rxWX{xiVZR$$T;;?}>}WeEjJIXGiE|>)TNHC6i)-R9 zm?&rMw4V3730Jz1pGmpWX?~f!rLwOz@azbAU*-)!Jj02MhRA(p1k|H=Y21B&Jjerd zd9OCP+2ge=Z-Hy7gMxkmH9Ch2@$iMr1{cchyL;NtS4S=Sd-nIWcS8FRwfjt$YPmRq z=#7lTE{Zc=2(u^#qGOnt1(%g1-Kdz-ma#jWWid}K%TKvcG3hO9Wc3{F^6}@g69(MK zoOfnishrD>n{<=K7+^5UwHSS7Ai!6(|_7opEFRMwp zN;9t7m@Aoqg%Yl0A)u0%v%%tU1ImP*GEa}l z)ZaKXj{#_(9(LA_Je9miSqIB#ost(uoM{*uIZdOWEy4}8*g3-UB-~NsK6^K?3q1Xu zeI1=RtI^rsbD*=YvxAo_yqdPCRp{H-hNE)nBVaJ}swCL%zj;M0`R9O;vJ>h4(=INe zu}h|0!iJwE&$@(-F<0`wi$PA*C37xBPSYjtxe-yvk~dpj;y{m-{KHKWxh{FbO_Gt! zx)B-5Yi>m3r)aeg4tWgS4S^?FR)=cd_K0$dAa z_(3qu`C>=0G&3Ot4CiJs$!}+n8K%Ru(BVI8TH`f!8%4D8*m4o%Z|#BEbANOE@xfwU>XUzIFA6dp)z$} zW)KT?h1?JdiU$apCes)ucyF@q7BHu|sRzhHk5RWldH5?#xb7|84L&>#ltnjBq@n_^ zWIK{jgHlhIAc2$S5*i4xp+IdoS{sR zIRf>W^4(?WIEhF719JF*eU&_nLjRMAReBrLIo2P!SR)XA(Rl z@cnVjsvGfjj$4r!DC+f;lPi3nGVPicJhKZ%u#bud5Q!NIk_6o+pFE@(_lQ^4W$D?8 zt3`GNACC!&H=;4NNNS)%Lc382X$YRurBMv$(B;4`eLZR*b>Nsb*dG&-LA&S<;KK4O zB6_6sNh3|`5zJ-cJ$1UTTfp?dU7O(U78p{)IX5ew@@SM>tz5$49MDY2P z6)T>K%aiT!;nomR@bcVg@aJTopkdB#cMgNSJI?QFCxg z6;S7{;)CbVX`&-kl=P{Jv4r+bSbeSHY}C%p zPFNGL;}KQ)Z*2KSOhqU9t-4v8^+`>~`Di>KDo#ik5cKJn$_hD<1pm*o4II!M85~py zJ_n2U?B7?*r!A5Cm>rL-MBesT@p#^geL)PJ@F`Tz49Ha)bV1;f7OOxl%Tac36!^tD z^X{IW-W^=Fb;LCO4!X?A!@EBP)IzYzHWzEZ($k2fF(5m4A=t7zCxAJE7=E1qNW|yQ zVFq+FaB?#f%(AAJlCTlp1gr%O@xZ$yKvSpWZr|4q7guI!yvh))hkiywx<808*h@<^ zO*UN6YI+q1{gcl`OKX8Mh;gJ=^-UUaReY zD@a_~C?&a?W;rsVAXDXvj6kt7!ds`)8s$oyPM18|1vK-@y48r0_#SPG z1VFq4%X3`sIf0@p!mSo1d`AyYal_kbQu9}6M_|!-(u!mp;WK6}Xrv{&Az?+5xAa*R zigXVHzr2L*v9|c)N8bRa`|{n?C|U>}i(*F_T7Z&4fZ_g8K^oMh94(P z4CsU0dz(xsC z*{oFTYoxj0M9Ci*SsDc=N_Y57DC9mOcl?+oPMM+!#CYe5v0`e(z%N!EOX~v$RETj@ z>;y(PBqnKpR?LJ#IZCfEyMvxudWrF%ic9BATyWKYcG84` z`igDFmaXDcQ}OPUfh)x8L&dLWO(-O@VrBUhK7t}93CuTyyucfQ9 zOemy$=?;$x1y@V;ixk^e9LZby`Dp`JP$c@d!zL8`T6&k+FQ~8h;;e~_PF!iUC=Skq zJDCX%M7{1vMr4i_Ojs@r;bG+8gH9CGr)E_vC>%jNbHOIoScG{=*7KueGrOv&e`!uO0;Ccjz`kNZMHPRt8jeQ%8eR_&$RJU!`ahT zUf7GY+5FH~<3V2PtA5OcShI?Bm%Wh!K@VG|TRyGk^N6ZDM;zpkk*aS-9S8`fDoKS_ zOQ=cJ51Ska2(&!YlzF2Id>mADPm6;bQc?ATK?ee|QSM2A;20AhAeDQW3r8{^5S14s z-N<~xQ=Xl0Bl7`I!R_>xYah|RV&jY5Tkack6XS!H@}+(^G7k+D+%aX>it3e@Q7lVY zGo%hO*QD$+s9eFpE;}BDE4X#Sjz`@JK09Q`qih8?umdcSqAUeB&e-v&iuWRBKp&Ha zLpCf*>47FtSro%T88JzgFB;S+IG%#5zHClbDzt7G6kQ`O|LMjJUp%6uILFXhh-)10 zfuvE!;04Rzy)fH_N_*Z5-DKGq-T{w`EC-Tzz~rmJF1yBiaL`4T)enDZ093tUWi03^ z??E5%9##FcPKaDVa~2UaOn8vRwBR`fT{dXPqxj)V9Q1H<`m&8*UWJBj`b81L12#NP zgG0|nHa+4M>F^DTU*{m_f*&a#YRnTC>iX#!*vyR;$qjl3lxR)QCX|YZD10hK}u=HDXYV+CIM# zgLbI>^0*O$(v*HKVZfwksNh!mr^1wYrn$BgXdTai5Gb~DvamIcQ3I#cAQFD>xDC&F zrmQt!!?Os%e+}F4%wX`u0UMs#D?O4?XKQndu!~Bb+p3slC6UysEqcNv4r#@w8qIu2 zW7S4I5Xpz2tG1`id`NE9SuryX>8)zXGUL!MWnXLpPK-&RPo{<`E5EYKfg-v)E8#$4 zI!pCC7sKU8e6N9%)YB=5i7HAfOmyAffs;tqZ>4zlAe$T+DEsCVs8;Wo@UoknD57+? zPdmu4cx5*@QCOz3uf`xF769oiz?&liKud4X)OGI<>mW*2_wR%bqB3>=oYX-Spzhst z@sRtvw`O%J(jJ~nDKMS!$ZdmWB$FL}Y}}0G91?zF!i;3{%l{KNV}2QPX}+qWwIYZh z_+|_eOmCXl-pPmVA#p66H!tl6v|E>5dhpU?Rw44Ul-VSc8M&|(NYV3x6PwGYjsU|c z5AzYgbA4$r-f`jHwmseL@w1SY(hA09c1V8j13r~5pK&4L!Cz_Vs0$HoR9fz)7F8`R z>~fJrQA?}+E<}Ftp!5Wf3zftiH&M=X>n2NbrRI>E*&xPOX>7<% zmg&!OwF_cylY=O42TRX&Hi@MSm2PrYDi$=QTV@=T;@KEi2Bq8Fi0pxDi>F?jaXRo`NlHZ*rqjHtu($(rnm`%6gyeMiku; zb)`ad$&iCpQJ2$aoG2`cICX3tzdYVjx~j=ZhUF;ga-uLRM>%@HuugWA5$(3inZ!Ol zZpO(HbNFeG6NR}yf5?d=0B@;tkQa_+KOMJAAnaui z^xE;v)E7KXn%FTHF=m?$&I=b$I8oS3`u&k1PvQP$mQmM@-mrXlp@nM2Mr zlxz73UCuNPnB|307a|+%O_%6d()aw%f+*|q;z4H`2hQ?5(44~OS+C{UNf#naEOKZ&qp)kQc9apb!sT5~LSkyVa@3JTh5s?+ zNTL^CY;q)V8tj>IBwIPxRr1e(_Co@8=SLkLCQXj+_@gV$n5#TPC=&Y#&h~j1P_(5N@;=igOPyNJT{z;V zn|Bq($NAmJ;%4oi(;$m`lb$`joqPM+_I3B*1BHCRQaTKhF)QK|rkFTNnN7poAU|!& z;dPzQERp{5Dekj=J_VvM<#aMX{Gc;goW3u;6{2v3pC;_?z^4=Ck+0GlXVd7t+Gh#H zVX)Hc=bR|;(O)+pC}xWC5UTM$)6~c!6)6~hY-Z$?5H-0OQd)rzv_r#@GTN8k6XZ_q!KXz za5`&ybuApI**0p>rTu(BcqCy&qA0ia8W5N_q9+U*5q$1l@X~}psb2$lr9}sEjV<`; zhz{a%T=3|S4r1aecn**jAZDL}FC=x4Q)Iy((%hKM3SLNaBZPwISt^+wxEAS@hPChd zx7F}zYa~ya*FW};&v7EL5uc1JjTWD>h!m%UMjhQFp7ll^7&M}x8P+3RGZrK~%>O3M zWop0S!CjWAOw9W{U5E;^W89$k=e$Mts&DCiCAVLq?zcz(32-=QAJCh@3ofEglKKB6V+*nkOz5{LgE6-ylizs7t>5T1p<4oCx$iSQk1 zW~8CwiBUoAZ13zo(7hL@N<-fm1119pu_cCIisU!-f~U$9D!e@EQS}V98@RzJmfuK4 zI!Pr z80A=i-70fExzzimXo{ruOBA{-olo-v5fvyTlK z5inEuCoNH0?;=x$e=(z&s!KIf8khVvhu0EPnTABZ3STy(5Ln^wdre$$yl~pg1=kCo zHKXW!y;d-&r_gg+;sNq5g_$32)m0Nk2YzF=#t5G>t410M#mNIyUh`bcd^;x1$~Y*& z`bV=_`ud6)#UNjuFbN}Ng(~-&zf9~4S~)ZnqAIw)%Opro3dLbZ=^evD?F*kYOOosV z=FF1F*qSCC#de6K(*uG0RU(y9vwu6d?lkgC_iBv{x2XfZ{S2Tq{H7L zyMQ1ZzI#?dkd2B@7QlagG?|u)r62g^BwS>{UixGg*faY0>0*AgSo`Ir@I3*cMW91* z?3%*sx(YgyDR{*ki37c22HrLS{Gv2oAP){$kn)?z;R|N$cz7Cl)^EWBS(h~or1UOr zktemcZfl5uA)J`frMT#z`nlqTjl9rc^o( z5iw4Wi3ySPZo}I-W`wPhi_J&(`upa3hhZ# zw`sC*U~$y^Gn1NTGRZ26Cd>c#tRQGk@S^6C5o#FD)C)~Kyt2F_)oDDuaQw@}!>K}i z21idj=L2n#D+jIoZL?R#jOJObznU;;mcl$4iY_KTJLvluOGEXhUt=koGGO6_@916w zqP-iK<68_E^~)$FBL*bn83hdWTl)7Q0Y$@#D%T9+w_6If1uR%j(YFqmk<3ByRC>`^3p=v1m&!80cnoTp{OU!meY&~W} zOxCWL=AlI^R1|!2+L=bVnL*M_w=?lIo9mf}PYNqmQiE6=y$>n7SOec5uGl;xX!2JI z_UvhscLFunq|qH*1_W7i=pbK*D{*E+-E`BJ8pfrku{!|;PyLKEI@HvAaShHRnJ zfRrO8`a%GNBW${;=och8m=SBWy8n)Wy2vKTSbmWL39*Q;+eX^woEXKgYKrArO(Wm_je8Ck33a7?^^jN*qe#$dCBQs0~dEXE@*rcftOHRl~|2m*B zm_F{E2C&HXi?4inpy*#zbtGuLZTP9vz5%e`3T`HNS4@k21$CgPobM-nU+ z{e@DCO;T8={E6y4!0u_o5lbk<3$M@xfr>RlAZ6G|;_a%(S#SDakn54RSh-IHKs&}v z>)+Scxv#woE6Vb5yQD_t92uVU*y&j1i<`G6vg|ZkWj8$ulGP*1ftGi}END)l;O6MG zhK-FF6mpx(>@*X>`=`@dBHQ8li4%4UbZhl-Qw{_S@zuvVNnk$ly_AH6J?-#yb=EXU zmdtjb6ILHT=|Dhtt=_=stP*8T=}}FQ&1Z zf0kIh&vSpmnTD%E>A26ChBTCZXU3U^q?S(%gGNUz_Nq9d%AX!{qhd-f|Gi3;O%{Qd z-s5++ii(v#8*tN$SjvCxY83@4|E;SmVl98%l}fvRo^-Rz>sI;SdR@to_E!zKl4<(k zEH^R|?GMknQF&P`|Es%aEW}KUt2C?lvo2RMsDE@9#ynp-=7F$7_rh-Jwakb=BF#31SV zW8i#ZT6Dwod@OvhTr@H`9}6GLE?-0c?S{CsP;@1;Vy9`3uK8Tn_d_<3D~ouvc>@#g z$|9a_{yKX;7Czw2-#iSKj5hq{8Gg&K9q+C35s2_lz7)F?E1cZ@eoc?_@28iNU(78A zy149dfs-#@#pERy*Hx_Ddw1hA8OVZo*6!-Rctm<;t7g~SK3by06JXzum2b{GOqK6} z`SmbYzMsVAwZ&u=hiB&H5k9YF`?-cCKJ;32-~2o#`CUJPH-={QaMk}CKkB0 zK863`PG&y-=}xBGZ@QCd`?c5tH`|;g-*A^^)UUcrW0G3_12GA(#)d-D>U)FER)g%9 zvIVYG#9Ou~=_ZT%mo0XsqW)zbS1RgXmg!1mBHtfe;Fu|KJ5lGj&qlWXJyB@lj+*A?qpW#sN@2dobcXvd5UA4^O&*zaBBHO zRBI)mK+7L)av-2K%OA{kAfVvOAK>I-RfW7PKQrY(pjT4^4g_()@Am;G3evRv3u6ux zWMKK2Q;4YA@)yP(B)EE8{@kb&g)+~AAQo4~eDVDJ@~3B=WEjo3qc&WO9+|R>XjeUP zs_RJyL5gwfr+7}E^sa~JWZmrG^p9rpc<>C=O69uuNSh0vZblK*{@oA>M8i}W3ZJL z3|t-f8D1O|f`-a~Rf9&uY*Sesb(X_~Q@O!eCt9YmGU+Uaky_c(>rCT>oHq`dF;u#O zk@_b`87SL0;H(UtS+qT7p|PRic+vG%A@RT#GlK7@)>H@zlojutc2LHvz%r}L95O4$ zz-^3!SJ1$y1<4EN(v$^>tS@~f38b-F_*M_xTl&jv8y+q!{k1h7@mZ3kFSOdYd7YS> zv7vdD_-n$3=8P-1ZVinizJjvi>-I6i5^ z2jTjPY@Zzu-&cGxX278Ju2C<7DO+dN%M_MHM=h*kJruKH*{uypD=*u1 z&4?AteqCjCf!F1-ldV3m8OrKxdO2Wx6IQ)IR@>Avk71iyCNh+=DnP)MXUBjwbRNFI z2Ht{63olp;m(P;5`=ER{2t$Rnb4Co}tax9TK0g%2C%Eu=CCm*kw@}sj{7sv_-8hxB z4>QSHx}9Ob$=k3c?+sb;{54rv3cb$gGjzT2cDm`C=`!$R`}WhqLnD_w?N{~Jm_;1S z7fC1Z3xN^33YC&Mv>+jw%>?d&gia9oKHnn4TZpB1LI`mx5?<;3Tfm|R8LKj73_Va7 z@sLYp6@6709W-LlQ-w9XMhtqSFldrOKNp5fQs|h%%3-4vd@NjP(!;s2aHn4|X-7Tj zPiMiRrs<*6yOKsdbUNWPV%R|KCR+@nW6a3IFxrwv48z!C z!Z3{dYoyrT1N<5kHE)&)()=OI?LMJLvH;=zToj0fJG*!G#1G?mqNvTLQ?V`JqhxgNwmk#AWR2>A?qnclEQ0*(GJCwg8?-{1ERe#0 zM4~U>OaZ0&geQP+F^w81pAvpI+l0}|6865`Huk7!+ zC^|~+mHMi)bR%j9RUUP!VO)mRM+wExVdw8lm!=+Mt+|sEzxVp3}tyq3+NIqD+pE@+f(6JlO%Aq-u0ye`s zg`j3)$c4Yv1hUaETn|4@!wxbaeLW`l(W>6h`|+ooobaP?`?~Rbo<#)H@uc7f%Tl=}E0~Z`%%@Gmn&jV6rPI($&auF`X*38j0cG&6$asNxBIFBBVKk z7_7;I=2ikf%o%S(^VFRY6@u;jsWAMD7blRL+-!O;VhaXB)dRt3ytBQxYQ^qersR@A z5M@eaD!iX>(J?AXoqKxsT}WouOEPPy=n<@jO*wptOoOm!r}71-K{=w&OaZ%4$M&E@ zSB7ODD^7UL$;iWs>YPA`U7ZYF6g&kNO@JpuxFRkpV!(zQW`JG9Pg(NQM#wba z1{o1g5_AOh6#k83$`Q;3Uz|+CoT50Ftp`f+sA&r^ETd0`)N+m!lUBhMbk0cOmE3ny zuLUdkIZb^bX{Ml2^S@%@Cf|_3Svtb`>`I{9eIMx znfk{P;Za!JmuB*V<~0Z;{O19|0kEMF;Pj9H`+9m&B=Pt>qXU38o4vqHWD10+;z?4N z3>?;Eo$$riK#JiLsW4#G>Tv0jodtK#30znY8jF64siHo=>TDp z#d9s8xuHhA*AqDdO5mj6kw~^qZCeUxotHg$ug8et(w`ATXZ!{<*nTyr;F^G@57M>U zvy5mIu=ey(BL?F(@|;Z+Y)6k6*swveBn5bJN9T_Hy9_@G5f{5r&=Hzc?A0MX#>aHm z6_Ono*~)r|7NAz%Gq2&thM+3lIZXbQj5v*==C9C? zGzxQ0U1q|B61yICM@(6e;H>>{#)70X^1+kdvDJ*L_MJHkCmOl-b*tMbUhS+^CrTB5 z+QNy+=2*Y3apz9Xm9=7vXp#OwL-AdL$Rk}k8>c&1sQWlDhLF`KD3Sdinkg*E)U=ry zc77EF%4i39to?PX1qqKMwzkL=0Hl&^r zCUC(NKdXS9{ViJ?8@Sf2C=!0ADVzBQUQLsUUtD5wWx$%NM%*nP$uA?eb#%mc?ry_- zGo^R?VNxK)bx~p?w~qsxNmd;zX6O&eok6@<7;i^wC$kl+(n`*na8ht;ZVNYf$IR5! zY__@x3T3*6?J{CZJNTB*P7#;8nk^HK6xs>ild#cnKQDYJKW+>(u1iE(wUr2+Ta&U2 z!EyT67Ih?vn9lRrXaNqvU~duxqan7~&xb7B^a~bt#~kn?H`kbo4SzAB@r?G-hv7}t1X)U*z#wsFRRxBpynhsO`se`R{ZpzAw zR0K+Fyl^cj9!i?5aYL-)&RMGp)}TyWlFZy33oR_C3C&ubX-XQM6Ez97&S>0Nl*-nW zUr;}pc)eCISMg+*5rZK7ueKO52)49t(1^hpT>6P7BL->m|J9@!EwFS)%*cZTmptk* zVwjqhlST~F65JCop;*RtlWu0Id_S1dfk3X*-)qD`EO_oXFie?D0>&VxkNT*Ak3H5u zU}D3qhR9VY64Mw}4vA;0hR#V?B%m5O2z}P7Ni6+2M&3?ZB%n*YyBh47vQXsh0)#>r z(9^X4>P`Ya2mX}>l6KR9`XVm>t|1$DmDum(DTZKSi;ap&6T_Yv_+FP25f4#Ge5J`o zM7a0~JF0!v*?ZI@bbG={j>QX(Cv7A+!wl{M!4fhkHJoQc3nxKK$INtq#+uNTP@GL- z&XypHAigu|MC7xakbHei?-ETd-fckep&NaUa^m;nJDZ%llg$#hA{n zaVHXT%|eusXpSFVaunS>ZKKGf1ZxsD3bt`*YK6Ij7`FUnn@3X+G3OD=7?ivrG-XOo zr^5p_D>8qo1xLAvnd}lmO5*HqnF!14I8i`>W z;H4y(Ibi9i~M~9!;ao%GO%kVfwbrnfWl{0<#Rs zAj_E~_;H^F3Cm?04N2xJDyKHC5l;~U&st1zR0d|IEh=d2wJ{44UIkuivLNBU|I7h1 z68+18dpr|r^p@l1GD}!u1eWRR8eH_`a)Rds%FkxJS_z@C{AOPo#)vL|CEIABxv{BH zgxE6s<3l^vK&!$_HLaZX+*mj5pQ?BVPA|2`iWH z`alT&lHpHuL~|6mEBmlD4Kon2Ow{O{RsDgfGy|(x{tLiL1GDFU#L#=3Zi{P@1`a4K z6KC?3Qg~4`>jMW9F4tbX&_Z=Zm(dpBPfZvUp(e$*sb*1fkXm|fGR;M%LLXqGa)VRQ~Nzvj!1d`4G^2l$i+D=NshP21$7!rsM{*X?Q7N54MI(I9Gi$9e*aI#Wh|QQM%H|H|K- z21SdO^EtD?09!pkODC(z1 z1|dchLl}Rkn>CVKiMYqT3SK6fc1%81F4Xc%)66TCRi;wGObT@P{dpE zu`Uz0a2)&r9Is#8-q(*f7x*+1oXY(c`KV5; zMqt4EXAdy+ni#MYg(eIvn67^0*2VRR%y;3fZuAETuwV ztmeH#ClmtXplEmo2<4SHis1dG-+&S+$}b!>h#|`S&AkR5G5-}EG^$2Ximou~5z|u9 zNh1a^Uf7DZq>LC&jK@)r@+gQb6rJTWV(9g1qj_GKiel479=KSf?+=N5BVYO1gE~)h zb^Z2Q5nJ)F>L~Jw8QXiKF|lGY^i467$}2In%C{Q@S&v7f;8DrN&_poH)4?=vY>71E zGRhdQpEYVj$6h+4Bbu86>ubdBx?f)nVd1ha5NKhq7cKUIl|2DPMV>4GG|4<2-J}8R zg+-*0jeU?w?+Gw@BnTOixD#lZ8hADK{WAuLj2u-T3}^t;nWOX3ZoUsBAw|QVo>CBv zeTu;e8Vmf%IR!zB?;kCIsGs@zxUNz_QN@T>ubm74%D^y^4_SS)jE#aI)}2bnGBqNn zx!Na578tSF4&{Z}0@H)vnQX_a+WZkSak8#%S3(l@<9Jbf--WW|D@&zy@lg+9WM!x| z&DYQ2xw2-=h(Wqmo?_xb@GDR1HS!>fb^n|;V&Lpbf0hx0*jIjb2$&3cYEb&Ll~Xce zU3tN5nwcc1T)Ah&jH7d148WwKar5?VPy#(IlvV#5X9*4$?^|z%y%c+@-8DArX7~ zyZiTb?rpyi?}6{*8~R0JBtbCUVz@qR(X?6ESvUWNCTU6P)*n`WV_2chpMza-=9nVT z7o@DLJOK>7!&g|u;n7fF#)RQ$2ziFgI417J0B~?t{8}uoQx9bWMk4W13-K$Obxj^A zBp9eB+|PO}1`EXhOxIzU=TqhRB5@2uqz$~jv*`vP@i^1xp>ondIWeLA%`xxWl#-Cx zb@!d5@m15FZ->18Yym|$DpC`?a~MS3Ujq>i1i#thLc~A} ze$AQ@r4~sIW(1sV!pWwXjfB#^HEqV?;GW)@!Hy-KyC9uKq@=n_a~JNVbb&X6~$*3sKC(!QV`}lIe4^4`f3*b+;fb z#_`$_S9whPB|o3DkdgftvK(}xRt+&59{yh|gWDC~(bj?Xeq=&02~bY{HQL6EM4?D| z*A9Xwlb@5vaH5xGgThOE%RqgaKpiJ99}xuwrB5xmDvO3_0x0qL8Q+H5x4%Ck_JCHL z-fAa_*>(@?mfY(XcH1!K#L<6$4`5_ZLr8a5we+rJO581l=ZZDR&Feijr}o-!a% z77x%Sl_$BAPI`8$#( z6m2G?oN^qURaiKsxZrFvgVq8A?QL0De3TiqMvtHY;I-GcdRhb3v0-sO*tbeXmHv#3 zcnKosK|i^hH#KiNy?#SH)Ud#lvwoDQE%=5qwzh5-mRdJ&3B~uZcgubg05!91M_hjX zxNJCE!ri;O_gxs@-G-l1;4r>w$cWZ%w8}U8fX%=yki7LWz5rBk!>pje!QFi_b$iAX zMmu-h-C{r>-TAwG1_TRr{-6QDj_9Mi>gzd7e$TW4fz*fJ1B`$=kjhAoA-R9nPwGkAUp_RB6C7R2`R_x2pz-PwWf)oGEgXqV}>Qh5C?ms@4_EO3x~ zN#@OzA?EXnq-M#GIq+AC!y1;1a#K|7T9tiS`nN+CNBE}R##*s^PDEm(iS_r2{#G|C ztwu&2sqBv-29Ex)nnVyQ`uE9?5dUBZa0aGVA)M}-JD-XvTY_={%_j3`7EvMZo5r!Z2iAIHZ`2t%f1VAI%mRl zZsL`V+RciGch?2%{9wP!K|Oot|9q02Lza2HCt(zC-;Zq%`G#KE50g$5X5fLC6NP#I zS;C3J)IT)nL}B)S3_?0!q|BDp_sBr!iv#2kfa z>XB(j5{v#ADE62{y&g_El31JPv{qql82_K6l9ezsH5PLcg{1#SrY6&JNFT)sVr_&PQ#+TU!61{DDm2a0YQ;Bj3NwcX3D_9 z9RJqf6tnl|83O~t%Ri$Fh>SL>e^a+7wo1zWnNqxBJErWz(KL*J%QFGW0K~D0@`bZ$ zm^poKA`R2I_s7#P6EdeeD}E5C{HTF6on2La?2rLL4gWA`M0DlH3>z4bkn$sqAt2sz z&!B;WB1cRc5J*7z@x2NmzS~sp)x8kU6v_({X*#1WHo%N>)({2t`3(Bd2IYAM7*Us> zs0SqO~m(XxHp z*7&{w{$A2P9y^5PisMAix3wZ)o7Oe2k8fGK9xUorDg1s)P(C+b;Q82=Y$`lsEmxpd zXW{P?88LwWoJ+gHq3FG>%Ya~lZW%Ekn4voY1_V;%{e~e$Y-I19U{IyveC@qU#3Pf; z0~6lkQ{*^fOY^q18#k|68;4&-%}qjTWAnCnV^jU6^=m~y1ADshG^(p@FV=#c2k>ej z^yNG!^rgk?p>l5&;>VrdhTNYBpAUaaMC8~JJy=_#P{pg50DIFM=;`jj>UIBK`~Y6MfxsX1rTMK!#cH?c ze>`apOB>QCCWPW>mm`a#QS?gDw+9{h=@?a_zlv@dpO*yk92oX|n}xr_2F4$@9Ex#= zWPB=c7>sD1qTdf31|zHUvZ0kNdDVP`X>>->W2wW?h#VKaH+>k4C~5Jcro&)lVSmw+rN$2j>hKZJNrssE)hti13Fg<^Y9R?%QBQ`GWqt$Gh>3Ju57#f)#`M}F@ib!`4 z)AN(D!_dg|JaNc2ql16+97-J%^Xefxk<-cIQJt6Wd8h+!vl#ezGQe_U3gnp924WLabB+@E1oT=Zz739Wzgz>Mg+XYv>s@z zZ`rne%i194|G4swo}mOPL&@b2Fm zigSM$+e`A|ylE}BJj>6Wnx6?QU!I(g1wX!p-=l)%B@CyD>qmdntU&_%Jm%dYp6nDg zsyNwa;6o_pg9%(Z_)m@*Sk&f5I@~2AAUADA=KU{ClhY-g=~VG|>af7)cB%huQT@Xf zLC5>wrNP6uf;FxGgTO};!HU!Wde%o0!SM0Vj(sE%jB)>820oGqhH}NCu8$au zsgEXu%2fD2q8(;H+~*&DY0zC2%i!5Zs3vdfDms4l_Q&V?bfy1C;<&QGDDgM-XK&b za2%lTH2ih27go=1Y2FlX*uJ%SO)F3bkR2VhseU6>L_IKhZp#`>>-W*Rr)Aytjbsi$ zo}Qu^y%KZI)Leiv?KZr!}4v3|q$Z9sUxGN&=%k@CNVo15abIDmy+-@+J4 z05Pg_u7U?57x1<2`vHw2__C841bvk&r!@dAx*|5B0obAj7#!C?-1q?u3*Vq0b1FVh zAurTOKcV8cnBUD-Ea*UE0<_)h0%PWf5bE?%!knnQX0T`s;?Q)Af&YVam{=U zgqGYmrctWIw+&BvWmtph===Da<*TVeBNYE~QbN^H1i0kgltv2>I$BF;4WIZ_$451q zIDk~$F|L6~{gTXHMMH2UM-3@02&ecRjj_5G-#r4=qd8Mu3$3-8Ba&Cps_&Z8peVv! z^1Om->Gva9iUu1?{yC>X)v!@=M3)AI>CK{64T^|5RzK9DU?jVGLTQcA#)nFhKNMQB zcvfizt$Hx2K{d#5$tO|@g5PylOlyz~DmPn!Ou%t;E)(-dHPTo;;88S~sJ?_W&Mo{j z$&!UBjk8J^9Mfo3(6W4euY^LiXjt(6XO@JkI1vH9M{#q*1n>jO2fUj>_z|!ROCgbl zCBGV0uoy~9ejU)@Dk(qFqUd70EO{`gU@;Jvys7L#A0k=u{z#fm_($U!927ZOa^Hl4 zh5yyJ4JjC9Sn>m9jmJ6xCpB-XYK8a3Qv(_|zClC!cPAAry}xTz!9u>|vpxw6dEwtT zqY5MA|1W^dM^&xV`0Y6b3;#;wYcr&SUugJxN^yt`R6nQLtm4nZhn|{JR95-pT0B)@ z8LikBrL~BJtF&xXMWwEY(uLUy@l~%J(zMChs(OVMe^o@}qq=(r)-KZ zYDowoDWFF;&S~_ZEZTUs;ukx1(M>vCHrF%9)o1%PsFpq-m{FW)liG|d1qq+S89oJ5@;Z-#=)pND1+l8n`6LvKBB|k{ zbsKJ;TImin~^_@c+H4Nvg_f9E@>3Wd9 zE#%q~_PxJIlEzh5ocr#_{2U-`Zr-x7egiK4`6-gY%u7eje-COW>7~jy+7+=@Cfy@0_GRS^{qxlD+G;=H3E52^~ zrp9f}n>T^ytr49EPI>Q1>0pSCd?3;wS`sz$zBi7Z0-T7X_2;4|U#$veI;Pak+eAu%UU=sqt;i8`o~Z&%|Pjh8z8c zkF9GzJHS|8jOp^?LC{l)G|bq3HJFBxAMaxZd#FjSoOPr>AWzPw*{S}yL>gvz&luzfIfXTi0)FZpIIopPp?X_3zr#-5u}5=&^?Z~VD zz7YpkxqD|Qo8k8+kQ@KCNe2-FyLdk2|7pvixR3(>sI0?0PNG@;lhH%z;&V*@e|H^< z4W;z|cKT3Ud}`|d>d+zC(5L=~hY!id2p;tvk_~O>e|+?iZ0KhHSk@ug&;Z}}ACimt z5SO`mn-xu1QJ1hNb06OvzCIt=L(Q8`S=+cxyfomytoN`L@FA}MN^2_I4T`e~cMTrC zesHAY#k;{-B8q zWytxTNfQdAA?G_O6AH!6`QC^Lg`t&mua;shYUDZRr(;I05OZ^1(u6{8a>l2OC~jZn z+&^SQg_xXF!h~Yj*8;O3W6kDzZo}lAl_IomU7R~d-b-SDGS@Y)+Z=Cdj&Ez)vUY0| z?_j(OP4x<}gl~8#sWQr|WzI8N3&>!Cbo22!BNxAyn)7Iv2}NBGn}XpIDd(YC6Bn!V zNYaF2b$%Hzp_t^Sq9zmzHaTiS(bXrWO(>S)$zBtRrFa^cbd0?0<^0K{o^x`}ABK!v zLAKkoO(qm;_&ZZsgRIW)QzkA}=Z_{Q#2)Xf112t}@Z|{;iYa^vn6#_B@Z`)4n@~*7 zYcUgwVT*HVdL09FRql$a(gs+^zmJ#%nbp5dno!KwTZ1MP^Yu=a5fxzAUmG)_n8No> zDGV@$? z_t-8Iij&B1AoVxH*MVpdmR%% zWUoi+y%!JQ&rd=MYx{~({C&*2t=k)TKtJ*=KR`M5H*D6%J}+V3&nH1xBqjx&z#Sd$ z=c&vh!6$%`%#;HGxeNcBrLjsNU6H4H?F6}#>tVBML%}a-X3w5g6rul~NEalC=n&g0_pU)jcjd|TE;^Yvqq*lb>7sP1ZA^jUfc1qF zI!NZ8ULB;_-5wpJ*{-Axq8_;i5<19a^iAm?vwT@V2Wj_`v?2Dur0z0*a%bG{lQxMp($+w0>=TtKVW ztqE?eTGI;n?@`4I;J0bDfc`P4kr-Jeb^Z}@EmH2aEWoD;Jq^&4yUY*x7(pkT_s$I9 zqt?~0S;Hk&uGnt4Qr<6fU47Lo$n=RllhZ4JmSFf)u5G_6q5%zo=UkJLjP+p5xq46n z4P1@oe05rbkjgn%rmIlzNYi2Zn;SWbO zfIbb6X#kxV9?}5%Fg&FJbm8_Gf%-M|)OLe3+T6fll5^d-;A0fau<}eg)BsYX=lW%h%2-NNAZ*kg%4mAVz$87ofZ~oB8*3{F`^)0vHxRGkYON zcJ`X)1}eYaqfu!0x@iqySl0(Mfc{-SrvajJ*NFJG8O`6f&Fr!onD5W5# z>&7ev5g3v{J?nmBLIaqS8^<(&Zr?PZ0SxaZzXs5UoA5W1+ALa1E(riI@S7$yib&8+ zNd*agvkGG1H;*fb-rn4$AR4@EZQORn9Fb4ZvjLZEXVJ;b}w+sx#?UcK!Ob4b1YbSsEYbg4 zN=RJ(Z=29aq}-mZQQ+S(H}|4H_Hl@wP7m_x*U*96r!^J<3~K;0a(kBsFrM4THGqEJ zF{lANTAXu7KtWV-hew0x=N+wzB%nzJku<6RI(o;P1_3CSONaV~<_*o;&a~`snNOed zM_t8nu$sDJX+Vh3GY4D;jF#><62ef z$C@q~mn`z#$U5V%HsQ-Tzl)iuN&|j9N0ZO}|Ga$(oE+2k|73L#(Sn%tTGKs}i3B~7 zrL%VuVnoOwqAkg51S6IVA_futLTFozEymuK7xS$1tb>Ra#0c^l5o2#8G$IBeBewtd z+^So*YNqP<4D)kv0kGlu!!9^eYRU1P{!S$s}z&&=)W;) zC@6m?X;p3T7?mTgYqn}{i`ai_Jy(|xSGbH9LGX6N(dENNMQ5T_E-3?3*C7TEznFP+ zxv0@L*RuE!8B9yn@Tb{ucPTWt`h+u&op-KR%^k`ypQxAw+Ms>4 ziA+AVQINqpQ3EIX;#~_OcBV7kE!?(n!2s68dsFg9Q@gX(Hh z4Hf0%;~+~FO7*~U#%8i>2K#`q9+O?u;lbjFp)Dqr{bST1mtU-zWM-DAyE1pTGK52T zBkBF0WG{tDJxpdrNDm0yw8gLgGd8*m>+s=eY1UwuhRaf@*wrvBQI39Iv=#@Z1bA4> zAU(>u7bD8DN}V>5>!fJ8-vYow-kBiLT{);L8q$}!;h*r^3`fZyQIJJA*uIM1@eR6N z?lsy3o81vjstOHnG;x`j$_u-~6QdahoSpzTi7vvN_^RAzc~Arr!=!B9tEz=!?oFbT zp*NP6ffNx&(6>rrDD^NJPADs1l+|T8cB}_7%b3)aEy5jx_IR<*#vN5BjTo-GVMHB* z117WmZmizu`el1@6rhSJy4ZmKw1Cvd(s|(AAPuxGCT)-*?%SqPh?f|BM~158$Sml) z>N)}V*jf~K5k^t^BqxM~JLtx#42oTFni{7W!{F{cy3R&7S|Qv!ZzY>&d$hI6praFs zp}^=&@@yV4zF-R4o2BG@kFCb+f8zY_$^%Fnl?KB35EIDJ)k)T5K}2rat49=?+At8H=$ zzX(ssvu9sGR!en> zY83rM6QhLePy;r_z`LW-EIK~4pFV^cy|uruUg1EqvnlZC!;Qf+Ve*V~hud19?ut&f5%XP`lJbehpFcjoN8!eO14M;z(@ z9y3!`K7IT!R2n@Ddf5)9EaF+D(H`uB#k|pSj8a@$jm{{!xK-*KVab%%$8cfE7>7m- zPFtZ^7yv*&*?MN%bFk{A)&??Qi=OaJw2goTa*1d_WAJ6*3mYuVV3c7HjvCQrdGHSX zw9?9J_6a=<95Eg6F&UFh*P?eFE5w6Z+Ovq6ieai;Q(>{`u)vb7S#pB%7uR(KOY|mV z$SSvtDSYwgI_aye-+S+>HKD1&R(B4ufs42f9GbN8a9eVy%nlabo=-5n*~P%f{n})Z zAr@BvCaa!VayJW-I5Um2P58rB%P(!VDm73WZ7X2S&4WSjg!T!}=wDRJL9KEaP*^go zKS!5l%@B$+p#0`)sO8ICn-PHhz=*(Iqx1!^D2LT30iFpQvBi8Im^wuG;koHsSbtC; zZJ5nd!b76=ea5O>3hUiYZ$#s48lXQEx2Z7#teRVICVSk=b9L?T3C*IPU?SV!7C;kU zo0%a72YrG8)Ma8>+Um0G+k^SUmCYo3jR>ZkT|qqhy*dL#7t;HfeuB!uV_gF@>6cdv zj~P|(MR~g=F?exv468te1shlB5i!R%ai%eEg$KObsF-q#gFbMtwmOUub3ZqDN`7R3 zj&_Voi1*s!_7H)D@!)LZzBAzPGDjsk3wj_jU>mW0y&D^Qv1^mfju>d!V{;%dT6)NQ zKFbtjagwtBlG=3p3Px%_TSmMU`#;#k@DaYs-kFTkpL+mWQ6EG{935|?Mz%koc&}g^ zyO>R)Uo}t>ZEg!*m(no`oGP7a<>k#7pNCCx*1?|GT4Tsrc6G*dK~1FO)Oh&b?7Zoi3SAp~BlN9f zGJJXq=xr|pC0c6OLEIKfpVcq|r9Hu|U{s6it(sKmtxBhKxlH%`zAqyuhtm_<|*%|O=;sa!sy(i+CmiF2_J7*!)*>Pt@jleTg2l@ zLAG4$4Vkk2>mVafe;wPK&4zCM$^&P3Qd4#T_fSI(k2=CfJ~2>tUEHEYk32V|9=4JL z*@K;ILyUf*0OpSxQOB`iW2U4L@KK}6P%CY!T47W>DT7MfcBx<^h*=FpU7rqsTTe{q zUSSlvF4PDW;ca4|^;Fj3i?1(-wwF{Z_=dz4O0C8vs?IHL4{S7SyM8IJuTrL&EULg zE@G;KBBoe~EM_3xIM|q(h;{?ay>ck;$~>Ze+)S3iv27eN<6!d9^AgA`6j||dU)OH@ z%|^m!VUT&Ol~?(`U$ zyW>XYUz(Arj~SW!qDJQaRwMJE)bnu8p!}!K$UK@dGLKgnnJ1-*#%6=^bjHXmPaByP z4MrxLG%_p8jLZuyM&>0c{<0K*rOK#!HELvDlL*)34N9)w$h=u?WY)%v%(@~Y^G?CY zyenb6S7A^-%o>@G(?;eKspm7PXM@!9d6U6Ys5LTQ)fkzLaU-*djLf$=BlBIIk@+EI zWPX&^w|ERnYqOErnlUoJN<6<;8k9R4^bFp^Ff!c?>v)^OplnlSWT?f+Y}aUHdKuR7 zQiVbBM2!skHMYp1Utd&(2mQ_6`13}DPmUrJ(6HsD0hwS{&)`d{{N_74+xsE*5mhT2ndm3*~Y|F>H z6x-1f4m1&$aNKJ5I%>~%ZQg;_=s>G&PrJV0K+8JN;C)-nylt`_t-^t(wWnRz>Ojl2 zr|~ABwl;W2Qd`>1%?`8%2U?nG?*qAhaNoT-$+QoE$U=)T?W2^9=3!c%tTf&?MZr&C zNk3R0Z@{A9XHhHdmKvt5xAEOl$+XYyd}U1gB4?9Dfr4LFTWP$HkOKBG_9hLqP-WiA zcV(s>pZFYj+xYQF-;S1WpaE@LzWwW&W8 zH8Aa4n=CKnP_PAm^@H{CrfLd)m$T7gO#1Xx+8s+rb*%@}|A~Ud= zuu%pX4U?$>t;mFMLn1O|#k^^z->BJ(A>SPp%{1~0;MR{WMZLhsX2-l+tB9Zx(^gek zX}m?4#ynoI(TbUNxLuYZx6#L2ecS1S6pd-LfYJ9~x7F7lC}_+EkIinJX`d%04I%aN z2Xkr6V;LK*l4(yiD;h?s{>?>Q^zdP8_f6LxIS;ix$h%jgedgIy-*rvet`$-oBdRyr z2$jKYZDEL3Gc6pq@QKaX_+{O!q%p)H&_torC5d~M_!!9Ql6EsDJPo5r48ELAe>VE|0y4v{3DjEV1%(m3%AO+go? zcgvWjH+rtWgUc2@Fq3ckwjIXL+y(;-Mc* z6KATuD~p}{r0tQn@=byhqz&dK5{G#PhBjsmlIY%%O7Mlmh5>^Qt{kDd_m;x36%QPP zs_n@;Ich%xO;^HkA&Wl(ws55ljo3Xq&A}D%9tCL!ny`h-adu^D1JsK{xzY+JEi`sT zJJ_RWSZV25CtC$SXL8Dn;uBGeLG{2ktwnxk~W1j)>OAHjaS6UWP6lMbTNl9cgyqRB`SzOnxVev(% z?M>dwl#7|`8l|}MrdG4i#E;|P#}jjs#%B13&5dOf8mUGN?lSpdJ5QfB=2Fx z_Q9ry5xuc%+DC8fRV`9CHzvP-Vm+9~qlNWgns9&YHzt{J@kjp+_wk+Oom%%|_dVpD zQExxvG3@fY3{-kVlayzb2r_7g7pydK(JUQMW2Fhxk+Z5LjZO0hBV^=vYQ1re=S$S? zMBbw`GM6E__je(zsxW`fVj3eZW<*l_(v*Kj)o_USA@9)zdmy{YK+Yj)-3*51;=qXb zXK4(T_~n}C<`26D5<8Q3mZu#e;gAK95Z_ia#kY&Tw*1I#A-)TF|Iy?qF46FR77t*N z)3A;l-`Kd=Am@U<~cJ z0AB%VW;d^DrP!7{1+IoU{H;NXVXdK>OSL(qT6{_a!W6i0W#Z^nPfn7jpGUTb|~+<NPp>fS}&d!p_=)V+tg4~gYItU@ZI0N+XDZyqT_fltsL_*;jRpunf19X|6Qhv=^t zIyXRW1LVFCbp_OYfx0itSnkU-QUwLR7Ihm@_ciLi5q007?i%0077F|*>V8DskEq)s>RM5^1$94*x}Q<^GwOb6VYy!`kvtUmt%^&( zH6f)b@CVlgiz<;k6zsxv!7fcmX$p1|b=^_d9d$j@T-T$3R8K+jaEYpsqPQrDx@}Rn zE$Vv3xvp0RDT#War8l^CXrbVC!h$DHK~Dy~FTMSx=++$DpQM2{sd^-Uqg z(bGk#Uz~#dfc}nZuJggVFN!thMlJ;^kvtUKO=t;$g4O1rH_3Gx>NE__JnP~sKaKRF zZoJSkz8SeX3QkCH-GoM@8VXL*xHKt+6sO?6IWFxNMXrT{lZA!JdE_z_tf=I=iUy== z@HBC0N-a_)1*fLDG?jB63XUpp>0smz%29BdC{1fXu9||Qh4n*FIs~PI>zMPfC!8y%b zIt8UUD4p8KrPGR$Yo_4bN-oVs>2#E;Te(!7MM_cdOpQxtLf@Gc6g*34nHQ(vJW$UN za`TCTXE#ys91+2D3dq&N4}t7l(9ca%@cdfVa()5{R*q=k(gnyZfW32LTw0hxN>VUY z#idk~f)}55)ECtVN;!-+E!AoH85~1_577AY0 zfKoNrT~Un`MNEsibXAOkSGI!U;nEUtEkS*4f=jg;1+UIi@VFe8t_9~c;Ji*CyRH$r z8WKN#Ou-u}kv#gZNb__EsTOs@dR>ZQR~4haz*=sOQtav!^k%tqOC!au0nRyTE@7OH zU6)7PgoWE1D7G{Ki6*YgK&}o^ytR@`cjhQ|3rjR}>CrUBZo{*sih3?R?x9!}uA^rQ z3r{2|HaDUFY8|gs<2Jnv5iVoSXON!GQgC?@mzGx{q3+oOM*#7pLII0%x9(Yr^vY(MF%Z9v2b!8&LO!i02nMXKZyu>uJ;3n?yr161GCV5L@A{}!B^z_~%_Y)NAk5!_!1)Zc;rT`lm4x*uW`{Js?y znpw+c&^K3N4O8I!v4GXWdRP%ywt$Yug~3fit`+uLVeebv!&cCL&OxunT7F3&6+>?g zmwwG6rLaDkRN$BSm$ftQnw_HJgp8Z zd~q)IAR3uUVQo*8de+j&i*i`i6D303;$oCqm~-128hHsciE9Ve^JwIyF|6SUoxM=^ zPw4E;Sg?jiBTsKaFW^XE^^Qg^Lf>7;{$bsYMlNZj&`!l%>VvdX7E~d(a|Y{mNeXpI zbKNclq_IS?o`N~Cge^>{b`V!E9B-vZZ71ig?mXO2ME_4>;&K7cKL+)(I%@=a>AvYg#HA1ciay5`UH^o@a%_C(fbiR-~A9CkI zZh??n0J#N_TPWlfLT(}CE);SXLheGyrCM3bKNCpB6j~(Y7C~+i;*tt`>6Fq`B@I)LnzR>x#H^T@p#7(DgN3y1p5yjzTxIaA|2Jl7~V!R&nXZ zCZsfl`1TKflSmqcZWeMkLk@REA@K}2bSva;h1_jI?l#EX2Dw`jEO$o&shC0yja>Q< z=b9<>NHLclsX>ZS=ur`~M_Z6*hurg!TPfsLLT)AG zUJ!CGLheP#y;RLwUdkcWQs`wN_cG*OhFp`7Yl2)8Zwt9~ zkXr}2cZA$Kkb4Jm;_fW;F67>YoVYs+y$3nmorT_SW-TAYkZYyTheGZ{$bASo{!k%> zK8D=Kkjo2udC27<_lc1E6mp+H?z2kf{Hy_~nnL2vEwll08z9FI3h)$bAVpT-8HgH8JPcWylpMv{A@ygxp5ReIw*HLGByKeJkX?h1|DT09aVha^IEF z$gA=c`aa90@6$*Xs7rBabCgD2mZi{-!orWW$W@Z}0-PE&X6cQF%ful8wx-ypg z8CPX)!&c%FuHhkE!$VgWxb$ltDTBHeF8!`i=r>UQsOQoj(DFx=v?8{yb*(`zLz=j1 zYqb> zsaF;$g?gd0Hz+%RvSXU-cC18#O;3_b9?(50(!@fR)+a$)pJLK>7CLtZs-0^|+oiyq zy8_j&gr_J%t}oz9FT!kDE>?ulnHXv6G&T5w18@aus zs27ol=17ayA_>kRpqIDkzf+FCHApeihQ?WLXfskBX~P80;c?Q2Gu6X&Bl1WY)YWha zJx&{m|1lAXQEAdfRp>v9PTJ@KQoa7$>ZFZLB59GN5cQHc`i*z zA%QZ9xHJ){Cj#}P7B1~uiR2;ii|qK@fK*M| zQjALn!ZKFZ#Sg^usy$M*{$ujIHji8m|Fg_FErC=_TBWd1nMDqF6AMKI53Qw<(Ku;` z3E!v3kZZ*mCvYB~qme_P_iz!VBcNdhW_b(atTWL-Blm11?Wk%l9R;}~TS+@wpgtNh zM^}?JQ`nmcjK>t9GsB#-vNW;`a{mx=$r{p?X(P+ zFt^b#x6$T`y16Oj;-pmzoo8ssiJaF5&*e2E5=R?K|S z6lsUnO4_1o@hD+F?hr1l!0Pxp)u`F#lZkK4rIB+n^zYEZoLzzDp9Kfbp8IV+{#)E` zG|LSHf-~vB*<-IwnW?x{@?o^K=?{u}5y45S%dw&MyC&^#E|DvU1x6b1tZI;4GTiJP6iLgYVsv zGD_z)n4ITmW<0-GI`<7MA>fAf(KK^%>z-HUz}fStAr}^5=>a#7cjhGLxfut}9;v72 zUq-Q;h?g!*PW{0Ux2Ce*{fpsoEN(2Vlbbf#dQGi^&TbEQAN_%1*K52~B6VWA%@3RG zI=kK!8(o5>l_p+dk(}qG95}l?a^(xBU`Zw`x0|x{`A!}c&3fp%HCW0?$jz$EdA@4R z^9wSbMc2P~&plYAZ^Uvb8`sa4GW71)w&)8#w=#{}O-v6)j!aQ#i--}^7V=Oqa!IS) zw!$=Vb3AepXggL(+4GaE?{M@OeH#{|5x1(b-CN|=MW&q|W4?pXV*H3e$8vU-X>$?r zC!j^p^u?oC6{aJPdkmVs_!`?8ixJ_tq>1I4SWmQp{t%LFi0RSDB^pnWm{0hI|9GT< zrR|&Rq^y`Kjl3Mwm(8ZX3!0g)RU718KE*= zY^q#bci8XOuepLQ;U(|o zxawE~WFptwg~gRhWk#sHves0&#B=h-nY)A8N8T&*c9kabMq+h*K&65F>7*d&G$ZEA zO>cymtFsm}^@W#T1hb#K=WoyWym{Sj23z7)(2iX4(-V-;$h)-6#f(j*VP=hknK$fa zZfvrcX-)OG4`xcpTUYF2#-`FR^JW%8;!xhaT_I^AXgAea%-mOh%~PlillP{Aiy50r z!_2y@RH@9oYd3RCmBmba^~~Xrh>-V|Mi(;4tj>`vZ0DqPIi9>N=DHkinjnS!{e zur^`lE{q!b6LjpW**92Ni3&_J?~J*av8go7e3@o-d?+&;?Pl(__Ou6^F6#~E0pz{A z$i<9JrD5h92Qw{pGxyY3yxFd~OB2lCoVlmT#f(j*VdlGf_Jj}RjeeD6nh4sm3X2)< zvXytBVjy{!)w!6lsWi;|=wPNb$|m>_X6lPAW`3W2@wKSfgS_=sE@o^h4KqJGnEAC* z*pO!KBa4~Pi}xOcib3SPFX3XwrqVF;8%J6kX3+3tT+)O$_cvS2Or25B-F+~5A3*z9 zuaxHX#->s?gU9Pqr83jqZsx&^#mtD;F4_o*J<0oEi?bQKO2dqOtDcy#;Ca0?Exc(+ zTg+T>`~C-_b}#Zi>~dMN@BTB)*f+Q8W_o38W**6ksq*4#_2MHw3OHuWhP}YHH+lb) zw!3A%_}Dut^&nE>qoUY0khju~Xu-@28wjkl84XO^C1ayiF|BV((uDhuC!BDN>pFBf z*f2SKyxs*@zqr(+aQV#8GEK+Di%9yxG#yt+lK9LNUmM5P1d~tTYIKa(SIvd{AzMz~ zr#vpW!f~lw;j+_oT=ux?xa@JAA>uW@S?UwGmPei9wQAm=d%!k?yvy5MJphjqz(rt(Dd>TvIEh ztiY9Pal-ZZ;73}(hPlrhaTi>64Ep(aut)0V*mMlnv<4|FaIH-`;rd47r)EVX$-B0} z1y?vO^{95VW7TolvFh!3h>1b8t>|BeMWsH0>mA2!X}gJ3hYP+JA#>40%7+T-x#2tkk1$&6Xr_-P7CgM2{pgpN{LKCL2w(EU!X1; zN8ZniI)KZLLC4hwLjzw&+qA8S*J)A2eT zC4tM{2lROH1_}KTxHdY*t7gScVKCub{KhR_%0Gq6jzP!O27|z5?}s`rew?8n0#}RX z)Q&}Wjo$}M6Uf_A?b43w{86~<7<621FbG_2&IQqqXQpkqeyDT8HD=Z1i@`RLygw9N zaE0SikHTfA>A2K+#(a9exBH~WYo4i3#0%ZXctgYdunFe(N3GdrJ`t|YLCaIfa(=lAW^{95VW7TolvFh!3fqCwUwnYedd#u#QxO`n4?}v7N?cq6K zn~Zw5`=M}L>QT6q?vBSR6_xq~uI|=#mzS??YDMk-z5laWoNjMyx5~!pwOB&1+zzIbk|^BYUVnCG zy?w?9=@+DL`VedfkZ=2%|4+CsYq8<#ooyel9g@%2y>lYirjQStIR1P$wzZFbdj09| z8C?~#;o3zlI$oLzJaJB6)E-E_UE6dQ`7{F?v!ytCs?jyD5^o%$3Hqw18H?-Y^xk~| zDyNdKZ-d=K*#m>uHA->r{L>SBvMH6y%ng;2Png-mj3|Dn4hxz)iErXG4%xRm*bc%A z6771R{&FjJmr6aXdvpr+EKjGHHY^L8{Tn3S7%?u}+kg6Nz5(?#M&R1ZBU>8p+M2jd zUicBm;b8oxW7Hl8`Ic*Fuj;>oYgoYz*V9RPVQfCW^K48S32Q(_V$)D!FD+LCRe-QishxA&S}SWS*cIN>wrclTwB*JE(hBT z@*U9Zf@@SK_pkTtxDL{sa6L6==H9?{1o^Pf!nqwscXIzyGbRzQN^|0D?O!9;5BmYQ z66C9FHxbnP7v2g5gE%^+9q~4T)F*IFcf1a5>GjJ6U^|k0(_OAZ0rfb)b8wBz+i)FG zTl8QF$j^XI^^_4+BS&?`TX6>^B-lJE{!> zor7zF*-M@4WuH`%ch(q97=!mN& zd&g_wIe~l&-EgV6;68RQ4y5L%sl6pD^*Yh5!PqgD@j`PBNaPu}`!`F2$bD0<7n;BA1=ipk| zDD??kSEilf_3-*{&H>xWxAo|F&gihI+c7&^Dem5 z{Y&TIYBK#4xNfd+!u9#884H2yH1geC<$|k|uS1rvrVCuRsd=GgU2eo{waXs!?t)o-Cj6d^b35YAZFzJgUhcTm z4ordT-hvaZmFq{m3A1OAuby0R9nS-aI64QH+OjEd-S0RrToc`T6KtMIzWZI~g?O9Y zfMr>i`#bIUUA@#Na6RNWFN|qt@$h>V`5tna7vjBosi$+|r8b2MT#v+^+VR`3%07kJ zdE|Q}>C%qo>DWo}QoBe6uE*=0aP`?dXD)D^O}@vQTyUM-$^A=hI~BN|@;KpotJjV# zz%`$IPeomD&0z*{bV@r~_NfY7%N^%m!F7)ghRt)xx7=m^6*{$Z`7tH6~la*9{C zpVsiWT0_39<`S>dMXdNw=fq1rF%-C7sB*%UxvSUnuy`){UPwFR3KTkboCwV|`>VjU zD(8gjyP-WU0Ew8w zX%0LB*Xxe+uibOQuK}(F!%MuirHD67XF>zBejd zaJ6s@fE`!)cs56#4ArWTtDTVaP@xRoV~!7Cf}AK7hLN8rE_q7 z(qO~2wZaM4>+Apc1Zl`o3 z{Y&TI`XUE|;^21l6FK23qAffxybASYF1YOP`u*+o9LsO^2wdA&JK=ir%5A3r*Ant? zU+aRaldnVgE~`8QuHMZOmwyNTmbSiM?%`e@o_}3U{@$&2T=FL{Ec*($9i7(TT=y=8 zF3j67^eJ}2@OJg>M}w`F{C(Pt2_}Ydrk;*t_-Dd~Vb_Ech7mX4%H94N^6%Q_x@Tfg z`vp3VVNr_>L$P^IS=-{J)o<|FcrE#hTU^>g?G5NShKp_U62H%!Us^F7`d(i@FkDA| zeB8@6zck~Z_5pMp!{WRx4xy-1TU_Wr>qoF%PySHK1;f5ssi)%@F17cEaGeu|k>`!s zA0}=ff4Ja+K|SB^IEJ)+EZg0&Egt>c(-Rn$lE1%OTc~IG9mjCFy*~^rbBe=nRV%Lp zh8xL0u*M}0>bZT#FDU%)doJTW zlDCq7lv`VCusN)!xR@yL3s&~S0t7q|^V7r6-lUiNkpw@vpjsf4RF%Hod zlUtlHEE@V2-{WVD^}uj9`41^}!JyV{I*#Esdw-bj zIDaVYbz>bc+(Z89Zu1AVM$>T&cO;-(9HLLE{jAopI=SiAUBUA&@*mOU5{C=(x|Y9i z%?hd)xCMrzvQBMr@9ndWgo$P3KicDhLCuX-9PH26UFY`rZ4QZFV3Qs$7|M*r~BLm zzJHVd1aiT!I4>1-9E18`glLPZBBwZ%tbex@ChjADRiz6CH8<`!2K6Bef#H-2Ck)d* z`tfUExS#x|)VW|#bK{O<=ognU6c|pgb;5Ar(u?l{+XLi3J@0}+&5b*bVV8^z!x_y^ z82*{soA*CHNd7a+TrjA)amO+A$=WcS?bsGo7YBH)=Q${K}3k z4i^?XVHnc5^cArEhx`{-xnNLpa>DS;nL{Rn?NRbyQtX03&5b*b;UxR~;WEdzC_B}EEHFGq{>$9jLd}gk zjzN9AK*Zt7gi{>W9Fyw>43Cq)?LJX+Zm;IX9mg8;k>8!>;b+f$bWT< zOIxV9amO*Jk3EPuTw8F$@cT#ia9cb{{_A2c7}VUj;}~Yz&rLL|@$AVumpeFIelsvM zl7DH&1%sL!cN~NINQj8TO?6Hfp6pu9Ye7$uzwJjf&HkX~#vR9?K9(Xd-0IjC+pXxy z>yuBD|2DU_P;=vsV^D9k3k;bur#PJO{pHhv;TiI0YFyglKW3Z$pBS9p<;HK8K)E;s zhP$hsFkErv7rg$kocwoZT`)Y_VyF?%BK7qshwFtShQ~2`(+`1RS+f&{rG!kb zzt?U4@I>BF^A|8Q+T(Da=7ga~_tX2s#0v7?SM3sqr~XD9p0UT_!73*VA2t0n0T`Ym z|AUP#7?%HyIIKv>7>c&Q&)hhS5BqlCX%X16 zdGbG2;eui1--yGD_BcH0IDfcnMg>1hT1oyV-R2K3<-j10j-Q(^+vD(by;B^r$E|(| z`d=Xb)2%LXXv*sdtoPl2_S{@;kHfPqP8eo?v}6TLyh#2PaTg4){*5@iULo;|KKXpi z3B%2~kL$qp68WD`yI@$8mwGzh7CCzyUQ9b-cxL5I4KT5a{4X}UV0gnJ4i4)Nj_cKL z+2inX!3o1ft=oPM3@?+vDdvJ zw#Q+ewa4K-a*D&tmELZ^@EZBwOSr`0Gd^*|q0Y@e z+b1{J~YA~I$=ncWhO!U8uI7!E*QT2 z8*%u$OyU=P^0Q_q3@ewu#`~oHOaAp`E*LgurJfGQ!ScBzf#D0sdDMSioR)!!9QnU+ zn@4Rjf8otlsD`_kkQWWje2szCr%4+{Wr}|7TmczKdo2I0@qr7`}15 zZr1s|4}jxM@^5mxZhoI;9ek)b{Ml=_+7B);e5bCPmN*1I{q+}Ue~bLzxm`DZtQ7!k z80_b!dmQv1n_+o@VROo4~dHS6G`<8jb`1czk>hArj?DHa#H9v?uFrG-}V+Ki`h8AD-T{)7L)^8h(sV z>f_(DiPSOeS7^`=L91li@0z0FsrGhR`HA*Gue!sIOJ0TAbrjg%V|S$|zB0z9EoRu2 zD9#gV^EQ06nrTlbr5W(`JEWCm|3Hm?Fq|P3ObZm-Xd2VhSG_pefgQ}>k^scHy99aD z;X5FwTp%hl3gU{CG$UvN;z}z`hlrmhlza-}-Yqto#t_S^6b%q}thPk)mdn5Fg4$+~ zv(6ALW)#F#DXCIHw7qX_KxXJ~nk0=rbW zKvZTF#C2I|T1C+|OEVzyo9_CdAja}Gn#K^-7n($0?3=JayzkB0C?wveK;L>7h{}wD z_>pPGglHcj42a~=7uAQE%qZfAFcoYxG&af^KURXlX>Q+Bc^}XRAh(&j{r?=C@avok zqA@t(H#%*!N<>@hElFaW4ftZx6`c9UT)bsGsz0QFFYOX-Wky9?z0zz(J7C+6Z$x`X z0MHNBA=K;DX0-8B(25Vy4x}s)d)0<~sQn1!Hdi6@v{GgiMEfp$ef<2!JcYn#K=fpl zX*qr#CrOAHXd3s~<1HNr5JTqG3Q>IF)D<%z@-YRpHdiYXqB5f(+Bd%I5N(^z4Tyab z5|4_adWl#;R4?#~(<*E|txjJacoJIj6bRS2G_*3KApTr0O$%BzA`$ql$wD(A?wpe} z1<@RbEKyYN%nFF5b(V(ib7s$Xq2&__ls39RRAx+wZMJudC|X_(1)l+N*NXNK=d{>p z8aK3hO;tb~&|pFwSRb2R&UY)HQeZ%vzG$BLZKfbmj#wi}GYoqGN^Pev`m`hkZv9}s zNsaCKCRKxm-@=uA9BnZz!7t+4XqDi@?_et$VC+$4ai!bZPyUPA&p>W-=1W)X(+z!w z6|i6Pbyw7vk{Pz{$~gsT+H}PNThN3n{+Q%5T?wg0Ye5sPs6}MaD)>EJV>}KFtpA$w zCqc`43hWuPcO26d+qA@RWmQU=W;nVl^Ws*T?#h{ID^0YDreG^q0u7Q+xuVvcl`Co; zSh%vcx-oam6-$FtZ-!%Sx)<>K0oPuNPJ0w zQDx4p5}ei`RSMWqc4aCQ>xb!zeeR;SN}JdC^wVC!R;|)MA@!NAgw#^5>R@VVRk$)n zUHS&rFZ*=%)6nu21;(_w^qH+v;o!>Tyfm#`vCVM|SL_oF-4z8}xiY}ir(97TTe+fE zM};fn%Pg(Z8s7D1p!%8u<11WRWk0loehAp2RVJluG(t-SY;z&Q75julcV$4{Dyv^2 z2PP#=xuOZ%Ud`u0V%zs8+GfnT*rEOOusP zcSXTgt+Gd6@+nt7&)R6{(iBo_l){z$u_l9MHGF{{w=6rvKCFYpHx$^f+QpS{T&gr( z3EXCVV4~SW8D>PNYus$Dbn_-ku=klkXo5kt_*Efd~ju|d9I*UZrSu{3bo%- zU`pMeccslo;$2;_^Zg&KGCZyz%IV~Yyp2}LE|0YE!4=#Cs}8nl)AZqkoMe1XPC9vu+XDJZS&BrHm158Z_t! z%g%0MhzC|lnz&vZWd%`fp)(==>C;7>Wao*M74E9MNut0 zGoKzs1zSLzo3}tb^v&rbVC{DboSt@u=+qaT8anhr%nDm?Xtm2nL7bhp^63y2Yyt7i zs0HG~k4-3t#2*x>Zghs|6vZ~M^(d;1Pb!LPLzaT5W>YGP3bueaFKL0;_2!%K+yuOV zv&b1@8#wwyY4xjCY{vbJUSd6ajlNjrX&*&3#}yFg*IFQ+^!=xY0%8{moE>w97*IFw z3`al=tjk)>=n(CzQu@`!w!mgUJh{#)t2eZQEg;rpEf6mmRedoacBQ~MRW1z9@xeZa11zxW^^=+ct{sS0O^j%Re*23v<1ju#n+aE16q zvd|2OYAr!PyeMaZIOoK*w*ule6j)T_0hnKR+=6~+rpFq@eIw% zr$o7yBZq4zbNjrGPj&Z-HY#oTpi6Mnfyu0^;Q< z3&iu+)n5UK+fm@MG8c&UbzB{y{h787vCX=$fM{FdHXxpzX%A7sW{6{tj~4YnL!X2d z!b|v<43P;eO6VD1Rb0>bZ>>iLtBPP>x9n|RW!#>$bBby73l*@ip0xMypTf6QA(c_d z_M&u0BZcp%A%A&}>+Z}TB}x0No=gAABY|>XEtl>m zDNS07(AiK;;f5%A7u0awBQYB3%~JT$N-jNG3`#R;KgGH9SPN1Eg`bRa>B%~z1eFwN zTzbkw;l><=m#4Y3yb=kNQ>wW1EbKj-rjoA3TzU?r=V1M7f%+wAc_~5Rmpxqfay3$v zwBH1lrWOh}HBguz&fqUNUrmtTTg|04Sqi^aAZ>FcmvZ$;RTN$;w5+W~u9CuUr?~Fz zJW__j%|hq9pug)OtvSJU?=>RTP)SeW!v{e2L7KvOVIkj)9BjTXd|!{c^+3H&c=S26 zeC`3a&{;t4iyUcdMd`~13V&Hm;SD*q@HKK@!=pEa+(uy82rQo$aowgYQi`-SX)fVI zh~aNrsAT6BE`66nN>cdyN-k{%&doKX<%ETw$|(F}0jYxPT8YA2fbPdKF8$nqR887z zbzJ(T3aO03zY2T5#gJ>I@YV#^{o$eT?>Q>jwSZEjs1~V`B3;?{NVj6-nkmwqIU_x4 zkYZHQPjFHdMes4U$o9otw|yN_0uos+^+sK9*xS;`B~KDbqmmLKw^M;4JK?4MYf@ad zD|GG}L%pc$o2N+M4Ef%vf@DWE)8s^Jyzt%dwPsZqY5-;6)c=3ER4=l zWORzWA69YQSd_-5C^Fu|CHz2PWPF?=@eG$Hcxd#&KsT|NOB2&b6%?7&z@>dP8m$4( ze#E8yI0s~th1~ugXvk4y|5mQ6fQE`PiX0&1rfATRr^taq?m);L2)U_3?jXobhTK6y zjx8SyIV_MuOC{0)kUK=k9Rj&SAa|&cI}CDDA$ORNn-00@kULz+&4AprEb6LR%MsN` zQA}oPxO8M333I;T&0IRF9;u1~lZ2LIh$2TfQDAT**Uf~^nPu3oAgs@7qR6Z?_KH++ zU9tj+NP9wX;`0iTW2;DO%yHfEM3LjbJvGav*(szrl`Ii;C$v)JghtYyE^yt+Ncj1y z$ngT_oGOaUDI@LKBCb0nkCXv-J(o^PB59;OSIni;o000k-NL2n8l)I$&sTEkj25H@ za0?4(!S}OpR=rTgb@K{H^{5vX=EL56*n26(bu~!mWWg;Wac+tt=f+8UxsL131M2e{ zQSaf>0@zysd#^Nb=>pih0QMFNdl#avxq-CR0(B}&kyMHzi!|n3R7a5uV-&ea$X)CK zB|(wJ!lT8IyC_GIOES!PDbiwarin{w;JhSDk;|&NbQ!qPWfZx*nM+rI>#|zX_|B0c zS7wlsqs`H>X=1BWSXt^mtk(-Limuup>TXAmU6Uvd> zJzTmCa<|oywn=EYBTkV!K+QzCE`t`yz{fkYT)Im`4#>Vwa_R0mBV`&&G}KV!kp`}NvGbr z0sgJTD7=&K;l)adya>w6g7f8S;VZbHMd~g z$x=yWic5I4CGuV|m0Z}urS}_=YAEu7u>N5sMLxvUWVd>*`xxGSTtR^gTDjDcL7#4= z$oCCg`oV)-4nKk-u;8i|X-QJ#C&9TTL6I%R6!|&JoLe>I@)Y^Cj!U>|MScbM?^Rs- z9XNl7m91%%O1tKe;Nx$Mv$T5xshCQ8FlT8G)b*&P(w>aEbeklSMx|8CrEQTYOQqWh zE!#t8yJplExUN?VQUiE|mfjgE?VY639T{1vCyE^ExAAakC&=tnN2Pt(hti#^kjkK` zj7z(;A~jNJ-(oKHtwD-W>Gl~e?FJ3I!NM-=Uui#-`k~|#oW2xtaVqsUb6ubUiBMn7 zC9Q?_9ESh?A})m!RJtGPsewzS;EFV%u9Zu>Be#2+N=t+f17LjstcOM2pbBV$z0x#u z4n{6q3_pa0y^>VAmj*2w*X^B0@=)oZCN7oNB1crZXC;^Rfz04)aOb%+G>ep?(jkI# zI5>w_QfV~FburjG6qJ4Hxim6IrPCohOw^68q0-SYDjg%Rj4ea1fKuT5!P?G_I@~p|3GG{qHt8=-lD6{)Y~saRbuaF{I8y)`7Fvisc)g zr*Zw^z;rmrK*{%W!GUx8ujBn2Xx#2_?w~qpy-0s!%#L%rraosZpmC)Q+?}OPY(U`m z!tI>f-Z=E^{xptHu)z&d=Qi~Yob=MvBPwWIIL{}j0`Zj}R5BL|2D`~}PoR*xB zX>Yx!f9w8V(Kwv`I4LCO@G=L^9%uKw^f+*$i^M7<=K-w_oZbDGoOC#i3u!nxW#s+c z;|`qNem}i3NaKPrboer<^Vnhs&aTH#J#Rl67pUaHLUNu_=fK&ewSMGg8t1RTkYeKe zs=|S@Xxf#LV`-c($-|$a(|3v)dEZS1**~{wTL1laH1;!GB(8`_&iQyVN^eaIXV1*W z2ezlNpGJA=B{|Qna^UQ-@0fr5o5p^Ur#?R^1_%~$hOPjb#IIB<5m z84W8bc%$P~@M>B9tBw!5<4^_s8lh0e7x+|rpkk4iakcG>2VPtKvSZy`$inmX}- zwY|=wSG(sB&o}aXn`u}NTx{YDT+%4x+2yU&Dc{k!ZBkTvQj|F{f(24#Qm2KpXuz{Y*azYiktIshZOM*x}=HQ_p!%k`4(QvE1n3jnX%G_B7%ekGAnH=G3|c*)el~}^KR5HdRAQ8Ntyje z9r7a#?m+(0X%}1%WTYOnsDaClLC0msz__djWW74BhnggvaRrL?4r;~a>swt_S{Mdg zy~+C{?iC&5)gan|b#)G|N6khNxI*=!>$br)_u>(QU~otBZ%n!1daOnI*EzVJtdsf} zmw!w9{)KoQeBSz_fy+bw_nTdCJ>`*lItSPCN~w==d2eWJ7ccK!wdG4cI0?9RBL5?9 z{p(q?KPX(!S!sH|H%1k<2|oRYpu#Viv0<9tzg{-`g}}8;AA+py=)Jah{@}AVLQx;` zuc~p0*T3@8KZVN{L*smGvbMK@%hm@BT(6o~8CPIh`}5JeW6Q^htB(V&oymWB!3Ecv zY;|72iMotQlE&ISD$9I!R4*md>U{SlXf$?;M$m%dO8Q!rmWN_;`K$LUBCC; z9sjofH(vr*Khkcga>3OSm3kDe?{XHJ(eLeTt6#V6eNG?0^!WP{ZwYbVD$S|vd}t+>u0lHh<5z1W4wAS8-$&BU3{e7Ugm=9mnsVe1J`dc z3$25={_sdyf$Pck{XTGf;@nTCega&6((Xt*%}cdxW>^-!8B=wp3mO2+DZQi(O@d~bQj3t_F|0EE#+oTjkk?4N zr_R|l9s;vEK00oCO;!lvkLV>IR#{B{qjmpZptFRuf3@kfrq`oQ@eZ5DGew)}6)}tH z6_1TS45q`REo(DBGEI+9N%4-G*6$@*UIaYVYBBxJtZNp+bcD2ftDFOlXPkfCw0^@Y zO?#iOH%)K!q`zNy0!){ZR-bS&y`R}j-Mo&GOE)v_%BAVR)CP;`ohN1n!1V5<{kzQx zX9m2Y>VJ9dZdzYDFioGX-Z9$fd2+^>`(e62Y4^okygu-6c&)E*NYlPzvUt7X(>GSY z^Z?TCFLN<{@Za#dQU*+Pv%n(d^$wZx;)k|_X_$SW*v0fA|I_PX1-G`=SBj+90X+MZ zL&`?abIYzd2c`#-_8_^Kp8hwy)|azP(|Cw(nD*`6(69cbgJ60Om~OS3?jf%kM^wwG zaStDzVh`1|%&phEN8-r1)W_#KKgCyYo~^#_a=;lx+QS}aIAL{p?;JQs)!X1;8-au~ zxUA1!qmzI$n6!pA-BCsd+f}4^N8q@hn8%RRC!&LW3<}QKwfpY}ID3-zNV7|H)TFF) z;LJ4poq)54d9wNjmzBM_WF_G2McSh+E^szwr8k`eXI7KcC*YJ;SfaCf-PSt+XK&IT zZ*vxzjiqiqItNa&!U~7ClE}u|yW!o-_jm(vqNF|P21nhYbp($4nRRTv4G#9QNH~Mb zCf-^%4sgmzdkRw*`vRJ=NTF_II|9eOD<4lbIM^^F;q2Wor?ekWWrmRUOq*+rY^=!a zloVHRPH45#Iy9+^oGeAf;Lm$>6r6#}f7lJ>eXw<>(m6VjIaN}=bKsnkm-@IX^R^%f zXK-0va?BrqGZf@17dWRSrFci+xHs16%~GF$gPlkU&UNFaJpnkwNP9lx0;jr0igym2 zGg_oR0q2D(3!HQBJ2VV9!%2Ig(FM*~4%e*z-FMuhGp}HSgZ)-2IwkY&TM0NLunjBc z0%!hT>O1am%wX$X8C$$0oV^>027lrM9Gq1z7hK?+o08siq_Nz`o%77IQZyEJju~+L zJ7mU;>bDkfMv}I=)egs;$LbH}7!t$j*h!trN>_yG*UU)3^s=g-c^*58wAb21!Zf|8 zPKtNfbodvuQHAL>X^Ynn&T707rblDLYqN{j`Xf#@-*MAwiAk8w)mTgq>lNMvonx?r zw#mixVzd8r+_YLn5~klYPfP^-j}K;k4AWytd$Y}nX$D+>Sp3(#RttW@^jfRe|7lqL z7)*~NZLOQv`V;NHW?HR*3e(sbt$KJOb;T%{9*+&vZeHt;-T#_twJa=5HzzEnf4umX zAWX-x$GhI8t@XVDf6cU7DHo>StFV}k)coV$Fg*dA_v>6tdy;IHkB)aU_3%@e#^z8J z@W+b};%9*qv1c^xV!9b1l*i4U2P)gfa`Z^*Jx5@#~a}RQ=f>$XZ2R<-py-Q(-8$* zn8x`h+kd0yp-k*x=-eNhiQU@&hW|OZx|?3wEF&gN7t}Db(R0U&uil603epO00axN3 z_gddQ!RCc&ys;v^-XU{ncyfQ3K7h2Z+)Uq;kjULkyG|c04{e3%Z!#9s>o55u1=CYV z+vwKT`d$z=-(k}c1zVWjq}qCi%%#6{yLPj%+9@GSf1k7j{G2n_hM@BxY+rW^_+8rnGVR*d zk-O`ptT2sNnp8J?<>3GR0MiHKO(&Ot<0UOA-r<1b#VIK(O#c+OnEpp%#oI7F4X=OI zx(q4*(xiCDO{>TM!t~G9nae4jMQ_4%C26g0SF>ei&^l~7Qty$lg=xG^paLFTsOMM@*Gln@o4&6gWrb9D6#@iTDmQC|3gUa*~8`~V z)3dx+9}Clm9BenEKHNt>n%(B@%mo^@7K6_{n-DUAh{2u zPnfWUX}mM5y4k~t4+1cKB$aHJa0z&$Dc*6f)h0w?8m|Z`)BP$R;)S%MsH7KWD%NF2 zdF8U~L+r5W$Wv9av2@b~i|HR5MrUFAXe#L)b2c4$rb3E$-1KsLH^Z>1ynf)3)50hp zgZG{=jyriBc`p6eO=p{>tnhlLR*UH!Kbj9>mzh-3$0gu+?@Eey-0K%BrK~W$bBo3F z*j?tvVEX^@_CD}Z4gdf6?Us5;e^yf4U%R(GXSeSDQ_6~aZABKTMdeCm^RGo%qOK&1 z)Y2cT{8w0ku8-gm6c?bKfl+^?wm7wcgN?wxBLC=<1uw#GxM6~ zb6&4GGjrz5oHHKu9i4(&f9PZCB}3z}V5Uoj9*58qK;KnV>x~+wUV5z| z|KjSQ<7&O`!Tw}!b{Xiq+Xc1$G}w6P&w%ODTA#p=T-}FvBP)oPgTAN8;9p}q%>GKR z^`94{Xr?D}F3yuYB8N?UipEal2P%$COu9;U;yxHSybmLu--Ob)c^b3hb5V zvz#CQ%JBXny!apSnV6S4oua&)sRBRZ_2@~Nu0~$hgMLui|4+QsxfbPhW?ccVu5%nu z*I)26^11=^XZQuY{)sbNpdGUI!qeYeTy9gWx~ReIRlHwSS0yR0vkNz$qP=cgL*DAv z3||T0YB0#N_Jwbl;W*^{SlF+!Jmi1It6^NWUsZQ$QeMMih4y;7rsF@(kyy};pg%WK z++Ia_A{s?UjJ^VChCAK?}7%3#Nv z{bAWzdvOz6f>&C*SI1Gx>w-di=`Zk%HEl~HugRdlAXS_fzAd1Tm%3=ecmX#ZC2@4z z=GNv(T-ILdyp!@89VoQdXzlZLt;Qp-n?XNX;K%&U)VcOmhMlou!(6+6CdJ2z`w4aZ zit-wxE97-?Y)_xQeP|Q-QN25%yv7yw6Z&yA)6Te*JVl-Y`f z{hz!l)OjZ5b(Oc!k1ow!W7|wcUbUdVQZ&!W>l1Rk@;Az7`w4X^jq$=aL3x?YRC}p? zALZpOVlQvai{%%dio9+Gy|l_BO$YtWAmF93b9lM- zl|R>_?F-+f!sYvqepP+eLU~OM6!PlpJ>IwNLgaNj=%)($)nel#zQKj(kZt$s{RC-C z)L!|v&h_`|(-6vQdXejKQr(_--Nndj2Iy}S%qQ^OHynqYz48y#WO=Fk0T?f)NB$>q z*>Om{z9}z!g-^kK$AsWX)n43(((De<&k(fxV*MAl+Dq^VFaG!6ywrPL%Iof6A+Ia# zy@F?xClh|q-&I?@edP|X6I{g0*{euDfp2AE+!!x>+mf4)%i2qwgHv9!OohDesf%o0 zM;7q!1pO>mab6Ybp;3hwz7vSYqkiPhn5ewSvTgQ9;wUeCgAj|Oyyj>MdEKU&cHdFW zk=I?Izc*8y7ruLlm80_N!pG(MFLmdI%4=?z)6MeY+6Cn`KT*I74__~PK^}~}?gst5 zP;p+x<~c>$7kO79&w*Tz{7?FQeQD%@s>CDM_%`Weo0LU_EI8Qy>MQV&Q`Ue9KV z@mlW-k;lRJfqt3jKCM{0S6o5cO}5>uClUUe*YJFMJzwO$_T0ey9cS-AUUNXd+*^XZ z)NR13z0@NK|IJH1T1D;k5)`x7IQvra=w&YGUrd+4>-Hl3y?Qp`zj=+!x7W&0A+IHl z$^rP!sj_DCK>xBwz)Qz-$o5~k7iO{RpZXEs7~HUZ0lvqOkIRma1|^Q#Yn8i@*Rt5y z6_-vyUh_f!nxiSVs=DebwW|N^ zD)pQZg-&=2O|r!C;+Q*IA%}-S|EZv($-SSCm{)bxDG6ro(p`0`(gRRl_{y(>8@=^` zov*ID9|0c${pXnyTven4Y%sYMyQ<2>>O^^M3UjVnz5K=1NGAmPuN%yd6j!P0s;kth z{i?&*#k9tC|eT-+qNmoDbJ%Bh&UbXN^lu1L!3yV^otpC^aT z{qQ;DwFvazHfYBG&#tN=$vjtW1I|^SmMtNVm>&auN}F#c#Z{`h>MFIW|Lv+<{dv$o zI15d(#BuFEhfYQgi$T9lG~LO*Ni*M7XDQ|`-Bqr-JYKts+(xbs>|GUq5qUih`kf8N z@&9zykz7^eEq~b`Z9=>4oU1N=AxviXPk{cX21BRfDpg%|m0H#RcGdI-(5XUK{Z!`K zQ|>_yPlEpEvi#`Xp?wnsXeqObGAcYx=aB&{ptwKIA+IIkXg zg+c!d6z65==4a(7yc%?NgctuK_7Zo1>IyY=)o(@a6b&Y6@g@6^*HfV1A1ux*f7Kyt zFYdi8ghOe()F)n)*B_2Tdo6L?I{$`l$m?m)AJi4+m3t{Hv4>)>+>3W}aV5?wFz0#d!V0&pD2L^R13ZXDR6a zZqQjPmz=6jTvda`kk?AHB1xQhChyC;n?7R+?#$0rs}GZ zgbMu;4)l`ypJ(!;@LJ0Lh^s1ExC(jgj8=6W{sICngNo*w;=GDZ4-0!ohDH7-T*a5% zMRAsw@&aEWuYc`#FU^q6NzXz>tD54xiaqRUu#imc)nFl+c2W3xZ^nUe&EAnU*RnXu zt4$%Vit_YdSG|P`dCekFf!|iiyDL<>&b-C!T+_~9%%;5XrQ!^a*^9h(oR7=iJdgFT zILb>?c>7V&(trE*!3U7nb5PM<(52^ZCdhSB>QN81eZ8XCLFIL6gmF;p#cf2OygCF5 z{8-UJJF~;E?Z|66RCEyBe&7d+xUzHhDl*hGc#u#1*r1Ee`tj2sE06N(94X}0%CT!@ z8ey9~4;7t6UHUUARu0xV%gZnUxVYT)_*9sW6SjN&`~u5Id3AFZ@;b(GR@X1R$m<2D z=xQq7zA84xSUC!>1`jH-{lwdGE?@THSg#@vFSwzL@;X6R$g5qd?yc~L$m>O@=qVVQ zo0=Cl)Kgv+;R0Uz{l1$QH(QRpRzQV5P~2Yl5`NAOx%Q==Ga~Id+fOX7=kn$3^1lqB13l+lxDIr?jskQ!M;!S(xDwuEKWDT{D!IrLdpC&6OLv9<4`S zFGB@>x1wmjTCAUFFcxP0nBRBMexm52q`E3cd7W6;?(q%NCvSalJ@Q%!6?Q?p=T7vf z_WCyO+RO6#UmuPg(lNVIUMGbL+I@xI(ad`GqsZ$OsOaYx*ekDZ&$h1yeQ36y_+R_4 zk4$;I9Nt1+CcpdJYh>j0DpZ`@;7(K-AN?^_j%u&NUM?=zud1(&VC@Sk4k{c-T=sfY zADU8oomO}~V*7#>^KiIr_8L^2suS2tePM&jOMR09!OPlfmz(FB9f#C+52(D<2e*{h z>B$0nVf(84d@k7xu?i}xW5s#pZ;;CM6Zsohvb@w2D1?LfdQ|5al-H2Lc@FZLwdOUl zq5pNL7%Z6QaHkekdp%jl+a}xY3$I6(hy1VFt4*54Q(k9y3hae`biLK$DOAF5u?!Vl zkNFR#bN0%cljZvR{5e^+pUA&Pv+YaWltX!qi5BCPv2NIiyxxF{i$elG=JoAjUJW)} ziu*5hQx4@dzR-^q)&BEmZcQPtH=$yj$d5(lUq{rhmM8N3c$vM>Ue?50TSHGEuhmd7 zLC{YWYhMjEc#`(T|NgVxbN4aSURTx?@~U*a;<{xq@_Gv@t_TsRx~!qRxD z$AKs>`~pzH+ORco$`!_4$m?yWn3ySnSN;RKl6Z0R6Uu8+eIYM{Y3k5#=OM2(_(f4c zyT^}{G9Rh^MB(OLmWTZRpMJ#8e6hTg*R_S?kpALWs6)#n7W58O++Y{jEAQ?5SwH5! z=)pLYWH0VLx|G-CBJImydd7W)4SB_&;wDl1D#mM~QfFeDl6Y}*e#&dAtI&_uMElHl z2+{0asF)(MSJcPsuKF?0w}nASj=8>{A{v0={=~p-6?2ad1GO&zt0;3O0yTY-a&cYr7h$&A$Z$x8`)I64l3>} zE6%IelgSCrR1{z6^`)259l>5$j^P%+b6oL8~=eS=NRrTOvF2&*UM z6)4hw_4Tg)Xguk^K7fkZqWhu$b$ra9lV#_H`E#=De8P(zQ}#!B%`LQ7#jWn2#OYy2!9<+U(f$m;>qXCq&I1$nK9 ziUmmlFSQ(%*KuA}w!#ZPXU)e6=U@04RhEzPdL&lB3lHbTyz~1ZuaBVO;Ye{_`E#0F zKcU_Os=V^Y@~j{84^(CCrLHSdUXPgycvak9cAk6kO62u1R4mdI=as+4kelaxm11>P zdFA)D;`XI({3X1qCWOmc;hXy>;`uGFH~WX~Krk0GI`?^YeWfcGvp)BocHMjI^XwC% z%{R^>Z~EMTAF}plKhIu%TkfOleO|?nQ?JrO?=u1j*5?g31`YIiyPinzXrMoSx;OiY z@;#f=O-zUT3|bd zKA*-h1VGlJg`m%-6oxSL`B+P&?@{M_2lV;eO{8sh=#xt0X%r8UwkP4V^+dOpNIwGf z*@e>gCL+}bFu0)4R*JCO34L~BY>FcMoPj<+*P%w3B-QoLUfVP6_^XGmHa zbEWZ=Nec~;_G7O7T70hx&H1|z`uuLE?|s3vOcVpg{7dRrS*F9kQXtPI7Occuu1Y+s zV!RPfPixX+T2`YcB zM~yln{T9REhss}kMEbo3gBH_)NC(pxqNoujQYM1I3zY{PL^|ZefdBtanH@&F!-)3> z;bLy<#DHmk>WI`Xi2>6N5j?XdhylO-IM73+4kr4+R&!gLcANuaGGJ~`xR~+dxMuQk z+|MIK>J-J`1M_jjN@lGGgANQ|5@zNuDGXsSA74wPZV3$dRlKi5MCyS$J?v0fPt#7Y zgSlrK49Or#!*6Ap@guk94x|p|3jC|W2ZqhG4hA=v4H__awUaa%|B@15_?F@sF{jao zK6pVE-jI;Et#<`nJfK7IvM{OkOo7&gGi1zh5(kEBGSMRh8i&Jt|8KC z9t=7#_w^8|S_9^)1Q_3k;! ze71Rzrdlg>It=E~9xOLW^lID~G+;PH)5d7Qd~p)Xt|w`i zUCN!q2g7#v{u$44X&N*)KEyVeoO>wcKp!hDcY3Fw}r) zOo~X?)?mOsnXDawc~T66AEcJFlPs&}Ug%y%M}&Q$O20GRJ~fi#Tfya$=hL}op_L~m9Y1NM>K zTto_FFw}uGoaVf*7R>iKKyufUv^mIn4zfOh>dnKnd6=fB()}qg-ya4^ijbTScrlnj z>J=c;!YBqGNEg%-=^Myy#oJwQG>bDBrS?%Zt#M1nu|y) z5$|Qha|Vd?N)&?+q-rmbUPHXs5N{kscpYo|I@b1#2uZ6;VL%HGrh2RGV16?V(&a9a z_ErKz5Tq-;L|Ws)fOQxeC(=7945)WC)mv)<^SkvRxoFyZVKBc(a@UcZAGpE1B7nJR z+x;+uF&Z$x8X;-xYr*_s9hleIiS#jvabS9eNE;$x{wN0KI7Qg##2O>|=z5a&DaL>5 zM~x7XKGTAEBmV!1i%6fxz??{847K2wPK@zm3`O_~E%9X;NE1vX=cXVAWcP)eNXZnK zze3C}Df;GGjKTU%^pdo1bzt6t>6<9}cUYUv4v?-5khEW%81Vls8X~2`7(A#!neF$3 zdA}2+>*6Hs4`lU62rX4dq(AYmKd~*{K+8Pr1oL5x-A_yTs}9V6)q->rkevV2V9?NU|I_w#srAe(v1PzSuK@X)q|x~ z1T|tL?dT8&Okk1k%Y9PcGkw-5&8au1by z=)lrFg&JX!)-#F$+x6@Kk#wk|Ghuoek@QIn$n)L^k$Pb%y=uU6f`dqs8w1vTPMk4wTPBE0C@~>gMKY7<>VAts@xzw zPD?q(4VF`o^<%V@fpM@5#IriDYe^|paWH@90x3+(JRQqCy$qzKw3IGpzUx(kf&Q!W>1z;b>9r03%#=Y@W-%+i1{9wgGp6b7vO zi}gerjaG7-z_`Iqq>Iqj7on}AK%|SY?iV`2_-T|#V-gsGAgweJX{;S}9bo)|>W!-d z%eY#QUQ3a*OLeG=cwbXJPY^5~v}YZy`(+NWT#^RkW~z5NqF;`Ff740yt~7yVdFM3bYQt2y|Ok<^n4N2#k+~0soqThmK)R@?204av4o~Fb-#}IFaljK~Cc#A_Ix1?!LAl?&*w~MBQ0W43(K?a)kR0J$fA?tdY zwgmB(AYN;lwiNM}BHnJAwhZx>AzqspL5P^Zf+N1Pho(JO2bSk*L2gIWo=3dr5pSP| zx7|r48M=e;k0mxlbM8f-5%P!mo*o&h77=eLrqTUZal2%`jA%Yqi zBJIY%c6&kYnIO`hAO<&Dn3l2+k@wj_*2PKMFKG->v?9g(H361igCJK>gx}m?`Avi6 zmXVylqx3ua!9a5!#GD5)ryM0|nJ5MySbp{p=@9;P2oX#a;cyx(hcUgLru~i5-%*hJ zP=tRm=f9ZKOvmZ`y*`NVWFj(h#Kwe!&((c!Qbw1X1 zk%mY?#0w(c+q9GgPOvV(QWjIZh4o-v7yHM0yHCI0o{E zDIz`X$KV8cnT|+HofweWM`c8M23bFYtRocf*$`NttpRxh&H0=btdS(h%PHRSBv_Y+ zK;GyidN0&q(1QE|#ap2R>x(In6MmBR66SmfbFQEWFMGlIvI*qR>?Ca^T4H4cOs~^2 zU-f|XRUOD*P%FI=1M3@pkiVknt5I(?>TRMqarCvmgb&UsPdQWMMIl%f( z2IQ?Sg79tzLmkK|2a(h1$kGBNFQO& z^_a6hNu-aX74 z3}s+!T}z~0$Yoa$jBSEMs>j;aYr)tqK&0IO)}P{F)KI;jLty>628mR12XIBArb^JxWTBS zX{~F()*87~(6lx#u(d%1DMWI%1F*G41S7$-wNGP+g0VMEJ2nEgW4&Okq-n?b!FHSz zj241!JKlo0@|3~ZGdl4eF7vkB9wU92csQR+=u+g)I@1K294)GrLS6XO_ah+cmu2K?Vf z(@sX60ri+WPSQ?69S7z-$w8!nNem&doth%jY3PU3u*N4xh*a$WTU7>36KI;V7Hm!j zn8rs*+MqfNwP3<+N+=C+VkiUCl`$fnf#sc1gSjc*P%YTbOoDB&i=?^GmS-g}H!bDt zG}z9LBD$NTog2g8hu-~Y&hwDxusGt;8V&b?ZMX?+=hTv%Bar`y0NC7A?|dxp{2bNYzhMflg~G#HoaBTXc2j1FuUr!aS#NMki% zyCi`z6k#0Vjl;F=F0_)$@uB$?2&FgDwh&$~ciO!`1jo0K*xy4wqxviEc0q z3z4)dG3{K8v3rPgRU8Z#1i?U8E^S_19e1E!6)olJ2pFpCz|g&n+vtM4-98TNZJhnF!W7=VK`0mWx#M=4H){-w3{$(B<3CjBkuhO((VGV-5E!XFp=&?%ibLX+Z}cy-Ge&!IG|f1PNdm77`Vj` zJ?;V`1srh72~M!hbP?%Z)Vmk;ZlQL;5!*Hg)22{qo)&C#lZfsmIq%P4r~})5RC*u) zwg+5bn-w8x3msrvfa&w7R~|xUco%I8){?Y`QRm@WOa~%`yckTVktEV0E!ZASg6*LI zk#LN*Jr)4lBMu@xj(U%yUZ|c(PwK$-L<%)1LKt&~F=wETq&@A!U`MW$^-?Tz36}XJ zwaYW8^Gq0QPq|3WXHn-_7v`pZcn)qR-?|FY1E+1aQwEt6#`owWrkz84aacXo0M4$bz*=Tl-avj zI*#GCw`)l$IDXsS!?bsFM8YxLhGV#GMS@5l1TbLw3aYoM9&DQ;SdSD*`zDSd0JgO? zMB1VO+vWsHw9Ie)VEfjIrG-e^Rxbt<*uKsX>H8FhFqWhx(zY55h`E_s;s^X|JECt1 zlC&K`3~rPt`YtSCXFZlwPttyJfbB;Q*iy8%yW?Qn9l(;3ByCSE22B5s)@U!5w>N~k zc9Ql>4F)aPc14JkPGg8-X>~-}k68OPDA7`W!}#BPSWn}{pusqpD_%6EGa>x!#c1XN}-e{(qDcIPArMm;UA3oJBGS0lJ>6$gAPk0 z%($YcL?|!(k#duftG_x}<*gqkI_bV54hR_Ld2-w+y00bAlc0t zdj}5&9hMd+Qb+vjxD?pinuv6K6oU^rM2XZHz}_j2x|Fpx0CuelOY@VouC*8(s9Q#) zZpgSB>K;dP_P`Q)*iqL`(s10jpP<9i0z}fGC3L9UIYOigEJ2?^T`iFeSh@kgPUk&# zDGYYWgHn*B;Y`4eGXeVvDI%G|7(57#J6}gZx7U+&$Ig^E^r((EgRWDt+GXt@3*B}+ zRE2S=W=Cx{E9mdZ6v^4{^1=O{hpH!W8Do1Qms6Jv70KE5&OPuTR6UWzg(6ojXSdGT zeRBEeZ64YDz%HnI9CLmj%jN9)zPm`7$Lw4e`~s>LBhRglT+SZDvU@1=%WS=*U7LAO z^;nE7c;#~HzN{-!=FyuYJ;y@TA}n(Yu$-SqisXdTTCAK3RgcDT0nN{HepIYRtuC1U z$EQ#gLMv^`u$&(jvwX{ATb#NHbK>IE2kux=5p%ZsbT2)Z#7E$+#K`t zg{t>!z%redS&sWpS1UZZob7aL#;$;>b(r&3KPi*seA`*1%(mVS>J~xOduY!owCr1qTPEZxa zoY%#2IrX9JZcS{-T+XATWsmfLsudZ0s8PpqKA0+k4qwkLza6UZW*Q%>u$=cQErctx z)%-m_E`X{Ru+3j-%H{0-eK9MwtR6XM2vj|v2Fqm*R_24zBIrk5^1&sqLDh2Pd8sa! zQ@1KpBxj47Ju_d#oMq&36D#vmZIPVKPnq%R$50hPo@B~gM!cziF;OIE`Jo;69fqoB zk>}`Imh;VGK5Eu+${$}s)iUIHp`Yc%2h-$SM84(AYTNyC3RFFV_H=t$&QDY;UEovN zXHCn{MyT$bzz2u4eKtd$e;3Qy?%Z9m4N%<)AC_;XJW0+v)t+jZZO``(oQ^s1v2-#; z&`HkaE`_I>vrYTai@t*D{@>ce0%C)fFkT^_tz& zbx?hr2_Nytaycsot3Fc8Ji6K3hi--H4o<+$0=b-BXKIR+2{RT4r$P0x_{e>uGnZ4h z%U&dBtJ_<@^($0s@Zo#Zlgp_e=Pi=6Ww*DIpF?$f+%~Ww!g8KctVTy^m)-n4RJRKQ zZidL^>|qNODYM1685c-U-L{TwqF`lOQ$=z%?>(jFN~mswe*ZAT$~+y4nQ-&w=U;&8iWIpyW;u^7hTiJrnqUa3 zaXMzZS}C)lm_1w0oH62GsMe(cZ^l`f0~Eh=JdZkR@V37(Cy<+Xmh`x~Btdm)EnL6SPIjET6ROzQ>@thnp-mu$(uBisWqe z<;{K-NE{ine$wGoylP1Tw}dG9=w_2@Z4z+93CTzL_q8Nnb(b)1V@a_%y0xVm7Z7oK z3yT|oe?iqGJD6|qv$!f9(LE{-xcM;`mtAbBK37W?Tu2;p$!FRScfLo`tab?i`!8CgRBAFP(#50heD=;h#3wY&qAG!9mzlO76uHFCcSR(Y>%ap!}`>uWG9D=W?m54Ny!6hGqe7A`K!3lF*Qaamq@eN6Ux z#N#h4A8lWjOo6@h{av?}pE3=3Z34ry9s#dobgUee*Kz!{kmGf{pUam$Mu$gf__(aS zx&n*K@~SW7wKLky^CIb2lVDixFV3r2zlz6mSlP&x@?tXipTrS+@jnum<<&36;t4Nu zZYTGp+HSV!;XT$W)c*zyc*mSKDjk(OF^H#sm^vx)R6mx1XMp&){xK5gU{RD#|9TRa z9Y{$6rRX7X1284~qjau{adggJ`}c>KcQY7pZM`U++!#&@Xc{`%85Ajx(!u9Ys&x)L zJ-!X%Yyrc|0y=ndiKvpFO6SMC#!-@Wa+5?-9;Jg1!c;ond^_+J#Q7EsuSAPm2M
>V5_kJ7=Xv8uDolP2szoNZuuJ0ft_ z?=?h~{F;VN_STb>N9o}GhDxVXz(;0D+rjXTsO=m~6IJqS8ah`i{WYaS?hSD9jf}3g zs7tqjoSFXt4EPYQ_?1PTA!UC}LnnK)PfDb8@PW8WXVeGd+9I7DV0cf|b`CkSWSYu4 z*;NXK4nC1r>AZE|%})?#Cm7xrwVlIF-0x(UR}?yDML0TJ!zcfNbasK^!*FqD;jz)C zwN7?jNTD;Fx6Xl~htPw~egwmMLEFJ|t4&KMyP&1e!A%jWvvz)&?vHfp!GL?fiZ*3D zINP*zvMX^4oq-;Xj;Y!fLOMTz;S)jI!86)TN+-93sL;VpBC2)v{?&au(%B7$PepC# zI7gGx$*p}Vbnqcxj!yTs;dx8(`CM7EpTY21DBoH59$z{k$Fs{kos#A@c+#2a(mv+P zFb93n!`sP4y$1|mG-xLZwARDaNtag|be9yH^H{S!j(1H+~U{#T$+uru}2p>=7dOQAOhIOsM99=rjee*wcc zB41ZTnR@Ba1~=2C(BFnR=waSdE<@-v7`6lj%}mxX_0pk@3T+CFr`OdMesIiXzasRn zVE9ht>)ye}Ls#0FE`?6wXEQUV5$78&L{f@8F={_-9&g zg#H~2Ke_}4KiS@RXh)psQs~_^9JJw@6=x#!K`{K}7C;ZgaVYyMz1F9Bm@b9h!$aR} zZhbmJ{{e=dMbOoXze#dyKBh~d(>(Mk z$+@^!vDu$s_{A$Q_?bGUUOM#9dZtUEaaB!i;cpE8@OFeg1cqNlwRRnWug~%1K_95) zphphZ>_F(lV8Cr`#k-VYN6^AY1oNN|^3coAxpojj{{@EMMbPIvnR@94zYv%%HF$=H z-U)BNjnIFC;SUk?$U3H8I`rr;)1}adc<5XD{Q3_<{{x0U0|JBBxS4wC&||brmqO$F zKGbG*f%)Bq2>mY@4vT7iiP9sL4m~d4*Z=r9=t_@kHn}eZ!{2^EtuL)*%u9#%D7vK9 z?ptqn83T#wMr!0>Oa06P1ikHD7>t zOQBoTaL`{Is+fb&%|U7|>dmgLVd^E<8sDVFbSZR8-q+44^D+qC0;Hon0)tPAG4+z6 z&G|Rd~aB02pr zylF0P3zAlsPe-xDJ$V;vX;+6@6$;(W#h}p=!)Nw+4x!tD)K!xYtyp4Km@y|#IC4t_ z@N&%lD4iZ&MhDx(xaqgMrW6@2h3%rMC&SDirzz9(uCFp2 zL6Tzv=!e6MdFjxh8m3F3d-Kq@jotG-LLU#3Nd&#<2%r}$V+@7Pdkp%~$lZAVSKbMv zKGFPID}BNfA;!FE{2x}XEK0{x&pF}4(A1}pPG^wJnS45m|DO)>bV}KZ=|o*V9mNvQ z)-(0eo1Cn$>8Ep!UTWQtNvFGw*TOf2dkot?D zvm2#J5v4<`^G*uw;GtJK|1cqRcaTmNL1%Y!Hx62z=uzl_JoF2D%058o9w40}f{uC! zEcumQYqi^=(5JbW!Lilr6RodC=$;^*YR_+GN~>3UE&_ezbApx1RYd7jdpSDOmOn%W z<`Y1watr9Z63yBnYl$PL^O|xMQ98~5qk|XW+-ug4K{`5+PWR{2Q7*#Qm43Z+$Ea1I z(1R6dbjp>di%ZFARQYnncK70?2;B>$Gh+hiHJ-*pzmsCR6xzi@U+F#ILTCd>XNjQKVyBV) zm0s)j!c3P!pTk4nJJW{igyj-QXN#b-$52QSr9-QCTNHX2550BW`M9B@Tm}i>yij~$ z_QMQgUOKdTdqbgz^U%+ZziA*s8$mix1iikt@zCo11ci3jbIt6I?e~zIbrVP=Nau^7H$)hA=>}J4%oKVg54~mNgLv7N_W|ib5%fl9 zi@CtF<~hQs_&0=)oVY*^AINkj9Ikzp5v&yP0G&PMO5jU}RtIJZJyC&1CtT9{hp`CV52UL^b3JuzZW_w#Y3-I)K8WV+NkH`H@P!^ zUsunnN9jzDb94-@-=`y;Dv)lA3h1;+GWDi$Ry!XnkJ6b@&(U#SHt{&5Qw`GX2?3q< zigBBU&ariQbnXO>&a2mScpvGU4$>WI0iBKsrrtDkI@Pf9sCDjkadb}U@D*8~bb@r3 zMnFfaW$H~sr%QyDN9o+-<>-9Y=k-=dXAnp;-2yt@LQK7B==9LB@+h6z0gjGOW5%7@ z<%2<*_r5I-0dF zk_-I|knW2L=txc64a&-;LFvo~j?T3QhhB$t&ID<0LO{n<+qBlf*B9k}lui&Qv)KbH zxXL&2z_|gWGZduzHTiVd^cz2Q!PJSfN}AhPEcxwZfsTVdd??Zqq0a*80l{-|{E|lF zq3vm=OKZI_!$Ch)-R&iWcHwt8Kv3%w^PEsxt<`<86#Ahw2mRipIqMMmZ2TZdnE<-K zlQA#d;3{nj9a5Se`g&Dx(lrQu4oD9R9xz!3VB^UCN`}Ty)-hcQjSEU@GbwO4) zE=Z4xn%T)Ird~2MN1H-F#`}87I(aTa55upeh!$EqYfv?$Zl|^MvppSTg$#S|g&k|3Y z7#(bS2lssN3ep(?(o>>qeUOf+mu`u{Sl8^2T4E{R&HU+zYZ2NF(h|Gif*X=y>ZL;u z(lK2EOrtOK)7l5=ZEvWTS zKT{V$I{>Rx>?k<*!V^+!-OjL5>phx@yN}W!^o1ZrVgl&1{!fDoq48Tpj5dKL9}`mV zz}{c<1-Szo3DWXlKD5&6&(<+@Qfu1k@uNk2Txos1+skw*ofqplI_FNekY$xoAiaKw9k*(79Y`dQC&;N)xLdrL)G*(Ya+{`B_M3EJ$yA1$3@b z`iZ8YGcl7#C&qV!^J>bsAf0g_y%Q49x!S?hn}*Icb*wyUowdp|2%ECBYUWU+GajUO z8w?0azcZ0B4fqw~IlbJqI#J%2$umx8no1lGAh$JCp~I=%=ik6PzLz9|nIf0hgB zctH9<)bHRLZ0^@IbS6hxd6drj0B4^|SIQollY+oipE($RKe!NE;#oI=F{3_iGwD(*vwL zO6SuAql44xb>$BXL^@Z1v@w-WN4a~)o$wr;lIAwtJK-qwXG$M~&=<`AfvjU(2~r{{ zfWE`jXlRQ{n?mCU2-P-`Txl{O^i?2zp2&x0{Rzihal(eO(xC74FsP)9v-2BJ?#N zZ4p7wcQN(Sq3>5LMxnRz&^N4o^;(3!7NqY)&=1r$9(tj26;bFE5B+nezq=vyB#^!r zK|dI8JoLjgOqW7$=b>L8s@aIp*MYQ61pP>csh4i>M*~ckLho>LzP|G^|Cb1TJxD(| z1kLQRBk=X&d|&V4p>1z{@-9N(0Mbqo^b<$m>oDZix}JxQcYpnNgvM`l{wRWeD#FxD zH~5l#U+?Cj+f6dwjnFrOgrD}vdq%HxDNB#Q*UJKoHud!$9y-!#WFLgS38bGz&=C_; zFTK{!)iGTPz0bonvtctQk&A0GNPBApwSN8xeElNiL8p1>omV{B5utAe3BQR_+~6xB zOuh74M;%O;)_Ok=JtDBCGeX}2(yt=umy=Arbm&*|eSLt3{?PH;VuYRo(r+T@Rk6lH z*SVQ4t@S}3`p9t<%WV#eO$j_xCHvQu6Q(jT<~U%#zo z>ZL=gV?BjF#6u6?*Xullz7?cDMbK*$f0Yicj`bA!FCN-4e9g-UJq@J8BIuZ=@wHaR zdJ6py551|b*A)nT8%TePptGM7A@HTwS{>^NH2Ea8I!jr-VgA1eJsl*`q4?Z4PZ|fU zj`b9}Jj_9#)VIop(6@u!EF@@V>*9?!xH{HT=oUP5`_C^=BlHZAn~R`7P_E6=4X%#$ z6uKo3-8#LAK;HrKQ6lI~na0;z9qTDH@X+0MbRk`eALLdd=x_XuhgQdW3f-EA{`cnh z{zm9KK|Wdpy#*Q%t&a5+x=oC0X4eJIMSGUt1@bXkn!6W#Rtu;j>gwo9qTEyhKK&S%^hKco(Xb$5%l-5#zU)PJ%#STLm%5>%6uKi1J^8fOzajK2kdG5VZ%;HHdX-`^3f(EiHM40WY^i(j+tEP}>chTN~@7S6rLos;A`%q4y8k@ZwRH(Cf?Uq&W%5e(D)^4W1XPZhkVRv zr9&T1GhGVZNA-2vu+{Vhx!rpJ&s;}FI2W9r$iO>&&+)w1|bY0`2Tjv{mfa>c#n#h#J*C6yGAomye+Iq|p z7`$zMtsT6tr*!B=3?2gcWRb7iE9xSHi+!z8Xj5Mg40FxQ)@RKqgnks{Qv|-Yc8LC8 z1{Xtjv@=}_eVXd)J(^pl?;L^9i$Fd#QoNa2J877D>9y{x(5BGUs;}FI*IqGt3qn5z za+S!}U4s7?w77+LQ)p9Yr|Rpr;ZK(}UxLt!K|WpN>+b2sL-+JCT?##z_qD4{4|4bM zILL!UzSb$~r5jwY(5BF5gt%rlB5^Dkub%*Uh{)Hy5{!B2(CY4J3O!Wy^&U+?`x?2) zeG=p|!-8gJv^O5wq|m0&F5cJQtXnk%p~E1bCGd5n`dt@Mt%c33^0)w_O`*?Gecd)3 z@a?-0p`QZzY>}_~M45WY&{ngP=~C!(-HNZIPWS_}Jd?h|VidcTJ=_}t+?A4ce9AYbSfKo3a& zU(mu9Zgu3faNPmk;O}iNpMcQMf;>tmfF9^Cl7yOqbUBaDc1z7T>dn5c+wL$9e?@9}Y~tbm$RYrc0ppWjYRe)|y`A*~beY zkGBh;-HmVI+y@~jbh(y;et*~3_apR+AYYmhKwscy%uB8{x38N*x6p9VC%rHn3oc&) z@`Shm`oehQq4(D?T?*ZjH~5@A?QTTqmq5N;FlbswIhlINwdVG@5NP>IzBg+zxZ`+) zj$*W^4MDS^hG}Q$-io80Fe5xz^T8B6-l$f}F3{CU&nx z=#?PfDuN#8Wa_0uk54jPTI<{Rj`SF7#D&nWfP9-%O5 zC$)YR;^jc5!GhJHiyLev@ zd|}~2gkA;mog!af4NSds=xg$8J(KUlyV%eE5TRcO`EJqNY?5+;ln$N$@@IJ#@9SBi zZ+0Pc9mw~Hnwjqi41QxBvlumafcN#rvE%n3^cx`07Ww)X4^uC_)>D)wN}=!LeLb%1 zxla-LO_1*u`TEu)xVWap8EpzZm-ltcT|c~o(5pe7Bl7j_PNrURt+|hWQs^K*Xnrzm z1DS`v1@b(RuV-W$4Q=)3x9|mau1k4yc(Xqd`fZRO&o{o5H76X4(C>i!kX-;B2r~VWeQmwZ$#ki&L%grY zENV+u6=EPiViG{li81xkq34B}E`?sC;c9(yvIp5w`7X$hihO;)hN+hh9nAOjV&2z( zq=vLY=(Qj}rWMqBfwS?@3+tIKt@RVUuit<5E)PP#2lC@0Uq2LJ>ZRBE5eL(y&|%)! z57a$038B}4{G`a&A*CNK9ePo19`w_^uOGE;Agc=RgZz}p*NcIvmk#}Sg6Yy)F9oid znf_TxW~3j0yhP;dC*4fFbm*s;YWBKb#{0UH`K3n?`a_VP(FmH^(|)F3I`mQ})1|eJ z@V=gV#*R4%9S8YYk*}XoE|AippAF?fFXw&T?yjGPBJ_HYpA-4|IW1E!9eR0^>C#%i z!25dsnB%WT=#M~tUgYZ+YM6TI&?|IImqM>7P^#>)wu|z^R9=Z6J9kxf^@zFIpz}3d0*SKbkz9?rSqPj zqw{;m^{*qHuRvbw70~&hu4(D0a~4YH{TN4QP|ITmBb~27UKbG1iPtnO9d#Z<>3o>t z=-fK|lVM0_6UZOL1#~`YVrQvy8%pP+GLFtK8)kowbdn&)>jiZ1glO*9G)-BZ?@&4$ zbR3;k3uYLR&Nm=`3<5fzG_kYPIS{3@(aF(yeBj+7q_Y|1PfP+j8&ged9d%wr=_LFd zoo_bYaTC(n0`jLW0i8r`)6!ArN|erL{31c?Jttp}biM`oGk-oEwrS4#xt*ueKZf(2 zN(YO|?nuTNQPGW5++2x5f5G24PM?w_k21ak`HNZs^cQi4Q~I<@oflE)uaqX*y>0lJ z+7Ox1Zw2`)p8)zRXXByOIS_^3#LwuzSavj+)bLmvS&L=2zeUgKIyX&`KA#^>+ zKZy*!)7f}vb?!i+cPBXLu2+p2jnF@V{Ih6%VppQ^(CR#aLhp%l(4I*LPD1G2Anz5e zPt?~m9$K9fQ0RTUufK_3dkI4S4Dv4`U+;#-L#yLIg--Lnu6%lQHA3$J`B#yze-1Ss zS{>^t^nTvgLz16$N9esE|0eSF-rB}Pt7AQdKEV5WU;K=_5qclUzl(hRi>vX_>R3;q z55~A=_UpzigAw`{kpIvKuIpcqz}MmO_heZZIkYw1U&&|}aonU`bAP3$2oR`Ui*+XD#BQp5$p2kC~V?Bj#7vP|WN344h z`Y;&VB?Yza>}Wi+I@VKY4e#q#?geDz`U{L2fv+v<`+-XDNY$~PLU-VOT?PlcAoSm0 z>>%*9MSVX|>Coy}PoX>dID>C$`77Remj46BjdO!-qQ7Q|L~-uRj|*;bVmU z7mS?*zP2nhHQv|iSWls~ysxL;Y{%XVJ5i%nSWlsi{B`{$jM|RSt-vUU1kmdH zfl7x~$9f9go3Hhd*3X}h&;UkLKme`2AEyh@ZQ;9=v6s!>jL@yYXcpDF4(}1NztW-Av7SO(O?tVS34UI zt&a5sy6X-oE6;x2q1%eu=FnrR3vr?A*jvs)4sF0__vLdq3fes4Lq!{ouDKspzspFx z+`-m0z{PbPi4t)81BsbV!l@lt2mASa!+^x88}|vX?tcUddG(E6yy4d?kXKtUo}?4- z%HxpZ)jiKuloz+zU*W~QR)EB1d0n9B5?=a&+Cp9fU}8n333;^xCqxQ)of5pzy7f`y)gFweItBJRA$td_ACC_6 zabiEJ$4&?@9H_m_o?1>f*S^L% zSsdkcRv|BWW9IQg9eN_K4qzM_6!=lEW92A*{2%Sb^vM5;y|`FUN!N z{0379rF}IuFP|ol*QlC8UVWqK?w(P|s}mSUH5hXgUV0rXNAY8y4Ru^x)?VBRMb(cj z6MVjGzdF##;)uQUQv-#(Mwuoa?1xX&n|B6dOVr=+|meOqG)g6r2 zG?;!W*JHJV)m!DIo5|}sE&)v^0Eza@^RE&HhfJ%?nn4jUfdW)c}<1_UdEw5_lnuLK+wD= z7;hBy6GOFJ*|~P_Qu;>4UbeH-Ts~?qCX@daUbfyk7EgIi2^aD@$MM|S=XW5l6ToGvJjZy8caQJVACXrtFwPRpbMpJ8ti2leC+o+~8D<~Cfwr$=_d_=Io`v$d zFHzX;Z}m_6r}k~+WdP&7bpm_kjmQ7t$NYPrY`b>^c&^#@rH;3h7j7smxUZcNz45PW zyCE+Lj13Q@DebKds)HtMuXo%Z28xarL+GuY6;Dvv#7Ww`w?-=~XK3lPTAXu!*bd(bd066G@^T#n_s1y=KvVO=%#6llv0wdX(GH z%-Z`(`FecA;{I`3&5S-jpd8sHkUuA2U5|y|rc8RJ)fwd~z0zq&$NZ~sL(&*W+li8V zU2Ce>TNc;jw3<`uKfD{cN+Ex)&Gop?Tvz8B8Cd&e#U;K=N4ef@GWl2jw3;nFM~y=+ z74qMSwoc2kKjnJA&cxNdr*hZqPCJpy1^MsUjPI?+Ul!vDZj1cS{XRX8 z)}!HfZ7A1=DKppLy1v&8?uJ}$$p0W=t(V~gTxd^gCyD~xCSf4{W!(14RU$r%MY%q% zGI8a<**q-u?jYpyKz_W!n#)SBw7!t~ebF7j+4jm+e6EwHqg;z~t+>8homz`rUdUhA z(n_uoVQz2lT;i80DObYmU#M62nz>t$%LnknZ}0u-7Uc3n{)*P>^+e6Safx5Aq;lmqGrI ztSCge)gPzIhi(KW9|4VE2dMmnbT(>)UI;z)imU^9b@A>5Z@ifTa z)LOk>b8vfm*K4@0E9Kf+ZRKC#C2uRp6@dILm96xzSZd$6#IImdu5IRVPLJ;XE9ZXD z3%O2*{6B2QIjxvy;+*7vq9N@cOU0ZX<=SB}z8_Y3)Y+d+M6NR+|1Z1oeT&g=wEGTu z4vvm<|Z^~!^6jH?T%b$LVk;#f5j_#xv|XJxKLhyz_?^qHolkd zo0wi=ZkBT4R~b$7Ok4c3hCRItx%xr=u8_5V$zvK#FG)i-znZo{l5&*}lG<9z)%)i# zFDKzr+E~=%7XS24HFCFcPEddTsao^LtFfp#!h}0 zxy}Y9yRnse$xAC4*ASx*Fu(7;B`I-%Wj#(&db^S998lV~wjQ6x28V4#8^(2=g+&5( zjz_NZK{+bkN-lY=C*!)g!OXRzna5GCE*AH-Tl`;sazZ_FT>#3lHutsr+)l^~K^fPr z7J9Yltdy%;iWZ&Gt_wjqF4aoChYg|q*wP^E3Ok)eM1&MUj&M? zx|Lk=5>mz`uR&q${l7_xtC!!3>z>>h&m-5xp!9UMl1pA+%D8T?(NtV=6bVv!VBjtLas|eafhtA z_W8bcVT9Y`Ts%zv*Zr#)>qpxtS09UZ;?3rp%GKA9>oQP$HtobdbA6QJ_V&&-QrD1j zonqEY>Fs>I?fW~B>vB*|w$ZD&pvhp5jSGupZRmKl^zNu3o&7l4@Z6Acl?P1qsFXx+ z2?dLgYXB%^igi7fNNY>9akRX)gmM+17T71QlKb^^lwG?PQ(kKv2#}+HgsIiB^yDddAG^@n(?px*%xc!u#4l^Ug4GT?xwhsx_C@b1TCS zEs#2+TqW|F7t($iH@%%GxzfSoX+2(?G;uj^iomSgw~^~AP%esFbM5OmN9MP`9((($ zC9aF=OkyMyzNL#}H;8E7|e zGC0EXK?Y4P@g;Vh%kaA*B+izr=+;V}AN8+mDouLf{KWc4_S}zL*Mc%AV69ilO<|so z;1VA!*SU(%(EWyUL9wUNaIQ9=Bi0g7uEA!#y1T2!rOr4Txk8|XZ1gIs)%!D@tLVv; z(H`}$qNk(AIO<4RK@LAl<>?~CrNFxX@DSX7fR z#IbgwXtduL$GC8Dm$nJ6(_=i2a^0M3#dTC|@iWLZ1eBX>+SQ_O>v%pwulcGWPUBkZ zG{!NmtpQ^k(@U(6q+B<|t+;OgXVj<2bsZ?T)>!*j(U);U-kM&KW<#9DH8W(4V_fev z8RHmNzj_`=xvCW_u4~GV`wzLU2j%u$8?H-@KB93Ai155g{V@L$?Kp{JT!V~SYyKtH zcT%o9&Fww<*GUT!Xd?RtP=?#I_eIC13=A4qw`xP2#^sbWWL!NfjOmzOVvQ-~8d+tf z*9}THvX1XYP)1bP=q36M;a`3Wzdto(WMEvUC5>^6ORVjoT=$vVd(^9cj~ukwySUsL+!F6$+nM+w+k_SccC3Y7b8>akdwOJ!We|4Ma6 zxeUK{sr#4VXDCS=)9cbWXQf&WASEVnHiViwzqS;eK*p=LfHJnlhU?D?gFQ{J9gT)KjSGzF*!5U)s1(Qa z67LjHuE!dz^txtkd(scx3QBFA4cFFY1B0elt_4?jV>*pXkmPT9wz_m^;mM8&QH0X3R-dXb9+`H*KMHG zsn&Y!GuLRn-`hLav2~o6aYf8rPX{i`>GeKx4FlzAo9l6(xxyiCZ?9a%;>UFwz7?=5a>^?BQXYI(NkZU+7bHmp4So)}w z=Yu-1cA~V_Z-}Gq7Y~#F_pa9i`gKdW=9%^CKDh3mifhPy$DN?OW7DpdKBns~xE>F4 z4#Gg%d*ilOF2l#xDcAe;Ccnq|iD!;*ABJ3af%0CJwO*FjV{7J3o^bFoP_6|PX0G1; zybpGg``Wuf`Ot68Rr+KL&qvqGVx1^YL;l~ZUd8`4^R$%f6EJi2R4(2z@(1J^0ZKe( z!}XM2O9zH)Q-j2{xXy~J>!Lv=$Tbp_MKv~DPaA!l`F(5jDmkn|;`+>K#Wi%i`&Q(t z0VM%8T+af}=YZ&C_~m0-kINdYxLzA@CFzIm0cEMpc%pPt?7(szoy+s1T#Xjv=$=ad z4?1{|>t0a4blT{JFFI)3fzb;;*rIKeYh}txuOV5vLFBp*lobs&T+i#*^?~6!u~Fjs z)}o#0sl3uFFKHd`0pP;VDQX+_`;8WU-`js~Z2bwy6$a%e8^14-*6|(yu01Uh*RM5Jdc9e5 z?z71CASg{i8@(Qh@O<>^u{C~QoUQQus9wKYjB|SXE4{BRMXoWR{AM%GDSD!Y=W}4V z+R6P;bJR+&SLSXe_m2;Ovejl>SoD;+U2T;1m?uqKJ?Kh=us?2Fo*g4@IuWprBo|4VScz_W}w+TwbA_U&I@My|)9pp#8K7LN&-xYFJY z&1jrcauM+Ss9r}jo45+Hm7@~hk#=G{6dWG6*2`-BMB4XMGU774M@+ent~GJtdVmd! z*2j?R2`D(K+M28PF)h4&!taj?8{#s%-!ARVPo?kI7Id-j`;F25fe$`Mt_e`!u<6RARm!i`=<9Z>-x4+trH`{9MX)O2|sDOOw04L$1kCaI&3V$3%HPx_^}%Uu}rX zkn3{&T*_7MG;tM_1W(&M_%`IKhk{e>+WY$h1_oA-X>0aKJ%W7QvgcOv8bJ2^v1C9a z&yRAQ-el%-HIC^Q9*JDfK|!F=x*m(wW}c6(mpqq3^@6l(ls-q6uNm#RXt|%~N4UC| zn#cDAXSRIR_L!Z>^*j{xvuRgLE3u*vc1({PFpD8&JL%prC)H zwR~w(QTgPj5?!c#@`{Wu8OfKPAC*sD&0#B_K`K&3OulJOA&Vwoks~CQsjECB~k42+H>&6kM4$K;quF&+^F&RWg#VR6Nw75>WZ%MK1R838{p95wrIdouCS- zxcBvlia3<-hK7vf3kKTHUWeoM?5R*NB&{9HSiXE6g%%^Di#P28r1JIFi%asemrqC~ zE42^3dqBT%r4&a z{IF1vHsr9EPe>)?n}LOEhpRbyu&^RnWdQ)%Pby&(LuNbqJPe>)?dpX5fgnXVxDGr5$qLWk+ zhw?oT$xyy2a~*z^?DI-7jJrgR6coKpuK!T zDk0yi2Cw36-|4cX6{L#Ja!T?&WifEs>&08O`_<7X-~XUsg1?pW$x9wH>Uk{(F7k3o zd-;S^LcUo^Aq$r;XyJVq7-UB8doGrt_np=9%OsR<1{6%jy8+|O^xiJs^y0=yO-d_- z4}kZ{tBdXB6H*EJW{1u4^$$pK)cY<&a<-AXp@Qk5jO3f(IPc!WdZ2tSL&3DP8}Yr# zmwvHP`L1+u6j}@n?R(c@)%MAYuI=R$QVIFypg3F>?tPVV9F^~?gh+?-y{4Mw+sjSc zq|_fpQ!hpNW1bFnE*XsIQVH*yYw#*A-@`R#`NUF6D&Gf*4CUJspAbO#8ld34W*hnB71gbm zPg>=E0OS)=3HjcxmZYjDo;C)gIO2VU%bg+)FW$w~8OrzO#Kwgv->XpYNz6t*c>#ax z<=dkdjTSd$;kk9@INOW2R7fS{d)F^y;oc_}IqTy-!@@`Eeajsg$~W_rW8XmeWTdims6DOxYzyp)lTbsg^+WZ~W?J{hWeU*T^p{9NjNKU8HX-}Mv2 zol(BoP_Q;?BVUJHZsNemC%<*ldijJ@!u!MXKlUcqU4eX{(myi8H9#rmV=>5XjPf)(O zPE8 zzKICLHX+}$wZ=H=eI-xp$g~(#zR7-LIwoH|ip@5fE=6R10i?J(68C(KF^Q-?R#DLdZ8gY>Z>_ zy~LyFQ+zsIS~4TRBk8#+k>%xPV;u9oR~=GZ9ZB~}y)ll-mo~RYNbRYKcOYIQSU2mv6oL@WgsrzNvUvb5a~MJfx_N)1DDx4ijeP(BsWWuW2CrqoKhUZ zLdlzYjqCEI&lRRHU0VB^N90S3qw=MnOXU+%3Hg3jIE#?)SHBcjfi?^uhN1HHvAB5o zuvu^Wn2xONyE;DpEtKzFDD)()FW$6bw7%;QKRQo~OXMs0y@nT!#!>H^+hmMm-uGVI z7)LMOG(OgKY)bHSLcYzq4dH#iCq+8Ecu%Xe_dZ=d;e9(ACPc1&5apW(g{Rh7%U7~B z$W2fW0#e*R@L#sk;>Jka{3ah_WjAD*hQWq>9*^|5c0|6IVNA+&rhc5 z&?1xysc2k)#BHtQ>4bdE%~BlozHRs~+o<=QvzLq4Qob(R1LQ5W7!;o6x0cWF1MUQm zdS5A^f3S@r$A|=CtVQD-ByM4?F&&l9@YCotU5XU9W6+q6Uc4n@ph40x`Iew1w$XE` zd_pQ37a;ll8RhAOe1GXSg!k=8h;-nQCUmg2B-pk%2o0Xdh+_l0buN|s8<*P`(J zgnT>WQd|W&m%K^HHtKx?o%Zrsb{zvZ{In9~dmjo1*v$8p9u?vygnY;Njd4uAxvXE9BeFqi_vA-ic889yg>z?;D)y zUB^K8g1b?^`A~SR&9FJG82|sejuk2|ukgN=31ggn*RdzW)9Lc5SuIi=kxy+~DdJGR zTQa?Pum9P5Cd&5#6y9VvY~I(d>0WQz3g2GX3+4L|3ajf{*>$Xma6BR3_o^{2 zv#w*E^hc2t9GJ%A3@=R%{KDwYuC}FwaL^c?<6k< zEp7^nR`hQ`iX-yLUmsut7x~TqF1B5VdZd%*C%o?{{Yuc~Q;*TBm&!LOWR`EQZJ%f8 zQL|9KkD>7CDr@>M`HrrX;;4MmyW^VoO|6&GRS^k< zR6;(nDqqNVLWrL$yw9n#Q15#snW21-239_a@-2kIm$R(BulU#oZdS)?>*43=gnT`NQd|X* zzE?!Vq4!1i()Q_Hhd$#{IHl=^W$jx~zC}>@dM0gOE0zeQwWXPL9cgx{d_pQAU%pew z!k=~AtyiV+K1GtREYy_M4yWdC!dHhLQP>}Oj|bTw`HDmPqeG>3=mGM_!_|Q2xsLqg z3Dj{hsBQ)Nk_qrO$3h;?#$GpkEWqz zRp4Hxfcpy?T8_DV(G2e8jU?rl_}7c*P(YsQfP0e)?q8e1{R?IJ9YdQj^j(M?+X~>`k^m()Ktj!R zxa03vTooaqKQYfgG0)4ZN$77sxObp7+iA+34sf?5!Tmc`;@>#9|Hbdl%%b-G3xfMU z0Oi$2a^7xCwYwgA{prV$C#wbb7<9RhSogH8fK!*|Lf6lTE}j!Ap>RPX{t7U$;5oGc z_bTWzjGkBC1g9=hpyv&h6L`mq}5bjRMpX?-|^BQrl1J}?B z61uP!cdVm+DhXZGgnI+V_(`ZD1Sf8<0au`bg!;#E4`XaD30)e1zU?p)J2KX@upsVf5{bY%nd?N|k_WD^Np?F7$NDew%cB%wig>@YvL z@2n-EYaQUZCJCMpwH~U5zDFqF?jIw^24jAMW8k@@nS`#Zfxbt!fcvfl30;q6zdj0{ z8(K){#t`&9HjG~*2$9fDaon+P7dc4imLTo`z0Qe{&`=dTx1!eX_(^D34fN?0g1oQk zdAG;mltp+=Pp1~{P@r@O(hYAUDTmkNUJ0H%X)bqR%$;%Y+)Z=28;{*x33&}P*#_gd(6eSn1S!(;d1eUpn?$CoTTqwu^TH09_Rct+Pi z&(Eqz$}sK^#G&U8G|vaIZXc|}-`Ga33c9`%B%#Nua95z0N>e`W2hX?`=(W0q92+0OJp{c@jgZiUYTU6!`JjP> zo#PL^jtme zc=e2;3QocErr>#}=8|JChH!U6;ne{WnucYVmJ5Zat0eSNE_fo1P!Me-q3KPy<2uis zNfMfYWHXTL%VrXqiDWa8ELl%NxDMIV;D^G;sP$P1@XV@(!WlG|*Zts`-2#R8r%1{- zFwZwI&y{r~G}i&1H1f#(#GudNEm*2JITlx;PiIW|9%cEs0s0(?Hs@E8 zV+)S_#G4KQuP}C40#}+4{==EGES`Z_lPXUVN)I!lm)g+YgL(vjE_BO4D z&#Iv4(-;&jQpvH;@vqOw@fH$V+5|?1NM|}=919*I24~0f&8odB(x!gdjz_F;v}J;9Z+0W0o~_0NazT)!Nd1ggZB`cvZEjW zY5^}<0*L=cajyn%Cwkst3jWmu`8Vg1W9aYR+%TyB(op9Jcsqx{+p~cj>jn5%0=#)D z3FYCjJUo^kB_YL$f2AO=Uo#06L~sv*w>PEkjh1`IK>3u0iZQ;}3Eq+#lCmU)djxv; zXlYfXQdRJJD4Cc1)eQM-0wkpmQ~Dy{J&CgTk@}=2P*hsJlWV|xGM2F~wa~X2|B6EX zk}PswSqOJ0C|*Aao!*2yw%#2nC%#?p?N^D%X)fnDz{q_0DKAG0mnFe_ z20b42W^(3At4z&o%3yjRiCRmgG`vRoY}DF+2`$N#UPp=%ZV z3&$FDHRRY}0BtiHz1E#zlQ@Us_H@1NH#u&H` zZXn01s&QBGIJJID6?kt^z%_*)8;a-MisyY@K~mlp$2|<*VM!9Y9m{aL1ItfY?g)VQ zjx2CJmP?KeM+?KzLLRk#7h1RrEer>8?Cu2awcs7mL_#Cc-be+!*HU};0C;QA-jxAz z?7m#^-iz16SB)ezDvWyokJDW4$NcV(fp>HZIraeN@&M)%j*!rUxQFo?d$^K>#)NSX zfcK#q5_+f!_XcqFswJU^qqtXN>L>|4>Id&5Ezt7_nrAH@tE~q2DM@ndu@vqRaE+%d zj|ai~IDl)KLXM4Z#2rUu)9XlR0WOvuR|V!oQ$C}D_vvQv zPI8j-upjnLc7nIQo`mXKaF2oa`4|bkfc9PhaIY?{s?XXF3TmymSKsO;i&nj7zr(R zg7*u&qv%Ghe;EevmjQ4e;vmNwu^t+u;O;~%tU&8408Y$~lVjL3dsm{pRkT*HXZEg2 zfNQx*j(wBDJp$fj6$vF#>u;OEwJSkFt8xD>4&F6R5?YJrtx4eCKtkV#aYyS9)RE8+ zXyJ!?aGzCALhD1gJHfkwmS{a5+YrvetxZ-R$onopKbt@;t=R zZ_=SqexwRG6yhltvd<;Sd3M-+#u+V8-WdlIE0bEv9%nc#@;rE;`?u$y{D=SW?h z42K;{b>&aCD$zj?_-`H$<((pApsD5At+dvHGpF>LcK1N}p)v4~TOL+NB`J%P*=1dx z?G5D}vECDYp66*`k+N;4>R~FBcc>r(Vl8ElBNU62ZLWy@?>HzwxQYyn&1d z75|K4ltUeO7V#40Lx`u$&r`U6w!h?q;uTQd7KdaDog_~jj^($lvq;%)VV8xEL3x`H zcs{1JNm3pku}FE)qmk`rKzSC{dmJxXmS>kri{V9{}*NtfwCs_xqN`X3y_YK#Gyv4(=9*CK{{TZj@|&3_XF_!<=4`& zTcomEgXA_zOUG`$%C?|Bzb3UfcC%LQ!2aPEr*4J9KHQ3?}C1{KCZ>F z37Ybp6nI7|Bo0f=rhdxL^<&3R(~&qj0aT7{vF8q&j?^`sFe=YR8^da}bJ_5sY%_M1 z?@$FvdFixW*-&IYizFj9*o0vDMQEeENsD6>h~@pW$Rr|9cV7rQ&9a*vWSUWnV-vpR z2jS3$ttD%NN%Aga)r7;zu5)U#q1(tHkY8;ZGV>pt%U%L`HO*u`n`A+@Hd*{@v^b^B zq9_;p8X8V)8{*hk$8dVv80T$3qd3WJjJp6!3^>)z-sQK#wC_d4uBg0+6`o$J~kV8_1Oa z^`aUZu8(4dyfv;*R6|^bTnW8Qge(6ShnZ_$Wye0VN|Ea`P%lo}aD85FV9>ahRT<(k zCH%aChjl%GrWx~Ia#)#Y*j z2xSh+n!Oa%OX_X7B!AZQlIm9DlIxcF*VhVXAU?$^CQqyBZ4CD%~{K0gt;mVtU{ ziw&1_O&tKPHTrc#xr!quz4H3!{&U>e7m@1=P%p2v;riam%O~pb2T6y^&=Ps1V@Ri6 zk3S`haZInK1|CPadW1tJuKe=wg`>`YAGwx;Iv{4lwMq9=!S%Z_POHcDPHvCX8LLMt zuC2h+5iaF+?6cUTLA_n=+P*^FeIusI{t{H2N3m{Ko9lQ!g6mI72d3BGenUEqYp25) z$MpI)&f_T8tBqN07}s%!MqW#t{}kdhf;zB9#>wGD{V&K*C&l++X1q%w@ieZ9#Idf2 z$9Y2-hflvqm~6y!{;Yt(^f%>m{zTJXfqG??wP}1@#!lAE#4|9B5779zwA6Ufic&w* z;lnf0^a@a~N^@FXYHe^rvdFyDLNQ{RJo*!+b5?h#MAIuly*g-9YBqw}FVhCah-vZ^ zP?)~y`s2?>)2l!ohUESk)ipkAB*+_8Ur|4p-d8*V!Ps}_Ul^Dc1Q zfTmZ2dYzp+ijO{J?v7XJ*hFxpC;FA%;Kr6+I~`500rmPcchsAnf&Tq3HM>jHOZ{o3 z!SsgOxmcCiYeB_nb?HjbO<(QYf79$%mYeQ1!PwybRIcWr>F?2Wi;d}P@hW9o=7NW+ z`MK1?>x^~1d-_r3XnGx}H#XUr9^ArD&)hVd6d>nQ(+?U;Jt=3_t!VlOP;bHu%y4Dd5UxTLC zgL-q!#`G;gW_UkL|URk&)l@Yrl$Mm8cdHG+x{Lj{S&A|Yi&%ksfYbCjcd-hV#G9= z&k%x7ntS?ZXnG^4x7FB~W-}rCWm=mtA?MOkW8DhVuh%UmZ<_rK>aZ#s)5GgIdB%df zKhgb!n#P_;m_GTNRh4M^7f|t8qV;us7q`40buF-o>HIqz4W{>`)^ZA{<2 z|E2Z_Y+}0Sj{$?}bw%eDq3K^i9gepPmaQ3^2_r?%Sa6TPrlxU?lMsA+%_MB1vp0c? z3#F`0*Mzy^nVY^R!Otb8$r37I`qky%;w)MAZ=l{4wK08PD@>2V9+_>l)cCkUm_9c( zp%a?k4C>tx8`Gm>{PfIA9p7-xoNVJj%~y=S-vJpy=_ZQH#EH!)S7A=)3r{1dgi9_ zNrbi$(`0p=Fn#bv7hH&@n?b!NWMleqKR-Ql)8ixjTw+zW=60n?OyU8Zngm=Gk|i zgr;|bifeqWOFcQV|E5KoKunW0^Fr_)b32bh)Bk`vCT?T;x%&M!ZD6u^GqD`QtA24ew9#9{xwlO`uY5z@&Hi4KX?}!M~iAzrZJu53G3)I?>jp-SB$Cq(k8`=a~ zYFzX#OcxFv{vDcb1L|WHHl}B`qWchS0yRAZ45m+UZ0U`r+k!eSU}L(WX8#2jZ2~nt z%5O0J+=i1Epy_N-A6IQm&(g2Wj0N|IHi4SPcL#*v!KrQMq3Ik@$2)CIzwY1vQj0c$ zn!eiTWPV+?Os+p6-{>p_34O>X|^g%Hl`OkiCMB`T-P4aCJ@u)eJ;&3K5TTI z{oOlg`Up^;3&^Gq(w^?)TZGIoGu=0gd894a%4n#lEo3EmQk;f>0cTUYdwxQk&Y(V@ zHgBrqi1{$0MC)?G6F3`s zJop9T90lqNwKkO{=FJWa4qNlA0%%swg`XaU7sdYqY@;b8ZI)XQ@8g zqP~N#RSI?Db3&&e&at3I@X>&Au%=g*n1?$sIBZ?Nu1=!XfOGtcxEFD{fI28TP_3#5n=fS88l3%c9+B z6%Kn_NXJ=aYkA#1CTN?uvex3Llx-v~v+>Tl8h$QOhrB4R z;o#z`duHVhMw}BteJv^D=<7dHt+;zy4bbL^s(+kwsW7ekSHwQ8l{1+$3CZ#6dzTtvz&Rrb!ABU#%K%JB3 zj=JDYmHc$OQv0!SvR=(PUpBolVlaKij_#OoPClsk{T|8nbkpp;6JkDN)A$Jiavs@8 zsma#@c&QJK+ymyj?`= z`bVRO$D#K+H0=iUy(Sye9paokW5EqCo>9}gD-EUYtbDo!UWKN8pneduHtp@`=j53S z-Vo;J64T_{Iil3x-*x_TOIPrts4laQDuUnvxIJukORDnC7Q(>@)W3a*My^U%or1s{^Z@M)kfaoCvlx8k}!xrSrYQsd39DD@RXZ`+2Z z1EAt3)2s#W+sseTywqhOel9Jw*VvH8haN+oqMeSWTdYmvr!M*FnVUYniJwbMlkZZA zQa{(YlC)-LfQp}+u`D%ykCUICx#@oO{9I}pKYJxi|KRVA%Zzf)1og9|wQ27;4t{#( zrh|3-TwRM$_kj`bE8s z=>a-<=B6tvIW{%@jT2`n1(ZC;<4c@3AlHSUe&?{^lI&^zB}QGOerP@7 z_xy|ujO)6XF^+MG(H`YmXZEk23$vcR{+zMMbrGoenNCap!fyl`^47TI*Wek~jV*?B z+MB;(0hI1v-T}HFQm*y&rg}ubU)N!CBXV5~YAS5QRaI@Ur`6*vRfafCuc1bM#)V%j zG^S&EiLQ)tZ48>Y^8bv#Gh!-US~(S<{$zT#)NwKrk?$WG^47TS2pHltF3|@OZCE`H zmo#KtV(3h{no=e%HJQxmcX1H8`h)sQ!bUI2p2l^TQA5UMcr#1qGQ5u{>QRiVDc9zx zi3_jC;hlaQja-+2`dhsXmxW&VmGphnOAMR@ml$+Yu4aq&{^YvqMVs~@*QKCtb=q*< zt@|nFt?4z=>|gl3bt5aQM=^{QTw+*Ex&AcQBl`W)xj(#sT$h2m&8{Br0fRk_OS%>` z|GF>NkWTZjQDI{o^Dl9IQ?9=&P4%e$m^yvPp0&tzIjH#ITg!Gr)Q=3gIFj3r_z$N{{mJ zy~!?LAy*~1+EiQDBYy4FU{9;ZT8V+_^;pV~PV=wFgT^@KUt$iGa*}u}p9gItiq$$_I4JIz-%-D(^*)Jm3mEgj!2<|hN zVY##JU-)fwBP;VSv0hd9m$;TG*P%`mm-=t$${VxE%CoD$)zNO8Q|B<))AX8HXNc4M z>lxJ;N4fA9C5&-QFL7^8xpJFKT-e@!p8B~6xvmD+VNn~s#OJH9%o^9^kkKBkNBmm0 zF^+N>o+Jpp#0)0oIM_!6WMJ)tJa%C9DCU5Ke~EE9!X|I>e`H2s2!7Z)V#8%M{*uQu zj7u6nYVExhml#u0u3qMT$aP5Uzu8&ik?T5e6$EX#tlF;`7Je_YGQGs(0a1^lAEjLQ zL2HxW9~T?bcv>!UT@S9J78@?{tVj6$n^BX086Lvveve<%Hl}0s_$rU0kE6+_*weXi zT$ojL+DpiF1Gv-%8!oGH;jB2%oG`F@oLy~LC*4TE) zbtAaEiVc@^Z>?RA(lx5JUkjW@2FCS9#2Cl8#Jw)#GVA41T0T2<2Dz`j30!`={xNFw zC5=l=y6bv*!W@AvHDFwC)$mA?j&k8I?(jIuRhDJetEgdWax!_yUj?qdO*VR2amoJ7 z>QQE;T=@GkoI!Aj&mB>&fO(wbDry)ty!v0{x*1%j*^G1WtL=uoHNEzgOJ4rT^y(ky z`BAQZs+C^PfAS4^8SfTwo$1(jy$sLj^m_DK=_Rj{Wn33ENnGb7&0HrX?uyz3+ue!ZEiZ~cck^-Ga!D7elG z+io#y*q}p(O7&hds z>1EMQ7#{uVT!sguB#zai`05uTic+=5dbe!sd(0pDRJG zJHU0d-8e@Y%WM86k7-yviYH=RFY>#9Tr*uSuNbFMuE7?5e|qA7W6u8#xrT!)RAEz( z7UM$i$1$Tl%9X}oTaSkMN6K}BVyegPd(`LN&$|q{?gZEMxfyUt8fyKv)%a_X(Kof{ z9b)W8xvI?di1*v${(1gHxS)b z&qS`f!F8)$KeX8BBaAEUO)utOa-YfC)lZYg`eyCdg?fIJ>-MCn9=lyvdAw5UMy?Uy z8Wy*yM``YPFMcl`$8x{tOyqyz_hMd@a@|>D;&NRV9a#7wwud<*!8JT&!)2kD_cMjt zB=)FYmi-X+aoQ%h#9Slg8tFH2;W&E9fUn7XP7Sz5IIX$xcfbsJGriL0CmGki-q(t` zGs<b_Q*3uati`56LhC*(GbwO?Z1nsPm6abJ5+_%io5w;KuErr+1P?$4U`LXTYJ8V#<;V;ShRQfe<5mo%=`=D)1wnZz75 z<*KVObA=;^|C9YEayO(j1BA_i`IbxeVWoAq=!0 z4PPu3Ttk7EiE>S|7=I0}+uY}*rq~_!|Ks$9gJA3Lb>pLU{m|`eD#CZ^xJ}5kASNoY0ZVd-pT7juSd(D zal!R(+Q#b0WGQLfj`e(!p*d3NDB!r#r<5uS*S1q`r6*hWVw5$00ojh;u_vH6ljd6s_xRG?s z@5S6d)$1Lni3|O{Vfm+Iy!sfp-nP51wHU9ajZIlQA--&@*Q4e7iM7CaDOW6F;&L^_ zMyW{VSQL0;QTsW}FP~UqQ=ZG;9<@(gD7xs@c7eu1S zH33{p?DYD<*e+@9>T~r*2I}|tOW?*h)=r3zB9Z!L*Q4lfDc9#wt9qRF!Dpm@d=gxr z)!Wpg#e8AfJRoboEarXjcZ7|sj7!{uQ!bqLGqv~kXJs{=`U7&|kGg*0u;G%%TH5s} zj{#Xb(GWH=Fu%8&XA)yF%Jo&Fi3|Py$*k88L9VC3)fltkS|2jl)41fmkk#XKvwz|5 zvm4IU>Ty(<$5F1YD@$94llz#J( z>uGR(8@1+=pCM@1qwGt}zvRA&`MvxF1=eq0?UcCI#!Xz#n<8I?zWg1zo&ndI25To9tIzmNSkw@>~T*Q0o@L+df6n7CYx^`4(D`Utt61=kO`He6CWuIVMuDcR~}IWH&H zs!*;UQzowbVU^{x#@ea!Mmw8->?Znmrv;IY{Dd5^}GcJ_J zrW)707Na(-ACmhVd%eWEP0F>?Z_*3b!Mrwc^r6W00=Qb7HhM|@9^_cJ00RvL5=4#w9*^C;Ur%5RUs7c!uitWF(G_ zCq%zSxZGJG6IcG()yIGE^BCls3a&jtYrW*Jc57VE>aCODnjA6Kt$jU;d1T6!Z5~hH zI=-&MP9V=arh&Vy-FU*Py_ef6=3lbU5iUr(pJRHxq4QHNoX$(HM^{VMi9=@Hj$9FN zx3kg9@_ze`dZRYBTxo3w;}XxjDc2$9aWr0!%c7IXc=aW4cd!{p?{hug6gRTk*Q1!< zrd*w(X1yjg^qLV}gIv?WeQ1rff640xHUD}_8t*Z^zzDr!DaS)o`(D{{7XFZqFf$xKZN7e zV@A&(j$94kcH8tr2fQA|+GNUglKFbX_I`cGB^M#rtKjZqcRgZ*tZi6k&A;Sl*|zPf zWqZGrXUFRCl%%O1yY~xi7|?hfa?Jww$#Ls?v~2I^J9*}!9_432_Wt!)il0mMDz7qg zxvTC7KGB3+uYtR)!kWt?jU6<4#&{)^Y@TFlA$GEJrB1o61i4-ZcR#y!LefUl zYhUM?#MjP+Uh6}WUgtWk^qN}tFRs7MnFH=1?7LoR+OT?*>U%GGiTARoUKcc&xbWwd z$FKT$8*;q??(^&Roy)2o<^GCsrL}XcAG)PV(yM>W#N`geW;zBwj9gK0Uu>tB)JJRW zgnVz!^co-G_PBrXF!^7vN6%pWo`vdld9{fP@6!h6E+zf;o8Z2z(poQB2hH!-JBcl_ z5qpHoxb2n8@EnYCRW_NqHby&yyql0~F1W8~wBeH4Dve8St1{yfbB&bi>W~%Jf<=#$ z=V@<&`>LP~m-IYJyB_7|Q5kW0#auS!y4FIklE!`w^u2($!F`R5UY7G;z7(&wjO)?x zz6j;IuEMNWNuzU__iohd9dM`rm@Z!jBY$*Jt4HZxH#7h8isuxR>n0rUr2X7^_mals zl8xUY*Sp}pAz@RG(!GzyHLH35^%C>jlwR$F8MWb(+IGg}c~Tm` zWTcnpdfhiE*PXRiT!+`L8;D%ps;&R_v@%t^wtB`8}xF1Z})T8J(gkBp`>3TuhntVE5wR~T6eUhI`xgLp_xC+i} z2@HFBEOLDW?uTn_xWw~&oy+}Zl;_Rs5xl}4iDO(i=F~P4$HreB{XC9xjWhQ{IFIQ{ zJ@)`|#liiU-f!ou$5iTH`X0C%`R@JE&fqll(9A5^IGi7fxBF_mB8oba~bBfLxz|yRO;2|xt=xOceqC+KfR^%;mEZR+|Pgwm$bfx>6LaLP3v)A zxeV(&8JD@=?$OBIaxDS(G>45|L(u`* zhW5026z}*G26jD4_vKnUA)X=V{^kBb;rUU${uecI;d7W@rjyrtb3O(4^m=P9xqcW| z+O@~Hu-zy$tVp6RxrlYrk%)hJ3}r2Cf*lam-X~=5i9?-4=zu3ximcE1+;l z0MGCZQ^0qd6I@R@NT@o3JHF?=y^4hHtjE2QevTYNkA!e{g6|q9IaZs*9gmZV8}N-& z@vmm+c3uq$jZeXemtar4vz~+|0Qkq%fbU5f!j-+gC!L`D)zjw013U)fIGfF zdYei@ulm8)fX8Ril(R6^tQzpm4wGZEn{aP{ynJeX4#v;%LmpX90=}ppN{3cKmr*q& z^kx!H?h*&z6G0N18^yhv{t`Wg-VQlX(NVfmJ0`BqZu##$)58Oa9FJikJYuj;_N zvXZ1+S%G^l^lBR;p;a;5YrwfNLPFnQ%5UnR>nd8JWF_>yI10Y+;^f$OwYUfIT_k#J zHQHO<0KT=9Wo-oi3PC>J7GlbED)_!{2H%e{k<2!94`N-)Z@_;IZFR;M*D^$F^b)Tk+iH6bb!-IsB0ezHRi_HnhC07JR4E65*3% z-}WHnA3-hbh=6ZL2t0Eu$ayUa_})o^=WS|lX9#?MH-TGiBFFy0^Zp5f8)`}D-#G4J z@O}a$w5tX87_&n;)cT$T{#6To+K^*?+K|73&?nnLLfK*51JEZYLP7@t z{*{0}?Sdo(n5tbh^f{Ow>ww1&#$$&l*4DQ%$3$Z9;&e%p?_heCPVFhO8RA-`=MZMNl7to!p*$-b)T1r+`<=GKZU0NKwP|LQc;7eBWbhHU5yR8y@ja&`ZWRzco4ajnm zjEo#vV^n?+I^G8n64w@gqMkN8<^9nC7AHv@>1po^Vda+H>;T_KRazWt0LylwjdvYd z9BbIi&qW(AQfACAZ+ZgHEkC1$G@U#hZFI}~ATwKSg*I51RMz4o-IA7$by{Vlp&6gj z;#d=1em>^+rpAY>2`1K4u^+C6u5)U#q1(tH6u25UCYF7Jz3XS--BC??Sdsa-kIIcL%PCjXyNeQTS5u?o>12Vs%6EC-xW@ zt3w{g^b#xU1lN-xl7?)QYn8*qg?BB_R^<;vy_SLZAMC>{>#;7zaxvE9#9BjKhV}T2 zo*&^-dnT;7It;z82D!cf@4wA9T$3sc3|c+5hO3@l8zfJ{Rq!nvRT}+1|KX+!hi=P7 zuI1qUufm3lU5g|itP71xx)wCOo{Nw)WFvLP>TybdMrd)&zu5Io;%PnJ6f)~I(Xpb@ z-Hcpcf_Hb+hHGljkhjJaF~(_J(<6p-8rO^#V;tjprGdv$y{0#txZIcd>w=3vMXpBh zW#!s%z3S)r2))ELMYLglKTG!;p_k0hxMnx;{3zGO=Jw0oFVt}LfNPNJEAZjh>8$;J z4mu#)1Q%!{kO8xM%t~a6SZ@|}Hu`$iYB1E*zO$%&V zYP<^;rayRL?8#{QTkwIy#`IT>#4On|H!ZqdVw&7S3DbY9o_;-=PJ-`XurV!qxXewj ztYFa0&B*jF)c3U%uTP-8!}RA`FGDQo}{**>DA!t&}3u!oBvaA zKM=2HV@zH#?BWvRDr$OTL@)K`+?}^<#Kbvkz;{T}#xxrS^DM2dYkSiIo4OfJO$fm& zZ_NG{O|J!C$Apb((c5G$xaj$)X}rf4rh_#vuSC<|gYVFojp^@dNr}mpx#`tJ6Q7C1Sk3!Qwfe+_Kq*0dMUvF%M;9}@OOO10`!gTLN4@^PR z8^L#!-^TRM)%!1aQ!YQ3)-_I_8cdIB3Zdzr!FRM`WBS)RetPDDi?KK{P3Gl<>FibA zh=>0IzGECVrhjwpzv;~pel9UhrjUi{af>ed2~FdKf&*+!Z)t^TaaTf35+VjV??-y!O8?XnGU)j%~6rE$&A$H!bdOXsMqv4huiJ zdfl05`Zw@(N!pkeL;K84i)j*Kx}bv1q#19Ad)!lz)!cp+GHeE4*M!WVPXql?VNhPX z?cA0y#A&xH+iQ(+>~>{G#2CkJ??jhKxX2@i^y!u!ZJWm)z2iIN`W<}T(k?-ri%tEJ z{81Z?i%lGAaT?b@7F_?vNgA>dT^X0SU8H)QRAu5S927qBxT{wq*B0;{Uu&b+E*$@{ zO>l|2AokepuxR{99Mel&B!Ww{a+Irk(!}NZUA@0UPBC(A1>Xs2ZmjEN#kE^%#u%5( z%D99Z3og+P5iXZvz8&^%sQCV$fzKgVGx)j(ZS<0+1+;p!nm!P1*WUFKjTGg=2P^6R zmDjc5jj>miA=e+^>(OAtwa4h=j0>MU80uE5M=@3sTw)L-^b&(2%7rppaJg%{l11#> zz}FL6$t6ZJf=diD1eX}L5H9jaC!NdnX!6d@MWkQ)6MVg@Y`CO4)AY&?oAr|04(4BC zs4KWc+d;VU`htloZ(Xc+&9o?TZ3kao!kP=82pJeO{}MM8#2)i|G1BLH@$<<4f=k>@ zP_AUk#DyQ@cx~H&?a1{P_!PyOOP)&Cxa2mJaqX+$iyo5t*Pl)^m$SAu_l+gUwF7(w zwKiPR6s%T{5ae3udiCjG)Rnbg_)N{1ju68#nBf?5+7E++Y19rq}5?F3(OgAEsZ+@{&nxY)xwEl%U=9O4{= zf$F6_DCFr_J&Hb)*5e{Gm;310-AB(Jk6izNuN17ge3FkdE_^0#WMFhM?_|4ae{maw zI%s-Lk8mxBHjGOiJJ@rHcA9ees!jEXT<5<3>u<=l8+@nM+vp|r#~PR17cwq!-@EsE z6#W(D!UYiN?F9PQnpyepBG(@91)MfqV(L!lCFW1LUR*!&|K7Q_>)uGY`o+y$C5>l} zeY`X)>mc;MGwu9K+#Lxnxz31Q#%-@$hCYCD1uLw$#%vqW5xLrc?;O94Ug8d2a7jK# z{cE4Oa777EOS#UEnYeIq{mh9wQpnX7eCI`MxTLXz=3nyIAtSwfV*E?FE;j3hT+@fF zI0U(}!H269q=^{4{dzmZ%O~_Qw-Y=K`G2qV=o8}r%5_P?q!;@Aq`HePN3I<3^^e+c zNn>G6FL@lAkzR&z0OcBB9#3?s^((uNe+jt`0^j9|4cCWy4=nVO+t7@-eBwC@xJPk`fH>ebDo9k& zh=LIiM-+?*7!@&YIBpnGxlutQZX+T_K%EN;5fMk+qH;BE82A4@)m>BFnQlJ@^8E8W z4XNr=^}cngy1JHgsv`*-UZ#FY*H`9yh;993UCMf7>osGXcn`tuNX7|X=Vta3!%l4; zG_~zp;I$VGJEz8)mmwdeFV%LL1MAD0mooieIqm(Z77hik3o`pvIABsR!m@{~l6vJi%*RX1m9>`25r6o(o=k)3A%|+Pzi(Wtt=D`ZDWK zjK5mct)?%vVDgLfVVUi;9n2@&ghv>bn7GOGVnJ(~M8jhCtK)9X>K zD+pc_!x_A~yq%LX?)rt`wJ#03%GN7N-^Dkt;b<%{?5FD!>hUb>3TKl&{7XnzblA&x(=MixBRx&8M^CxCJXa^d??n*H%+n0sLaN}fC?d2&uqA$)OH)xGKnftw^_DEqV5XJ>vR&zUL^#Ybh?=?^es9?)mtufjm6~mmZb)tAspG^or!^S%|+H$)Kd5RDyaLV0%4((Ja?wZ6%(Pm3aR@8In@1{ zGR|dDHLeJ`W(mBzE2;b9a_W9>J>R>B$WvWUuA3@EsDZja2)z4CIdoqbR}Q)62)z5j z@u4c@+ral8sKix5u1bNo7S(S|Bv|2+}5v( zZK%NIA=k6T9NL(|1zo=?@IF8;9{_#1klY+2&*m_>Ue0I9597F^3?uh~hSUsKd=K^cdBL-~Fy#5+zP{N6;K-)qUevYzk#3D$pr^;W_2 zFL3!2TvC;M@9#=nCFI&xj*vH}6qkd%Z5$kGQ-upLZL2xdwi#C)xwgkRgp+Q(?aRm= z%0Cf?Pk9@x4QvaMhBFS2@XhSOix-^_tSep)MG9T-{8rUn3mq8pf4Fu3rSRZb|ZX ztDoZo);r`+XUb1Ux^FvfHmS?5z<|N z_hx%h+5t#60O?jWv*f^haz@I5C(2hGBX2RDj?ImHZ%`#UM}Qd#E`y<$!L{TaQpNX% z!c6MSD&5n=>nSF$y9v3J@;xtd@uKW|i*)`ZdHq#1I4SZBmXbHU3j(R%V?1mVUW z2|^c^;3D!~kl+v|2;Pgz$va-$8y}@!=p7#>@5N1gZ)%FXb8+u#p_dB$HMW|(;=SpehI`ZEcnZQSc^&RupHDq65Uj6{ zkncSw^~e?XVhQrbV$`F9;CVv>d2gu3Xsvv%6I_i-pByVz%_r@gkAQ74!A@6LA zl}T`!Q%T-ACDdb|GER4M1ul4?@nQ^lE9)qDWtck8jB#i#u3HlLUzG1wLLJso;bFym zZ(cQdD==1^DN3}!N#6MwrO&MAdkes20n+fip%3->%^~I~Q|qbEhBE1YlXKRAMJp(D zLJ1dH6Qx0q)JVx;$L2`MHfI$NeuV-THj?M7xRe~J&G|Xcy_8SSsEPNkpkNH}6Jok# zaW5;$yjON?KA(cuH=z&`eb}+uyx5#)t|KvSCL~e#sVP0rKGU_85VK_acSFzhq2NrY zVl1jeOq%*eie-rmJlnY{$0aFvork=)k}g@eqRv9HZJTN5-$KC|rD!_My5yikDl8=1 z+*tn4A_`82J|k(#KeU;vnP<+Q?=Sxfk0L5?d`#w9Tq5%vbXJAb{9bL(Ij}1Qf5pp+ zn?MfCjA_s%NaWhCFlcuUMR*;4hH8DXvTQ8<{S< zL9+eq)%S0s;Lnh}pJ2@Mu`wz=!-3(lnA50I=V(Ip3Uvy#lI>GgGrg5Nh|f)LgvwZ|!RB5I>jYEFBk zL&xXi0gtd8Ce>P}7MxYj9h;03-Cl5Z19yFToYpZ0Z-m@=c>F|Kt?LZlRKwk;9;dzJ zf)6F|l8fna+AA_N9JpOLigC3UY;cN)yoX3VXfNcz_%iYyBsnX;E(~?_w94noGl`?P zRcM_;@P-t347!~1rNSgoms37mm|p5~$|nrdSUpbpe_^64<-{~NScbt#pC%bMqXHcc z`(a@kuf<`5abBsYP%JK_{jhM_*`NDdygybMI+CkjIj=OZIRBI%78V;sjEK}XTCn6` zh|?C5u<&4v6ALz;4t2&jv5@51uU5wK!brhqj!a$!g|CM@tOu|C$W@rM;pHfm`JnE! z1sg147~%vk8Rq{XXTR`9?&)?Ycn$Sf@ruT;Nr2aZZnaRtg*X6?9GGD<=awpIpbo9;Vkyt!^m|!W{j2!A6dWq(9yU|E1ZS4(|Su?hNQRq7p%P8V*0@oR1ua-C!cJsyva_OpYwf4H>q1*hgx^XjrHb>ede zhAFvS$u-<*Q)+Fpz{wS~HpEEB+17PgGt2N#C>+b&3Oc-fRso>9k?T~44fG``krw}C z53Ohm=+ljELft(3UJ2;#SX{U1&Gdm9!)FhzXbb34qjmcMkA8dvpnH(3w8^H_m&F;D ze+qh6o0&G|gK%f1g+v<37S z_0sGZU%Pne*?{g%F0AfY_hwhsW|n$a>sp&nae4uLwy~~z?eq-+ST>cJ?Kca zNnaZJ+=jHmcQ}?-O>GD0KIFo>m3677r8`4a*XB~&_h!@0&Fp+t*E<|1_)eMw=)UAS zFJ%LLos(JfFMDWh`pjvC!Y@#Dy~AN5 zX7ePn0eV+^v-uI3m`lxjk_!5*oHJ3CxkcoLGM4ovPqa+P~*pzkV_$+L%ER4vmAXzT|o=v8^={{iS?a!n>1=({Ur^6a7SA(>V{ z-(PBg-g3@SF9CWGxvsM7&F*QC$+L%6rm+J00b`f)+8vK#_bGQUxu)2)@cYBN5B)$| zV+_rv0ZQTD9I|~bpofs_8oL(0IGsHEQmZ~eK*RDtL4R_@!p8wUlw8+V+0-@f0cyYO zp;ezCpkdgcpd<78j05yAa!s$afqp2p`_QUS5YVujP|(|o9=`z4E^=KLw}F0S4-~HY z1OW}R3m>JtR?EMr}}S3my(pwXS&U{}{m>USSn^$7wR zMkT7$-Df`7AJATM-Dp?WHR+K?_RUQ72?BbKv92ebJQy|txju5uj@i`p(&F7OwdxZD zG)!Vtso$D9^b|n*$#t_$UF&;244-|eRi7ZB=Njv}a-S=|1ayF0x7gIRz9F@H(5g=m z(DRISoj<2>IiQ2&y49wx^K%@)?Uzpg^s(f+yWG02VU#MgZBqEI&NS6NiGaRWm3oI`*^HsL1Nt~}-4nHe zR@+Fb)Vm6;wvGh!{l-#%xOUzXfIgmF_t};D$-3Py^|LXVRzSmoPc^fTe*A6(pih8d zpIxb!TZ~f7rM92$t*9|U!$43$U%BL<=K*~p>;@w?3V)$mCbv_#J@kuCnO2ngAv~a( z0e07p@yDpD51>n6mRM>7y)q$_XAk{KgG?)+Vcw{qpG_S&3eYE!i|sWn*TY}igHpfl zFhRq5QbDiUIV}R{lVMb8SJ!WNWb*7wy*f=>l=^X1*I34h4@YF~aM*0x)%BWmQ?Y}# zUqMQbt+Z7IJWR6GI~+Sg=l28jDKH+jtLwMZ%(I79v_+|5gsPy2Jm1+1=u^q{WZ0&z z*QM(#duT;lK*QoyL3iHQvg>R_Hgx=M)l#MBwRzSl5SVHe`Oq_gMS3sXZ zuIGwv6#l^;D139w1P%LQ1wC?o$y7j(AlHgQ8|V+?GP!DI=2F`~Tptz6w4&5?g=y%H z@ndJTJqgew$@N0M4fNI~nOs5d>gz+b69K(aDZFF+I_h>BphuDGMLUJ7LUg%33V`do6YvxELV-5IJ!dh5wjlo;|dpEufReE~QiQ;I9FFKDpkr>r$H1^`)TA3b%i* zmHm={#)^?D_2&z3I2O=Ra{bq?nOT*3SD_Vc0ll#)4UH*4d(VY{z5w1Uc3sL(7Vm2_ zw0#TzCEY{?^d_Ui-(J~R3Fr&S^?tKWT`R+_>=mwzIvJWBaCC*^&}QG#6IKEGB64kR zq3~SIeiBZkrryjSLmr-;rShS%qhqg$W~WRmH1VPFm6E&ZZSLX5k?TXd2TqwHD(GE( z;MAm9Xaep=vgvh=nxX9fw?l(+cQR zr2)DqXLff$m%*8*+cJDBGU@!FO7A5%7MSm0`z6@X0xelxVy>Z z*+cJRR(NC7Q0g8VcCe}IL^#XU+9pzl6#8E?g0POcqx&Yp*zM0UhY~4=yGy3MQxxDZTi2UZ97uymf7(I!@%&$ttF{>VJw2&>zS0I|2F{a{V2)DRnK%^jw#^#=XgI~@cx<4LaLVL%(Dp67f2B+-py3iMp*zMu{=36{fWDU8?d)Ef zMHZ#r)ltd-Q>oeGSV4bSb;N;yo<{CmyFPqizGSXSy{pj0F_~7Bnp88};b`CWH#Yj4 zPVT*`Y!p5ytu#AmdxZ~9cftaCpSnyRmC^Z!R6cMGILsjT-f=Src^DWRuH_FLbRVb{ zrrH<f;I&_I1~2!%HB-V*-wR&Xk-KBQ4KGiz0Z-!v2XI52@af@W zCX8{KFPoXG=F6tGLIke^!x_BrjjZ7h@0AB$Gs%5`!-khXX~5HY1xpQaIxn?-!gXuU zOU+6IuTB;|P)8;YKW6#?;B`H@J5|{53K{E1uSey+!W_6BjX%k&Wt^t3)6$QH;03Q~ zi^B|q$&XJ3uNb)xZMNZM)xOO2lNB$odMgNCU8^&Axdv8U?Kzh>1aBaBmzWJNQ(MyY z^-6=(h18d2`@(?&MmeD`!*e8fIm5-*DP}Ph}iJ5XkR$G#fYapk1sln zaooO)KjyFTQqPg#1^AZr=yFxgD!u6}@Vb%Qy&7$J9bG2#N8Wn7ADKo~`ZD{QYVBSv zVWfE()*uA0UWp7|?%eV_*Vg?2UN@1uZ;L)O-G5otqq$EI`f5>U_WDx#7rbzuL`!|S z3ln+Uum1$RW|RAf7Vq&iuU6InYqA@`AX{i>SXrt8t{ zH>~$x=DtI#N9B{I>QVW)30{Mf8T#sbhU4y^2i^`|H2&vS_>-GkD?9=0MTOy}|1ia(nFh)eB2FU;Zgx7u9MpB2MQuK4y&5c$v+8 zG<~VH1Hmg;m%*!Ni6h=Vd@^{=C3nECU%f1Bz|;GQiN?M|Z(oZm4RZSXQ5nmn+m~TE zMesU0^SzD3q>r8R{Cx1bmE7S%oAx!y*thGvt{@`^t)D12>Q&=~gHnugn!eOKK=3*) z)2G@!wCUqS-5T(kNA6>5ZFs4vp{mEjB1Sx|zgIg;tQ)N!wR0F)Hmxs@+Ab8lN-8tz z(e0`qS9m!06Z6S^Vz~`3wKAf3sWl?T)AXg5tRyeV-NXw=ILUay>y-QqUOmr^ytaP+ zrQo%I+{1IMd71kv{k^R=fzrHiK$gV&S6-e^(`{4mI=v}_m;0#3N3Z^i7q)LB_i1+h zmzBQM!d99W4xwtviw~_b#%b+Ky*~u6k(uuyj8`vxK7Sc_Rgrr{)LLIS*2j>y-tNtP zf>w`Lr|U=ROP(0R={Zj0rTS#S3&Vz%???3aV^_IWg4gZjKGSYIVa3bLLE|+wW#F&3 zd$Xma#!K~wg4c+W3|_tV^{n6U@j>8q2f4?TS?deO$QkhT_Vq!HAx`hVl-){NUmj)E zk=7Rusgr31ud_=tc%j|DUQ@oUe zxZnlP>6Z1_b4g-R``3R4uZ84}CT#SzB8`B&bzbJVkH*V9=C|dAd}36_?iyYTjXb7Ia?bIi=87i!}?a|59rRg4Z<`{Z~)VPp>|DCwSdU?y1Gryl^z5 zK{tB4H_yMc=kXVZK~B`84D%r?joL*dQ!%LYM zs(MrwkBq1BQU;hDr|B!*G!48`l9t#$;q&cU_Fw&;X*xIZL=W(~pWHaC%(S+io~OkU zGNUvv?+p!xIE@z$s58dd_g`xKDD)MJW$?oI{`c+O`hwR3IEl}0TzFGj?~2##h>?TP zmv>I3F;06PZ>})LY3)mmVFj;+o(x`i9$l3W0wQ-Y&Kb06_nwDRhP?ImrQAJKJ=T;P zbTc@G_0}^mcC^@A30!{4rPiexhHE zloh;|#xr^K_nevYCXc@!CHE6{&tq%zQd?hw*D{Ot)!$P*`j0W-^%%LIwrgK=W%0N@ z+rEd=Lipof_2v1=tgmM*+SiywXx!sGj$T6UTD$gTdKc=vGUt!G&g<)ZDJ%4a6SOm) z$0L&m9#ix-cs)+;=WN=S+1*a#)#8Yo?An)_UkP3>WcK$x$0W{nTy;8l)!+pEh)ugU zjjMEhWzIXK4*36nuSaz{l;E|pK2u)>g)a?0?LF{%0;fnfTJ!QQa7s+ozD!)jdt1uz z|NqWQnP>@KuVucsd-nGnx;^J`@LEdlSIewxF7r2El7pbEdwIOgc}S z;s>uM$^ClDhS$cF%tz_#qe4SmcKX5r&oZsxwWd6iSAWkFC0z!C*Hh$v)2@BBHZQf4 zEO@Q8cpm$E?udQ@!`0lU$z7kc)|Y;+E|)Q_Fa1pWEdQ?UdLSLI3t$6)<>68-i zdWPJaY}yw-CSa&DotNoZ$dZ?`B@nzm%4}bS$JXuWymdQxJxlH_B{uC|wFlMi)tVpo zp*HjGzT8{r+%J#r3SQ5V`(vB;qvg7@1-ZYl8RvMd=8t>AYg&5VBzWQLF)g1*@EW$|v^wy5 zp4?v-+vv+QhiwIYU1x4z|FfvaO||>Aui61#FOa*XDQ9{ez%*xW1zzevFQKm=Dy{Uj zD(`JKc;TxFP31QFGVM{d0?hui=IjezE6M$3lGT0DDNKVg3MZ0BkC=#oiae`NOe;B|Vzz~a2zTf4ME`d+yfY;09=I^W+ZA;Vb-n4$y3i`S}Y2?LU z!)n=2Kwl%KeE|BouaKvW&3FPIVUYQt4{Zfrm&Ij%+`fXN%5vIkUvhKb*`WR5`lnI< zVi`fA+!vkYbrQYTZ5|%`|={)v-s}Dv-#wEP9Qv2i@(b8&KJy9 zAl(Y2yRwnf)j{fo268_yLNCV1_hJ|*VZQg0hlczFp3w#lyW#S7Yz6~Om4Po+az^Cg6iV|&XAm7Gn^7*5j?t>^UC%LwW+S~-4Z7Rq41_Gf0 z=$jkh;8Vkr_$rj|!zjKHB|;x1Xjrm@d>;#4Zv~gFbvTGZXmDF8`L^L(CXE99lX~)f z0$Y<~N;#KLo#e++G<`RV(Do<|xgv&dL_eFN=&-9*0AMCjW(@_k!D z{R%vs%m0eW-?fz7_lnSWDD8KJqU^t+wD`b<_YZ;h zM>YBWh>-8kDwg~U*G|;$-vaM%#Q&X7zJCP5KS|_JN4~Zk^0z4@e@-L$wNTpxa=?|# zn((*By>_^lTg;){7;>nhA*XU_{dr01{c{DmQzAt8_Tpa6G^EtQ_x5hUee8?>7U9so z`Q+cHfrgx!;82Ge+^;6r33VLmh}=8Y(2%iV4((ro`*@DlCOPClE{&0ZU8`_EM&9=#e6JgF=~hL);T0U}5ygcTxx9fxPUtI@f(^B}*NdPpq}x!!_j*_3 zijbcd@9;0Kf&_Wrk8!9kc=iSJLZO#JNESxOyQ!S-9Z^XB!yC!pUxbRlx_^?q4Wd>C z#K=D&j5NjFtcTBbK8H?k zBHs?Qtj|T+&j7+14#bLkBU0oa5y$t`M7mK`6s#yF9~PaF?o8an7oN25X8Om3#dkCP z=S2A)PQvw{0~A*ihj2Kp|GYT)+$j!4fe>|&uSg(VP*45~@Z<~>^?s3){1>LkH^j-3 z~k~ZYdM5WqjMF@BDE3dpRXzpNUZLTO{fVl454p_r?+n$-JWbk6J^)Z>qR) z>yrH(Q47i3zVUo~l*z}1URrr=k_{Lo9ug;sgCiLWe)Q}9caeeVV-xx^~ZoG;og!`FJg zK-u$TiQM<4OXR*blGf*k^B&)ug71`a$HjT#OEa!yLYBxNnRm_37f+(#dPufSNXd8W zEhKYeKOQ}rg6r_0{3Fmg&$V?HlI>p{l{|!kYpb{`*7NKKD<&R}K}!bocF+C&{_PZe zyB3{tvqaxy#k1`*_vcNcV11Hb3%aEHbt}m>OUH&wDEJm6`K1C_IHXL_2K8q2oGtX@ zatf}2cl@(S4CN=md^IGH39# z{G(~$96YQqd2~swE8y?%pgYjxw5}s~Z4LQHRdF0^SadwW|DnafKny>iXkAke3PF5A4Z{VF zV+=7w2v(Gn?=#t^wV_B50|?(o36=vtZ8#J98_xXq4m3Q&%27E9m>{uN?$vy!94i)Mg1iw{rKPmz2u1JbU2rxPspA;s5Xy z;dSyp7`1_Zl4NpJOqQj7N;E=FFQ75pSJ3-U`_DOmUPa!xox+!;lV=ZITO(-;Xv{lw zXdFGzeB{s90s0N{J`^^WS{^;%dp07IGqgX(b@*JZF>Y9bV1lMJacldoc*b(qkoQr$=BV|5Oey;|@p7FkiqHh+e+v50vg3GL_$~52X4f3G zPI~vCUn@32W2&p5cPv;p0nqj2UDBdCrn{cR9z6f5DT`8LwydD@zggTL&~KCX@nUoR zr=eFlB=hVQuG)^M>sn*i^WW`n90%yNloiQ`N?kp zy^g$3IBcNbsNVfjD~$Rg3CspZS{t!7zS49)8-s?_d9 z;;fJN$oo{%26}D2OrCwI*QFm!QEDveDCptcPw=4Bn3X=Auz}V_GP~Ep*PBYs!y^Sf z^r^8}h0Fafd6(7NKx+f5-Gf%NxzxNQrJ#RVSo9H~H<0%~aU19j;oVpG#s-;IC>$$Z z3i|XzM%Ds)BYA79Y@k1g%H-MC^(JJl{RA`?)D-mJi@tdP(C?G?nV1c9!yYuV5920i ztn4Z1!&iy(H9sKlv*k9>A0=e+>`Sd^i&A58P(kl?$k9ij)SJlrT+{}7Yr2(Y56ufN z+D|~Qtdh_e!@cwKPmck5GkKSnnxWGpmrv?ta)#E%aN4wk+Um z=}`4$3UnICyQ0j7jy5ymvwZ_ymn+WEFlOWaCy z^vR&4!;88~J4*}7`CY$-yf0ww(sG!o%`Z85>uBe@GFd#(J4b2RKhbry*sa6OIJ_2Rd8H`yyujmfHETm7B7*u92n#hZI#=B~^t_ zgU-j~#g>UR9V5rqc(SwwnKYeujg__EmRLW~*+$-1T6F5^%4$w`%&k*d+Dek7S)RmRG&>3+Q}G-b8~n9qgF2Djj{%F-_-IGSJz7M7tW$*-qZqn{4Q` zZ)G~#YN@2d`#Y-b?Eh#-o_Bmk-Z#jGj2t?J?9r*5c-0=Z+dL#Xba(-ymjbwY~;N7C$xi& zGeu`w)%Y2p^CfxL*bFfA6?{(KI&>TjoEQI?4)4_|I$!79%g+E38I_MoD3$~n{i3p$PDU7KT5S=ycnXV5xy@*O%GO*`0;RCLBK+Ia)$d`;f< zc1`)PgiPK#bh^mwG&Rdl}X^!?AE^9_054cll(+oj?>T8B=zq~s$iD_LxyGkS6V zNucvBd6RZcxkpqcZyh??76Ruf=wPc?X{TuWg9AZl2X=sM#>$4lWb4rBT`h|z=)513 zbh>tokLv7y8Fc=KP3O3|vgAS(cBSQy=~yjJ*slCz4_l@c&}uLN=pLind;#e1u&3Q( zY?FpAOf%0O`iS(qO+Yt94W+(o`4=w$`g?4d$81Vnlz!l{haM0!m3m950s8ZEPCg#c z*ahEGZUa5ALMG21dJxI9qSV;g*P9*`{?JoD)dKnlZ1_iQpa-X9^6a69mdmsP8uM2L zed>45oB`+`VNYOJYFDXDo;|cXA=3)zl(E!p?hU|XF!v{zE7+C#tkM?RH(ysg*K0L+|l4UEk5kq$^d>`6@2ypgI1%xby9xvy;4E+CBdxQcQ(^*;kCV2h3>&bYq3sK%ZSsU6xg} zqi)xfz|yR0$HbF=2Zvu_>6I}z?{GI7G#j6A9{d9b&7RSxRv(zxsB|5s<%FHM7E*D- zPTZ#!W&|%-e6_T*1h2a;9MK-Un#sE(Vt!Pm{ik7Yo91Qank6qa=@-0UZDzsi=xH%@ zPPxC4_xq#`FEz?-9bRhoFL+_{ZpEv)x-)qFPTrqNY%ms$@Hy#BCkU+xlambrhD_jkMYr3S#Q!%Hm;2ws0%wy&j& zM#sVHFY^9n*S^$9xOI4`6$0kPmW>wetIg-){H(vp*T$}WsUdNz@G>kF2wu4jR=fhE zzdQ!|`iFe&?b??b8@CQGwPqlA5oPe|`)>2xs%`CZa`K>0Dzs_$=QL_PqoH4g`JN$8 z^j`!cK4aX_8X405N0~IgAfH-m7rZ*6-`9-6Reyg)>cbx!W`b86^6lGb!|Q^2iJT^BNa7#xXB8FgC`C{@&0h3tkS*7|PgB_}UcSHtM7K;MJCV`&U@=f&sQ6Z~Z-_ zHd|Q_{2qcCc+4QD`78M^&Ns$s?;&N-A$WE2Wa!J+KHllsY5Rd!JMtamwB`j(mJeJK+ej??rtp-$!}c;RtxS&#irZM=Hf3CDm}d-5I9Y{To45}6P3 z*7c<}vC_PJ$|OVaQdT2rUNCZ(`3YWKGV2lNN_-Nz>J;$GC0}Q|dR*Tu^HIFa9I_iv zD8nqlt4HSZh;x^s8`iA>uRQW~YqHiCjPWyhDZ`zA)0a%g|0{hxNitsW>J`hVN9Tn2 zt0(Ln23~uSuV>l+Ctk{$Oz`TP*}lN5+uDCtffr2h3T)aJ+#F>2R6QzdGo>$c8@KJh zV8|@<6TA+u$R^Bm+m+NOP3_V;_jYeU$iuj4cI)w$Bsb>4eZ!Rr9>9cRmYa*Ron1VtGlhkOD%>AeVx{5#p{|_;m6?BiF~J%H7}p3AJ@lUd!R3$GT9Kk zMkX?O9hs~d+AtEl4kq6SyXR5a)hKHWj*?Qg+D)h@eJ@fgnXm3 z8AlHynYV2BaKlO%<81pcI3r0pp|3HS`tpq_eYf&JY>wm|O1?2E>v}Zf>EnB~=&5)q zJdV@)d-K>wdk?8Op5S#}GDBZD$MNFO@h-sa8m}wrP5Q#I zv@Pqg;EkNgNB)g*Ox|JSyP(*Hmm0^V+ZSBOWZp_&3NNiMzge#uFSQ0L^fe)xldH{Z z4e^`P9DeB&C-lsiB!!b_ZE!bW=i z(CFZ&mTuNeuP+MFQm$`4Pd7E!6Hd=^{faHprYzE;lV=Y{BW|Cj%>4S6S)>hfTzRQX z%dRlo39?t6DGn0sQz?H!edUE2>VuB{MSbst=bi)gbs^uRG8^@oRi&xVtWr&VrkQf* zJy)N3)Mc+er7BUCVOGmk%}Om&eT7h>_7f@<>QmcbLVY+Wre*8p#&-@_ox%$kD6!z*)tIyn5*{e^fN~!Ofh*FDeeQN(twLY~m$E`0o#wpK!aIG!F zGdlsUbOTGYKV0dvAI>g2v+s+yL2KQ~`xP7n-zWjt1pR!6fVYYQ-ctPxhk&n{0zUX> z!(Kdx0)avb_#3IqX0FdbumX;HWu&>(1xjMt*$?m_!@ZGlTv6QxFK{OETvMo@?+}QT zQy_x31022}IW~&Rsh{r7I>X+%HmAu!QFfy^;?Yi6z#1{qO^xDm>L)A&u7Tt=kc1&I?o~h&6*biDI)O0F zLA~dq=C&vJ-gFNIrZto6u3`?&NWje!`hLSDy}(Ts zxIFqP1A*Di6qsE{y=Mx%n@gy7L#=+wK%f%kt1PD8vqUbpR8#NkJ^CpFfw>6^%#Bg+ z8*4ewc?7A5e#$^#elcR2sQ2uAzPBI(Dfm0UatWc^Vz|Q8drq7~Rj8HO@WNjwByVq` z!0olvyHe=o&U{G0|M4ABqJ?1!EW{Zdx0G|byUHMi(+R{W1A#?V6j)RW-8AsMd&(gN zXXdY}IdpF|F8GRn>)_CRDO_>#e^<$&2cV?~i2Oh1aAt_`NmL({#ET*4T5cp4$0{=nz21gkE znHpSW`dI~mXL0XY+#6QQ_m;&_Cd`Ksw9D>Vo;GG%@yeV?o5XOBc1>P3r z+gOYHaWE)k$q#UE1ODF>=Fp}D1vZw0Zxx3coVbtw-zE-yScMA+d5s+U7-hf*lC?7q z0^7iBTZw+gK_G>DI2}bh;~?;9m;#?7MM|XGj@-8w>t`GUK1)*IGt}6mYR>Zu2L(Py z%yywOIPV9(bmrjKCZ`9s{%Xb08(!ePZb|7czSj%$?mCfz^8whPi(>J=!9p@`ap3)Y z3eNLzA+=1&HCkJaFz1;&?$z_Iq2R5htQ=j^{jj#6VwP;5J9^nM6r2mmjkQwp3oFTX zcSdJyqTnqhP`1p|S761n?dIR68OTkZ3qC4}YeZO-so|Q47g7uhw+g3dwv5+=Aw)l|5c( zA(`_=`P5+){Mbo>n@e;_xAl1j&lFtnv^dWIuV9wMXvgL}gOg7=c)K{y055V~QhV%! zw}H|6N|{=848i$L+&M_OL100lBf^C4L&k}YClqpU=cC7|^AXVLNV#iK&lJ3-i~=u5 zIgV>wyf}lOL%TQ1R-nCvgZ#iwbLeu~%QrX`xHA$QhtjFD3-F@XJo>P!L* zqGX&HVg)Zs@Gwh@Lx!e<0TKnLKY~GA5Ax#^02s)z6#vwj1Q^R{QG$+UnW$%{3F>DO z=&&+wXq0;(Uphk`TAB|ASY0r3HlUs4pNV&`C3K*0_n}9|Wm*A^aiTJmTVHV>oQ3jw zlK=XA8|a9rD9!?vkY%aIMorMBg8^>q-1A{T_ac9c%+S);1jAREoS}ua8V0e(xM2xa zu2!cUFDOESEGt13MQGy6MuR3MhG!MmynIZU>y(XeDkr4kdwE19w z<41|rrvmcNa+smhnz*1wCT|^0sGL>mm6@XlQ3hbH=v|3|8AkibUou7yYK0CZpS-(=Suwcc*`pf66EpfS)?Pr}R{eG`E0NB-F@nq#{DC#0X@ z>`SehvMBZQ#-?}By&odyyh1=Xo9jOfeMzZgo;|c`JEE>l2LoKWW6K$UKAik>8f~C2 zt=@fTr7;1$+-XqwXAQ-70s08?-`rpWJ<+lI&`M(hx~|Xwz4OS&VVRoOpZt~eHqfe- zX0LFiF#&Bl7+}fT$43CVi2S$I*+8pSnmx4An1IF%TDI^V4%+!cKA;DXe{PKp^rZi@ zuNMacSazttF1{dkE1I{fkt z5kL-|r@ z0_Z{HUr=U-PQOwrOfORH<*E&~c25)9;EmG?O&pW%;oz}-WW$X-xEf4;tW%gqIB7c5 zJw{FJIUQ9zLFb1$Suvoq^_#1UKxYW~Z!fl?GpnR^>1e}UsU5S&c74Mkmx0bu^50ov zL+7T7)}^D0$J#M_Z1=kOyvspn82RrC+t8Wa+`4qM$xd234JkuqjUN3F@3Febf49em zPNk{a+Vi%f&C=3zo=3-kX)4=L4y>Jh8JeK z8FW14zpv0nJM)WMmyR|smUPS>+s7SuK6b$JyySntuI=0wYh60pgg#Bjc_-8>jdLF%USvhKUqhS{|URc^FS-Vvs6DOw6iv8XgeKm;&U|e!sLIl z#dJCSMqgapy4q3w7}GI(Y{$0;&IO&L$-k`DrYXlAtxHGsV}cI0TGUG-QFYzBpmPlQ zao)Q1c;}(g)}^ERF+m5rJBm(i+h&avcwHeo~O;riC4qxvyH=lz(ZgIWGU&xR(@ zIgb1*qGmej`R-#?MmpK8@+?Wov;x}X1pL*eZ*B+l@#KG@#Z#1quBnsBvmcT_VTNvq z8cKcjag%ZZeFFLGnruq_WbN)lKh+@9ic)Vey2Fl|^*!{NcOv;$HrPNfOUUHem-;_R znN~n=4I4^5&RP8ypi9XAa@+>`nHrfqd+2A)&?YC~)0W?gyLl&(|J5=Z=;iUlT98Q5TM5CF5bQ{2ar_2W&G&4W!dK%(1vu^Bt8spfU z&iG^g!fXw@{W6|wgSSds@`8KO$e)AzgV(9#f2-PtmwJK~FV)BxPnery*H_|k9P?r; z4P%_fYgJ6fF)#jrMN3}(*Bd(@dG!h4bsG8Cwdj@7`qH*qI4{NPytvF;@lxx|ir1TD zl+$>rl^&rlYqylaL;F1kUZ<1)-4+jTn%CtCL*9Bls=W@y%d8vwdQ@#d@S0ngp)a`0 zJTrJ>K6sUq|G&*P^{8!EF*l_zwfwJmnRRH-OYQv#UNGQkS&wjg8t1t34e&aH{2x^O zf8wP!h6FEnDuWl?EK0xZ1j2bE$PXiw7Q+$EodFgVnf0h%DN0}FXF+TCrZ%8IkLtN) zUTmJwQeQC7|D)&&CwPq{|5m3BFH@cA?Mv+{NnVmW|Icyu^{Ac&!RwRK3|{!$=~tDX zwF9qFFzqR~;iZ-wfv5A*woN66tjxM$JtWH@cx_Ku@p`ZJ?03NHOqeRAvf!m{@$Mck zwJaxi!T6_TJwjilRDd%t@+e6T zGV)^6x0dw?_uHLqUwsI?&Zj`G-FthYL*}D+T>>R*KiPl5nzzOnXa9cu7>dw-q8?#5 zoWZM2;Uec)?!TfiEH1No9@QSG;-z+j6)&|f&T-m!LUG_YZM>??K14lQyFC?;`)V)n zx_|;5?4C!f@x7YADqfl6d#M-xU-8;jDdPpNF`4ZPZcmp#)gRTJcOeBFB{upp;pyWE zHO*7J6duQE`ZABLHGQf1mEdLV_O!n5XzuSXqQF5(8(zCQE;Q><<7Iw6G+rrF`|@3w z*}nXLljsk}|ZFs4974)F%OU)gWz7(F)mszhGua%9adYq80+tagc&$}O# z$5Wtly_vG~oX{=fWb+YzJ1~8fuuQLS9rvAU%1m+j9H&{grPCI3T#K|Ri?qlchSIpB z{TM@dPPE9cFAy_u!yNZ1{?&f4-qYNk0^7??aiuJ$*6T!bE6>*LDbeAtDAac`1$vW> z`pm`E)Yn2)LVczuTyuMBQC#6Qw#(`>&w}jLr&J}XGR$iEENN0zHOHy-MWIrmKDF>C z)R(o}(}An6!*i84fdc(o48YT^Z&%f4evpOV&K{}HJYlp~pHh`l-xrQd^({-7;)MFt z3L>j7bWSv9AI(drOIcX^Bki{{XmeDHahbp33g4cdZ%4FS&NpiC#{tb0Y@6SX{LeR1 zXf*!d4dDD|;&)$l&@$+{j6HoQbgl<C{wQL>)zT?uc3k8nN3dGhDX_#3UBZN)5kVWaTc z>9e$uL*wxhzpj#oXg0Pj)gT8*{_f$>><03l3zO&dA_PNr<@vw{@XGT+7|y3XAB4eu+Vepe>Zd&)gn@tB z^FcTUq&**mLjgP=G%pNcY@PPP5a!tM!We)-1ejIj)4-ciqjjR}w}-*8ga+JD%lGam zq~Ps2G@!PMLwAO0z^k~YeN=8?6fwB>n$Y}R9vbj^ga#ypWHsVfrEukQy6PkiSY1g2 z-Vk{A)YE`94jS-g3E#W7kp^t8qXChpFb z=dwCN1FkBkKI>{Z^d>@6Bh>Sc8V;?2`XNVz@XVq(+V^+)Gl~5DUG0mz;`53> z6ysxzBHi1N`q`;}Rabn@ac2`g{wUD-GmyX4;iHivw7yh)Iam8uE`N5Czm;qF)L`3~ z{_SD@pdf#H*zgI%p7n;07xH&zdxzu9^S>#4-Vokn$BGhdL^@{$^*%w|d*1`8B=tT? z-1`6?Wyd1jDdOHHq&WfiPOsuzHpd}_dn3fXhB`>$9uIyf_#sOrsGH`dumwHXh$MA6 zDZ=-*Iv|zMz3te2g1zlD|2lTNVE;PJqmJD**rQJKp<_1>_My|f=GZ-iz2-DOId&`Q zJqLLT&p38RVb3_t7mnRn*cVQ@F0lWga&BO+K<(SZ{P|D*_OSM~;oz51;;#*B-xn62 z8{HdU4IUfjGBoDkXa@VTNyjY)j8)I-`=gT-f2@zr4MJHg^}s-2qkF9Qd^MV^P@ zO`<(3J78^y?>wsyQSujXyS!h_2;bq}>9yp!k~s8zGkJc5ALnFID?j9rX9`~peD6p6 zRYByrHitt$Av8Tgo*51fp^bTFmXPOqaqky|ZYU;?_Dt_Y=%y%nX6LiyuL#{-NX5TZ zbEp}ix%eLS#3l~?7NKGD>uJcdr5ySlZ=V$nG-P>d@6b$k{e4|@(}#>K@DOA-XV=t)DWkl&5eBTQ1IO1p`I_~ zb0{DAeT;K!R|&lw7N-HhQtJ7PgYR{&qyg?Y6&zO1p)OGxFf4}(dWk%{mQaDSmJ0gU z^Sy3Z9qtd`!{Y?c?j9-_gqTxA8G1OWU^sML9A!x-q()RxK|n}4o2bBpn4rj|XEPOq z>ZqV=4omh*QNi&gR8UaKq2BdC&ZmL_qI?ClR8Uk-1t-?v7sZJv1HpT~?yUX~Xr<4EP{UEY{<+L3?OA3Nb07$7w_N(DkJ}eAnZ&0emPH#}iG*KgpS=pxUZo?-w_HJ? zCo6e6pr~kIt$6Rt0%rzNukHVbB1gygAS>% zkZjYq-;-BCl6ZQhqYwI{%0e>d$e{-<-! zX;Y}s({&WQQO0Q#snD_to=nNKzoT3f`cFAesq{D-&+2RLz3Ea4Re9LET9>r(tnM}V zAk@Td5%#XuC2c&bT@z#PQfNUndspj{HlEcRXAXIXLh~EgyIM+q5s_Lmc!0ON^N!M+ zA(_wK)skmHgN0<S~hrx2!?m?TO`YtQO)SD&$iLbq11ceRu(EVsxrXJcuti$ZhJ z4(3R`Ym>%MRWmf#q^H(Ac|*5D?zVhAt_y67c-0=yO1DtGd{*`^I_GL=^SvgXi0P6& zXE$3&?swn2cRxs>cY*U@QkQf#R9i@P{Ot3En<0s(e6g(cE{AG+8D{hjZ+>&qSPH#U z$P-3A&(3d_TI9L!DY3buDTGN1CYrirw^P#X+EAi>X#3FHD6|gRye}bnzF%jN=ibBW z-G@?WZJZ~zQgTC$g(Q^}J$(^{-ma(MJyP$^wN@qC>!sMG=ToRY$rEXr=UY~q&r7`j z!*>*V3;L{PLGBB?9b%==+;58(j)Elgd6!3*?EIBgp6&a*JTpO|H6;{W7}X_v4llDP zQM=E#H2p=PH}PcN8P+AcOewUGY&(9xW6=L&a*728U9ww|70))uGH)2?Zka>1H z+)7J1KW@6>cnYn8=Bx5$o?@~P!m$>?hZ1_6whRzT6DbbCEel-=zE>j`Hw;hy?|EyRHH80^%E;C<-IlIm=ht1x_na9CF-c>!deUT z+}mdS52J?X3$Z*Sc{-nOwvcT9dfwG%QD{XeFXTv`@8w%aw%azeFP`<~=oM-t&z?^w zEhO7Mzq4m|3O$Fm`FNV=N~=0*bKcY^@YZ-1`dlJ;_QV8A?_~{I%BdQ+ZwZB-NuYhE zBu}xh6TgZFVouMV{@~?RY5&Nz}&LDqXVQ;v5Ufc4OL?Poofy z3ktqnEA!lB#j|Zm(N#cSSVLH@(k0!mTS>M#cj&B{6uJ{U-%3kPNca1O66KUEUVb_x zOQ6rRWV;IPEACBE@ZDmGb4)46-B(3e$dW9tYT&s0(T+q??mt{&;WYF>G2&9XT-VwP zS?(^SYP@;f5=R#bJygxhQBpFIZU+X*yq$|W_M%X{iGmGvx@4CD>1V(onfup>7ce@D zLzkQ5Qqo^-f!_XxijOchjDzQkC%JODfA$o)eoQpR3XmZI3=xh1A5y( zmjwDlGDgAoW!vmBHfE7$o9%)5`%q{xTJ}b#%=4^#3(1@lukKt+p$Aa*|E6?FZP_mL zaD;+e3;6BAu7gt`2FLdAE2+G;6W)H zXn*7Gms-&l&{(ul(5vU(ibj}MPQioi>Uv;g_o0I_sc7NunejAq$N0=qFZBZSl@!d6 z+m!mKGMU~^;lrR8vTEU2v65-I)V#nZOWiSkPv_}1fSydj&Q&(h{UMTBfOYJR}X&IUqB9+4D#fKdO=&2O!Y1gHc zDaoi2ZUvE1+6U*a=eJMZQ-tTcf`=VsM^wo zu2(2_`j5Fo!J&eJeJjlzq;CaQhz%Sx8z-zG8{#xy6s#5-oX~;B_qpk8s-XQsqP58ZYeb7&(jrOZlSbc;>*oj6cPzU0lX9FWwSr zsW0%FzxaR-;5Chc17cb5`o3A_&3GCwZBWAT|H=!yQZlXJwOkt&%{G40Z+PY2-T!+6 zcul8ZadQ^DevnxU4jM0QSoMGN`XeqA3treJv(VQYi(c*vUNa~-G?E3cA7$1CUfRI$ z|K{~)LM9fxo-eWDb#CXc2ZPsj6m-{S!RsfPwSkv5wEe$%{gsl51+OKR?SB1*m%(Z$ zZzcu(jx2cn?38({_N5KZIsV_<*FWjBf>%6YrLVIlR(%Iv*HbW5mIbe0(s?Uho8zD) ze*ek~`>48@7##3+szv+yb=(K5z$-?wYas0pYY7>=dg}$({Yr*Rj zM-_Ia^KPKv@!>4=^{Z3nt$1luJdXc&UTtGCE%V|HuMA!Vg=bvKn^SqSC|DA=;icY| zz|;GCHCmFqBo_bw@4VFOlX>wLp#`reJJs9=UN=&3c#DB%`aPsxrHYptKxWTNjhThM zupybjtG{Re2~d2jj0r`Bf?TY+4EAPTET0B1+OuQKPTV!C3wxI;0QZ? zwKgv`0%Klmw2+~%F^M5#KOPBQb0|1EoA>r_k=@r<`;<(}yh63rIiwj-cG&~7OT1o@ z(-ptpcp6HB{TE?i$vBtjrjxuc%chftoz_e0bwf(tpH+sGVrTX;(oG^wcntnUCTn73+X zYrHn6%+`2KPnoUpTA(j&w#I9S%506-9(`%Ewa_ByI&HQVy1U+Bw#I9u%506-{rqXO zHD2*kW^26G=kF=a)_9dqnXT~}l`>o7wJl|~#%p5AY>n5_l-U}u!6~yfUb|CfYrN*C z%+`3VP?@dq`j;|WlXohV z87J>>C^Jsp8Bu1OyrZJbIC&>VnQ`(CjxyuqogZb!$vZ~MjFWeo*o;%{WU)c3X6(v) zU2N>CcC^^MRWtPEy)k9z%X@0d&{yom-HuWTLtoy*Q-;1`@9$3B6NbLLXQ&K)d9P6! z`tly7GW6xWO=alId!owFm-kYYp)c>jDnno1yH$q1yyvS7eR;1~8T#@bGaLHqMmtI1 zZLi`kybTOVQ{0zHR^G~;6{s^QLD$<7{hF@>^Do3 zoavF07d49)CpM;CiCVekl5r3@GVX&jc#iDwgctL1!Hx%n} z!eB>_lf1>tLW`Rf=l`)BFJ2j1+>I4_oG`A@;%*YSkQ1*DEpE0b3F5>{M2nl_7_jleM+$>z^2#yfvm`inby8O+;fa8RIWK>+Furnc{p?ffE;%oM zvoJaA>S{ae8-|yhPv&1{_Nd9`4+-L&Tz<)U`J06w+|_E7I44~?cHS%$?ThS7qwP+A z(Y|D6>wV{ilW0@p?N>|V<&$V%rP#05IpPY|G)H|=xJou1zL~C)D1-8?fWwO z)za8JDB6s?dX3Lwi8eF0UgIUCXr*EM)%xA?LQ=H{n~F zdimy`Q@azM7U$1A7Tft#_|o6>|Fw2BEg#(5DbAmA>T_P9`6qbC+K0utsNj&s8U7`7>W6AW<^-lYRzqiXb|2#i%U2}2%kW%lwLi3M4d+zt* zoR?Maynao>W$KK1w*6c6&bj>Svj1u>-~6+9N9sz+Ij8ti&V~PLp!vVwdz9nrpQea& z_W$0`%QycFKJTy=7_Bj^5qA1SSOs`jfrdz=6L9sXa_Ws_h{ zXtg+3@wa9c*v*{v&MP!>wlwG6EzVza>z!9<QQU*>|v=hM@dEx1B z#38YM?^f#x(E0q0I2s{wp-Nw4B-&3jTR&GJ@#iq92@=c2*A$6MMY|e_zlhchiNA>! zkHm@^j%bd=6%o=kNc=;z7D!wzT1zBWiq;B=f9BKI8i^Y~YJi-GIcCwWRh)JSEzVNIWB23KGwXb`uiM$J3XJ#0v$aG&miix#5fw%>!ox(KI+? zOX$<#gqP%nvyo^W;A|41mJVmrT9OaW_+pX)=Qa5xKb$Qiq>ga54wE{;X?%`o^k<;C!Ky+QV@6kazqMICJW#Jql+}p~v8SMe=op z^Hrh8;mnnM*>LvBBle)t>RPwz5=ZA8XFT(kem(d<@4wve4;2e=l z?Ik!zR+DM&{=eR;re>fMGlLo-KD24PEoIh2N2Ew^Glk_&6 z<&~s&;9M&C-i32n4YhnY<-F$@1m|xu?_fA9@)>;}&aGb35IDD$k%q##BTV`L&Ydz_ z0i3&XseK6N?po3?IQNM55uAHP8xH6GLPkG^^MIE$0?vb#q>*qQ2B{FvBU0%oIFCwn zG@P|^L}TDQE?LIHc|vOb1kS&+ICdPIr%Fhl!g(g1R0L<83q|vi=0VYA#1Bw9q)?j=CB2wb2E|AqEr8;eEDND@ z65o$dOz|y(k|DmIpajMDGnAXbv5TSHBEE7cVeu`2(ph{&a|RzitHnB@;B&z6%`L3!RJt%lOQlC%cOi;@bzMppYZ>Lm4K%8Ymx$?*J6}j?Hlp%7_yB4nZjt-(e{7-In7Blrbu!N1==@ zA{~P=E}m2i<8betvzN?^AimwTj zwc=|EWu5r0hO$1NBbq_kD86_oo5a@~%4YFh14XW1qFO-NTE`JBp==jlD=0g~*BVN- zIiSIfn`^DD|$^r2uLOEE&5l$$F#iu|yB0d$$G4Ulq zIgv?UGL%zUr1ntiyrdK;a;}TI3Cj6mYN=49hbJlx%0=T6cid0cxWnQaaSeQk4&ClYD9h)TZSmKh$RNq>fPI^GThcUXwsFp|&U_1)#P9 zDFbTjd{Pia}6g%~0Exl5T-|oy>bH)WlqBVW`d;QfH{DXtzPVzLwhUP+eK1 zJD?_4lJ11sUTV1u>W#(J?uL4kO3H+qD%w3z-6_=Wh3b*a_d(UY)b5AsEhIevwL>lG zL8v~H)CHj`BYS${#OHiK>ttZr{B+JWCpRQrF7u1MJ>J3%S z{8713yBAaI1GPsbsV~%*vPrK)?J1f2L474m?G31MzK?nnYH!it=0!4j@q($+J4nxvUlI0^LEfyM%q+eyk$4L5JGLJw~ zh2$HFq?HmaM3Q_4iyDQb${gk!jimKiq%la^P)r(&q|Nc9Pmr`Fk2DTR+hn#+kyKqo z&1%-zBid(3+9xB%BWZsnqZ5!+e;%5Mq(fe6laO?{m^2wlNApQjkaVn;RE(tK5z^;K z`djAx0!gQ8sC|i~GZmz%NIIKK`U*+sQb=DT>3kjO8zlWJ6_mi`$fEWwT+vmeX>i4w zr0?K@jGYeGRoT?OhwEz5X22D%Qkw}^^Ab`iT&-m6EVx=1Q=11@FrV}TTsI5NhwIib zwFPk9mPz^%t~+JyPjF?*9E;()PqZa)Jt*2TxE>PiSGXP(?RU5y_cBWbTu<2Gh*}QU zlR2ana6MH)S_#)PGU5-ox)o4c1y=;5)o?wVLs|pZa}}gYxVnc)YvFpKjPxg5Ipw5v zaP>?ft%vL7V$ue%9P5U$}7(jmA$t|c9YYousL;3}-9b`-AB zxg@Lo;}gku9IkOi)K0?nSpw-4T$4mQ4Hv&wbVQwjOYY`G)xq_7HGOB{`ZAmJ4_s44 zI|tX-qMe89n@UD6!1Zkw>0h{}$&p`#OTL%4zJGLmUu;LCqu`pUlA__V9&WR>7`SHF zkQ%@>m(@qd!ZlB{hH%Xnii2xG3Vnd)_g%$!H>6CnU21*BKe1!gaQq(d*$lUq(uT>tD(2LUME= zwPYkWkP$Z^IaajxNRCq(y%EVMC#4{{aTVz%BsVD~r6T$22q_K8&1y+*BsVW2d63*9 zg`^?5rBt9JxwT2ni{v(vrGvHFj!-(1uM0EkLvkWW9g*y+Aaz3W4N|>{oap(3T(tSwI%p={8G}J&NSVrK-n}{Dh3?isUED7=0YcPpc$r-JNGDNlzd-QbBqW z$lpnkQWwZkK9AIL8POf7ORMR70jcsYSoDiX z{UeWB52UV=Xbw`>NTn|!byG2;J(0T8B)yE(>RM7Sr0$dYUPWq6Cbiy3J!pd?Iv1&j z%1Eyv^+-0U4^ofH*uF@um3d!B>WKtK`yus|m-Gfw>vBnNBK2Z5DGzB;GNM1y8e~%& zfV75%q_>dPC_);Dw8qt>w~^LDs(J@$t-{paMOvFOQa;kImFfo}?K+w5J*4@H8GRpV zeyL>`()NX^eT20AGIlu94p&qA7->g~NF$I|n?)LlwBt3TLZqF{CyhedsRYt!q@6A$ zjX_#n4rwgXcr(%w{Rz^}NxpG#H?@O~=uhFkTC^g#<7JNVa9>l$=mfay|DTx%ck5hg zli*HJNt5BewwN>pZutfy+FI=@(M#=fxSh46FW^>7NngU9Bw41yog7c?E4Xi{Bz+C{ zjS~F^?whixS!-#f){?%3+g(bU2Dc{B@8I^vQ=1NVhf31-aQg~LGvKa&pJ&3|v6fmX z+-3o37Tg)xq}gzXRMH%{<;msfxp3bq@5?;6J7+Tb1KhWJN%P^pqncC(_gy8V1#o9d zzJ+k#t5W+B?)zlkMQ}e*M(roKAF{y_{WIJTSCAIN{b(Mk9PX|W(h|6{<4H^5exi!B z4DP3-`d{FFCX3pyaR0|7{RX#OQ%6_8EmzIa%i(@GfzcIkzmiW{33o5aw+ilDsbDqS zePy;aaKBzkpS51u8)4E~xbtM*Kj9uAzIAZFRmA9exZkcLZGijTe9}g^2Z6K+?)NfD z){1BE%WRwB9vY#x1@3|}(pI>KnWSxSe|91$R*bX%F1vMcWJaM5%rs+>^5z-4A!M%x10D_C*%818{#Sl^(R#qf@CJ zg8Q2i(qU@_ImvPa?rBxjj>A1mMx20qPA;{RaLdWSuvxh;a*rvIs^Bj zLQrYYRD z5z^Igp9qth!F{HP6c6`Vz6UYQ;XY?ej+krUKA%Tw0r$VGIi@At7t5%%f+s4M)Eb^> zRu$6*o(7^Nz|%0E(QDy}y7r+FSV zs|ixhLowIG(>j4#5jsuZ+C| zo`))_-38C1#iUGl9+yh*h3CmaYWKtQOdjb$cp_OOtNm0ycgH*o&x>+IkHV8v$7ol0 zUKYxR=hbRzPr~zB1?g#cUYB{h!SiMrwFo=|%1O_`^S03Q@Vrw)tvfvVGVcrU47Mdl z%!}~6FZJcX^Fa}{m*Dx(OX>;Fuqx8a@C?r(y#mh&kb1#0QbxQA&nS~xZ+J$_Y`O4^ zEui)qJmV5bec<`DjMNvN&oW7`!!tqh^@C@kgh#HMVy43LkC(o$;5k=H`Wl`KLf^o1 zQKBW#qT(6-7Fu*AX&ST!g{1GGH5A`;Xb|7`&>D$v2DGchHxpV@iIzfZCNv9LyhLY1 zyC#?U=0IyvOPULEDG z6*Br0wEDBf&(PY}Qd7xAt&0Eaquh2TkZ~r%F zzHDm0L-S{mDxh^NB`t?$%3s6^Xc@NTh*=3OD6{U(6VHXbpkF5wj6m*Fw@JXxUk$Drj8CwnQg-S+uLF*AF?S__9 zLHY|?Px0-6_KH-n7n)qj#O#CCTgL8(_F5iC)IjT7Kso^J4VmpAwEm!W2-*ON9)|X| zEjeP2KzpZ*bQD^?%ytagpjv9R(B6|Q$Ds`gQ#%1|XgTR5w1O5bI?A?B%Oygu8wp8T9F*vztF~;)Gk7s zP+?O82ee6rq$p@p;z`laipxnc(7p(h8bF(BgQGz#w69n}gND$)$)*+ut)z+sXw!;F zji60WAT@^eeKqMSXfq|+1lp_=YE7ZdX7vrOhBjBF)(qN$d{R8LAH~-k+9H|b8fZU9 z7;OPgRYp^w?UJf)g7#M~wNz+(ZE!S5gSJoRa6_w+NHw`)eCg0mlvDFT`@4u_KyN6s`Jp3=T1V)OWsXkJn@ARGHQlC?B>=ryDSa8xo9B>% z&|8E_A?U4C(#_CY*O6|4o={G@6?)rDQW$!>cv5HRiPfarpeqs5?a)=x?tt#fqjo3s z8)UY-ptqOk-Oy8nGNGrI({~Sax!cmFBRvoOiE2`J=ucIWUV#3L`DoNi$-xelKgT5n$^d0n_#iZ%bcO{U%hrYXtGz0pc zEYeKqduvG6D%ATUq*>4p${e$yA2zAYfqq1eV=nY#l5ZaL+EPY;fPNyLG#~oOTv8eI zQy?vXep>P^gnmY5`w{xtd`1^R|EHSt6ZG?8($CN@l#>=izo?SR;dPXemcSb=6)c4} zCXd=Ocw@b!U*K(6Nct7txLVS0@HUbPeuuZQR8RqLlN?5u!+W(2js`2>Z5AP|gg3sN z^as4xsH9c!wx}kphPRa*7T&fd=}&mCD6zK+@^c#}b@g7=09X*0a_XTmM;riiu`>0cEwx((?iVbXS_Pm|epAbn;wwVg^d+^V{YbBn5j99(A;)n5>3^g! zdJyTW#CHhkYf7mdM*7+U(h;Pu%OxE}`uZBuF{E$KC)FZ-YbNP9(zjKUP9S}U_)a3d zTC~5BzDM$%LV8UOeW#IrK;}Jz^ut1RNIzP^=vk!KW|96u`bimk4(X@ssGUc8T@~p9 z($D3S{zdu)kS-$q-y)l0tp#%AuP!zQz7Xe)jf1ZZo;uZ+L{%3BD0tk_q3)JW>EY`IjU%1HLg?)PnGRl0yo?_i2Q5Gkl-P5#0jc z1o7Pp-z1e$Yu$Uf29E7)?O{<#?KW%Q3puvi;hQS6-C^x_k;&+t@RgXPyWpEvK)M^g z>2)M)w~LuF_8$0VRZ+Xw+EoLj`>Z`QvPnr(aazq6%+Kcufj2o*N9R}kj(LREaRz__&43A3s z7=~6%8Ue%WC5?pPld1|~_$4|DMklFYG>m{r-xwIdQqou$H>;#iV011aje{ZAb+Mnq zxJ!<_2u5ZWqo2XJr;;=t#(f2(2{5|Uk|x48(8EG1f z953lR7(G)+(_y?UzVBi5%BMC1MsKNQCXCl?$q`!$qmN{n1><$eG8;y}0!HV+cvJGt zg^?%u=D`?H$>T?0d|He)Mcl$6uA7RI!E z(w{J4V+mqJX7{uprtA(*WOzk*~os#7Qj9n_VlQ4GY zlm3RkmuRQp&y7$!4S(Nk(i!-NO0*9C0?Bd~{tso|^YD+9=mq!-^El#P_(x}wF2X;S zT0`r3$#LX?of(?#_vGB`ZM8k&g7lT?H{9i;!*8VJ0%Sesj z|0ajj82*wv(pB(Jli8ZUKfQ!nQ}}0?qZW)0iG|C3~H z3;#;V+z$TLlFteM+Cq*{;9nO{QsG}O^Ii|Xd~eav1^?zuMw8*+B4clWe_MoFd-%84 zl5T{*I+v6J|89_Og8#1^QY!p=DoAPY@AHz}@b9lAdEh^gMbh9uSWVL5KO7->;Xfi; z2l$T_QcH*bcs$7m|A_*U0sr5bBtQJ8BP0|4e?$wwFV|}gGvJpFl7>O}|CM<|@L!ZH zH=|>ejJO3IW5UdGD>^o)AcfIUz7c8I866vmb{jfgC0Xu8$5v9`eK2FIIl_8UWkojW z0Vrq7NDsnnBJXn-m{;dg%YqpnPkIPu^E#6Cd`glHj)srIY*k8n3}zcIsVmHcO48#n z+eS#%{$K4R%M&mYtEfE*Q;{Qj3Z_~^?P-`vIizP`x@0!%2^2YRSX&^QT@o059%fbv zsXNSvMSB6}BL&oYK*=g5<-n9HOKZVr^SN4TJz>hfF4kJerhE%)Elq6Zlrj1$oO7l6 z-Y{Q|P_t- zJ};ziEX+xnBO7=9l984Cd4tYU5#kT|k-uv&2i92=iN+ zZ4%7yq~^&mXNMV`0&|XJE`~WThuY^b=S%fpz$~k#_9e`P`J|~Z7un!w_!Z2>;`kcn4D(<%qvbFUN%c!$9#N?+g?UuwT?VsOe80jxDV6>P z^KTjPJIvGZ98m%DOf_ja%(GI<3YhUHKctAxJ28J zKyn>5Ye}s3d87kSUo=Sv5lAT`9fH~|pL7_3G^zdw)Msm{9Yw$+zGG0cbE#PiJ$b{V z<4_-#*-jvkUP|pG)W-@*ed> zr;yGe@JJ5nJOYnJNEZ<3T4PgO0|cG}DHeg}b4ftpC60(|gup8))EXnutB7pT$dFI(arYo&M?6Q|i;SHGqz934 zKx*!Sj6-?U9zw>^6w<@UI95S=6d7{G6W0|Pr^J_yj5?Y3No1VMrtfKF{F^}PhM=Q{ z6hSaX=y?R=>ZrYdVB;!M4+NW*kX}MCzKHZPf-Q1Ly%20uN$QPY+j7!t2+IG-aeWY! z?A?V6x^bG`)#rGzH?IlYdf+-U1kKj#G%K!w^B=cJcdcqt#5JBA}y^Wx^g7gl8 z>BXdX5j4D{d;~kjlLjH!DW5bL!F%#Z?;&_!F6n&)AIKzqfZ#(i!dlY%;bLmT5PVE% zID$`?Q2Q9cZlaAqFd`#HBKT|pqt@bu&sCE~A=o`a8jau!RirTp_K*t3BKQ)heS%=m zBGNbnd)1IWMX}W=8+a6lvhGpgwTLG($5GD2B{pO_f66ggoc!nmLXIiv;B(DuzYI2BQ(60v>c(4 zVbV&3MwOCQAvD$oN8B2O#$}P#A~Zgm^d~|Sib?Abnk4hCM@X*b;x-^OrIOK&2z?$; z+Jw*-1*9s3zLc6bBlMMI-h$BA#f)x6s3ecH4WVyE+m6t8xzu(bG`)zl6QLRTq-um_ z7Ls-$G%JU+8=={v{e{rn2(>*3%_|}8MQFZc*@sYB8MXZgEtKd1gnr7Wb`T-?ULfue zLgl5@4kNTQOgf6tFBPP72yMUTdq9(V+510}UbXjrCiS-WeJ17Fdp?t1 zv-W|mBlUr`*E6ZFz0Wi0by#~mldSVqqau=ZzG{*~vd&k{WDe_mb&X`U&Q~oZpLM>v zHlIH0eAO5(otC?hdCK==< zy>IW)OtL|EApH7D5QTxE&mzl&pnMoho`!SP-*?TdQKC<^=CJnduU?zQR@4rkM zVeh?68fovlOe(bZTqcdO_gf~7w)a{ljj{JxCXKcCSSEd9@2^aYww3lnr82X zO#05=2bnb8-UFHRy}kc2X@B>zuf}g0#@y%a~-{50!r*VD*JJm-?*xp?#zR>wai% z8GY9M(B7hz!`ic$+7f%eV$xE3uVT_Nd!J&`FZLeAq+jj*iAlfNdlQqa^XW1h9H_AO zBqlAl_ai2)u=gS+t+e+cCjDXWK}=c&YyV-A^*N;=k5p;zG)!7+?=noXKAp%_2CTE~ zZznzm(xe^s64Iod z_VUrBYJ2Hu(k^>%WRmrXQ?t&tt4c^m>}8-y)_J$Gm~;%*^3SANd+BG=aeLWk(g|2g zK9f$`%RQ6+wwHP)owApCCY`pIcqW~(mv<)B*-JZ<&f3d5lm4-nbS9m%mvbhax0iAz zU9gvNCjDzK;Y_+{FW+oaBWtbE>S|Jyy=*fn8rG7{Bk-aQ4$$FaYG108=N{1IyYho|OOlpdodq^!;Tbt=-Q)^}~ zzf6j^mtH0{x0hWeS)Y`K$%q#Aa?8|O+Dk2ytWQsQ1tja!lYEoa$olj&Tryjqo+1*p zK0Q5COJ7^uJVdfs-Rif?9PR9-lo_?U)rZEDtZwzJ6p~^upG>m4)w`M`t6TjE@g>O{)vew$k7RYL%RQS$R=0X?4mGP=y>Bkb>Q;Xv zn`CvX_Yadi_AU)V9Dz5oCK literal 0 HcmV?d00001 diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35_bb.v b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35_bb.v new file mode 100644 index 0000000..b233912 --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35_bb.v @@ -0,0 +1,143 @@ +//============================================================================= +// Verilog module generated by IPExpress 06/19/2012 09:57:30 +// Filename: sgmii_gbe_pcs35_bb.v +// Copyright(c) 2008 Lattice Semiconductor Corporation. All rights reserved. +//============================================================================= + +//--------------------------------------------------------------- +// sgmii_gbe_pcs35 synthesis black box definition +//--------------------------------------------------------------- + +/* WARNING - Changes to this file should be performed by re-running IPexpress +or modifying the .LPC file and regenerating the core. Other changes may lead +to inconsistent simulation and/or implemenation results */ + + + + + + +module sgmii_gbe_pcs35 ( + // Control Interface + rst_n, + signal_detect, + gbe_mode, + sgmii_mode, + operational_rate, + debug_link_timer_short, + force_isolate, + force_loopback, + force_unidir, + + rx_compensation_err, + ctc_drop_flag, + ctc_add_flag, + an_link_ok, + + // G/MII Interface + tx_clock_enable_sink , + tx_clock_enable_source , + tx_clk_125, + tx_d, + tx_en, + tx_er, + + rx_clock_enable_sink , + rx_clock_enable_source , + rx_clk_125, + rx_d, + rx_dv, + rx_er, + col, + crs, + + // 8-bit Interface + tx_data, + tx_kcntl, + tx_disparity_cntl, + xmit_autoneg, + + serdes_recovered_clk, + rx_data, + rx_kcntl, + rx_even , + rx_disp_err , + rx_cv_err , + rx_err_decode_mode , + + // Managment Control Outputs + mr_an_complete, + mr_page_rx, + mr_lp_adv_ability, + + // Managment Control Inputs + mr_main_reset, + mr_an_enable, + mr_restart_an, + mr_adv_ability + ); + + + +// Control Interface +input rst_n ; +input signal_detect ; +input gbe_mode ; +input sgmii_mode ; +input [1:0] operational_rate ; +input debug_link_timer_short ; +input force_isolate ; +input force_loopback ; +input force_unidir ; + +output rx_compensation_err ; +output ctc_drop_flag ; +output ctc_add_flag ; +output an_link_ok ; + +// G/MII Interface +input tx_clock_enable_sink; +output tx_clock_enable_source; +input tx_clk_125 ; +input [7:0] tx_d ; +input tx_en ; +input tx_er ; + +input rx_clock_enable_sink; +output rx_clock_enable_source; +input rx_clk_125 ; +output [7:0] rx_d ; +output rx_dv ; +output rx_er ; +output col ; +output crs ; + +// 8-bit Interface +output [7:0] tx_data ; +output tx_kcntl; +output tx_disparity_cntl; +output xmit_autoneg; + +input serdes_recovered_clk ; +input [7:0] rx_data ; +input rx_even ; +input rx_kcntl; +input rx_disp_err ; +input rx_cv_err ; +input rx_err_decode_mode ; + +// Managment Control Outputs +output mr_an_complete; +output mr_page_rx; +output [15:0] mr_lp_adv_ability; + +// Managment Control Inputs +input mr_main_reset; +input mr_an_enable; +input mr_restart_an; +input [15:0] mr_adv_ability; + + + +endmodule + diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35_beh.v b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35_beh.v new file mode 100644 index 0000000..65d30ce --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs35/sgmii_gbe_pcs35_beh.v @@ -0,0 +1,3372 @@ +// sgmii_pcs_core_beh_pp.v generated by Lattice IP Model Creator version 1 +// created on Fri Mar 23 11:01:38 CST 2012 +// Copyright(c) 2007 Lattice Semiconductor Corporation. All rights reserved +// obfuscator_exe version 1.mar0807 +// top +`define SGMII_NO_ENC +`define SGMII_YES_CTC_DYNAMIC +`define SGMII_FIFO_FAMILY_ECP3 +`define SGMII_YES_SINGLE_CLOCK +`timescale 1 ns / 100 ps +module vi7cf1f (uve78fb, an3c7dd, fne3eec, ie1f767, zkfbb38, xjdd9c2, + rtece16, ay670b6, tj385b0, blc2d86, lf16c36, wyb61b7, zzb0db9, + vk86dcf); +input [17:0] uve78fb; +input an3c7dd; +input fne3eec; +input ie1f767; +input zkfbb38; +input xjdd9c2; +input rtece16; +input [9:0] ay670b6; +input [9:0] tj385b0; +output [17:0] blc2d86; +output lf16c36; +output wyb61b7; +output zzb0db9; +output vk86dcf; +reg [17 : 0] icc175e; +reg ngbaf0; +reg fa5d782; +reg faebc13; +reg of5e09b; +reg uif04dc; +reg co826e0; +reg [9 : 0] xl13701; +reg [9 : 0] nt9b80e; +reg [2047:0] shdc077; +wire [8:0] eae03bd; +localparam ba1de9 = 9,ngef4b = 32'hfdffc68b; +localparam [31:0] ea77a5a = ngef4b; +localparam the9682 = ngef4b & 4'hf; +localparam [11:0] fn5a0bd = 'h7ff; +wire [(1 << the9682) -1:0] uk82f73; +reg [ba1de9-1:0] jebdcc5; +reg [the9682-1:0] dm7315a [0:1]; +reg [the9682-1:0] nec5698; +reg aa2b4c2; +integer xj5a610; +integer qtd3086; +AND2 gq98434 (.A(ie1f767), .B(uk10d0f), .Z(ng86878)); INV aa343c2 (.A(ana1e10), .Z(uk10d0f)); AND2 go78421 (.A(zkfbb38), .B(mg10847), .Z(db84238)); INV ym211c6 (.A(gd8e31), .Z(mg10847)); OR2 vx38c6b (.A(xjdd9c2), .B(rtece16), .Z(ou8d7e9)); XOR2 pf6bf48 (.A(rt5fa47), .B(yxfd23f), .Z(pfe91fc)); XOR2 ps48fe7 (.A(yxfd23f), .B(tw3f9da), .Z(blfced2)); XOR2 qte7697 (.A(tw3f9da), .B(psda5e1), .Z(fnd2f0a)); XOR2 aa97857 (.A(psda5e1), .B(vie15c8), .Z(gqae43)); XOR2 by57218 (.A(vie15c8), .B(dzc8610), .Z(cm43086)); XOR2 wl18431 (.A(dzc8610), .B(ec10c6f), .Z(pu86378)); XOR2 uk31bc3 (.A(ec10c6f), .B(gb6f0c9), .Z(qg7864c)); XOR2 czc3260 (.A(gb6f0c9), .B(uvc982f), .Z(me4c17d)); XOR2 yx60be8 (.A(uvc982f), .B(fc2fa21), .Z(me7d10b)); XOR2 wje8858 (.A(fc2fa21), .B(ym21624), .Z(fcb121)); XOR2 xw5890b (.A(osc485a), .B(mg242d1), .Z(mg21689)); XOR2 ngb449 (.A(mg242d1), .B(wwd125e), .Z(hq892f1)); XOR2 ic4978a (.A(wwd125e), .B(uv5e284), .Z(rgf1424)); XOR2 gd8a122 (.A(uv5e284), .B(ph848ae), .Z(uk24577)); XOR2 bn22bb9 (.A(ph848ae), .B(anaee78), .Z(yk773c5)); XOR2 mgb9e29 (.A(anaee78), .B(ay78a4b), .Z(hoc5259)); XOR2 gd292ca (.A(ay78a4b), .B(kd4b2a8), .Z(kq59545)); XOR2 tucaa29 (.A(kd4b2a8), .B(lsa8a57), .Z(hb452ba)); XOR2 gd295d6 (.A(lsa8a57), .B(ho575ae), .Z(phbad72)); XOR2 uvd6b96 (.A(ho575ae), .B(anae5af), .Z(ui72d7c)); defparam do96be0.initval = 16'h6996 ; ROM16X1 do96be0 (.AD3(fpaf81f), .AD2(ne7c0ff), .AD1(cme07fa), .AD0(nt3fd1), .DO0(aa1fe8e)) ; defparam qgff471.initval = 16'h6996 ; ROM16X1 qgff471 (.AD3(med1c49), .AD2(db8e248), .AD1(jp71247), .AD0(tw8923e), .DO0(ay491f2)) ; defparam fa48f90.initval = 16'h6996 ; ROM16X1 fa48f90 (.AD3(cme07fa), .AD2(nt3fd1), .AD1(oh9068d), .AD0(oh9068d), .DO0(qi1a36b)) ; defparam kqd1b58.initval = 16'h6996 ; ROM16X1 kqd1b58 (.AD3(ne7c0ff), .AD2(cme07fa), .AD1(nt3fd1), .AD0(oh9068d), .DO0(ba139a)) ; defparam lf9cd2.initval = 16'h6996 ; ROM16X1 lf9cd2 (.AD3(tw8923e), .AD2(fpaf81f), .AD1(ne7c0ff), .AD0(qi1a36b), .DO0(xybd739)) ; defparam faeb9ca.initval = 16'h6996 ; ROM16X1 faeb9ca (.AD3(jp71247), .AD2(tw8923e), .AD1(fpaf81f), .AD0(ba139a), .DO0(wy98918)) ; defparam goc48c0.initval = 16'h6996 ; ROM16X1 goc48c0 (.AD3(db8e248), .AD2(jp71247), .AD1(tw8923e), .AD0(aa1fe8e), .DO0(ph9952)) ; defparam yk4ca97.initval = 16'h6996 ; ROM16X1 yk4ca97 (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(oh9068d), .AD0(oh9068d), .DO0(jpcd4c1)) ; defparam al6a60e.initval = 16'h6996 ; ROM16X1 al6a60e (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(rie809), .AD0(oh9068d), .DO0(bna0256)) ; defparam do12b7.initval = 16'h6996 ; ROM16X1 do12b7 (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(rib75b1), .AD0(rie809), .DO0(ofd6c5e)) ; defparam ukb62f5.initval = 16'h6996 ; ROM16X1 ukb62f5 (.AD3(nt8bd4c), .AD2(rib75b1), .AD1(rie809), .AD0(oh9068d), .DO0(su4cd40)) ; defparam xw66a01.initval = 16'h6996 ; ROM16X1 xw66a01 (.AD3(aa1fe8e), .AD2(ay491f2), .AD1(su4cd40), .AD0(oh9068d), .DO0(ld5a793)) ; defparam yxd3c9e.initval = 16'h6996 ; ROM16X1 yxd3c9e (.AD3(gbf27ae), .AD2(zm93d75), .AD1(hd9ebab), .AD0(uif5d5f), .DO0(iraeaf9)) ; defparam mr757cd.initval = 16'h6996 ; ROM16X1 mr757cd (.AD3(pf5f365), .AD2(fnf9b2e), .AD1(xjcd977), .AD0(lq6cbbf), .DO0(gb65dfa)) ; defparam mg2efd4.initval = 16'h6996 ; ROM16X1 mg2efd4 (.AD3(hd9ebab), .AD2(uif5d5f), .AD1(oh9068d), .AD0(oh9068d), .DO0(sj8e0d)) ; defparam xw4706d.initval = 16'h6996 ; ROM16X1 xw4706d (.AD3(zm93d75), .AD2(hd9ebab), .AD1(uif5d5f), .AD0(oh9068d), .DO0(al5e34f)) ; defparam nef1a7c.initval = 16'h6996 ; ROM16X1 nef1a7c (.AD3(lq6cbbf), .AD2(gbf27ae), .AD1(zm93d75), .AD0(sj8e0d), .DO0(ux18596)) ; defparam xjc2cb5.initval = 16'h6996 ; ROM16X1 xjc2cb5 (.AD3(xjcd977), .AD2(lq6cbbf), .AD1(gbf27ae), .AD0(al5e34f), .DO0(vi764fd)) ; defparam pub27eb.initval = 16'h6996 ; ROM16X1 pub27eb (.AD3(fnf9b2e), .AD2(xjcd977), .AD1(lq6cbbf), .AD0(iraeaf9), .DO0(medbb14)) ; defparam lddd8a1.initval = 16'h6996 ; ROM16X1 lddd8a1 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(oh9068d), .AD0(oh9068d), .DO0(ay772e2)) ; defparam cob9711.initval = 16'h6996 ; ROM16X1 cob9711 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(ng1100c), .AD0(oh9068d), .DO0(zx40318)) ; defparam tw18c6.initval = 16'h6996 ; ROM16X1 tw18c6 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(mrc6794), .AD0(ng1100c), .DO0(lf9e52c)) ; defparam yxf2966.initval = 16'h6996 ; ROM16X1 yxf2966 (.AD3(vxa59a6), .AD2(mrc6794), .AD1(ng1100c), .AD0(oh9068d), .DO0(swa6d19)) ; defparam kf368c9.initval = 16'h6996 ; ROM16X1 kf368c9 (.AD3(iraeaf9), .AD2(gb65dfa), .AD1(swa6d19), .AD0(oh9068d), .DO0(xj641e9)) ; XOR2 xy20f4f (.A(ym21624), .B(uif5d5f), .Z(jpe9ea6)); XOR2 rt4f536 (.A(nt3fd1), .B(anae5af), .Z(iea6de0)); defparam ir36f01.initval = 16'h0410 ; ROM16X1 ir36f01 (.AD3(iebc062), .AD2(anae5af), .AD1(nt3fd1), .AD0(oh9068d), .DO0(su6205e)) ; defparam ec102f0.initval = 16'h1004 ; ROM16X1 ec102f0 (.AD3(iebc062), .AD2(anae5af), .AD1(nt3fd1), .AD0(oh9068d), .DO0(fce507)) ; defparam ww7283b.initval = 16'h0140 ; ROM16X1 ww7283b (.AD3(bna0ec7), .AD2(ym21624), .AD1(uif5d5f), .AD0(oh9068d), .DO0(fnc7d4e)) ; defparam ou3ea76.initval = 16'h4001 ; ROM16X1 ou3ea76 (.AD3(bna0ec7), .AD2(ym21624), .AD1(uif5d5f), .AD0(oh9068d), .DO0(cb8011e)) ; INV qi8f4 (.A(do47a1), .Z(an23d0a)); AND2 vk1e855 (.A(dmf42ab), .B(an23d0a), .Z(ecaad5)); AND2 nr556a8 (.A(dmf42ab), .B(do47a1), .Z(fad51e7)); defparam baa8f3b.CSDECODE_R = 3'b000 ; defparam baa8f3b.CSDECODE_W = 3'b000 ; defparam baa8f3b.GSR = "ENABLED" ; defparam baa8f3b.RESETMODE = "ASYNC" ; defparam baa8f3b.REGMODE = "NOREG" ; defparam baa8f3b.DATA_WIDTH_R = 18 ; defparam baa8f3b.DATA_WIDTH_W = 18 ; PDP16KA baa8f3b (.DI0(uve78fb[0]), .DI1(uve78fb[1]), .DI2(uve78fb[2]), .DI3(uve78fb[3]), .DI4(uve78fb[4]), .DI5(uve78fb[5]), .DI6(uve78fb[6]), .DI7(uve78fb[7]), .DI8(uve78fb[8]), .DI9(uve78fb[9]), .DI10(uve78fb[10]), .DI11(uve78fb[11]), .DI12(uve78fb[12]), .DI13(uve78fb[13]), .DI14(uve78fb[14]), .DI15(uve78fb[15]), .DI16(uve78fb[16]), .DI17(uve78fb[17]), .DI18(uve78fb[0]), .DI19(uve78fb[1]), .DI20(uve78fb[2]), .DI21(uve78fb[3]), .DI22(uve78fb[4]), .DI23(uve78fb[5]), .DI24(uve78fb[6]), .DI25(uve78fb[7]), .DI26(uve78fb[8]), .DI27(uve78fb[9]), .DI28(uve78fb[10]), .DI29(uve78fb[11]), .DI30(uve78fb[12]), .DI31(uve78fb[13]), .DI32(uve78fb[14]), .DI33(uve78fb[15]), .DI34(uve78fb[16]), .DI35(uve78fb[17]), .ADW0(oh3c44c), .ADW1(oh3c44c), .ADW2(oh9068d), .ADW3(oh9068d), .ADW4(me4c91b), .ADW5(dz648d9), .ADW6(ou246ce), .ADW7(ep23671), .ADW8(xy1b38c), .ADW9(qtd9c62), .ADW10(kqce314), .ADW11(nr718a1), .ADW12(do8c50f), .ADW13(cm6287a), .CEW(ng86878), .CLKW(an3c7dd), .WE(oh3c44c), .CSW0(oh9068d), .CSW1(oh9068d), .CSW2(oh9068d), .ADR0(oh9068d), .ADR1(oh9068d), .ADR2(oh9068d), .ADR3(oh9068d), .ADR4(yx4e2a2), .ADR5(vv71515), .ADR6(mt8a8ae), .ADR7(yx54574), .ADR8(xya2ba5), .ADR9(wy15d2b), .ADR10(epae958), .ADR11(hb74ac5), .ADR12(jra562f), .ADR13(ou2b17f), .CER(db84238), .CLKR(fne3eec), .CSR0(oh9068d), .CSR1(oh9068d), .CSR2(oh9068d), .RST(xjdd9c2), .DO0(blc2d86[0]), .DO1(blc2d86[1]), .DO2(blc2d86[2]), .DO3(blc2d86[3]), .DO4(blc2d86[4]), .DO5(blc2d86[5]), .DO6(blc2d86[6]), .DO7 +(blc2d86[7]), .DO8(blc2d86[8]), .DO9(blc2d86[9]), .DO10(blc2d86[10]), .DO11(blc2d86[11]), .DO12(blc2d86[12]), .DO13(blc2d86[13]), .DO14(blc2d86[14]), .DO15(blc2d86[15]), .DO16(blc2d86[16]), .DO17(blc2d86[17]), .DO18(), .DO19(), .DO20(), .DO21(), .DO22(), .DO23(), .DO24(), .DO25(), .DO26(), .DO27(), .DO28(), .DO29(), .DO30(), .DO31(), .DO32(), .DO33(), .DO34(), .DO35()) ; defparam vi478a0.GSR = "ENABLED" ; FD1P3BX vi478a0 (.D(mre2814), .SP(ng86878), .CK(an3c7dd), .PD(xjdd9c2), .Q(rt5fa47)) ; defparam hda57e2.GSR = "ENABLED" ; FD1P3DX hda57e2 (.D(ld5f8b9), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(yxfd23f)) ; defparam hocfd61.GSR = "ENABLED" ; FD1P3DX hocfd61 (.D(mef5856), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(tw3f9da)) ; defparam irb13c0.GSR = "ENABLED" ; FD1P3DX irb13c0 (.D(vv4f023), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(psda5e1)) ; defparam ou1f0d5.GSR = "ENABLED" ; FD1P3DX ou1f0d5 (.D(yxc3544), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(vie15c8)) ; defparam hq252d5.GSR = "ENABLED" ; FD1P3DX hq252d5 (.D(al4b55d), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(dzc8610)) ; defparam kdedeea.GSR = "ENABLED" ; FD1P3DX kdedeea (.D(hb7baa4), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ec10c6f)) ; defparam sj26e64.GSR = "ENABLED" ; FD1P3DX sj26e64 (.D(mgb992f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(gb6f0c9)) ; defparam ne79b92.GSR = "ENABLED" ; FD1P3DX ne79b92 (.D(fn6e49f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(uvc982f)) ; defparam vife21d.GSR = "ENABLED" ; FD1P3DX vife21d (.D(uk8875a), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(fc2fa21)) ; defparam dmd0156.GSR = "ENABLED" ; FD1P3DX dmd0156 (.D(wy559d), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ym21624)) ; defparam she8601.GSR = "ENABLED" ; FD1P3DX she8601 (.D(pfe91fc), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(fa69a9c)) ; defparam jc4d4e2.GSR = "ENABLED" ; FD1P3DX jc4d4e2 (.D(blfced2), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(fp942e5)) ; defparam cba172f.GSR = "ENABLED" ; FD1P3DX cba172f (.D(fnd2f0a), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(eaea018)) ; defparam dz500c0.GSR = "ENABLED" ; FD1P3DX dz500c0 (.D(gqae43), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(gd3cf5c)) ; defparam ene7ae5.GSR = "ENABLED" ; FD1P3DX ene7ae5 (.D(cm43086), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ld6e606)) ; defparam fa73036.GSR = "ENABLED" ; FD1P3DX fa73036 (.D(pu86378), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(pu997a9)) ; defparam gocbd4b.GSR = "ENABLED" ; FD1P3DX gocbd4b (.D(qg7864c), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(dmc506a)) ; defparam bn28352.GSR = "ENABLED" ; FD1P3DX bn28352 (.D(me4c17d), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(twbd919)) ; defparam fnec8cb.GSR = "ENABLED" ; FD1P3DX fnec8cb (.D(me7d10b), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ipf79c5)) ; defparam ksbce2c.GSR = "ENABLED" ; FD1P3DX ksbce2c (.D(fcb121), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(zzceee)) ; defparam ay67777.GSR = "ENABLED" ; FD1P3DX ay67777 (.D(ym21624), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(tuc0cca)) ; defparam db6653.GSR = "ENABLED" ; FD1P3DX db6653 (.D(rt5fa47), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(me4c91b)) ; defparam pseb66c.GSR = "ENABLED" ; FD1P3DX pseb66c (.D(yxfd23f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(dz648d9)) ; defparam cba4eca.GSR = "ENABLED" ; FD1P3DX cba4eca (.D(tw3f9da), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ou246ce)) ; defparam aa31aa0.GSR = "ENABLED" ; FD1P3DX aa31aa0 (.D(psda5e1), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(ep23671)) ; defparam xj45bd5.GSR = "ENABLED" ; FD1P3DX xj45bd5 (.D(vie15c8), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(xy1b38c)) ; defparam jcf48be.GSR = "ENABLED" ; FD1P3DX jcf48be (.D(dzc8610), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(qtd9c62)) ; defparam suefb70.GSR = "ENABLED" ; FD1P3DX suefb70 (.D(ec10c6f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(kqce314)) ; defparam ic4cd37.GSR = "ENABLED" ; FD1P3DX ic4cd37 (.D(gb6f0c9), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(nr718a1)) ; defparam su593c1.GSR = "ENABLED" ; FD1P3DX su593c1 (.D(uvc982f), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(do8c50f)) ; defparam kd6f03b.GSR = "ENABLED" ; FD1P3DX kd6f03b (.D(fc2fa21), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(cm6287a)) ; defparam tj3a29.GSR = "ENABLED" ; FD1P3DX tj3a29 (.D(ym21624), .SP(ng86878), .CK(an3c7dd), .CD(xjdd9c2), .Q(bna0ec7)) ; defparam mgacd25.GSR = "ENABLED" ; FD1P3BX mgacd25 (.D(uk34943), .SP(db84238), .CK(fne3eec), .PD(ou8d7e9), .Q(osc485a)) ; defparam jr198f9.GSR = "ENABLED" ; FD1P3DX jr198f9 (.D(rg63e74), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(mg242d1)) ; defparam gqa31c6.GSR = "ENABLED" ; FD1P3DX gqa31c6 (.D(xjc7184), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(wwd125e)) ; defparam nt27f5f.GSR = "ENABLED" ; FD1P3DX nt27f5f (.D(qtfd7fa), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(uv5e284)) ; defparam osd47c8.GSR = "ENABLED" ; FD1P3DX osd47c8 (.D(ym1f209), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ph848ae)) ; defparam vi48da6.GSR = "ENABLED" ; FD1P3DX vi48da6 (.D(gd36998), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(anaee78)) ; defparam qgc4a28.GSR = "ENABLED" ; FD1P3DX qgc4a28 (.D(vx28a0b), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ay78a4b)) ; defparam mr5ede2.GSR = "ENABLED" ; FD1P3DX mr5ede2 (.D(jeb78ae), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(kd4b2a8)) ; defparam os72144.GSR = "ENABLED" ; FD1P3DX os72144 (.D(cb8510c), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(lsa8a57)) ; defparam th64d07.GSR = "ENABLED" ; FD1P3DX th64d07 (.D(co341f5), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ho575ae)) ; defparam fca8d00.GSR = "ENABLED" ; FD1P3DX fca8d00 (.D(mg34010), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(anae5af)) ; defparam ux80e29.GSR = "ENABLED" ; FD1P3DX ux80e29 (.D(mg21689), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(kq6dea3)) ; defparam yx6f518.GSR = "ENABLED" ; FD1P3DX yx6f518 (.D(hq892f1), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ks24221)) ; defparam wy21109.GSR = "ENABLED" ; FD1P3DX wy21109 (.D(rgf1424), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ne4d871)) ; defparam jc6c38b.GSR = "ENABLED" ; FD1P3DX jc6c38b (.D(uk24577), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(kqde7bd)) ; defparam rtf3de8.GSR = "ENABLED" ; FD1P3DX rtf3de8 (.D(yk773c5), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(hd378a0)) ; defparam cobc506.GSR = "ENABLED" ; FD1P3DX cobc506 (.D(hoc5259), .SP( +db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ir857bb)) ; defparam mg2bddc.GSR = "ENABLED" ; FD1P3DX mg2bddc (.D(kq59545), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(uxdb2a)) ; defparam me6d955.GSR = "ENABLED" ; FD1P3DX me6d955 (.D(hb452ba), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(vi42afb)) ; defparam mg157da.GSR = "ENABLED" ; FD1P3DX mg157da (.D(phbad72), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(qi8dcd0)) ; defparam jp6e681.GSR = "ENABLED" ; FD1P3DX jp6e681 (.D(ui72d7c), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ps738fb)) ; defparam pu9c7da.GSR = "ENABLED" ; FD1P3DX pu9c7da (.D(anae5af), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(qv9f0d5)) ; defparam mrf86ac.GSR = "ENABLED" ; FD1P3DX mrf86ac (.D(osc485a), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(yx4e2a2)) ; defparam ux29433.GSR = "ENABLED" ; FD1P3DX ux29433 (.D(mg242d1), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(vv71515)) ; defparam nr7e701.GSR = "ENABLED" ; FD1P3DX nr7e701 (.D(wwd125e), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(mt8a8ae)) ; defparam jpf32c7.GSR = "ENABLED" ; FD1P3DX jpf32c7 (.D(uv5e284), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(yx54574)) ; defparam shd959b.GSR = "ENABLED" ; FD1P3DX shd959b (.D(ph848ae), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(xya2ba5)) ; defparam xj503f8.GSR = "ENABLED" ; FD1P3DX xj503f8 (.D(anaee78), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(wy15d2b)) ; defparam qtf8b73.GSR = "ENABLED" ; FD1P3DX qtf8b73 (.D(ay78a4b), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(epae958)) ; defparam sh5ec8b.GSR = "ENABLED" ; FD1P3DX sh5ec8b (.D(kd4b2a8), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(hb74ac5)) ; defparam dz7bf90.GSR = "ENABLED" ; FD1P3DX dz7bf90 (.D(lsa8a57), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(jra562f)) ; defparam zz9bb1d.GSR = "ENABLED" ; FD1P3DX zz9bb1d (.D(ho575ae), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(ou2b17f)) ; defparam kdf770e.GSR = "ENABLED" ; FD1P3DX kdf770e (.D(anae5af), .SP(db84238), .CK(fne3eec), .CD(ou8d7e9), .Q(iebc062)) ; defparam en654a8.GSR = "ENABLED" ; FD1S3DX en654a8 (.D(fa69a9c), .CK(fne3eec), .CD(xjdd9c2), .Q(ld454ea)) ; defparam ls2a756.GSR = "ENABLED" ; FD1S3DX ls2a756 (.D(fp942e5), .CK(fne3eec), .CD(xjdd9c2), .Q(pub2248)) ; defparam vx91243.GSR = "ENABLED" ; FD1S3DX vx91243 (.D(eaea018), .CK(fne3eec), .CD(xjdd9c2), .Q(uk1f410)) ; defparam qgfa080.GSR = "ENABLED" ; FD1S3DX qgfa080 (.D(gd3cf5c), .CK(fne3eec), .CD(xjdd9c2), .Q(fc164c)) ; defparam pub262.GSR = "ENABLED" ; FD1S3DX pub262 (.D(ld6e606), .CK(fne3eec), .CD(xjdd9c2), .Q(bn13340)) ; defparam ri99a06.GSR = "ENABLED" ; FD1S3DX ri99a06 (.D(pu997a9), .CK(fne3eec), .CD(xjdd9c2), .Q(zz32c7f)) ; defparam ri963fe.GSR = "ENABLED" ; FD1S3DX ri963fe (.D(dmc506a), .CK(fne3eec), .CD(xjdd9c2), .Q(kdf2b23)) ; defparam cb9591c.GSR = "ENABLED" ; FD1S3DX cb9591c (.D(twbd919), .CK(fne3eec), .CD(xjdd9c2), .Q(pse41ee)) ; defparam ls20f73.GSR = "ENABLED" ; FD1S3DX ls20f73 (.D(ipf79c5), .CK(fne3eec), .CD(xjdd9c2), .Q(an9a9d3)) ; defparam qgd4e9d.GSR = "ENABLED" ; FD1S3DX qgd4e9d (.D(zzceee), .CK(fne3eec), .CD(xjdd9c2), .Q(qte84e9)) ; defparam xw4274d.GSR = "ENABLED" ; FD1S3DX xw4274d (.D(tuc0cca), .CK(fne3eec), .CD(xjdd9c2), .Q(rt6be72)) ; defparam hb5f397.GSR = "ENABLED" ; FD1S3DX hb5f397 (.D(kq6dea3), .CK(an3c7dd), .CD(ou8d7e9), .Q(anbee41)) ; defparam enf720c.GSR = "ENABLED" ; FD1S3DX enf720c (.D(ks24221), .CK(an3c7dd), .CD(ou8d7e9), .Q(cz651af)) ; defparam nt28d7d.GSR = "ENABLED" ; FD1S3DX nt28d7d (.D(ne4d871), .CK(an3c7dd), .CD(ou8d7e9), .Q(lqee0f9)) ; defparam gb707ca.GSR = "ENABLED" ; FD1S3DX gb707ca (.D(kqde7bd), .CK(an3c7dd), .CD(ou8d7e9), .Q(kd54432)) ; defparam pua2195.GSR = "ENABLED" ; FD1S3DX pua2195 (.D(hd378a0), .CK(an3c7dd), .CD(ou8d7e9), .Q(hdaa0f5)) ; defparam bl507ae.GSR = "ENABLED" ; FD1S3DX bl507ae (.D(ir857bb), .CK(an3c7dd), .CD(ou8d7e9), .Q(os774cd)) ; defparam ntba669.GSR = "ENABLED" ; FD1S3DX ntba669 (.D(uxdb2a), .CK(an3c7dd), .CD(ou8d7e9), .Q(xj4f8b2)) ; defparam ho7c596.GSR = "ENABLED" ; FD1S3DX ho7c596 (.D(vi42afb), .CK(an3c7dd), .CD(ou8d7e9), .Q(lsb2b92)) ; defparam kf95c92.GSR = "ENABLED" ; FD1S3DX kf95c92 (.D(qi8dcd0), .CK(an3c7dd), .CD(ou8d7e9), .Q(hd94841)) ; defparam oha420e.GSR = "ENABLED" ; FD1S3DX oha420e (.D(ps738fb), .CK(an3c7dd), .CD(ou8d7e9), .Q(wj7532a)) ; defparam swa9954.GSR = "ENABLED" ; FD1S3DX swa9954 (.D(qv9f0d5), .CK(an3c7dd), .CD(ou8d7e9), .Q(nta035d)) ; defparam vk1aef.GSR = "ENABLED" ; FD1S3DX vk1aef (.D(ld454ea), .CK(fne3eec), .CD(xjdd9c2), .Q(nt8bd4c)) ; defparam kddce86.GSR = "ENABLED" ; FD1S3DX kddce86 (.D(pub2248), .CK(fne3eec), .CD(xjdd9c2), .Q(rib75b1)) ; defparam gda4691.GSR = "ENABLED" ; FD1S3DX gda4691 (.D(uk1f410), .CK(fne3eec), .CD(xjdd9c2), .Q(rie809)) ; defparam lq6c1b1.GSR = "ENABLED" ; FD1S3DX lq6c1b1 (.D(fc164c), .CK(fne3eec), .CD(xjdd9c2), .Q(med1c49)) ; defparam ay5cd66.GSR = "ENABLED" ; FD1S3DX ay5cd66 (.D(bn13340), .CK(fne3eec), .CD(xjdd9c2), .Q(db8e248)) ; defparam ie87613.GSR = "ENABLED" ; FD1S3DX ie87613 (.D(zz32c7f), .CK(fne3eec), .CD(xjdd9c2), .Q(jp71247)) ; defparam qtc9ff3.GSR = "ENABLED" ; FD1S3DX qtc9ff3 (.D(kdf2b23), .CK(fne3eec), .CD(xjdd9c2), .Q(tw8923e)) ; defparam dmee8f0.GSR = "ENABLED" ; FD1S3DX dmee8f0 (.D(pse41ee), .CK(fne3eec), .CD(xjdd9c2), .Q(fpaf81f)) ; defparam ls1e282.GSR = "ENABLED" ; FD1S3DX ls1e282 (.D(an9a9d3), .CK(fne3eec), .CD(xjdd9c2), .Q(ne7c0ff)) ; defparam ks92bcc.GSR = "ENABLED" ; FD1S3DX ks92bcc (.D(qte84e9), .CK(fne3eec), .CD(xjdd9c2), .Q(cme07fa)) ; defparam zzcce6.GSR = "ENABLED" ; FD1S3DX zzcce6 (.D(rt6be72), .CK(fne3eec), .CD(xjdd9c2), .Q(nt3fd1)) ; defparam ntba8bf.GSR = "ENABLED" ; FD1S3DX ntba8bf (.D(anbee41), .CK(an3c7dd), .CD(ou8d7e9), .Q(vxa59a6)) ; defparam zkf1773.GSR = "ENABLED" ; FD1S3DX zkf1773 (.D(cz651af), .CK(an3c7dd), .CD(ou8d7e9), .Q(mrc6794)) ; defparam end649d.GSR = "ENABLED" ; FD1S3DX end649d (.D(lqee0f9), .CK(an3c7dd), .CD(ou8d7e9), .Q(ng1100c)) ; defparam by630c7.GSR = "ENABLED" ; FD1S3DX by630c7 (.D(kd54432), .CK(an3c7dd), .CD(ou8d7e9), .Q(pf5f365)) ; defparam lqd5b3a.GSR = "ENABLED" ; FD1S3DX lqd5b3a (.D(hdaa0f5), .CK(an3c7dd), .CD(ou8d7e9), .Q(fnf9b2e)) ; defparam ym9241e.GSR = "ENABLED" ; FD1S3DX ym9241e (.D(os774cd), .CK(an3c7dd), .CD(ou8d7e9), .Q(xjcd977)) ; defparam cb80a90.GSR = "ENABLED" ; FD1S3DX cb80a90 (.D(xj4f8b2), .CK(an3c7dd), .CD(ou8d7e9), .Q(lq6cbbf)) ; defparam bn284a4.GSR = "ENABLED" ; FD1S3DX bn284a4 (.D(lsb2b92), .CK(an3c7dd), .CD(ou8d7e9), .Q(gbf27ae)) ; defparam wy3bba6.GSR = "ENABLED" ; FD1S3DX wy3bba6 (.D(hd94841), .CK(an3c7dd), .CD(ou8d7e9), .Q(zm93d75)) ; defparam ri867c3.GSR = "ENABLED" ; FD1S3DX ri867c3 (.D(wj7532a), .CK(an3c7dd), . +CD(ou8d7e9), .Q(hd9ebab)) ; defparam mrdc92b.GSR = "ENABLED" ; FD1S3DX mrdc92b (.D(nta035d), .CK(an3c7dd), .CD(ou8d7e9), .Q(uif5d5f)) ; defparam gbe20c9.GSR = "ENABLED" ; FD1S3BX gbe20c9 (.D(fc83261), .CK(fne3eec), .PD(ou8d7e9), .Q(gd8e31)) ; defparam pf617e8.GSR = "ENABLED" ; FD1S3DX pf617e8 (.D(rt5fa3a), .CK(an3c7dd), .CD(xjdd9c2), .Q(ana1e10)) ; defparam do3af23.GSR = "ENABLED" ; FD1S3BX do3af23 (.D(ksbc8d7), .CK(fne3eec), .PD(ou8d7e9), .Q(zzb0db9)) ; defparam bld72e1.GSR = "ENABLED" ; FD1S3DX bld72e1 (.D(aycb870), .CK(an3c7dd), .CD(xjdd9c2), .Q(vk86dcf)) ; CU2 gb70053 (.CI(oh3c44c), .PC1(yxfd23f), .PC0(rt5fa47), .CO(ay53911), .NC1(ld5f8b9), .NC0(mre2814)); CU2 xl22253 (.CI(ay53911), .PC1(psda5e1), .PC0(tw3f9da), .CO(qg53cd7), .NC1(vv4f023), .NC0(mef5856)); CU2 ux9ae77 (.CI(qg53cd7), .PC1(dzc8610), .PC0(vie15c8), .CO(qg77b88), .NC1(al4b55d), .NC0(yxc3544)); CU2 rt7101a (.CI(qg77b88), .PC1(gb6f0c9), .PC0(ec10c6f), .CO(co1ac37), .NC1(mgb992f), .NC0(hb7baa4)); CU2 fc86e67 (.CI(co1ac37), .PC1(fc2fa21), .PC0(uvc982f), .CO(me675cd), .NC1(uk8875a), .NC0(fn6e49f)); CU2 aab9a16 (.CI(me675cd), .PC1(oh9068d), .PC0(ym21624), .CO(xl1638a), .NC1(), .NC0(wy559d)); CU2 xl8e2b5 (.CI(oh3c44c), .PC1(mg242d1), .PC0(osc485a), .CO(vxb5fa1), .NC1(rg63e74), .NC0(uk34943)); CU2 hof43fe (.CI(vxb5fa1), .PC1(uv5e284), .PC0(wwd125e), .CO(dmfe504), .NC1(qtfd7fa), .NC0(xjc7184)); CU2 rva08e7 (.CI(dmfe504), .PC1(anaee78), .PC0(ph848ae), .CO(mre7cae), .NC1(gd36998), .NC0(ym1f209)); CU2 an95d4c (.CI(mre7cae), .PC1(kd4b2a8), .PC0(ay78a4b), .CO(cm4c960), .NC1(jeb78ae), .NC0(vx28a0b)); CU2 kf2c072 (.CI(cm4c960), .PC1(ho575ae), .PC0(lsa8a57), .CO(yk72b5b), .NC1(co341f5), .NC0(cb8510c)); CU2 ld6b623 (.CI(yk72b5b), .PC1(oh9068d), .PC0(anae5af), .CO(wl23d30), .NC1(), .NC0(mg34010)); FSUB2 hof4c2b (.A1(yxfd23f), .A0(rt5fa47), .B1(lf9e52c), .B0(xj641e9), .BI(oh3c44c), .BOUT1(dzd8704), .BOUT0(), .S1(qtc3827), .S0(bn1c13c)); FSUB2 yke09e7 (.A1(psda5e1), .A0(tw3f9da), .B1(ay772e2), .B0(zx40318), .BI(dzd8704), .BOUT1(alc3f66), .BOUT0(), .S1(aa1fb34), .S0(shfd9a1)); FSUB2 xjecd0d (.A1(dzc8610), .A0(vie15c8), .B1(vi764fd), .B0(medbb14), .BI(alc3f66), .BOUT1(jc7312e), .BOUT0(), .S1(wy98973), .S0(goc4b98)); FSUB2 ba25cc1 (.A1(gb6f0c9), .A0(ec10c6f), .B1(iraeaf9), .B0(ux18596), .BI(jc7312e), .BOUT1(xj50641), .BOUT0(), .S1(pu8320f), .S0(xl1907b)); FSUB2 vvc83df (.A1(fc2fa21), .A0(uvc982f), .B1(sj8e0d), .B0(al5e34f), .BI(xj50641), .BOUT1(ayf539a), .BOUT0(), .S1(yma9cd0), .S0(gb4e680)); FSUB2 fa73405 (.A1(oh9068d), .A0(jpe9ea6), .B1(oh9068d), .B0(oh9068d), .BI(ayf539a), .BOUT1(), .BOUT0(), .S1(), .S0(ay5c741)); VHI the3a09 (.Z(oh3c44c)); FSUB2 gbe827d (.A1(ofd6c5e), .A0(ld5a793), .B1(mg242d1), .B0(osc485a), .BI(oh3c44c), .BOUT1(dm6cf8c), .BOUT0(), .S1(su67c62), .S0(ie3e311)); FSUB2 tuf1889 (.A1(jpcd4c1), .A0(bna0256), .B1(uv5e284), .B0(wwd125e), .BI(dm6cf8c), .BOUT1(xj5a0d5), .BOUT0(), .S1(psd06ae), .S0(do83573)); FSUB2 co1ab9b (.A1(wy98918), .A0(ph9952), .B1(anaee78), .B0(ph848ae), .BI(xj5a0d5), .BOUT1(jcca8f5), .BOUT0(), .S1(al547aa), .S0(mga3d55)); FSUB2 ng1eaa9 (.A1(aa1fe8e), .A0(xybd739), .B1(kd4b2a8), .B0(ay78a4b), .BI(jcca8f5), .BOUT1(by58fcd), .BOUT0(), .S1(xjc7e6a), .S0(do3f356)); FSUB2 hbf9ab3 (.A1(qi1a36b), .A0(ba139a), .B1(ho575ae), .B0(lsa8a57), .BI(by58fcd), .BOUT1(fafea05), .BOUT0(), .S1(do47a1), .S0(xya8150)); FSUB2 dz40a83 (.A1(oh9068d), .A0(iea6de0), .B1(oh9068d), .B0(oh9068d), .BI(fafea05), .BOUT1(), .BOUT0(), .S1(), .S0(dmf42ab)); AGEB2 dzc2e84 (.A1(mg242d1), .A0(osc485a), .B1(ofd6c5e), .B0(ld5a793), .CI(db84238), .GE(mg34ebd)); AGEB2 vka75e9 (.A1(uv5e284), .A0(wwd125e), .B1(jpcd4c1), .B0(bna0256), .CI(mg34ebd), .GE(ps52ebf)); AGEB2 co975fb (.A1(anaee78), .A0(ph848ae), .B1(wy98918), .B0(ph9952), .CI(ps52ebf), .GE(yxc6fde)); AGEB2 nt37ef4 (.A1(kd4b2a8), .A0(ay78a4b), .B1(aa1fe8e), .B0(xybd739), .CI(yxc6fde), .GE(vx37459)); AGEB2 rvba2cf (.A1(ho575ae), .A0(lsa8a57), .B1(qi1a36b), .B0(ba139a), .CI(vx37459), .GE(nrf9e15)); AGEB2 hocf0af (.A1(oh9068d), .A0(su6205e), .B1(oh9068d), .B0(fce507), .CI(nrf9e15), .GE(xjd8c37)); FADD2 qtc61be (.A1(oh9068d), .A0(oh9068d), .B1(oh9068d), .B0(oh9068d), .CI(xjd8c37), .COUT1(), .COUT0(), .S1(), .S0(fc83261)); AGEB2 th5ad04 (.A1(yxfd23f), .A0(rt5fa47), .B1(lf9e52c), .B0(xj641e9), .CI(ng86878), .GE(lf3d99f)); AGEB2 theccff (.A1(psda5e1), .A0(tw3f9da), .B1(ay772e2), .B0(zx40318), .CI(lf3d99f), .GE(off2943)); AGEB2 jr94a1c (.A1(dzc8610), .A0(vie15c8), .B1(vi764fd), .B0(medbb14), .CI(off2943), .GE(lf37e37)); AGEB2 lfbf1be (.A1(gb6f0c9), .A0(ec10c6f), .B1(iraeaf9), .B0(ux18596), .CI(lf37e37), .GE(dobd9a4)); AGEB2 hbecd23 (.A1(fc2fa21), .A0(uvc982f), .B1(sj8e0d), .B0(al5e34f), .CI(dobd9a4), .GE(ldf336a)); AGEB2 ng99b57 (.A1(oh9068d), .A0(fnc7d4e), .B1(oh9068d), .B0(cb8011e), .CI(ldf336a), .GE(bld7356)); FADD2 qib9ab6 (.A1(oh9068d), .A0(oh9068d), .B1(oh9068d), .B0(oh9068d), .CI(bld7356), .COUT1(), .COUT0(), .S1(), .S0(rt5fa3a)); AGEB2 yx7526e (.A1(ay670b6[1]), .A0(ay670b6[0]), .B1(su67c62), .B0(ie3e311), .CI(db84238), .GE(ana12fe)); AGEB2 xl97f5 (.A1(ay670b6[3]), .A0(ay670b6[2]), .B1(psd06ae), .B0(do83573), .CI(ana12fe), .GE(fn74e8d)); AGEB2 ria746f (.A1(ay670b6[5]), .A0(ay670b6[4]), .B1(al547aa), .B0(mga3d55), .CI(fn74e8d), .GE(ofd3065)); AGEB2 qi9832c (.A1(ay670b6[7]), .A0(ay670b6[6]), .B1(xjc7e6a), .B0(do3f356), .CI(ofd3065), .GE(nt9f2f)); AGEB2 ld4f97f (.A1(ay670b6[9]), .A0(ay670b6[8]), .B1(do47a1), .B0(xya8150), .CI(nt9f2f), .GE(xjf30e3)); AGEB2 ec9871f (.A1(oh9068d), .A0(fad51e7), .B1(oh9068d), .B0(ecaad5), .CI(xjf30e3), .GE(ipccfc7)); FADD2 ui67e3d (.A1(oh9068d), .A0(oh9068d), .B1(oh9068d), .B0(oh9068d), .CI(ipccfc7), .COUT1(), .COUT0(), .S1(), .S0(ksbc8d7)); AGEB2 lq5ddbc (.A1(qtc3827), .A0(bn1c13c), .B1(tj385b0[1]), .B0(tj385b0[0]), .CI(ng86878), .GE(xl13484)); AGEB2 mt9a426 (.A1(aa1fb34), .A0(shfd9a1), .B1(tj385b0[3]), .B0(tj385b0[2]), .CI(xl13484), .GE(eca69e9)); AGEB2 aa34f49 (.A1(wy98973), .A0(goc4b98), .B1(tj385b0[5]), .B0(tj385b0[4]), .CI(eca69e9), .GE(ip4bdc0)); AGEB2 sh5ee04 (.A1(pu8320f), .A0(xl1907b), .B1(tj385b0[7]), .B0(tj385b0[6]), .CI(ip4bdc0), .GE(db2d4da)); AGEB2 yx6a6d7 (.A1(yma9cd0), .A0(gb4e680), .B1(tj385b0[9]), .B0(tj385b0[8]), .CI(db2d4da), .GE(sue0cf8)); AGEB2 hd67c0 (.A1(oh9068d), .A0(ay5c741), .B1(oh9068d), .B0(oh9068d), .CI(sue0cf8), .GE(cb3c91c)); VLO xwe48e5 (.Z(oh9068d)); FADD2 kf23951 (.A1(oh9068d), .A0(oh9068d), .B1(oh9068d), .B0(oh9068d), .CI(cb3c91c), .COUT1(), .COUT0(), .S1(), .S0(aycb870)); assign lf16c36 = gd8e31; assign wyb61b7 = ana1e10; +always@* begin icc175e<={uve78fb>>1,eae03bd[0]};ngbaf0<=eae03bd[1];fa5d782<=eae03bd[2];faebc13<=eae03bd[3];of5e09b<=eae03bd[4];uif04dc<=eae03bd[5];co826e0<=eae03bd[6];xl13701<={ay670b6>>1,eae03bd[7]};nt9b80e<={tj385b0>>1,eae03bd[8]};end +always@* begin shdc077[2047]<=an3c7dd;shdc077[2046]<=fne3eec;shdc077[2044]<=ie1f767;shdc077[2040]<=zkfbb38;shdc077[2032]<=xjdd9c2;shdc077[2017]<=rtece16;shdc077[1987]<=ay670b6[0];shdc077[1926]<=tj385b0[0];shdc077[1023]<=uve78fb[0];end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[0]};ngbaf0<=eae03bd[1];fa5d782<=eae03bd[2];faebc13<=eae03bd[3];of5e09b<=eae03bd[4];uif04dc<=eae03bd[5];co826e0<=eae03bd[6];xl13701<={ay670b6>>1,eae03bd[7]};nt9b80e<={tj385b0>>1,eae03bd[8]};end +always@* begin shdc077[2047]<=an3c7dd;shdc077[2046]<=fne3eec;shdc077[2044]<=ie1f767;shdc077[2040]<=zkfbb38;shdc077[2032]<=xjdd9c2;shdc077[2017]<=rtece16;shdc077[1987]<=ay670b6[0];shdc077[1926]<=tj385b0[0];shdc077[1023]<=uve78fb[0];end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[0]};ngbaf0<=eae03bd[1];fa5d782<=eae03bd[2];faebc13<=eae03bd[3];of5e09b<=eae03bd[4];uif04dc<=eae03bd[5];co826e0<=eae03bd[6];xl13701<={ay670b6>>1,eae03bd[7]};nt9b80e<={tj385b0>>1,eae03bd[8]};puad6d2<=eae03bd[9];rt6b693<=eae03bd[10];cz5b49e<=eae03bd[11];dmda4f4<=eae03bd[12];nrd27a2<=eae03bd[13];je93d16<=eae03bd[14];jr9e8b3<=eae03bd[15];lqf459f<=eae03bd[16];jea2cfa<=eae03bd[17];rv167d3<=eae03bd[18];oub3e9e<=eae03bd[19];ir9f4f0<=eae03bd[20];ykfa784<=eae03bd[21];shd3c21<=eae03bd[22];db9e10d<=eae03bd[23];kqf086e<=eae03bd[24];hd84371<=eae03bd[25];ec21b8e<=eae03bd[26];yzdc70<=eae03bd[27];vv6e385<=eae03bd[28];jp71c2e<=eae03bd[29];zz8e177<=eae03bd[30];cz70bbf<=eae03bd[31];ux85dfd<=eae03bd[32];ie2efed<=eae03bd[33];kq77f69<=eae03bd[34];dbbfb48<=eae03bd[35];czfda46<=eae03bd[36];yxed235<=eae03bd[37];jc691ad<=eae03bd[38];tu48d6b<=eae03bd[39];ea46b5b<=eae03bd[40];hq35ad8<=eae03bd[41];hqad6c3<=eae03bd[42];fn6b61b<=eae03bd[43];kd5b0de<=eae03bd[44];rtd86f4<=eae03bd[45];yxc37a7<=eae03bd[46];pu1bd3d<=eae03bd[47];gode9eb<=eae03bd[48];nef4f59<=eae03bd[49];doa7acb<=eae03bd[50];vx3d65b<=eae03bd[51];pseb2de<=eae03bd[52];kq596f2<=eae03bd[53];sucb791<=eae03bd[54];yx5bc89<=eae03bd[55];ayde44d<=eae03bd[56];off2268<=eae03bd[57];nt91345<=eae03bd[58];ng89a2f<=eae03bd[59];jc4d17b<=eae03bd[60];yk68bda<=eae03bd[61];hb45ed0<=eae03bd[62];je2f687<=eae03bd[63];rt7b43a<=eae03bd[64];neda1d7<=eae03bd[65];ned0eba<=eae03bd[66];ie875d2<=eae03bd[67];ri3ae91<=eae03bd[68];ldd748a<=eae03bd[69];vxba456<=eae03bd[70];vvd22b7<=eae03bd[71];xl915be<=eae03bd[72];qv8adf7<=eae03bd[73];cm56fbc<=eae03bd[74];dob7de1<=eae03bd[75];yzbef0a<=eae03bd[76];off7854<=eae03bd[77];gqbc2a4<=eae03bd[78];zke1520<=eae03bd[79];mga903<=eae03bd[80];yx54818<=eae03bd[81];uxa40c4<=eae03bd[82];zm20625<=eae03bd[83];ph312b<=eae03bd[84];wl1895f<=eae03bd[85];goc4afc<=eae03bd[86];ba257e1<=eae03bd[87];co2bf0b<=eae03bd[88];rt5f859<=eae03bd[89];zxfc2cf<=eae03bd[90];vie167e<=eae03bd[91];hqb3f3<=eae03bd[92];me59f9b<=eae03bd[93];facfcd8<=eae03bd[94];vv7e6c2<=eae03bd[95];vvf3617<=eae03bd[96];rv9b0bf<=eae03bd[97];vvd85fe<=eae03bd[98];fnc2ff7<=eae03bd[99];qv17fbe<=eae03bd[100];ntbfdf5<=eae03bd[101];dmfefae<=eae03bd[102];czf7d72<=eae03bd[103];qvbeb94<=eae03bd[104];ayf5ca4<=eae03bd[105];epae521<=eae03bd[106];ay7290c<=eae03bd[107];bn94860<=eae03bd[108];sja4306<=eae03bd[109];ie21835<=eae03bd[110];fpc1a8<=eae03bd[111];uv60d46<=eae03bd[112];xl6a34<=eae03bd[113];do351a6<=eae03bd[114];xya8d32<=eae03bd[115];ww46992<=eae03bd[116];uk34c90<=eae03bd[117];gqa6481<=eae03bd[118];ph32408<=eae03bd[119];sw92047<=eae03bd[120];yz90238<=eae03bd[121];zz811c5<=eae03bd[122];ux8e2e<=eae03bd[123];ne47175<=eae03bd[124];hd38bab<=eae03bd[125];lqc5d5f<=eae03bd[126];ou2eafb<=eae03bd[127];ip757d9<=eae03bd[128];mtabecc<=eae03bd[129];th5f665<=eae03bd[130];jcfb32e<=eae03bd[131];czd9973<=eae03bd[132];enccb9c<=eae03bd[133];qt65ce5<=eae03bd[134];ou2e72c<=eae03bd[135];dm73960<=eae03bd[136];xy9cb02<=eae03bd[137];ose5812<=eae03bd[138];an2c090<=eae03bd[139];al60485<=eae03bd[140];wl242d<=eae03bd[141];an1216d<=eae03bd[142];kf90b6c<=eae03bd[143];mt85b64<=eae03bd[144];zz2db25<=eae03bd[145];me6d92e<=eae03bd[146];ne6c970<=eae03bd[147];xj64b82<=eae03bd[148];tw25c16<=eae03bd[149];mg2e0b2<=eae03bd[150];of70590<=eae03bd[151];gq82c84<=eae03bd[152];zz16420<=eae03bd[153];bab2106<=eae03bd[154];mt90833<=eae03bd[155];db8419c<=eae03bd[156];zm20ce6<=eae03bd[157];lf6732<=eae03bd[158];qv33997<=eae03bd[159];xy9ccbc<=eae03bd[160];rte65e2<=eae03bd[161];zz32f16<=eae03bd[162];qi978b6<=eae03bd[163];ksbc5b1<=eae03bd[164];gbe2d88<=eae03bd[165];tj16c45<=eae03bd[166];ieb622f<=eae03bd[167];qvb1179<=eae03bd[168];wy88bcc<=eae03bd[169];fn45e61<=eae03bd[170];tw2f30f<=eae03bd[171];rg7987a<=eae03bd[172];ofcc3d3<=eae03bd[173];pf61e9c<=eae03bd[174];gqf4e6<=eae03bd[175];gb7a731<=eae03bd[176];end398d<=eae03bd[177];tw9cc6d<=eae03bd[178];lde636a<=eae03bd[179];aa31b56<=eae03bd[180];co8dab1<=eae03bd[181];yk6d589<=eae03bd[182];kd6ac49<=eae03bd[183];ay5624d<=eae03bd[184];kfb1268<=eae03bd[185];ba89344<=eae03bd[186];me49a22<=eae03bd[187];by4d111<=eae03bd[188];me6888a<=eae03bd[189];of44450<=eae03bd[190];lf22284<=eae03bd[191];ba11426<=eae03bd[192];wl8a133<=eae03bd[193];fn5099d<=eae03bd[194];zz84cee<=eae03bd[195];yz26777<=eae03bd[196];cb33bbc<=eae03bd[197];ou9dde4<=eae03bd[198];vieef20<=eae03bd[199];kq77903<=eae03bd[200];aabc818<=eae03bd[201];hoe40c1<=eae03bd[202];zm2060b<=eae03bd[203];ph305b<=eae03bd[204];wl182df<=eae03bd[205];kqc16fd<=eae03bd[206];dob7e8<=eae03bd[207];ip5bf43<=eae03bd[208];dmdfa1d<=eae03bd[209];qtfd0eb<=eae03bd[210];cze875c<=eae03bd[211];os43ae5<=eae03bd[212];kf1d728<=eae03bd[213];tueb945<=eae03bd[214];ui5ca2b<=eae03bd[215];qge5158<=eae03bd[216];vx28ac1<=eae03bd[217];jp4560e<=eae03bd[218];uk2b076<=eae03bd[219];zk583b0<=eae03bd[220];icc1d83<=eae03bd[221];riec19<=eae03bd[222];lq760ca<=eae03bd[223];phb0653<=eae03bd[224];pu8329e<=eae03bd[225];xl194f3<=eae03bd[226];tuca79f<=eae03bd[227];ea53cfb<=eae03bd[228];vx9e7d9<=eae03bd[229];blf3ece<=eae03bd[230];ir9f676<=eae03bd[231];zkfb3b4<=eae03bd[232];ald9da3<=eae03bd[233];meced1c<=eae03bd[234];xw768e0<=eae03bd[235];cbb4702<=eae03bd[236];oua3814<=eae03bd[237];fp1c0a1<=eae03bd[238];eae050f<=eae03bd[239];cb2879<=eae03bd[240];ri143cc<=eae03bd[241];uxa1e63<= +eae03bd[242];ukf31c<=eae03bd[243];ne798e1<=eae03bd[244];ipcc70b<=eae03bd[245];rg6385c<=eae03bd[246];db1c2e3<=eae03bd[247];pse171f<=eae03bd[248];lsb8fb<=eae03bd[249];os5c7da<=eae03bd[250];ble3ed1<=eae03bd[251];co1f68f<=eae03bd[252];dmfb478<=eae03bd[253];fada3c3<=eae03bd[254];ayd1e1a<=eae03bd[255];kf8f0d0<=eae03bd[256];kq78685<=eae03bd[257];gbc3428<=eae03bd[258];aa1a145<=eae03bd[259];ned0a28<=eae03bd[260];kf85142<=eae03bd[261];fp28a14<=eae03bd[262];ld450a6<=eae03bd[263];nt28536<=eae03bd[264];zk429b7<=eae03bd[265];tw14dba<=eae03bd[266];eca6dd2<=eae03bd[267];cb36e91<=eae03bd[268];pub748c<=eae03bd[269];vxba463<=eae03bd[270];vvd231f<=eae03bd[271];xl918fe<=eae03bd[272];ls8c7f6<=eae03bd[273];ps63fb2<=eae03bd[274];ks1fd93<=eae03bd[275];tufec99<=eae03bd[276];blf64ca<=eae03bd[277];lsb2657<=eae03bd[278];ng932bb<=eae03bd[279];je995da<=eae03bd[280];lqcaed3<=eae03bd[281];ps5769a<=eae03bd[282];anbb4d2<=eae03bd[283];hoda695<=eae03bd[284];shd34aa<=eae03bd[285];yz9a554<=eae03bd[286];zxd2aa4<=eae03bd[287];gd95527<=eae03bd[288];lfaa93d<=eae03bd[289];kd549ef<=eae03bd[290];mta4f7c<=eae03bd[291];lf27be4<=eae03bd[292];hd3df27<=eae03bd[293];kqef93f<=eae03bd[294];by7c9f9<=eae03bd[295];pse4fcb<=eae03bd[296];jr27e5a<=eae03bd[297];pu3f2d7<=eae03bd[298];zxf96bb<=eae03bd[299];uicb5de<=eae03bd[300];pf5aef1<=eae03bd[301];jpd778f<=eae03bd[302];epbbc7e<=eae03bd[303];icde3f4<=eae03bd[304];zkf1fa0<=eae03bd[305];wl8fd03<=eae03bd[306];end +always@* begin shdc077[2047]<=an3c7dd;shdc077[2046]<=fne3eec;shdc077[2044]<=ie1f767;shdc077[2040]<=zkfbb38;shdc077[2032]<=xjdd9c2;shdc077[2030]<=vxb5fa1;shdc077[2028]<=tw8923e;shdc077[2017]<=rtece16;shdc077[2012]<=ym1f209;shdc077[2008]<=kdf2b23;shdc077[2005]<=fc83261;shdc077[1992]<=psd06ae;shdc077[1988]<=uxdb2a;shdc077[1987]<=ay670b6[0];shdc077[1977]<=gd36998;shdc077[1969]<=fpaf81f;shdc077[1962]<=xjd8c37;shdc077[1937]<=mga3d55;shdc077[1928]<=vi42afb;shdc077[1926]<=tj385b0[0];shdc077[1906]<=dmfe504;shdc077[1891]<=pse41ee;shdc077[1877]<=db84238;shdc077[1876]<=ho73e4e;shdc077[1866]<=lsb2b92;shdc077[1842]<=qg77b88;shdc077[1837]<=qtc3827;shdc077[1831]<=cb8510c;shdc077[1826]<=xj5a0d5;shdc077[1809]<=qi8dcd0;shdc077[1805]<=cm6287a;shdc077[1804]<=uk10d0f;shdc077[1803]<=me4c17d;shdc077[1801]<=ksbc8d7;shdc077[1764]<=vx28a0b;shdc077[1761]<=kqce314;shdc077[1748]<=gd3cf5c;shdc077[1746]<=xl1907b;shdc077[1739]<=ofd3065;shdc077[1734]<=ne7c0ff;shdc077[1733]<=ux18596;shdc077[1727]<=fc164c;shdc077[1707]<=vx960d;shdc077[1705]<=xj641e9;shdc077[1696]<=dmc506a;shdc077[1684]<=zm93d75;shdc077[1683]<=gb4e680;shdc077[1681]<=uvd9701;shdc077[1668]<=hoc5259;shdc077[1660]<=oh3c44c;shdc077[1636]<=fn6e49f;shdc077[1633]<=mrc6794;shdc077[1628]<=nt9f2f;shdc077[1627]<=shfd9a1;shdc077[1614]<=co341f5;shdc077[1607]<=xl1638a;shdc077[1604]<=al547aa;shdc077[1587]<=qte84e9;shdc077[1578]<=gb6f0c9;shdc077[1574]<=vid06a9;shdc077[1571]<=ps738fb;shdc077[1567]<=qi1a36b;shdc077[1563]<=oh9068d;shdc077[1562]<=bna0ec7;shdc077[1560]<=mg10847;shdc077[1558]<=me7d10b;shdc077[1555]<=pf5f365;shdc077[1554]<=ipccfc7;shdc077[1550]<=pfe91fc;shdc077[1548]<=yxc6fde;shdc077[1531]<=jp71247;shdc077[1493]<=rt5fa3a;shdc077[1484]<=hb7baa4;shdc077[1481]<=jeb78ae;shdc077[1475]<=nr718a1;shdc077[1474]<=ecaad5;shdc077[1464]<=xy1b38c;shdc077[1460]<=alc3f66;shdc077[1458]<=uide76b;shdc077[1448]<=ld6e606;shdc077[1444]<=jc7312e;shdc077[1431]<=shed630;shdc077[1420]<=an9a9d3;shdc077[1418]<=iraeaf9;shdc077[1417]<=ip4bdc0;shdc077[1411]<=ph848ae;shdc077[1406]<=db8e248;shdc077[1397]<=cb8011e;shdc077[1395]<=al4b55d;shdc077[1388]<=kdc379d;shdc077[1378]<=ps79fd0;shdc077[1373]<=fc2fa21;shdc077[1371]<=ana12fe;shdc077[1366]<=jc760c3;shdc077[1362]<=lf9e52c;shdc077[1354]<=yxfd23f;shdc077[1345]<=twbd919;shdc077[1334]<=medbb14;shdc077[1323]<=gd9f8df;shdc077[1321]<=zx40318;shdc077[1320]<=hd94841;shdc077[1318]<=xj50641;shdc077[1314]<=kf90665;shdc077[1300]<=nga760e;shdc077[1288]<=kq59545;shdc077[1287]<=zzceee;shdc077[1273]<=su67c62;shdc077[1257]<=lq6cbbf;shdc077[1253]<=anae5af;shdc077[1242]<=fa69a9c;shdc077[1240]<=vie15c8;shdc077[1234]<=fafea05;shdc077[1231]<=aaa6dde;shdc077[1224]<=uk8875a;shdc077[1219]<=uv4b659;shdc077[1218]<=cz651af;shdc077[1208]<=yxc6172;shdc077[1206]<=dzd8704;shdc077[1198]<=vv4f023;shdc077[1196]<=vv6bbcf;shdc077[1190]<=tw3f9da;shdc077[1186]<=wj7532a;shdc077[1180]<=cm4c960;shdc077[1177]<=ay5c741;shdc077[1167]<=ym21624;shdc077[1161]<=do3f356;shdc077[1160]<=ofd6c5e;shdc077[1150]<=rg63e74;shdc077[1131]<=pub2248;shdc077[1126]<=nt3fd1;shdc077[1112]<=uv5e284;shdc077[1109]<=dobd9a4;shdc077[1106]<=ana1e10;shdc077[1101]<=xya8150;shdc077[1100]<=db2d4da;shdc077[1094]<=qv9f0d5;shdc077[1093]<=mg34ebd;shdc077[1086]<=lsa8a57;shdc077[1076]<=mg21689;shdc077[1072]<=su4cd40;shdc077[1069]<=fcb121;shdc077[1063]<=kd54432;shdc077[1061]<=ng86878;shdc077[1056]<=phbad72;shdc077[1055]<=kq6dea3;shdc077[1052]<=blfced2;shdc077[1048]<=xybd739;shdc077[1028]<=yx54574;shdc077[1023]<=uve78fb[0];shdc077[1015]<=qtfd7fa;shdc077[1014]<=zz32c7f;shdc077[1002]<=su6205e;shdc077[996]<=dm6cf8c;shdc077[994]<=ir857bb;shdc077[938]<=bld7356;shdc077[933]<=gbf27ae;shdc077[921]<=mgb992f;shdc077[918]<=bn1c13c;shdc077[915]<=mre7cae;shdc077[902]<=do8c50f;shdc077[901]<=qg7864c;shdc077[900]<=fad51e7;shdc077[880]<=qtd9c62;shdc077[874]<=eaea018;shdc077[873]<=wy98973;shdc077[869]<=thf3b58;shdc077[866]<=lf37e37;shdc077[863]<=med1c49;shdc077[848]<=pu997a9;shdc077[841]<=pu8320f;shdc077[840]<=ie3b2e0;shdc077[834]<=yk773c5;shdc077[830]<=ie3e311;shdc077[816]<=anbee41;shdc077[814]<=pf6b185;shdc077[803]<=wy559d;shdc077[793]<=cme07fa;shdc077[789]<=ec10c6f;shdc077[787]<=sufa0d5;shdc077[783]<=ba139a;shdc077[781]<=cb3c91c;shdc077[777]<=lqee0f9;shdc077[775]<=twb7f1d;shdc077[774]<=anaee78;shdc077[765]<=bn13340;shdc077[746]<=fnc7d4e;shdc077[742]<=qg53cd7;shdc077[737]<=xjf30e3;shdc077[732]<=ep23671;shdc077[730]<=goc4b98;shdc077[729]<=fn74e8d;shdc077[708]<=xwcfe83;shdc077[705]<=wy98918;shdc077[698]<=ldf336a;shdc077[697]<=yxc3544;shdc077[694]<=mt186f3;shdc077[689]<=eca69e9;shdc077[686]<=uvc982f;shdc077[685]<=zzb061b;shdc077[677]<=rt5fa47;shdc077[667]<=off2943;shdc077[661]<=ld5f8b9;shdc077[660]<=lf3d99f;shdc077[650]<=nta035d;shdc077[643]<=ipf79c5;shdc077[628]<=os774cd;shdc077[626]<=wl23d30;shdc077[621]<=iebc062;shdc077[620]<=vi764fd;shdc077[617]<=dmf42ab;shdc077[615]<=jpe9ea6;shdc077[609]<=sue0cf8;shdc077[599]<=mef5856;shdc077[598]<=xl13484;shdc077[595]<=ay772e2;shdc077[593]<=hd9ebab;shdc077[588]<=yma9cd0;shdc077[580]<=ld5a793;shdc077[575]<=uk34943;shdc077[565]<=rib75b1;shdc077[556]<=wwd125e;shdc077[553]<=gd8e31;shdc077[550]<=xjc7e6a;shdc077[546]<=mg242d1;shdc077[528]<=hb452ba;shdc077[527]<=tuc0cca;shdc077[514]<=mt8a8ae;shdc077[507]<=xjc7184;shdc077[501]<=fce507;shdc077[498]<=do83573;shdc077[497]<=hd378a0;shdc077[466]<=xj4f8b2;shdc077[459]<=yk72b5b;shdc077[450]<=pu86378;shdc077[437]<=fp942e5;shdc077[433]<=dzc8610;shdc077[431]<=uk1f410;shdc077[420]<=iea6de0;shdc077[417]<=uk24577;shdc077[415]<=wl36ef1;shdc077[408]<=vxa59a6;shdc077[401]<=co1ac37;shdc077[391]<=vx37459;shdc077[390]<=aycb870;shdc077[388]<=ng1100c;shdc077[387]<=gb65dfa;shdc077[368]<=pu30b95;shdc077[366]<=ou246ce;shdc077[365]<=aa1fb34;shdc077[352]<=ph9952;shdc077[348]<=ay53911;shdc077[344]<=lq5de7f;shdc077[343]<=sj8e0d;shdc077[333]<=psda5e1;shdc077[330]<=mre2814;shdc077[325]<=uif5d5f;shdc077[314]<=xjcd977;shdc077[313]<=mg34010;shdc077[310]<=ou2b17f;shdc077[308]<=do47a1;shdc077[307]<=ayf539a;shdc077[304]<=lqd52d9;shdc077[299]<=ks5aef;shdc077[287]<=me675cd;shdc077[282]<=ld454ea;shdc077[278]<=jpcd4c1;shdc077[275]<=jcca8f5;shdc077[273]<=osc485a;shdc077[257]<=vv71515;shdc077[253]<=jpe9cf9;shdc077[250]<=nrf9e15;shdc077[248]<=kqde7bd;shdc077[225]<=cm43086;shdc077[215]<=rie809;shdc077[208]<=rgf1424;shdc077[204]<=rt6be72;shdc077[195]<=kd4b2a8;shdc077[193]<=swa6d19;shdc077[183]<=dz648d9;shdc077[176]<=ps52ebf;shdc077[171]<=al5e34f;shdc077[165]<=ou8d7e9;shdc077[157]<=hdaa0f5;shdc077[155]<=jra562f;shdc077[154]<=by58fcd;shdc077[152]<=wy1aa5b;shdc077[149]<=rt40b5d;shdc077[141]<=nt8bd4c;shdc077[139]<=bna0256;shdc077[128]<=yx4e2a2;shdc077[125]<=ho575ae;shdc077[124]<=ne4d871;shdc077[112]<=gqae43;shdc077[104]<=hq892f1;shdc077[97]<=ay78a4b;shdc077[96]<=ay491f2;shdc077[91]<=me4c91b;shdc077[78]<=fnf9b2e;shdc077[77]<=hb74ac5;shdc077[74]<= +ls960a9;shdc077[64]<=ui72d7c;shdc077[62]<=ks24221;shdc077[56]<=fnd2f0a;shdc077[48]<=aa1fe8e;shdc077[38]<=epae958;shdc077[19]<=wy15d2b;shdc077[9]<=xya2ba5;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[1]};ohb4114<=eae03bd[2];jra08a4<={an8c7c>>1,eae03bd[3]};ls4526<=eae03bd[4];nt22932<=eae03bd[5];vk14997<={mrc99c0>>1,eae03bd[6]};wla4cba<={ay4ce05>>1,eae03bd[7]};mt265d4<={ic67029>>1,eae03bd[8]};lf32ea4<={fp38148>>1,eae03bd[9]};ie97526<={rgc0a46>>1,eae03bd[10]};xlba930<={ks5233>>1,eae03bd[11]};ead4986<=eae03bd[12];qva4c30<=eae03bd[13];qv26184<=eae03bd[14];pu30c24<=eae03bd[15];tw86123<={co98acd>>1,eae03bd[16]};ba3091b<=eae03bd[17];nt848db<=eae03bd[18];ec246df<={ea59a10>>1,eae03bd[19]};cb236f9<=eae03bd[20];hq1b7cc<=eae03bd[21];qgdbe62<={xw42031>>1,eae03bd[22]};psdf311<=eae03bd[23];fnf988a<=eae03bd[24];wjcc457<=eae03bd[25];yk622bc<=eae03bd[26];ba115e0<=eae03bd[27];wl8af03<={su59bc7>>1,eae03bd[28]};zk5781c<=eae03bd[29];ecbc0e3<=eae03bd[30];ice0718<=eae03bd[31];jr38c1<=eae03bd[32];xl1c60e<=eae03bd[33];vve3077<=eae03bd[34];ep183be<=eae03bd[35];wwc1df5<=eae03bd[36];zmefa9<=eae03bd[37];ww77d4a<={gb7fd10>>1,eae03bd[38]};uxbea50<=eae03bd[39];gof5284<=eae03bd[40];uka9420<=eae03bd[41];fn4a101<=eae03bd[42];zx5080f<=eae03bd[43];db8407e<=eae03bd[44];zm203f6<=eae03bd[45];ng1fb3<=eae03bd[46];ecfd9b<=eae03bd[47];end +always@* begin shdc077[2047]<=ph28231[0];shdc077[2046]<=force_isolate;shdc077[2044]<=an8c7c[0];shdc077[2041]<=ic463e5;shdc077[2034]<=qi31f29;shdc077[2021]<=mrc99c0[0];shdc077[2017]<=qg68406;shdc077[1995]<=ay4ce05[0];shdc077[1986]<=xw42031[0];shdc077[1943]<=ic67029[0];shdc077[1925]<=gq1018b;shdc077[1908]<=vx38f0f;shdc077[1864]<=aaffa2;shdc077[1855]<=co98acd[0];shdc077[1838]<=fp38148[0];shdc077[1803]<=kf80c59;shdc077[1769]<=vvc787f;shdc077[1680]<=gb7fd10[0];shdc077[1662]<=dmc5668;shdc077[1628]<=rgc0a46[0];shdc077[1559]<=jr62cd;shdc077[1501]<=go78e3c;shdc077[1490]<=oh3c3fe;shdc077[1487]<=ww4662b;shdc077[1312]<=zkfe884;shdc077[1276]<=ym2b342;shdc077[1209]<=ks5233[0];shdc077[1155]<=lsa2112;shdc077[1070]<=ym3166f;shdc077[1048]<=nt22486;shdc077[1023]<=gbe_mode;shdc077[1008]<=vvcd080;shdc077[954]<=fnc71e1;shdc077[932]<=lqe1ff4;shdc077[927]<=an33159;shdc077[750]<=ip6f1c7;shdc077[743]<=fa48cc5;shdc077[577]<=vif4422;shdc077[524]<=lf84490;shdc077[504]<=ea59a10[0];shdc077[375]<=dzcde38;shdc077[371]<=ep29198;shdc077[262]<=aa10892;shdc077[197]<=ep90da0;shdc077[187]<=su59bc7[0];shdc077[98]<=wy921b4;shdc077[93]<=lf8b378;shdc077[49]<=sj12436;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[2]};ls4526<=eae03bd[3];nt22932<=eae03bd[4];jp553cf<=eae03bd[5];gqa9e7f<=eae03bd[6];th4f3f8<={ayead3e>>1,eae03bd[7]};vk14997<={mrc99c0>>1,eae03bd[8]};wla4cba<={ay4ce05>>1,eae03bd[9]};mt265d4<={ic67029>>1,eae03bd[10]};lf32ea4<={fp38148>>1,eae03bd[11]};ie97526<={rgc0a46>>1,eae03bd[12]};xlba930<={ks5233>>1,eae03bd[13]};ead4986<=eae03bd[14];qva4c30<=eae03bd[15];qv26184<=eae03bd[16];pu30c24<=eae03bd[17];ice0718<=eae03bd[18];jr38c1<=eae03bd[19];rt5a511<=eae03bd[20];zmefa9<=eae03bd[21];ww77d4a<={gb7fd10>>1,eae03bd[22]};uxbea50<=eae03bd[23];do11dfa<=eae03bd[24];jr8efd2<=eae03bd[25];ecbc0e3<=eae03bd[26];tw86123<={co98acd>>1,eae03bd[27]};nt848db<=eae03bd[28];ba3091b<=eae03bd[29];ri961cf<=eae03bd[30];rvb0e7a<=eae03bd[31];wl8af03<={su59bc7>>1,eae03bd[32]};ba115e0<=eae03bd[33];yk622bc<=eae03bd[34];wj7ae6b<=eae03bd[35];zxd735c<=eae03bd[36];aab9ae6<={pu2fa51>>1,eae03bd[37]};jpcd731<=eae03bd[38];bl6b98e<=eae03bd[39];go5cc77<=eae03bd[40];lde63b8<=eae03bd[41];wwc1df5<=eae03bd[42];vve3077<=eae03bd[43];su7718e<=eae03bd[44];gof5284<=eae03bd[45];uka9420<=eae03bd[46];fn4a101<=eae03bd[47];zx5080f<=eae03bd[48];db8407e<=eae03bd[49];zm203f6<=eae03bd[50];ng1fb3<=eae03bd[51];ecfd9b<=eae03bd[52];end +always@* begin shdc077[2047]<=force_isolate;shdc077[2046]<=an8c7c[0];shdc077[2044]<=ic463e5;shdc077[2040]<=qi31f29;shdc077[2032]<=qva4f56;shdc077[2017]<=rv27ab4;shdc077[1987]<=ayead3e[0];shdc077[1926]<=mrc99c0[0];shdc077[1805]<=ba20be9;shdc077[1804]<=ay4ce05[0];shdc077[1803]<=zkfe884;shdc077[1761]<=su59bc7[0];shdc077[1668]<=lqe1ff4;shdc077[1562]<=ym5f4a;shdc077[1560]<=ic67029[0];shdc077[1558]<=ng837ab;shdc077[1550]<=ww4662b;shdc077[1475]<=lf8b378;shdc077[1464]<=medb224;shdc077[1288]<=vvc787f;shdc077[1076]<=pu2fa51[0];shdc077[1072]<=fp38148[0];shdc077[1069]<=fc1bd5b;shdc077[1056]<=vif4422;shdc077[1052]<=an33159;shdc077[1028]<=sj12436;shdc077[1023]<=gbe_mode;shdc077[902]<=ym3166f;shdc077[901]<=gb7fd10[0];shdc077[880]<=gbd9120;shdc077[834]<=sh5163f;shdc077[775]<=fa48cc5;shdc077[732]<=dmc5668;shdc077[528]<=thc7f7a;shdc077[514]<=nt22486;shdc077[450]<=aaffa2;shdc077[417]<=bl4a2c7;shdc077[387]<=ep29198;shdc077[366]<=ym2b342;shdc077[257]<=lf84490;shdc077[225]<=tw35e83;shdc077[208]<=nre9458;shdc077[193]<=ks5233[0];shdc077[183]<=co98acd[0];shdc077[128]<=aa10892;shdc077[112]<=fnc71e1;shdc077[104]<=os7d28b;shdc077[96]<=rgc0a46[0];shdc077[91]<=ip6f1c7;shdc077[64]<=lsa2112;shdc077[56]<=go78e3c;shdc077[19]<=ep90da0;shdc077[9]<=wy921b4;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[3]};ls4526<=eae03bd[4];nt22932<=eae03bd[5];vk25af2<={gb5e68a>>1,eae03bd[6]};vk14997<={mrc99c0>>1,eae03bd[7]};wla4cba<={ay4ce05>>1,eae03bd[8]};mt265d4<={ic67029>>1,eae03bd[9]};lf32ea4<={fp38148>>1,eae03bd[10]};ie97526<={rgc0a46>>1,eae03bd[11]};xlba930<={ks5233>>1,eae03bd[12]};ead4986<=eae03bd[13];qva4c30<=eae03bd[14];qv26184<=eae03bd[15];pu30c24<=eae03bd[16];ww77d4a<={gb7fd10>>1,eae03bd[17]};uxbea50<=eae03bd[18];do11dfa<=eae03bd[19];ecbc0e3<=eae03bd[20];tw86123<={co98acd>>1,eae03bd[21]};nt848db<=eae03bd[22];ba3091b<=eae03bd[23];gof5284<=eae03bd[24];uka9420<=eae03bd[25];fn4a101<=eae03bd[26];zx5080f<=eae03bd[27];db8407e<=eae03bd[28];zm203f6<=eae03bd[29];ng1fb3<=eae03bd[30];ecfd9b<=eae03bd[31];end +always@* begin shdc077[2047]<=fa47021;shdc077[2046]<=force_isolate;shdc077[2044]<=an8c7c[0];shdc077[2041]<=ic463e5;shdc077[2035]<=qi31f29;shdc077[2022]<=gb5e68a[0];shdc077[1996]<=mrc99c0[0];shdc077[1945]<=ay4ce05[0];shdc077[1922]<=lsa2112;shdc077[1842]<=ic67029[0];shdc077[1797]<=aa10892;shdc077[1776]<=ym2b342;shdc077[1637]<=fp38148[0];shdc077[1622]<=fa48cc5;shdc077[1546]<=lf84490;shdc077[1504]<=dmc5668;shdc077[1468]<=ip6f1c7;shdc077[1391]<=zkfe884;shdc077[1226]<=rgc0a46[0];shdc077[1197]<=ww4662b;shdc077[1044]<=nt22486;shdc077[1023]<=gbe_mode;shdc077[961]<=vif4422;shdc077[888]<=co98acd[0];shdc077[811]<=ep29198;shdc077[734]<=ng837ab;shdc077[695]<=gb7fd10[0];shdc077[405]<=ks5233[0];shdc077[347]<=an33159;shdc077[164]<=ep90da0;shdc077[82]<=wy921b4;shdc077[41]<=sj12436;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[3]};ls4526<=eae03bd[4];nt22932<=eae03bd[5];jp553cf<=eae03bd[6];gqa9e7f<=eae03bd[7];vk25af2<={gb5e68a>>1,eae03bd[8]};vk14997<={mrc99c0>>1,eae03bd[9]};wla4cba<={ay4ce05>>1,eae03bd[10]};mt265d4<={ic67029>>1,eae03bd[11]};lf32ea4<={fp38148>>1,eae03bd[12]};ie97526<={rgc0a46>>1,eae03bd[13]};xlba930<={ks5233>>1,eae03bd[14]};ead4986<=eae03bd[15];qva4c30<=eae03bd[16];qv26184<=eae03bd[17];pu30c24<=eae03bd[18];ww77d4a<={gb7fd10>>1,eae03bd[19]};uxbea50<=eae03bd[20];do11dfa<=eae03bd[21];ecbc0e3<=eae03bd[22];tw86123<={co98acd>>1,eae03bd[23]};nt848db<=eae03bd[24];ba3091b<=eae03bd[25];ri961cf<=eae03bd[26];rvb0e7a<=eae03bd[27];gof5284<=eae03bd[28];uka9420<=eae03bd[29];fn4a101<=eae03bd[30];zx5080f<=eae03bd[31];db8407e<=eae03bd[32];zm203f6<=eae03bd[33];ng1fb3<=eae03bd[34];ecfd9b<=eae03bd[35];end +always@* begin shdc077[2047]<=force_isolate;shdc077[2046]<=fa47021;shdc077[2044]<=an8c7c[0];shdc077[2040]<=ic463e5;shdc077[2033]<=qi31f29;shdc077[2019]<=qva4f56;shdc077[1999]<=ep90da0;shdc077[1991]<=rv27ab4;shdc077[1934]<=gb5e68a[0];shdc077[1892]<=zkfe884;shdc077[1851]<=ep29198;shdc077[1820]<=mrc99c0[0];shdc077[1737]<=ng837ab;shdc077[1654]<=fa48cc5;shdc077[1610]<=ym2b342;shdc077[1593]<=ay4ce05[0];shdc077[1523]<=sj12436;shdc077[1426]<=ip6f1c7;shdc077[1404]<=lf84490;shdc077[1260]<=ww4662b;shdc077[1199]<=vif4422;shdc077[1173]<=dmc5668;shdc077[1139]<=ic67029[0];shdc077[1023]<=gbe_mode;shdc077[999]<=wy921b4;shdc077[946]<=gb7fd10[0];shdc077[925]<=ks5233[0];shdc077[805]<=co98acd[0];shdc077[761]<=nt22486;shdc077[702]<=aa10892;shdc077[599]<=gbd9120;shdc077[473]<=an33159;shdc077[462]<=rgc0a46[0];shdc077[351]<=lsa2112;shdc077[299]<=medb224;shdc077[231]<=fp38148[0];end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[6]};tu795c3<=eae03bd[7];zkcae1a<=eae03bd[8];xw570d2<=eae03bd[9];lsb8692<=eae03bd[10];ofc3492<=eae03bd[11];mg1a495<={cb850a4>>1,eae03bd[12]};hbd24a8<={tj28524>>1,eae03bd[13]};mg92546<={vi42927>>1,eae03bd[14]};aa92a30<={ng1493a>>1,eae03bd[15]};oh95181<={kfa49d2>>1,eae03bd[16]};vka8c0d<=eae03bd[17];go4606a<=eae03bd[18];ba30351<=eae03bd[19];jr81a8a<=eae03bd[20];and457<=eae03bd[21];gb6a2bc<=eae03bd[22];sh515e4<={gb7a77f>>1,eae03bd[23]};cb8af25<=eae03bd[24];vi5792c<={sw9dfed>>1,eae03bd[25]};ymbc963<=eae03bd[26];rge4b19<={al7fb41>>1,eae03bd[27]};xy258ca<={enfda0c>>1,eae03bd[28]};ux2c652<={ofed065>>1,eae03bd[29]};dm63295<={wj41969>>1,eae03bd[30]};rv194aa<={bncb4d>>1,eae03bd[31]};xwca557<={sh65a6f>>1,eae03bd[32]};lq52abb<={hd2d37c>>1,eae03bd[33]};yz955db<={dm69be7>>1,eae03bd[34]};zzaaedd<={tu4df3a>>1,eae03bd[35]};rg576ef<=eae03bd[36];qvbb77a<=eae03bd[37];eadbbd5<=eae03bd[38];zxddea9<=eae03bd[39];eaef54e<=eae03bd[40];cz7aa70<=eae03bd[41];tud5384<=eae03bd[42];iea9c23<=eae03bd[43];ip4e118<=eae03bd[44];wj708c5<=eae03bd[45];zz8462d<=eae03bd[46];ux2316e<=eae03bd[47];wl18b74<=eae03bd[48];hoc5ba4<={suefa11>>1,eae03bd[49]};lf2dd23<=eae03bd[50];hb6e91e<=eae03bd[51];fn748f7<=eae03bd[52];sja47bf<=eae03bd[53];kf23dfd<=eae03bd[54];xy1efed<={rg48ada>>1,eae03bd[55]};uvf7f6b<=eae03bd[56];fpbfb5c<=eae03bd[57];shfdae6<=eae03bd[58];wjed735<=eae03bd[59];vv6b9ad<={wjd3c22>>1,eae03bd[60]};kq5cd6f<=eae03bd[61];rte6b78<=eae03bd[62];ba35bc7<=eae03bd[63];lsade3b<=eae03bd[64];gb6f1da<=eae03bd[65];qg78ed4<={qvae3b8>>1,eae03bd[66]};hbc76a1<=eae03bd[67];ie3b50f<=eae03bd[68];tuda879<=eae03bd[69];med43cb<={fcb89f1>>1,eae03bd[70]};end +always@* begin shdc077[2047]<=sgmii_mode;shdc077[2046]<=force_unidir;shdc077[2044]<=mr_main_reset;shdc077[2040]<=mr_restart_an;shdc077[2032]<=mr_an_enable;shdc077[2016]<=mr_adv_ability[1];shdc077[2014]<=fcb89f1[1];shdc077[1985]<=fp9ed2a;shdc077[1927]<=gof08ae;shdc077[1922]<=lfa55f5;shdc077[1867]<=ri1427a;shdc077[1807]<=bn84571;shdc077[1804]<=hocfbe8;shdc077[1797]<=fc2afaf;shdc077[1761]<=zxe967d;shdc077[1686]<=uxa13d3;shdc077[1623]<=vk8915b;shdc077[1567]<=lf22b8e;shdc077[1561]<=ic7df42;shdc077[1558]<=enfda0c[0];shdc077[1547]<=ne57d7b;shdc077[1542]<=vi7ce9d;shdc077[1505]<=wjd3c22[1];shdc077[1490]<=sw24e94;shdc077[1475]<=sh4b3ef;shdc077[1413]<=ayeff68;shdc077[1409]<=dm69be7[0];shdc077[1400]<=sh5b4f0;shdc077[1324]<=bn9e9d;shdc077[1200]<=gb7a77f[0];shdc077[1199]<=rg48ada[0];shdc077[1086]<=ec15c77;shdc077[1074]<=suefa11[1];shdc077[1068]<=ofed065[0];shdc077[1047]<=ohbebdb;shdc077[1037]<=vk3a75c;shdc077[1023]<=gbe_mode;shdc077[1007]<=kq7713e;shdc077[963]<=jr9e115;shdc077[933]<=ps4284f;shdc077[902]<=ww59f7d;shdc077[880]<=ep1d2cf;shdc077[811]<=ba1122b;shdc077[779]<=al7fb41[0];shdc077[771]<=tu4df3a[0];shdc077[752]<=byda784;shdc077[745]<=kfa49d2[0];shdc077[706]<=sw9dfed[0];shdc077[704]<=hd2d37c[0];shdc077[700]<=gq2b69e;shdc077[600]<=th4f4ef;shdc077[503]<=rv8ee27;shdc077[440]<=ble3a59;shdc077[405]<=tu42245;shdc077[372]<=ng1493a[0];shdc077[353]<=end3bfd;shdc077[352]<=sh65a6f[0];shdc077[350]<=rt456d3;shdc077[251]<=vv71dc4;shdc077[220]<=os5c74b;shdc077[202]<=wje8448;shdc077[186]<=vi42927[0];shdc077[176]<=bncb4d[0];shdc077[125]<=qvae3b8[0];shdc077[110]<=pseb8e9;shdc077[101]<=me7d089;shdc077[93]<=tj28524[0];shdc077[88]<=wj41969[0];shdc077[55]<=qi9d71d;shdc077[46]<=cb850a4[0];shdc077[27]<=uvd3ae3;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[4]};wje70fc<=eae03bd[5];nt387e4<=eae03bd[6];zkcae1a<=eae03bd[7];gq1f934<=eae03bd[8];pffc9a1<={bl7b70e>>1,eae03bd[9]};xwe4d0f<=eae03bd[10];ux2687a<=eae03bd[11];ym343d5<=eae03bd[12];kfa1ea8<={mg249fa>>1,eae03bd[13]};swf544<={ie24fd0>>1,eae03bd[14]};yx7aa21<={fp27e80>>1,eae03bd[15]};ned510c<={je3f407>>1,eae03bd[16]};nga8863<={ayfa03b>>1,eae03bd[17]};wj4431a<=eae03bd[18];uk218d4<=eae03bd[19];xlc6a0<=eae03bd[20];ho63506<=eae03bd[21];uk1a832<=eae03bd[22];uid4191<=eae03bd[23];tja0c89<=eae03bd[24];ph644e<=eae03bd[25];xl32277<=eae03bd[26];hd913bf<=eae03bd[27];ri89dff<=eae03bd[28];qt4effb<=eae03bd[29];cm77fdc<=eae03bd[30];rvbfee0<=eae03bd[31];icff706<=eae03bd[32];tufb831<=eae03bd[33];aldc18a<=eae03bd[34];qge0c55<=eae03bd[35];rv62a8<=eae03bd[36];ks31547<=eae03bd[37];cb8aa38<=eae03bd[38];xj551c4<=eae03bd[39];pua8e27<=eae03bd[40];lq4713a<=eae03bd[41];rv389d3<={lf382f0>>1,eae03bd[42]};mec4e9f<={mec1786>>1,eae03bd[43]};zz274f9<=eae03bd[44];je3a7ce<=eae03bd[45];czd3e73<=eae03bd[46];ep9f39d<=eae03bd[47];vvf9cec<=eae03bd[48];suce767<=eae03bd[49];xw73b39<=eae03bd[50];aa9d9ca<=eae03bd[51];pfece50<=eae03bd[52];kq67286<=eae03bd[53];ux39430<=eae03bd[54];hoca184<=eae03bd[55];pf50c23<=eae03bd[56];zm8611e<=eae03bd[57];xy308f3<={ip5e6ab>>1,eae03bd[58]};hd8479b<={blf355c>>1,eae03bd[59]};hbc76a1<=eae03bd[60];ie3b50f<=eae03bd[61];vvf37aa<=eae03bd[62];rv9bd57<=eae03bd[63];wwdeabf<=eae03bd[64];kqf55f9<=eae03bd[65];gof5284<=eae03bd[66];uka9420<=eae03bd[67];rvbf23b<={ipe8b9b>>1,eae03bd[68]};end +always@* begin shdc077[2047]<=sgmii_mode;shdc077[2046]<=gbe_mode;shdc077[2044]<=zzbf298;shdc077[2041]<=hb5a0eb;shdc077[2040]<=rx_data[0];shdc077[2035]<=rgd075e;shdc077[2033]<=rx_kcntl;shdc077[2024]<=ie3b2ed;shdc077[2022]<=tw83af3;shdc077[2018]<=su531f3;shdc077[2001]<=uvd9769;shdc077[1997]<=yz1d79a;shdc077[1988]<=lfa55f5;shdc077[1954]<=uicbb4d;shdc077[1946]<=byebcd5;shdc077[1929]<=rx_even;shdc077[1874]<=kd51cbd;shdc077[1860]<=jc5da68;shdc077[1851]<=ipe8b9b[1];shdc077[1845]<=ip5e6ab[0];shdc077[1811]<=bl7b70e[0];shdc077[1789]<=hod01d9;shdc077[1721]<=kq5cf5d;shdc077[1700]<=nt8e5ec;shdc077[1673]<=iped342;shdc077[1643]<=blf355c[0];shdc077[1574]<=sudbf39;shdc077[1530]<=sj80ecb;shdc077[1486]<=vif4422;shdc077[1395]<=qte7ae8;shdc077[1353]<=ic72f67;shdc077[1317]<=pubd9c1;shdc077[1311]<=tw860a1;shdc077[1299]<=lq69a15;shdc077[1258]<=lq5228e;shdc077[1247]<=fp27e80[0];shdc077[1239]<=vv71dc4;shdc077[1187]<=twbc30;shdc077[1172]<=me6705e;shdc077[1151]<=gq8285a;shdc077[1102]<=su68552;shdc077[1101]<=fadf9c9;shdc077[1023]<=mr_main_reset;shdc077[1020]<=twb41d;shdc077[1012]<=ux765d;shdc077[937]<=an8a397;shdc077[925]<=lsa2112;shdc077[894]<=ayfa03b[0];shdc077[860]<=irab9eb;shdc077[743]<=vx3d745;shdc077[658]<=ks97b38;shdc077[655]<=cmf0c14;shdc077[629]<=phaa451;shdc077[623]<=ie24fd0[0];shdc077[593]<=mec1786[0];shdc077[586]<=zxece0b;shdc077[575]<=ba3050b;shdc077[551]<=fa4d0aa;shdc077[510]<=qva1683;shdc077[468]<=vx91472;shdc077[447]<=je3f407[0];shdc077[430]<=rv8ee27;shdc077[327]<=cz5e182;shdc077[314]<=aa1548a;shdc077[311]<=mg249fa[0];shdc077[296]<=lf382f0[0];shdc077[255]<=xy142d0;shdc077[157]<=ne42a91;shdc077[155]<=gbc97e4;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[2]};wje70fc<=eae03bd[3];nt387e4<=eae03bd[4];mefa1aa<={ldddfe4>>1,eae03bd[5]};zkd0d51<={wweff26>>1,eae03bd[6]};ba86a8a<={uv7f931>>1,eae03bd[7]};je35452<=eae03bd[8];vxaa292<=eae03bd[9];hbc76a1<=eae03bd[10];ie3b50f<=eae03bd[11];jc525ad<=eae03bd[12];co92d6a<=eae03bd[13];do96b51<=eae03bd[14];ntb5a8b<=eae03bd[15];doad45f<=eae03bd[16];yx6a2fb<=eae03bd[17];gb517dc<=eae03bd[18];lf8bee5<={pfc235b>>1,eae03bd[19]};end +always@* begin shdc077[2047]<=signal_detect;shdc077[2046]<=rx_data[0];shdc077[2044]<=rx_kcntl;shdc077[2040]<=su531f3;shdc077[2032]<=ldddfe4[0];shdc077[2017]<=wweff26[0];shdc077[1987]<=uv7f931[0];shdc077[1927]<=jpfc98c;shdc077[1865]<=cba1611;shdc077[1806]<=bye4c67;shdc077[1682]<=lsb08d;shdc077[1565]<=vv71dc4;shdc077[1317]<=tu5846b;shdc077[1082]<=rv8ee27;shdc077[1023]<=mr_main_reset;shdc077[932]<=sud42c2;shdc077[586]<=pfc235b[1];shdc077[466]<=pu3a858;shdc077[233]<=qg6750b;shdc077[116]<=ba8cea1;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[4]};cm43cd0<={ykcb59e>>1,eae03bd[5]};ri1e680<=eae03bd[6];vvf3402<=eae03bd[7];qv9a017<={xl27f82>>1,eae03bd[8]};lqd00bc<={ald3027>>1,eae03bd[9]};yz805e3<={ie9813c>>1,eae03bd[10]};mt2f1c<=eae03bd[11];ux178e4<=eae03bd[12];qibc725<={fp2785b>>1,eae03bd[13]};dze3928<=eae03bd[14];vx1c947<=eae03bd[15];zke4a3e<={jeb7e3>>1,eae03bd[16]};je251f2<=eae03bd[17];rv28f93<=eae03bd[18];by47c9e<=eae03bd[19];ie3e4f2<=eae03bd[20];uvf2791<=eae03bd[21];hq93c8d<=eae03bd[22];tj9e46b<=eae03bd[23];kdf235e<=eae03bd[24];hd91af5<=eae03bd[25];ecbc0e3<=eae03bd[26];wl8af03<={su59bc7>>1,eae03bd[27]};sh5eb87<=eae03bd[28];yk622bc<=eae03bd[29];kfae1e9<=eae03bd[30];yx70f4c<=eae03bd[31];mg87a65<=eae03bd[32];vx3d328<=eae03bd[33];zk5781c<=eae03bd[34];ay4ca36<=eae03bd[35];kd651b1<=eae03bd[36];zz28d8d<=eae03bd[37];me46c6e<=eae03bd[38];ux36370<=eae03bd[39];irb1b85<=eae03bd[40];ou8dc2d<=eae03bd[41];th6e169<={zm30db3>>1,eae03bd[42]};wla4cba<={ay4ce05>>1,eae03bd[43]};mt265d4<={ic67029>>1,eae03bd[44]};vx2d3ad<={gqb66d4>>1,eae03bd[45]};lq69d6f<={ymb36a1>>1,eae03bd[46]};gb4eb7a<=eae03bd[47];cz75bd4<=eae03bd[48];twadea5<=eae03bd[49];sh6f52a<=eae03bd[50];ead4986<=eae03bd[51];ead4aa4<=eae03bd[52];rva5520<=eae03bd[53];ba2a907<=eae03bd[54];ip5483b<=eae03bd[55];wla41dc<=eae03bd[56];pu20ee5<=eae03bd[57];ir772a<={hoeb5e8>>1,eae03bd[58]};qi3b955<={bl5af42>>1,eae03bd[59]};gof5284<=eae03bd[60];uka9420<=eae03bd[61];ls2aa21<={qte85fa>>1,eae03bd[62]};ld5510b<={xw42fd5>>1,eae03bd[63]};xya885f<=eae03bd[64];yx442fa<=eae03bd[65];ks217d4<=eae03bd[66];xybea1<=eae03bd[67];jp5f50a<=eae03bd[68];gofa856<=eae03bd[69];ykd42b0<=eae03bd[70];yza1581<=eae03bd[71];ecac0d<=eae03bd[72];kq56068<=eae03bd[73];ntb0340<=eae03bd[74];bn81a06<=eae03bd[75];ohd037<={co215fe>>1,eae03bd[76]};me681bc<={ksaff1>>1,eae03bd[77]};end +always@* begin shdc077[2047]<=an_link_ok;shdc077[2046]<=tu58fcb;shdc077[2044]<=gbe_mode;shdc077[2040]<=operational_rate[0];shdc077[2033]<=ykcb59e[0];shdc077[2019]<=vv5acf1;shdc077[1991]<=end678f;shdc077[1934]<=xl27f82[0];shdc077[1929]<=ph9b509;shdc077[1898]<=fae16fc;shdc077[1821]<=ald3027[0];shdc077[1813]<=zzb0857;shdc077[1810]<=dmda84e;shdc077[1749]<=jeb7e3[0];shdc077[1707]<=vvfc6eb;shdc077[1666]<=ui788b0;shdc077[1630]<=nr50c36;shdc077[1617]<=vxbf555;shdc077[1595]<=ie9813c[0];shdc077[1579]<=co215fe[1];shdc077[1572]<=osd4273;shdc077[1506]<=gqb66d4[0];shdc077[1450]<=en5bf1b;shdc077[1440]<=ip6f1c7;shdc077[1428]<=xw42fd5[0];shdc077[1384]<=yx5ecfb;shdc077[1370]<=vvdd7b3;shdc077[1366]<=rte375e;shdc077[1308]<=nr55e1f;shdc077[1284]<=ym3166f;shdc077[1212]<=zm30db3[0];shdc077[1202]<=vif4422;shdc077[1187]<=sufaaaf;shdc077[1174]<=ui7d6bd;shdc077[1170]<=hq99beb;shdc077[1142]<=dmc09e1;shdc077[1137]<=ea787f6;shdc077[1125]<=thf6d50;shdc077[1110]<=ksaff1[1];shdc077[1097]<=wla1399;shdc077[1041]<=lf16047;shdc077[1023]<=mr_main_reset;shdc077[964]<=ymb36a1[0];shdc077[949]<=kf3c2df;shdc077[906]<=jpf610a;shdc077[853]<=xwdf8dd;shdc077[833]<=su59bc7[0];shdc077[815]<=phaa186;shdc077[808]<=ep17eaa;shdc077[753]<=ic67029[0];shdc077[720]<=dzf67dd;shdc077[714]<=qte85fa[0];shdc077[692]<=dmebd9f;shdc077[685]<=xy1baf6;shdc077[654]<=vxaabc3;shdc077[601]<=bl5af42[0];shdc077[587]<=gb6fad7;shdc077[585]<=ho7337d;shdc077[568]<=lfaf0fe;shdc077[562]<=sw3edaa;shdc077[520]<=fp22c08;shdc077[474]<=fp2785b[0];shdc077[453]<=co1fd84;shdc077[407]<=fpb5430;shdc077[376]<=ay4ce05[0];shdc077[357]<=lsa2112;shdc077[327]<=rgd5578;shdc077[300]<=hoeb5e8[0];shdc077[293]<=thcdf5a;shdc077[292]<=qt4e66f;shdc077[281]<=zk47db5;shdc077[237]<=do4f0b;shdc077[226]<=kdc3fb0;shdc077[203]<=ukb6a86;shdc077[146]<=ep29198;shdc077[140]<=dzcde38;shdc077[70]<=nt811f6;shdc077[35]<=vxb023e;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[1]};ri1e680<=eae03bd[2];vvf3402<=eae03bd[3];qv9a017<={xl27f82>>1,eae03bd[4]};lqd00bc<={ald3027>>1,eae03bd[5]};yz805e3<={ie9813c>>1,eae03bd[6]};mt2f1c<=eae03bd[7];ux178e4<=eae03bd[8];qibc725<={fp2785b>>1,eae03bd[9]};dze3928<=eae03bd[10];vx1c947<=eae03bd[11];zke4a3e<={jeb7e3>>1,eae03bd[12]};je251f2<=eae03bd[13];rv28f93<=eae03bd[14];by47c9e<=eae03bd[15];ie3e4f2<=eae03bd[16];uvf2791<=eae03bd[17];hq93c8d<=eae03bd[18];tj9e46b<=eae03bd[19];kdf235e<=eae03bd[20];hd91af5<=eae03bd[21];ecbc0e3<=eae03bd[22];wl8af03<={su59bc7>>1,eae03bd[23]};sh5eb87<=eae03bd[24];yk622bc<=eae03bd[25];kfae1e9<=eae03bd[26];yx70f4c<=eae03bd[27];mg87a65<=eae03bd[28];vx3d328<=eae03bd[29];zk5781c<=eae03bd[30];ay4ca36<=eae03bd[31];kd651b1<=eae03bd[32];zz28d8d<=eae03bd[33];me46c6e<=eae03bd[34];ux36370<=eae03bd[35];irb1b85<=eae03bd[36];ou8dc2d<=eae03bd[37];th6e169<={zm30db3>>1,eae03bd[38]};jp5f50a<=eae03bd[39];gofa856<=eae03bd[40];ykd42b0<=eae03bd[41];yza1581<=eae03bd[42];ecac0d<=eae03bd[43];kq56068<=eae03bd[44];ntb0340<=eae03bd[45];bn81a06<=eae03bd[46];ohd037<={co215fe>>1,eae03bd[47]};me681bc<={ksaff1>>1,eae03bd[48]};end +always@* begin shdc077[2047]<=ykcb59e[0];shdc077[2046]<=vv5acf1;shdc077[2044]<=end678f;shdc077[2040]<=xl27f82[0];shdc077[2033]<=ald3027[0];shdc077[2019]<=ie9813c[0];shdc077[1994]<=ea787f6;shdc077[1990]<=dmc09e1;shdc077[1981]<=lf16047;shdc077[1965]<=sw3edaa;shdc077[1940]<=kdc3fb0;shdc077[1939]<=vvdd7b3;shdc077[1933]<=do4f0b;shdc077[1914]<=vxb023e;shdc077[1883]<=thf6d50;shdc077[1832]<=co1fd84;shdc077[1831]<=dmebd9f;shdc077[1819]<=fp2785b[0];shdc077[1785]<=vxaabc3;shdc077[1781]<=nt811f6;shdc077[1778]<=vvfc6eb;shdc077[1719]<=ukb6a86;shdc077[1616]<=jpf610a;shdc077[1615]<=yx5ecfb;shdc077[1591]<=kf3c2df;shdc077[1522]<=nr55e1f;shdc077[1515]<=dzcde38;shdc077[1508]<=rte375e;shdc077[1470]<=nr50c36;shdc077[1391]<=fpb5430;shdc077[1271]<=ui788b0;shdc077[1184]<=zzb0857;shdc077[1182]<=dzf67dd;shdc077[1135]<=fae16fc;shdc077[1023]<=mr_main_reset;shdc077[997]<=lfaf0fe;shdc077[990]<=fp22c08;shdc077[982]<=zk47db5;shdc077[969]<=xy1baf6;shdc077[892]<=zm30db3[0];shdc077[889]<=xwdf8dd;shdc077[735]<=phaa186;shdc077[643]<=ksaff1[1];shdc077[635]<=su59bc7[0];shdc077[495]<=ym3166f;shdc077[444]<=en5bf1b;shdc077[321]<=co215fe[1];shdc077[317]<=ip6f1c7;shdc077[222]<=jeb7e3[0];end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[1]};ri1e680<=eae03bd[2];vvf3402<=eae03bd[3];yz805e3<={ie9813c>>1,eae03bd[4]};mt2f1c<=eae03bd[5];ux178e4<=eae03bd[6];hd91af5<=eae03bd[7];ecbc0e3<=eae03bd[8];wl8af03<={su59bc7>>1,eae03bd[9]};sh5eb87<=eae03bd[10];yk622bc<=eae03bd[11];kfae1e9<=eae03bd[12];yx70f4c<=eae03bd[13];mg87a65<=eae03bd[14];zk5781c<=eae03bd[15];kd651b1<=eae03bd[16];zz28d8d<=eae03bd[17];me46c6e<=eae03bd[18];ux36370<=eae03bd[19];irb1b85<=eae03bd[20];ou8dc2d<=eae03bd[21];jp5f50a<=eae03bd[22];gofa856<=eae03bd[23];ykd42b0<=eae03bd[24];yza1581<=eae03bd[25];end +always@* begin shdc077[2047]<=ykcb59e[0];shdc077[2046]<=vv5acf1;shdc077[2044]<=end678f;shdc077[2040]<=ie9813c[0];shdc077[2032]<=dmc09e1;shdc077[2017]<=do4f0b;shdc077[1987]<=dzf67dd;shdc077[1927]<=ip6f1c7;shdc077[1865]<=sw3edaa;shdc077[1806]<=su59bc7[0];shdc077[1682]<=thf6d50;shdc077[1565]<=ui788b0;shdc077[1317]<=ukb6a86;shdc077[1189]<=nr55e1f;shdc077[1172]<=phaa186;shdc077[1082]<=ym3166f;shdc077[1023]<=mr_main_reset;shdc077[932]<=dzcde38;shdc077[663]<=ea787f6;shdc077[594]<=vxaabc3;shdc077[586]<=fpb5430;shdc077[466]<=vxb023e;shdc077[331]<=lfaf0fe;shdc077[297]<=nr50c36;shdc077[233]<=lf16047;shdc077[116]<=fp22c08;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[4]};ir29604<={pf5a753>>1,eae03bd[5]};mr4b025<=eae03bd[6];by5812d<=eae03bd[7];zkc096b<={ph9ea41>>1,eae03bd[8]};xy4b5b<=eae03bd[9];hq25adb<=eae03bd[10];xy258ca<={enfda0c>>1,eae03bd[11]};ux2c652<={ofed065>>1,eae03bd[12]};rge4b19<={al7fb41>>1,eae03bd[13]};qgdb5f4<=eae03bd[14];lqdafa0<=eae03bd[15];dzd7d03<=eae03bd[16];mtbe81f<={dz55200>>1,eae03bd[17]};vif40fc<={ksa9007>>1,eae03bd[18]};nta07e2<=eae03bd[19];xl3f17<=eae03bd[20];co1f8be<=eae03bd[21];hbc76a1<=eae03bd[22];ie3b50f<=eae03bd[23];gd17c75<=eae03bd[24];kfbe3ad<=eae03bd[25];med43cb<={fcb89f1>>1,eae03bd[26]};end +always@* begin shdc077[2047]<=force_unidir;shdc077[2046]<=tx_en;shdc077[2044]<=tx_er;shdc077[2040]<=hqb4ea[0];shdc077[2032]<=pf5a753[0];shdc077[2016]<=end3a9e;shdc077[1985]<=eaea7a9;shdc077[1922]<=ph9ea41[0];shdc077[1796]<=gof520f;shdc077[1544]<=uka9078;shdc077[1302]<=qie56;shdc077[1115]<=rv8ee27;shdc077[1105]<=dz55200[0];shdc077[1041]<=enfda0c[0];shdc077[1023]<=mr_main_reset;shdc077[728]<=fcb89f1[1];shdc077[651]<=pf401ca;shdc077[557]<=vv71dc4;shdc077[552]<=gqaa40;shdc077[364]<=qg56362;shdc077[325]<=by48039;shdc077[276]<=eac1548;shdc077[182]<=vicac6c;shdc077[162]<=ksa9007[0];shdc077[138]<=kq782a9;shdc077[69]<=al7fb41[0];shdc077[34]<=ofed065[0];end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[1]};gb60d49<=eae03bd[2];ph6a4c<=eae03bd[3];ri35266<=eae03bd[4];eca9332<=eae03bd[5];ea49991<=eae03bd[6];os4cc89<={kf85f2c>>1,eae03bd[7]};rg66449<=eae03bd[8];zz3224a<=eae03bd[9];xl91257<={eae595b>>1,eae03bd[10]};pu892bf<={yz2cad8>>1,eae03bd[11]};ui495fa<={gb656c4>>1,eae03bd[12]};ld4afd0<={qi2b625>>1,eae03bd[13]};ps57e86<=eae03bd[14];fpbf433<=eae03bd[15];uifa19f<=eae03bd[16];lqd0cf9<=eae03bd[17];tw867ca<=eae03bd[18];cb33e53<=eae03bd[19];qv9f29c<=eae03bd[20];nrf94e4<=eae03bd[21];dmca726<=eae03bd[22];go53933<=eae03bd[23];end +always@* begin shdc077[2047]<=nrcd8ab[0];shdc077[2046]<=jc6c55f;shdc077[2044]<=su62afb;shdc077[2040]<=ie157d9;shdc077[2032]<=anabec9;shdc077[2017]<=ead4576;shdc077[1987]<=kf85f2c[0];shdc077[1926]<=hq2f965;shdc077[1804]<=dm7cb2b;shdc077[1803]<=wj5eb06;shdc077[1560]<=eae595b[6];shdc077[1550]<=icc4a26;shdc077[1072]<=yz2cad8[0];shdc077[1052]<=ri25131;shdc077[1023]<=xlba8ae;shdc077[901]<=ph8bd60;shdc077[775]<=thd8944;shdc077[450]<=sw317ac;shdc077[387]<=uv5b128;shdc077[225]<=kf262f5;shdc077[193]<=qi2b625[0];shdc077[112]<=uv44c5e;shdc077[96]<=gb656c4[6];shdc077[56]<=db2898b;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086>1,eae03bd[7]};ofdce1f<={rx_data>>1,eae03bd[8]};wje70fc<=eae03bd[9];gq1f934<=eae03bd[10];qi8892d<=eae03bd[11];ip44969<=eae03bd[12];qi24b4d<=eae03bd[13];pu25a68<={tx_d>>1,eae03bd[14]};ea6214b<=eae03bd[15];wy10a58<=eae03bd[16];qg59e57<=eae03bd[17];nef1679<=eae03bd[18];bn8b3ca<=eae03bd[19];pffc9a1<={bl7b70e>>1,eae03bd[20]};ntba454<={kqf5edc>>1,eae03bd[21]};jpd22a7<={hdaf6e1>>1,eae03bd[22]};nt387e4<=eae03bd[23];cb8a9f7<={psc5e98>>1,eae03bd[24]};wj54fbc<=eae03bd[25];doa7de4<=eae03bd[26];sw3ef23<=eae03bd[27];shf7918<=eae03bd[28];mgbc8c4<=eae03bd[29];zke4621<=eae03bd[30];oh2310b<=eae03bd[31];gof5284<=eae03bd[32];uka9420<=eae03bd[33];fn4a101<=eae03bd[34];zx5080f<=eae03bd[35];db8407e<=eae03bd[36];zm203f6<=eae03bd[37];xy20283<=eae03bd[38];xy141b<=eae03bd[39];coa0da<=eae03bd[40];bl506d1<=eae03bd[41];vk8368f<=eae03bd[42];ri1b47b<=eae03bd[43];tuda3da<=eae03bd[44];icd1ed2<={ld4ff63>>1,eae03bd[45]};wl8f690<=eae03bd[46];fn7b485<=eae03bd[47];vida42f<={zxec7a5>>1,eae03bd[48]};bld217a<=eae03bd[49];sj90bd6<=eae03bd[50];yz85eb4<=eae03bd[51];pu2f5a5<=eae03bd[52];mr7ad2a<=eae03bd[53];wjd6954<=eae03bd[54];irb4aa5<={gode5c1>>1,eae03bd[55]};rva552d<=eae03bd[56];ba2a96f<=eae03bd[57];ip54b7b<=eae03bd[58];xla5bdc<=eae03bd[59];vx2dee6<=eae03bd[60];end +always@* begin shdc077[2047]<=sgmii_mode;shdc077[2046]<=signal_detect;shdc077[2044]<=debug_link_timer_short;shdc077[2040]<=force_isolate;shdc077[2032]<=force_loopback;shdc077[2016]<=force_unidir;shdc077[1985]<=operational_rate[0];shdc077[1925]<=the6c4f;shdc077[1922]<=rx_data[0];shdc077[1802]<=sj3627f;shdc077[1796]<=rx_kcntl;shdc077[1567]<=kdf2e08;shdc077[1556]<=sjb13fd;shdc077[1544]<=rx_even;shdc077[1505]<=mg1f9b1;shdc077[1415]<=cmdbcb8;shdc077[1324]<=rg63d2f;shdc077[1316]<=mg986ac;shdc077[1200]<=zkf4bdb;shdc077[1169]<=gq1ab0d;shdc077[1163]<=vif4422;shdc077[1118]<=lf84490;shdc077[1106]<=su531f3;shdc077[1087]<=aa97044;shdc077[1065]<=ng89fec;shdc077[1041]<=mr_an_enable;shdc077[1040]<=rx_disp_err;shdc077[1023]<=gbe_mode;shdc077[962]<=ldfcd89;shdc077[783]<=gode5c1[0];shdc077[752]<=mga3f36;shdc077[707]<=cmdb797;shdc077[662]<=zxec7a5[0];shdc077[658]<=ip7a61a;shdc077[600]<=ba1e97b;shdc077[584]<=kdc3561;shdc077[581]<=ieac34a;shdc077[559]<=aa10892;shdc077[553]<=hdaf6e1[0];shdc077[520]<=tx_er;shdc077[508]<=wl8810;shdc077[376]<=sj12436;shdc077[353]<=xla5edb;shdc077[331]<=czfd8f4;shdc077[329]<=vk2f4c3;shdc077[290]<=ned5869;shdc077[279]<=lsa2112;shdc077[276]<=kqf5edc[0];shdc077[260]<=tx_en;shdc077[254]<=wwc1102;shdc077[188]<=nt22486;shdc077[165]<=qt7fb1e;shdc077[164]<=psc5e98[0];shdc077[138]<=bl7b70e[0];shdc077[130]<=tx_d[0];shdc077[127]<=jeb8220;shdc077[82]<=ld4ff63[0];shdc077[69]<=mr_restart_an;shdc077[65]<=rx_err_decode_mode;shdc077[34]<=mr_main_reset;shdc077[32]<=rx_cv_err;end assign uk82f73 = shdc077,eae03bd = jebdcc5; initial begin xj5a610 = $fopen(".fred"); $fdisplay( xj5a610, "%3h\n%3h", (ea77a5a >> 4) & fn5a0bd, (ea77a5a >> (the9682+4)) & fn5a0bd ); $fclose(xj5a610); $readmemh(".fred", dm7315a); end always @ (uk82f73) begin nec5698 = dm7315a[1]; for (qtd3086=0; qtd3086