From ad9790cf76f161c676a674b737f9ce264ffaddb2 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Wed, 16 Jan 2008 14:56:03 +0000 Subject: [PATCH] *** empty log message *** --- optical_link/hub.vhd | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/optical_link/hub.vhd b/optical_link/hub.vhd index bc356dd..fc8d710 100644 --- a/optical_link/hub.vhd +++ b/optical_link/hub.vhd @@ -12,6 +12,9 @@ use work.trb_net16_hub_func.all; -- library sc; -- use sc.components.all; entity hub is + generic ( + HOW_MANY_CHANNELS : integer range 2 to c_MAX_MII_PER_HUB := 2; + ); port ( LVDS_CLK_200P : in std_logic; -- LVDS_CLK_200N : in std_logic; @@ -318,7 +321,6 @@ architecture hub of hub is ----------------------------------------------------------------------------- -- FLEXI_PCS ----------------------------------------------------------------------------- - constant HOW_MANY_CHANNELS : integer := 2; signal ref_pclk : std_logic_vector((HOW_MANY_CHANNELS+3)/4 -1 downto 0); signal rxd_i : std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*64-1 downto 0); signal rxd_synch_i : std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*64-1 downto 0); -- 2.43.0