From aee15fce5ccfcb60c0f563e17c5ef8057d0ec52a Mon Sep 17 00:00:00 2001 From: hadaq Date: Thu, 8 Dec 2011 12:24:43 +0000 Subject: [PATCH] *** empty log message *** --- tdc_test/trb3_periph.vhd | 4 +- tdc_test/trb3_tdc.xcf | 236 +++++++++++++++++++++++++++++++++++++++ 2 files changed, 238 insertions(+), 2 deletions(-) create mode 100644 tdc_test/trb3_tdc.xcf diff --git a/tdc_test/trb3_periph.vhd b/tdc_test/trb3_periph.vhd index e6399c3..3b21fcc 100644 --- a/tdc_test/trb3_periph.vhd +++ b/tdc_test/trb3_periph.vhd @@ -235,7 +235,7 @@ architecture trb3_periph_arch of trb3_periph is DATA_OUT : out std_logic_vector(31 downto 0); DATA_WRITE_OUT : out std_logic; DATA_FINISHED_OUT : out std_logic; - TDC_FSM_DEBUG : out std_logic_vector(7 downto 0); + TDC_DEBUG : out std_logic_vector(32*2**2-1 downto 0); LOGIC_ANALYSER_OUT : out std_logic_vector(15 downto 0)); end component; @@ -624,7 +624,7 @@ begin DATA_WRITE_OUT => fee_data_write_i, -- data valid signal DATA_FINISHED_OUT => fee_data_finished_i, -- readout finished signal -- - TDC_FSM_DEBUG => stat_reg(7 downto 0), + TDC_FSM_DEBUG => stat_reg, LOGIC_ANALYSER_OUT => TEST_LINE); end architecture; diff --git a/tdc_test/trb3_tdc.xcf b/tdc_test/trb3_tdc.xcf new file mode 100644 index 0000000..9c32749 --- /dev/null +++ b/tdc_test/trb3_tdc.xcf @@ -0,0 +1,236 @@ + + + + + + JTAG + + 1 + FPGA5 + Lattice + LatticeECP3 + LFE3-150EA + 0x01015043 + All + LFE3-150EA + + 8 + 11111111 + 1 + 0 + + /home/hadaq/trb3_central_20111019_exp.bit + /opt/lattice/isptools/ispvmsystem/Database/xpga/ecp3/ecp3-150.msk + 12/5/2011 13:56:41 + Fast Program + + + + 2 + FPGA0 + Lattice + LatticeECP3 + LFE3-150EA + 0x01015043 + All + LFE3-150EA + + 8 + 11111111 + 1 + 0 + + /home/ugur/Projects/trb3/tdc_test/diamond/trb3_periph/trb3_periph_trb3_periph.bit + /opt/lattice/isptools/ispvmsystem/Database/xpga/ecp3/ecp3-150.msk + 11/7/2011 15:31:8 + Fast Program + + + + 3 + FPGA1 + Lattice + LatticeECP3 + LFE3-150EA + 0x01015043 + All + LFE3-150EA + + 8 + 11111111 + 1 + 0 + + /home/ugur/Projects/trb3/tdc_test/diamond/trb3_periph/trb3_periph_trb3_periph.bit + /opt/lattice/isptools/ispvmsystem/Database/xpga/ecp3/ecp3-150.msk + 11/7/2011 15:31:8 + Fast Program + + + + 4 + FPGA2 + Lattice + LatticeECP3 + LFE3-150EA + 0x01015043 + All + LFE3-150EA + + 8 + 11111111 + 1 + 0 + + /home/ugur/Projects/trb3/tdc_test/diamond/trb3_periph/trb3_periph_trb3_periph.bit + /opt/lattice/isptools/ispvmsystem/Database/xpga/ecp3/ecp3-150.msk + 11/7/2011 15:31:8 + Fast Program + + + + 5 + FPGA4 + Lattice + LatticeECP3 + LFE3-150EA + 0x01015043 + All + LFE3-150EA + + 8 + 11111111 + 1 + 0 + + /home/ugur/Projects/trb3/tdc_test/diamond/trb3_periph/trb3_periph_trb3_periph.bit + /opt/lattice/isptools/ispvmsystem/Database/xpga/ecp3/ecp3-150.msk + 11/7/2011 15:31:8 + Fast Program + + + + 6 + Lattice + ispCLOCK + ispPAC-CLK5410D + 0x00190043 + 64-pin QFNS + ispPAC-CLK5410D-XXSN64C + + 8 + 11111111 + 1 + 0 + + /home/ugur/Projects/trb3/base/clockmanager/CM1.jed + 8/24/2011 15:40:4 + 0x1C8C + Erase,Program,Verify + + + + 7 + Lattice + ispCLOCK + ispPAC-CLK5410D + 0x00190043 + 64-pin QFNS + ispPAC-CLK5410D-XXSN64C + + 8 + 11111111 + 1 + 0 + + /home/ugur/Projects/trb3/base/clockmanager/CM2.jed + 9/16/2011 20:18:19 + 0x18FB + Erase,Program,Verify + + + + + SEQUENTIAL + ENTIRED CHAIN + No Override + TLR + TLR + + + + TMS LOW; + TCK LOW; + TDI LOW; + TDO LOW; + + + -- 2.43.0