From b430f5d476a93eada1a4e6955f4729926568e673 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Thu, 12 Nov 2009 13:02:26 +0000 Subject: [PATCH] *** empty log message *** --- trb_net_components.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/trb_net_components.vhd b/trb_net_components.vhd index 1b94adf..d81c413 100644 --- a/trb_net_components.vhd +++ b/trb_net_components.vhd @@ -340,7 +340,7 @@ package trb_net_components is LVL1_TRG_RECEIVED_OUT : out std_logic; LVL1_TRG_NUMBER_OUT : out std_logic_vector(15 downto 0); LVL1_TRG_CODE_OUT : out std_logic_vector(7 downto 0); - LVL1_TRG_INFORMATION_OUT : out std_logic_vector(7 downto 0); + LVL1_TRG_INFORMATION_OUT : out std_logic_vector(23 downto 0); LVL1_ERROR_PATTERN_IN : in std_logic_vector(31 downto 0) := x"00000000"; LVL1_TRG_RELEASE_IN : in std_logic := '0'; LVL1_INT_TRG_NUMBER_OUT : out std_logic_vector(15 downto 0); -- 2.43.0