From b539911d99ac910d54363bdd581b8de23bdcf548 Mon Sep 17 00:00:00 2001 From: hadaq Date: Tue, 6 Jul 2010 10:52:18 +0000 Subject: [PATCH] *** empty log message *** --- trb_net_components.vhd | 40 +++++++++++++++++++++++++++++++++++++++- 1 file changed, 39 insertions(+), 1 deletion(-) diff --git a/trb_net_components.vhd b/trb_net_components.vhd index 49fde8f..d73403e 100644 --- a/trb_net_components.vhd +++ b/trb_net_components.vhd @@ -13,7 +13,45 @@ package trb_net_components is - +component trb_net16_med_scm_sfp_gbe is +generic( + SERDES_NUM : integer range 0 to 3 := 0; -- DO NOT CHANGE + EXT_CLOCK : integer range 0 to 1 := c_NO; -- DO NOT CHANGE + USE_200_MHZ: integer range 0 to 1 := c_YES -- DO NOT CHANGE +); +port( + CLK : in std_logic; -- SerDes clock + SYSCLK : in std_logic; -- fabric clock + RESET : in std_logic; -- synchronous reset + CLEAR : in std_logic; -- asynchronous reset + CLK_EN : in std_logic; + --Internal Connection + MED_DATA_IN : in std_logic_vector(c_DATA_WIDTH-1 downto 0); + MED_PACKET_NUM_IN : in std_logic_vector(c_NUM_WIDTH-1 downto 0); + MED_DATAREADY_IN : in std_logic; + MED_READ_OUT : out std_logic; + MED_DATA_OUT : out std_logic_vector(c_DATA_WIDTH-1 downto 0); + MED_PACKET_NUM_OUT : out std_logic_vector(c_NUM_WIDTH-1 downto 0); + MED_DATAREADY_OUT : out std_logic; + MED_READ_IN : in std_logic; + REFCLK2CORE_OUT : out std_logic; + --SFP Connection + SD_RXD_P_IN : in std_logic; + SD_RXD_N_IN : in std_logic; + SD_TXD_P_OUT : out std_logic; + SD_TXD_N_OUT : out std_logic; + SD_REFCLK_P_IN : in std_logic; + SD_REFCLK_N_IN : in std_logic; + SD_PRSNT_N_IN : in std_logic; -- SFP Present ('0' = SFP in place, '1' = no SFP mounted) + SD_LOS_IN : in std_logic; -- SFP Loss Of Signal ('0' = OK, '1' = no signal) + SD_TXDIS_OUT : out std_logic; -- SFP disable + -- Status and control port + STAT_OP : out std_logic_vector (15 downto 0); + CTRL_OP : in std_logic_vector (15 downto 0); + STAT_DEBUG : out std_logic_vector (63 downto 0); + CTRL_DEBUG : in std_logic_vector (63 downto 0) + ); +end component trb_net16_med_scm_sfp_gbe; -- 2.43.0