From b6216a3ee87ba511dfcffce50c9d8a1f6d6c7477 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Fri, 18 Mar 2016 15:48:54 +0100 Subject: [PATCH] updating sync media interfaces: no more high-speed ports --- media_interfaces/med_ecp3_sfp_sync.vhd | 6 ------ media_interfaces/med_ecp5_sfp_sync.vhd | 14 ++++---------- media_interfaces/trb_net16_med_ecp3_sfp.vhd | 4 ++-- 3 files changed, 6 insertions(+), 18 deletions(-) diff --git a/media_interfaces/med_ecp3_sfp_sync.vhd b/media_interfaces/med_ecp3_sfp_sync.vhd index dcc5920..6343359 100644 --- a/media_interfaces/med_ecp3_sfp_sync.vhd +++ b/media_interfaces/med_ecp3_sfp_sync.vhd @@ -32,12 +32,6 @@ entity med_ecp3_sfp_sync is TX_DLM_WORD : in std_logic_vector(7 downto 0) := x"00"; --SFP Connection - SD_RXD_P_IN : in std_logic := '0'; - SD_RXD_N_IN : in std_logic := '0'; - SD_TXD_P_OUT : out std_logic := '0'; - SD_TXD_N_OUT : out std_logic := '0'; - SD_REFCLK_P_IN : in std_logic; --not used - SD_REFCLK_N_IN : in std_logic; --not used SD_PRSNT_N_IN : in std_logic; -- SFP Present ('0' = SFP in place, '1' = no SFP mounted) SD_LOS_IN : in std_logic; -- SFP Loss Of Signal ('0' = OK, '1' = no signal) SD_TXDIS_OUT : out std_logic := '0'; -- SFP disable diff --git a/media_interfaces/med_ecp5_sfp_sync.vhd b/media_interfaces/med_ecp5_sfp_sync.vhd index f50f5db..e17ee34 100644 --- a/media_interfaces/med_ecp5_sfp_sync.vhd +++ b/media_interfaces/med_ecp5_sfp_sync.vhd @@ -32,12 +32,6 @@ entity med_ecp5_sfp_sync is TX_DLM_WORD : in std_logic_vector(7 downto 0) := x"00"; --SFP Connection - SD_RXD_P_IN : in std_logic := '0'; - SD_RXD_N_IN : in std_logic := '0'; - SD_TXD_P_OUT : out std_logic := '0'; - SD_TXD_N_OUT : out std_logic := '0'; - SD_REFCLK_P_IN : in std_logic; --not used - SD_REFCLK_N_IN : in std_logic; --not used SD_PRSNT_N_IN : in std_logic; -- SFP Present ('0' = SFP in place, '1' = no SFP mounted) SD_LOS_IN : in std_logic; -- SFP Loss Of Signal ('0' = OK, '1' = no signal) SD_TXDIS_OUT : out std_logic := '0'; -- SFP disable @@ -147,7 +141,7 @@ gen_pcs0 : if SERDES_NUM = 0 generate rx_cv_err(0) => rx_error, tx_idle_c => '0', - signal_detect_c => '0', --? + signal_detect_c => '0', --?force enable rx_los_low_s => rx_los_low, lsm_status_s => lsm_status, rx_cdr_lol_s => rx_cdr_lol, @@ -167,7 +161,7 @@ gen_pcs0 : if SERDES_NUM = 0 generate sci_wrn => sci_write_i, sci_int => open, - cyawstn => '0', --? + cyawstn => '1', --? rst_dual_c => rst_qd, serdes_rst_dual_c => '0', tx_pwrup_c => '1', @@ -175,7 +169,7 @@ gen_pcs0 : if SERDES_NUM = 0 generate serdes_pdb => '1', tx_serdes_rst_c => '0', - pll_refclki => '0', + pll_refclki => clk_200_ref, sli_rst => '0', pll_lol => tx_pll_lol ); @@ -195,7 +189,7 @@ THE_MED_CONTROL : entity work.med_sync_control port map( CLK_SYS => SYSCLK, CLK_RXI => clk_rx_full, --clk_rx_full, - CLK_RXHALF => clk_rx_half, + CLK_RXHALF => '0', CLK_TXI => clk_200_ref, --clk_200_internal, --clk_tx_full, JM150706 CLK_REF => CLK_INTERNAL_FULL, RESET => RESET, diff --git a/media_interfaces/trb_net16_med_ecp3_sfp.vhd b/media_interfaces/trb_net16_med_ecp3_sfp.vhd index e05e481..4eef9cc 100644 --- a/media_interfaces/trb_net16_med_ecp3_sfp.vhd +++ b/media_interfaces/trb_net16_med_ecp3_sfp.vhd @@ -42,8 +42,8 @@ entity trb_net16_med_ecp3_sfp is SD_RXD_N_IN : in std_logic; SD_TXD_P_OUT : out std_logic; SD_TXD_N_OUT : out std_logic; - SD_REFCLK_P_IN : in std_logic; - SD_REFCLK_N_IN : in std_logic; + SD_REFCLK_P_IN : in std_logic := '0'; + SD_REFCLK_N_IN : in std_logic := '0'; SD_PRSNT_N_IN : in std_logic; -- SFP Present ('0' = SFP in place, '1' = no SFP mounted) SD_LOS_IN : in std_logic; -- SFP Loss Of Signal ('0' = OK, '1' = no signal) SD_TXDIS_OUT : out std_logic; -- SFP disable -- 2.43.0