From ba7dccff5236496046f81173c17e3278f4cf8d10 Mon Sep 17 00:00:00 2001 From: palka Date: Tue, 24 Jun 2008 10:07:02 +0000 Subject: [PATCH] *** empty log message *** --- optical_link/hub.vhd | 9 +++++---- 1 file changed, 5 insertions(+), 4 deletions(-) diff --git a/optical_link/hub.vhd b/optical_link/hub.vhd index e1680b2..b05e033 100644 --- a/optical_link/hub.vhd +++ b/optical_link/hub.vhd @@ -210,6 +210,7 @@ architecture hub of hub is generic ( HOW_MANY_CHANNELS : positive); port ( + SYSTEM_CLK : in std_logic; CLK : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)-1 downto 0); RX_CLK : in std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*4-1 downto 0); RESET : in std_logic; @@ -660,10 +661,10 @@ begin MED_STAT_OP => med_stat_op_i, MED_CTRL_OP => med_ctrl_op_i ); --- ADO_TTL(34 downto 19) <= med_read_in_i(0) & flexi_pcs_synch_status_i(2 downto 1) & med_packet_num_out_i(1 downto 0) & rx_k_i(1 downto 0) & rxd_i(3 downto 0) & med_dataready_out_i(0) & med_data_out_i(3 downto 0); -ADO_TTL(34 downto 19) <= med_dataready_out_i(0)& med_data_out_i(14 downto 0); --- ADO_TTL(15 downto 0) <= med_read_out_i(0) & flexi_pcs_synch_status_i(7 downto 6) & med_packet_num_in_i(1 downto 0) & tx_k_i(1 downto 0) & txd_synch_i(3 downto 0) & med_dataready_in_i(0) & med_data_in_i(3 downto 0); - ADO_TTL(15 downto 0) <= rx_k_i(1 downto 0) & rxd_i(13 downto 0); + ADO_TTL(34 downto 19) <= med_read_in_i(0) & flexi_pcs_synch_status_i(2 downto 1) & med_packet_num_out_i(1 downto 0) & rx_k_i(1 downto 0) & rxd_i(3 downto 0) & med_dataready_out_i(0) & med_data_out_i(3 downto 0); +-- ADO_TTL(34 downto 19) <= med_dataready_out_i(0)& med_data_out_i(14 downto 0); + ADO_TTL(15 downto 0) <= med_read_out_i(0) & flexi_pcs_synch_status_i(7 downto 6) & med_packet_num_in_i(1 downto 0) & tx_k_i(1 downto 0) & txd_synch_i(3 downto 0) & med_dataready_in_i(0) & med_data_in_i(3 downto 0); +-- ADO_TTL(15 downto 0) <= rx_k_i(1 downto 0) & rxd_i(13 downto 0); -- med_data_in_i(15 downto 0) <= hub_register_0e_and_0d; -- med_read_in_i <= (others => '1'); --test -- 2.43.0