From bbd4caa583f035e5d5096821a71fa560163e1219 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Mon, 5 Aug 2013 13:50:26 +0200 Subject: [PATCH] changed more JTAG registers in docu, software and vhdl --- doku/doc_standalone.pdf | Bin 304781 -> 301093 bytes doku/jtag_chain_controller.tex | 146 ++++++++----------- soft/toolbox/jtag_atomic/ui_generators.pl | 58 ++++---- soft/toolbox/jtag_atomic/ui_writeram.pl | 8 +- vhdl/code/jtag_cmd_m26c.vhd | 164 +++++----------------- vhdl/code/jtag_constants.vhd | 69 +++------ 6 files changed, 149 insertions(+), 296 deletions(-) diff --git a/doku/doc_standalone.pdf b/doku/doc_standalone.pdf index f9208fa73e8743190983031024f684b562a9f9cc..23803975967e41995b3cb77ea39ff3a1af995743 100644 GIT binary patch delta 67468 zcmZs>LwF?&u(cc8wmP2m$m z0HWErmhZsGHhZI!-!>9lN|ZfNvA?b@e8-HT(R)e z$fM2n+Y%LF8kos2P#=^U*n3C|tOLaWbe1$h4?9vn8b(O-Ls$&EpU%qtcxyJmMxx7_ z!wrl)8n2}`#^w3F=tTla_YMt%Uxu%2OECtZ z?wwS3I?mJR7^Td9j|epklvt7`n{lE>#t*`(2U#sa2Bzyw6>W_@Jr1WRY-0cc^Wmhj zvgY)=4|_cAC_XMblcB|M2#vH97y;UUJ4X>rz_H1ACyjp<{nbi84Y-cEFD&MJ#p<%H z9P_-aOqZ>=_33BO)H_OJEQLLd7*ccjz6@@7E)o4PONW-8rp96L)`Bfv3DViekq%Os zJ1g5N!b-I%M%Z#i{2AcgDGf& zD-Z>YBc0wYYkDX9M0n^I0uHpHj{q`oGK`A_o0 z7AoEDg}rvqQyn!nF^=k+0r$rU#sfYgIC;DRAhtfMY)Mn2!;-tCNn;`A?A#yV1Q{?XPtKfL>^N{)9NCJdfHUkoM6$UQVNzqQY>Ko*gtQo;nuJKb^a; zG?>@5`%@v=;Qe5fxbz6+2cGi5g#FH9N^IT*0(KJTv=$Ts_+@)K(lDoBYh+Rb=5+%d z>|?S@H{RiNz%t#YOnB3(@K=OO&*DQ8eL`guRwZzf)b5V(?K*{ve!<$FNvpM!+sM&~ zePal=`<^h~_5$KqU0AdnD`~B1gC#13^tmBcD0vACq|q8;1qE=bJOJxIT7W=Zx9jxj z&Rf}F(t1LH8LymyW|XsWTjOKEY6{L@kwj|4FGC^L@j>>e0vjBFdq=K|ysij#6&#?= z!~4`balLSNXI1-hzR>v?C|>3FtEmsu9j1`e>W9p}1p~9q1B>I;G97bPc;+sF<~m~8 zJNG%ns_>s(2rb}U4X1v!xMKx%kNwj2!>0EilxkrPRv6Fp_iW zUb1{0fj*-axP`BMADHwjGanxWr1EFYyD*{Ks`y01V%N&{$v6JQtS|FSav2CI7F4!D z#G%9)uyKW-D2q=$*HvMq^Uu-ODZ5UOTTX>z&LONLZvH0_&X;oE4!GNsCiJwgF6olPd&nszN{-h?DGA?P|wu076a7T zwj*M!-me(L4|+-{QOF$piijcfe)rI8K7-Rum=CCQ`S|#)cP`pV%gLwpefBQ_F?HNg zU84Iy@=t)Da4Auv_5kj6jo(IX`a?F|sV$oB%au1@Q)rh>a5xdt?KNom@n%9}q%zFY z{`obP*@A`7WAK>cZ?0K~kn`L7IQ2QJ6}UVMPffJfCzTt(u6qT47I*Wx+b zdt#0lq&qg&dQn4}{i%ic(u}o+5d?f3!Hr?1`#2zP;iBgi;X{+mzd`rp1z&J|5Lruf z4L*G1G!(z_Aar0LJ;i~u>o#XCs>fAjEqHCx(~))cQeOx2&BbNaFZ%8&v2>EFcpT7F)|&gT&t;=a}Gey|Znwx0frbziGY zrbz&1y9Z1|DAme+4i>?;t~lYm=n{1F!qtrfX-&l!lsG(Wz7mfkA}zS{=k=8)|65S? zC!GN^<^HMi$nJ$DFMaJ#Dr*ye9hHZThbfM0*AL0+fm9eEv;J*3BS>uc`7rJ(PGLa( z8_%awpI}ye3Eq`OSBwCP7+m#SBT~?SX$SDqO$N7ic#n~?XR`KrxP67B9ArL&uR|~& zrA+v60!q{)U>l%xy)_L2e%;8)7RUnvs+ZLRYzz*2IqbfQnfBr3pBp^woj>a4^rAW` z2E)h?Q6Ln4iO1OjezdT4OwRHAfUEU_G7JiGKOSf9F^kwX@{0dp9tg`Itqh)A#Ix;t zv*eI!utnt|mI_ft)1*=KH+%ta53i{Jwc5d*Xg}g6EEBBaDAq|ocp=xHLVx!d0YFqU z^afmVc`y#vL{tng7Vfk?R#0ldU+q6G8y%?rFSWa>QknHAo6TuvKF=+ zMQ5T@lvM4BBxISPoUX@O4<-oqkqra#kL`1il`rH0WAIJ(UQu z1#M@q91L0M6e=(K5I5TP>ZEv}$5Bq+w66K0sXj-yosp@Ex_q4PhgT)wVNBuRqH4;T zg2&-$+t2&^V6kr>+tLCjw(ZzXTb_CBy&1B%<7F8*5&r+jAmLI zwkjv%bqUU^o0nrvSdt--6HBoW{o3`peaH-&Dh(PyK%JfzDH}5_5=E}W_FD_++s*g- z+9^-_3dIVi+IASIu}uMxq&^7Hp~Qy%F-(b>W|M?V<1tS8Gf~#E_5QuBNx!WetP#0c z^1H1^-lCkwZM3I#fjuYLkq(dJD2G9Wb6hQ-Pw4myh>mPVlu9U z_#Kt%y_Pt9MU5bgK)%J@T&e5L>E@ zso|)Kt3R*fU2IS@=S4;%Wmu`V$R}rAFsu43LMEO38_yd2Ah{L7 zLr<{n9*P$r=6SLAaAyz`=ZW3ovGq~g+5m#asGN zg>z%&em1WFp$)T)L}F1OTjH3jmSN1KS{_lt&$l%gJSN25ZX51SXw^ur!U&ZV@wD)~ zC~fkntiI5Nnf!}=CrMA1iJ`84+iU@2-~A8GwbHw`ircVXalvq zInbqcx-S2o0o`HXsdsF~yw+jdo5SZ{sqGGj%_xY%2iVFEFU>AQL4n4UD`;S~PvcYW z3mEi>d?l%(Xv^l96c*BWW10r^p{B$zW+h@|Yx2)=d3oa+r^IfV%{?My1)^}D2j*#A z{UCr{b}`hT`2s2!R_aRN59NBvS&$Y85-S+AYd#H0+IgC2kYg1m5tL56)4HHDta-Sw zOpv+MQr5nng*TZ0M95saaDpUtI3Mb-N=v|wRU5ook7CM)O)BJ{Cxxh=XtE^n+oaeWl8Fx{LewtEPU1rvGA=$^*O zEXn;O6}abl!F?c74`SWgP!xYEKsT=}Gsf%bC|53y`fH`jxU&sHlTRtj z^fgud@z6_zlBgb!Ys~`tk=+f^fD9Aee&?KAjLt< zGmjyvn}Iq}shP34U$Rm?CpU5N9ajM4jy8*fu#)aI2_^p_YLr%n%qJ=H+!rVLtZI@6!jQaGL`zIP`3ylrg{bz!j5O5s%F~N;uMN$(Qwo zX?$R`XuHL_R~DAx0ob|?d04VcTd-!6Xi} zb_zTqj0e<;OMMEcT|p_%!w&!!z&a_gRr&?$>k0-NlyiE+VaD?~RCxpU+1#W3J^=HP zdHPn%;}jZ#WxQ9ft(FRF@e%#gNr&yDqfwn=hzRVbfd63A2wa3ww2e^LfT;b6K}J2Q zv>8gv5@;DK*sSVS(+}Ptwbil}yGUTd85d7?_;?97BJ+%)!igwT$^vK?u?41ZV62hh zvl9pz&T^3=BfhAP(Qp}7cdi}_L$e*!lsGIG+Rc?W|11yb0$9MYCyX=yyxKX(5E@Vy z>)tLN$`Fp;T``rn<|x5S_$?rx_vv(Q1WvU`$M&c)aUOg}Qx?)D)L6Sa7GE&Gw4LbK zV~c*nFRgsA^g$F(Qsqh-XpObh(cDAMy>9++c!R z-^No2M1ODW^F_f$LpvU|iARJ?z81jI^gsH}64ve$^Z{#kex7Rutgsu>g236uO9Jse z4jfdLWeb`}6=~s9yM23@7RtybzL}&-6;02k6XVytyZCv)Jpr)1;9^k(HaAFQ9~`V6Wxtai}1TbIE%GzotDl`q}?c$F^Tf|@?Brerhk*j%og7aVG@P=PgWYtNWD zAvJ}M!O^;8if8?S3X=M4R@%yU zL2gTlyL1k1kRbx77=8C)`}2(wLRv-Ir?GKBvkYsqJ`)~X=ARuSGVt+yfrAhFIRRW&_AYtL07yC7K<;9jnwqw)&5f;PdH8;- zZwb;w1ZqftwS9Yu#vJ*CHij{_!pT&@Gil*8{6Iiof_^>!Pqj5&CS5fF&vG zR*_ID4R9X4eV0L~HI%VmAnekby>L?0aSH`0Pd7zMc90Z6>y?+LYgbbP59x;hCs7Dh z&+iN3NIyr>t4Lj(z?1n$|0$f;YWOmf zGIyGA9ezBN!G;?1ZYBbBV z#Fvdp-9C(x30Fmy_PX}loyhrb>h_pu?N%t!(?aAbcSKXRqE>=k(M<<0R8E<@K$w;V zmfuFRTIFAH)vM!<+xZ zv7nqlP+SafR&MtHgV-ruIn@JcwCik#R3GMD^VQ?#Re{Eb)!@EaT|kC6q2U3 z^kr%5eb%Ic18e&D-&{DL%hyg;0`5mwA!_}0+h7{0hp(NW8H6s&*{lO(8G%=pD-fA! zS}2DA(2hrR1$e5j;ttQ9pH&U%jZ7hbvvTIzxp0{VT4}rkKSATk+VQgAf(+`Fh$lK_ zSf-+?Z$pc!wfIxNg4-`l75B`H+TO`AbvWHM}8U>^{!oTEFo{c;zSBr zDZ<=Yq#fDAye50%U-HADUhPL1c7sY|RvCLC0|u@T0k;DS(E~FK&Wyt-Eb))KN2K~cMcSi{e*p8ovb(};p8T37xKR|^cWzE5LdBA4A)i4^sz|>@$@`)K zUxVsbfUSa_rjXKhpKm<{bEc0-wv?zVUO4;R(zV|T?B=Sl4vVLiS#JkZe(>~7U<6I* zT2`tBZ+MqCTo2Wd%fcrz%dpj;>x~MFUK*2?d_pL0`1@p#gWM=y#d$l}>d$o}t}1;n z7LW*Ju`&H#vQ6I5MbwtKT`JHqK^zkITXD*~fL^2!m67dUD#8FL!HVEGrRlKUrb*UZ z7_6;yNG>8Tt}xBs_EH!NAv3S1Ci?5V+T=ZiVXi#0p@+XF+?3&YAGy5cijkOoz+k}$ zq#yVKXO&kgC?c}#f5(SW!VKoYY51wMI64fQ;w`|n2{oIGN@FKQ-=Q%`GhvugcrDOI zKzKtX?4KiKT)#8BUP}z$?CSEwFr>+7GH_Vt9;6<^lYU%;wT8#aiB4ina*ke8#5lha ziwe+ZBY_ee0uL6cX-irRHx3p%O@ZhJD%ipUimqK@p(c3Gg5KKYSp%crX2NG@jKUn2 zlIeQ*<$)4}Qqv9E?pNNs+AW0nV&q3e0Jq%4L;pjpKwjM2VE2cEIN zJ>zQd+tD1iTZO}RK5OzBUfKq#=t~+hpL>qyrs@BHNy9=3P=a953Vh>FqWUIkMKAkg zi$Fg%u~Vb^&#sgyYW+36Wu!T%9WJqN`olB`+hoCg6~w()&h10xbd5wD(HPhb2;}yF zjvdsN`dWwtN}q%iqEH1UOpkk@o?P!Ha$oEg^{pM9)y47@XyROeVSz!%66l7AQXse}5IHzTA7{9%q?wi3=a4}!&H7WO_ zShXzo10VeB*mhy2RlCrLY-My z-Jf=v+wGxrnl;V0O3$VMq`m}<98Xp?iUS|}$3rB?BB~XqIp7=d#n@s8WGoVY241V; z3z6nFA%BN6Vb{OD-UI@&EF|U>#f%h%$zQhTAozqj-}jKc8tiAqBYkSgdKXT`Xlb*| zk!>QBiL9jFu`+6=Xjb_0U`MTjkj8e_F}B*(A!wy;enZaM?@^@y^qzo0BREz9{oM3p zAoD6%Wwjm-hOq9Q0Dov7e$0$yx2d+g*w~zmA@X=Zc!+(&h++Hf#VSg*&S^LzT{X}+ zb?9j`)L`Vx)r;Q~=&PJur_N}RsBR~NnE?k&DeWqYvB6LQMqt<{87UjPPvpv5LCH`q zT!!J@46oL=)yn};PV~}=2ro{p=iHwQFqS7FYJ|Llfp>r%*@}d=>Bsv=jHHx<{k=NO zi*<-~0jAB$Ot&sh^!?!M$xTG`uiKKoNv6G_lL^m6g1zqJ#b>r?Z1-`(@$tOBe*U*k zIv(k+b3KL8*6Q#V(j-LMAensZFob2x4HF~ra<16Viw7}4@6kyzoWz(Fd8J_mJMBbT zmN8u1ZI!M5R%o*(s`~Soh-2QYkkc+N-OTJLZ~7iAuXg+sThxo%mqC+GmF#q5Uo^mu zjkXoHJGKVOw_k*65!x|ximIn|lvP*uxC>s*)wa|Moa5u_Y-P;%EWFIhG=Don|1a$g z^c$rUGNK(IZWq}SZ}x`qS2=K*J-UwV|?cq+} zK*j_Ow>5UQt?`U~1}lX)%1VBJxZZX$fuF}Y(lZCJIYl+G@vY?F(G0ikS0o3PV|rxV zqcHz*=v-Iltlh*-IH-qpyV4or((lS9t8@XTgt;QC>@)bmim05uN)Brq6-B13`A|WMqn92pa-Y*W*YNW89E(r zkbSfY>Uin)5{zfhXERssFDLAi$lv?L;8Z}juV+MZebi}C`R2>eOmWdgvB`O{>MJxL z7$i1ZOb>mVWf$r+$%AEE8TE+N$Nltiz^K!=SIgW(OBoqwoZV20G~7s>^&3p29gQ2r z-h};{qO9skY}R|JSSPI8;}5yH2si)s`!7xrG4xGjA-oJ#jF;}yXBGrfi|$kjI})8E z(iM9nUk|s@z}744z~%q0A`U!!*+@k|1fnZlp)1~|=38z1f=nta@rM>nZ?r`mYt8&FS9s-^{Y^pmd~KBq3ZzJ0rae zek&FT_A9PcTO^Ycq>Q9t8%|%zeeA~NRZ$R;f6QCWTH0*nq}f^#i{W)kdeiLy;2zH4 z8tKjUFs0@c`afZydbpijE{|5#JkLPKm=MDVpH2!Yu_Ooh&zzE6e96m^o zoqVIa=TYb1p~*qXzAd&707RG4mD_VpPv7^?G;7bRQRK(B1zl^7ItwSrMN(L|$ylsN zxxEDT#zq|_gK;`{M;k$&Zg#N#Ld>Z6*r}y0!&#N5^PSpJyhKwA7`(yO%sBb6g8aY) z(bfaQm{`8Ym+gb)39-PwTb%J+9yErf2pbi-hU$ue4m+3^k((*34yAlK1){)e*2Go7&RIk=;tI3d`cS7n^{xrt=Ik0Vl*<+ zYTDz9;eol=+fI=z%OIE-nC8@qed#07YNwyw>L~)Jf{Yg&#-GtG74_jr`s&Ehx&T*Z z97Jd-Dd~^dMA*|a0Ez%i_r71x{-_G1v%d=~YK#VplfKRqpTOD!IV_A zY3HEG`s2b3dDaFaB&rtmydI%-muV3D`?_ku|Da-|)1SZ%0KI0oM`mMsAZ~0dpDhyW z53Z$s)Hp;70VV49>$1NXmGS$E$b$u}TehW8whf571gXBvf?N|8Jd=h^bz(vyS7B%m zWXYqEF&XPpa8brC3*Y<4*f{pJV4|;PN5f8B>@XKK)gRnXzhFC5^`6opKvVUEKO5Xn z9?jo5vDvN&=>EArZ34WWW}9U;hiYNa){l2n4Hh!{vC4G9)Ys9H9pt`*TWxUqcrw}W zfnuVZ1l4j0J0-~h{@I|qp{;Cb4%~!-EAbTlxyfLrzWANp&Yl7~l7;b&(@n}XXH##h z7WfQrk0gR{Vq@>mc^8t1-8bcwHLzu>Kcoycq_`OcP|7E~$O91R>$WhYipAJbAHVh9 z9x7g_B2e0EBBwd2bXrD>kPKtwfWW1p+mLXw>5xPev+(|=tBtQ8MLl+b$oBR31%thK z8Be&)0L-y6?PgOQT4ROL1hy)`8m_1<2`>6+qYlQbk9rHN{Eb%K=dn37qwh9giH!dH zdO`94$m8;IgJ2awU3xrxJ`zB&ByG#4A9(6}ayy?1ND$k_6`G1{$k>nLdCGjN3VYj0 zQMVg`@yerdPQ@#6=aMLws<5yUN4ESErhd?>q8DI2tIZ7HG?bz`8FBdX1GQ!IS8jdK zK&_TmeKv-y^2?Lf)l0e{1xL;rzbnqoae?duAoOxq)NQPZc+NWz1_CWS1`KLDvsmn# z_txZ2-E6?ZY49C%-XxD5z8jksK4)-eudCrZW4cze|n{m)zTWca=ucl zro#RF%K|~Xbnf>Yvr^)>f)Cl;5iolED=l4o|JDdVAyGB>qmdea)ICzj!10Szkg4+r zQ1Z8e8+)9i;Z^5YeKrXd)GMHw)UZ^di*T_1WHWPP9Sa*uxki=CM*$qnK?J1Mbkbf_ z%S|!y3v1bLyu?7s|L(+XAC(%G7e-9JP9&Yj^Xp>PKZYz3P@=q$9NhG;6|bd*@nF;J)adV7d7n)~X~I4k zm|2w5-ULP1d#rJM!`Vsd$9OaVugND&$zM(S{dT!FXqmpj-w;%&qRP{S-5#rNVbO)q`eZt0#jm0cu)yRka$^CX1o+w zbK4=X(8bZdRnf#{CQI`(G-oA@U`e6^MLJuxFhV(A1_S03A`SHd-H0OrhM^pCNx1>D zhprYZf)ov;&~Wv$S_oK8CD$bCQd}y+Xb63QUl0jFkYGJT=qlkTr?9*s;*^$=D&bBb z6tv}k4N2#4&ds!%nyzQ5MUPPHq@>ym(dU9uj)c2B#EHZ1#APk`p%MzD5wr-8(6B)1 zN}1(CP>PPElVXTCq0!|4MI-SU+=j-ryw&NeM##e!YZ6&2x&o$3xiLhSYQ$wHUTfxd zzf+KFrMceH@!IeX0fL+BK97&|bmLM=RSkcu#>i{eqS3^1O%FaZQA?#LCC6OoU^QXrZ*~o8V>!rNDc<}QSCKgiuP0q@pEpc=Nv}Ov> zjh9YQp>G<5dzjY%gq+#3-14+0)~&v1YJbwO4;mXRYZ|_h{3+J(Dcz%=!Jm9SJ;{-J zbPwgbrWGF&Nrrp9f=@+*e%(M$`;%j~*v-@s(vBaC&|I;CjYY^mGU>NT{8`2R{FNXCgelhF;QU4U7S2Zm|FG3Rbuti$eU9 zDKIv0Zi3eToMEU)sg2#}Hw{WUw&q-t66S(gw&tckx zNZxSTQ<04STt1(6pkmP6hr~ql4iLb{4EC1- z`H68p$+YWq*S}J1iQH|MMGiQxy_6N1ib& zwZF3n6}s_a)=ewra;d_bNtIb=`^eF1#9|7P%N#5y7%0+;R}>lH3%RJ$@Wubd^6z)V zQERzV+g$URMe41pIeW+$$aWlkN=U`*_@aTpj4U|mH$nq^YG2m2wU?Tv+87yi!Z@6e zSzK~FMi}^tPl9s*RuwTJdDLpd8sFqg$SU6;?P*JQw21?SIl{v7L%%bWW*QqXPPD-# zhfXTLslTlo7ldY-iMar|@|82v@NPCdYIw?O!tJW2m*~Ev`E?;%3L9+RyfH71iJcRW zc##JBJ*EI_%dP3mu0OPQ=U2~t8=67_GgNu^EjSimXxE1V&^Vcj?tIQ4s1=y35?f75 zf$_KkXdi2rk`>%&Jy;`GxJ!`KFk{j7Ul$!FIU9fKi>?JlF0KzcUBXa|J-CGAs6?RG z{|(A7S50SWH@I`I-y<~snEk{GvY#J${I&S|$bbiMS(|?;3f`}AJN-rD%uhG6+hnw#q}j>g1|g&gE-Yr>UqqTjQhIlMdMP; z*!3Nbd$Jz?O!PwI8iRs_-J%D%e$!epjzdaWoeFHWo&R?#8d^-JC7$8Uaw-O4Ba`dUt`IBMlKMFU>zlQtXw+PHsr~h_ZpF7X{qWJ>@J%;fU$YwD5ZlZG zb$K6-k_!hOTlRU!pXWWg0j{}=Ta&q*FH{U*RvRK#(o>SVQ&n{)@v?6gH$!^KFF2;8 zSlpyBo?r3^w{mOB=#2zs!cOV?D33C2c(?hn7QQb3LohYJ+d1vjtW*=*ga-(Y>Lp?t zIK>2Hyp(%qst))@Y-|?_30+}Jc>x^#6H=yp5r7Y*wt_*p@n69|=NDojB=IYxlM;aF zSpjf{#`1$s?zxdN;hQW$UBqf{nCd0KI9NSsY7usfm%4bHO#SrTm5Q%$oNq{Rh^ZC2 zyDvsz>ZTtSWs)nrlM$(9{s9Vh;pchyqo^A4tY1XS06_QxR2tjV@_-2kTFL!hX^0!p z*c$t$9epI4)`y>>z2kyyYLT0zj0j*FvmbX!qf3)ulGLg5xHtAYYRlc$-9CDP`bVgj zC4aR{_K-JfANLx7K^`uVkMCwCp=__iep*1fH;lmb$QQiFfc}r1Q;gV~#_rQG_>sH+U5JE@?F5Qxy!ci7~yqk)N z@jy)DrsPQWJ-@R5ZJf_j4p+r69uX>3OyPrOfMX(G2t^Sl7gtxHj%edpFhu4SO{u`u zfx5@2XitU+Z*f9B5?)V}Qz;nf}92QxMj@ zS--cV)m88xC5^JdzXk<5LE$okhN~?7M}Aa`C2fKL*E*C>2bhZ;yp*pcAcVs;Udj~x z`?`Xp0Uf&1D$vmLzXYKkGmldHB&5B)C$aki==l$*UEkhsI5T@w7gr#*86p@LOCl;d zI5*G##nKwhvoYcMFarMelO5^&6g^XO3%EO|2FAhzmJY4^OA~&#Z46iYEf)E#s znGINdXv4%ekHFVhs0<#eAP#Unrh|myB~`=OO+r;b>}sC#5l6G)&V$r+EM+fwkYIWH zY6D6hDFQH3^BcG!>zeDasH58@@w;5yG}+Y+r}c1q-_3wVD+)>VcpdP5MPJpr0!grC zmY8hpyEJgcHX=+#ZlqxF*`X84RhE&h+x4W|GOh@=Kt9dbED2Nn+PZi&%BU`f$@qMchw47S+^y0wDYn^n9tCs#3-0 z*=JvA1CKQ}kE~-v5t0c+;HqBWGtRk;$U>Um<(&0&qkSCjoJRpv5hmQ$ez)SpeM$c7 zix$#nPA^4`PEQi2MaMORNn!2C>3)6kz>D48>x@9#vwhrR%8?9WHZo$5d}sQ`oYmTh z1BE@pNSGg##dOc{$A_HO2)#!;i>fCV1C8?0#b%MBo{gYM#LWMBKn@5$T69z)LBPnL z+I5Q`?d(g$%wYt~d!rNYATQ7h=0eUtteGZF8iZ-y7p1$IbN>hgv`ZfG3EslNvo#%z zO*=3qkyU&C8yyI49k4wdBlBS~!LaSy1)2JF%OiW7Z)0l1u5?cz14qZ_@r}t4(dZjp z&O8vSpW^X5372$4x&ZlHD%v;)GUn3|DOiFNX~yZGLxdZ^todY!aP`A>cWTk+gUccJfh-hYB#1*Fk(SuOTJ<_8qE+yGmLvk>vd!skql!Ka z&O+WnRqkjL!LrPBWJnginou;z@~@i?Dn-j_>C6b`t71Rc{_Idjs<64Gx}sxq;3PY zWLy5BJ=|Y-d%D}WUXu_i-@7T{Y#_ru_`QGfjr-lOg~n-K%UHk}BC{125{C9m7BSFz zRmdISvhBISdjbbmWpcHe+8`L`HQh6PJmf!M-Q|+wMYHQ5S0^Vu;0gP27yhzhfh|>! zmCX1T4v7h+9Ee?XF=m^+ef?YBWca)RRHh7bW2UZaWE(#1npy2u)Js{k09^YTNFe6o>zyjvb3f zmW!oBN13Zwa0n`kLY83-sehDDP~e-vWKdME=MR<)sfwJ-{s+V8HsJoL^{^#`!2plFdt1rK$1Rb2S-XH6ut^AK;Z%60nYW)qZ zbY3DbTClzKJ~8g{%|}|IlP`;*qIzaG{Zdlw+a8$C*;Rd>A=mw~vU=R!a zdl{8l>%9e(vh<1%h;6TeA7vo_WUxY^wV2xKU@J=a@sjW8lA0XmUmh~eRNhD;Du`Mx zHLuvB9q?>OkM*jKmZ2~zq_7jsv0shiWMZtHVXF0}Bh|Tzp7nvSn>15U-s3hJZXzoW zfZII9^z-lV1US9D?X$e?+XG0mT(q#~RYuR>NNHwB$!QszD0EvPIFcJ$;O@UfJZ>wh zRmdH5Wq$fo{6%Tkb+dWNWW_lBR?QF(vZbS&2vOBb{?B8BL0De*=gOtX(e zd-jHZkYq$kOEfkw=a|&*2iz%f6b$`Lsm8`T2OO)~q{4;~7R?ONteeFc&5-kz^(%it zn%VNDod>}hS1M_IFfBP%*c>VP*)5E0R|u)wcFu)^NlxyeBxnA0<&?4D`*O`jq#8?o z#-s*CX#LpGOy3K^KLJ?T_$l)N`;>0Xb0!XuZ(1*5uMKy%u%2C$w4{kvo(C?VR{_s}X&$;JS!5PfiR)e3#D)DCm?}>WVCm4FF>COY!U(^8IRSW5baN ze0*z@=2ZCI-2E-I1lf5x2G5-=E9xJ~6q)u-N>4!gyeZhOURzvhL&tOchjFZ)wzSy& zZSpsjUio)O&GaNbC?~NX(|F?>qL0dicSqJcda#T-zt_B{sh|gD-#iQnwB?vEuXKE+ zq(?064yLt`1ORFe!hruNL8s_2v{U-Pa^0+O#1;5_O40>pQG}Ru$Ss1^ZT!##Gfb?( z+n1v=I_0nor!Gl3qbukBW;tH9o0JA=i%t^NUURcof{TvbyPF_wbwwebq=#JFgZ>jC_#sBKI02Tq#hv}}@DT|i~h+Lc``k}oI49luNv5E+^hrH3@TV1%B+ z(~)Mx{g0yJ5F1UO>NAX0o^w9aFBL?}ROGUS$^yr=G=!sXl~f$DZpfR+b})(5XK!Dx zZb)k=Pe9N&_vpoxcp-+jFpXj1QAv^} z_HO3PYHK<_4ohA=n#zjxS)sbn+8A>lAUz1{0KgoWPoo0f(=6`ovEa>f)A-mlMvbd$ zJ3vvz@|YL<4x}gzjDf%&AIw0Wfd#9qPiv=QojE2Q50K@Ud2K3vYmu+T*PTx!&iDS& z81_&*`-NXo#kp5EcJgajtIUVfbBj-6rY*!1|C4<1v<~i<|LRMll#M>QP*M{Na-)&s zI>7nt)1DI-d#owu48?TPJmDhzz$mvEv*tBa>>VBYZ6AMHr+`pntJq8H0UTjyruPm* zQB}XAGtU=A?7b-LE!Bybb>byFx|1R7m<`?TnMxE5K|7dx((-mV*q@yQ5;7!&ilVA2 zmoTzukQhh!dug}@uf^=5(;j(^*%X=K0bmGwK;D#=b_SQsYJD)NFED5ftHUjG|CEO* zJ&{Iv`E!B{Vk-n_3vnU}k4A4WGx%(rXODT90K(&>Hk0z;H z{V_wjcf<}qQMy-efP8D%DKP7{vGv>4NR?&KuC(puk^hiBRM?{Ju2}7;S%6Q) zgwpU&<)1YyT2l6kW;+jD2zQ}{$~|tWn?KL{Sxfq#QwAs!@;uiwIf*N!jR<{4cc#{7w<8yKRuN_YZaM|EQ>Hg5H};qSOeBo7 zLb5_~j^WN8y%$f;Tul3ZVkAnIiPTsmrJ_nCX(z7x*xML_qw;`NWK_JJzOnMZ-`Ayr z!j&;g0>~nWq?e21#Nx2X_-Az~Q9bzZjl!fRmkw;CFzit>Y@rNs3p5{%fG(Y#q%hb6 zI43&$!apfirz6CpDhx^Uaup@peVkdxmAZ3LJVB|*Nn?JExskDp$N~=!zQ#U~x!Xg- z4Z&H8fDYeFA0cE#h`J0(YhzhoUg)I>5Zo3rmO6cLOpKBXSh@_Tq<+2D!F4POtCO81 z;Mm4E<1iwU5x16}US7{5j{F(TlQMGRy)9oS(6Zt^zSkqKkHNo)xo18n38)~vN!{7zp2Q~9Vz zAlKezuvwDPATBcHH=;jOD^1GcFjv*>o^O5Kowb){z3ZKFDyL+GVI1}G6bb|23Qf?M zK4;DBt!`X@?THuRu1R-B(=mAeL{@ZG0eF4`6_Vh}J*^~lf^=)mn|TC2HNWSY@0E$n zT8Rliq3QH?v*}{-HivZ~QW_(Z_Q1U5c(N=q{}fOh|J}bGe&?~^Bsl)k2)Yljm-bdoHhOe&A(pJ+isokD@qFpwwrC)-l|@YxhH`j+ zy6p&^A(*8=jobx+D&P@jfMPnOY{qlUb}WXlMT|={auR9u<+d|ohvweH*#f7Bc2g;a z^?Bk%Mydc3m*)rM6rSCap^b$DzRkW~XVN4jYW^tC`Sn<*lki+>Kz#tB!ISc#P|Z53 z<7uMEXAP7QefR=$^}4P57H@+#HUtdu&Rd)a8y<>SX@t{x!TJ#?W-gcHxW8)CR| zg@UBWcieXlqh3oPA4u4?IJCncpOL2G`5U9=?+1;0rdWL!YHn?o(r_200y_M28HpE) z>umI$SIGi?r|FmMGL5Er$qn@cf{(ixAkdpnT*3wSJqrdHcjcZ0Dmu$;&9}9ZM{FOU zg_Cb5ZQJVYcpEQps&lRl=B^Ipa(Zo-4cs!FH=Jegql<6z9(>LZ>L2Ji9rln&f}n zHHb9!C>2)$96QM~t%a3utXw^rSYdq4p8Hl3j`e1N=@qO&*8|3}t01_u&0 z-Nv?UCmY+FWMd~A+cq}YBoo`VwXtp6w(V@JyYKhbck5Q&`7=*V*ZiBB?mm6aY2NXA zTk5vpOFlD0tGwtfQ!vuoLL31SWDj9(mD~OZa8S3eph6$V}@2^w*ig~E?-8FBnOOVW_NPuSKTm<-6DNfcpCIHvFr5n841HPWp05#y z{bxQ`)9qJ`7a7l`YuwL0*|44G4ql8xKV{4l1>G0oq6&~E<|n6de{TeCZ+nM)K>ZU; z@NFA^9buV#)O9_N*StM`elPbiYy$I#kDH*mH&tFSCGK)O&CFNE|BSiet<;L@h3@7c zqPQ-gP+jd$5qPRaYxCTkE2r$C=B84nGT3%EzzgtG`sXuX z_n!m_#?IV~Cj;7t2oJ|K6}KOr{G z{{TnkDOo0<2w)uS&E+Pb^*{b|`1ceV0*r&J*|G{$5&$0MaY#Uog?-Xw@XBzaVb0x1otROh?HH5-eb$L(1x zSgF0Po)(Cq|9js*aeux{hBauo40N2*Fwp-LAM-DR;Yu2XY0Ith=92EAkKYTc5)Wrp zwe7Khrn2ID)GfbMrvnTlc0l=S2E`lzu}{G*N(x5=~?BTSE8saa`tcZx;cpyvgG4=83o_Xn5^ZD75SY3!uN=1j_vq`OnFNCSO zu6`xdv>me6dhd&e4o9(*L#xJOv36RjNWbKYnGK>wP$~kow~#z9r$F;&{HRokGLd}8 zcjS493w#VJ$*PDfsomeyhc5Y>?1ncq{Qxm_I^`4l*YZ*a*Ar=w<;5c^pQNe%ZpF?G zKc^_+8dCGLndCbTKLl{@<9j!;+B0Frr{MCI53bJJ?k(eulzsQ_Xu$J) zO)vzQ{-Q07+be{QxlvXGwSD* zzF&+o-wH&!7-m*iboVUi028c7W_ zgB$UMV2`FYed5d(ye-EViHi$O6iK)U^uMIi{?JFu7;wXYEg2{&Qt%Be`$1|TSBqD} zjtxat#5y1j%rmT;p9o+JYz1qJYOW8{=KVRZoZVnhHZ#XuVwYNinp#4Iv8 zq&^nMpc3H-<6U1Ydk zoFXakA#ju<5Ij;+3qIo}2-Uz5y3tT>_|X?LkZq_5rj4gRg6do5VUZ!su?9{$_jN9p zi2#FXzC&+a0R|oF8crmB9zJd){w|ZzYN2t&4r2;`%wCXscu>O4g^K^Q!0$NbWOJrI z?9!fy4TIWWeSqp#9a1FfG#OOVjtIOSn&4f&FI>(HvU?s_bHY3sjjZf1*3zCwl<(-t z=LmE?m@dpBUE_WuQdUTy#GinF-Wf%PkbD&)?rdK5v97_iFIA6Zd5X-)_r(>1Sd| zq~HlH{4D2YYHw@_L{q-4n*GiSR}nqOvt=N422%@u`&db{mj6p#S2dp->vzKG1H|-W zd7evr;U<8+?Y-PbOz+IV*m!&iFox@Yy)ZFI(fuMQPl1ESmxm50r#971MkK;(rBqTP z_!(feLFC#-S&@;$eZJtzL)3Tla{QEY?ta;(k|J^(tmdeUcwX@atCL}-Og#i|^QB4n z8+vxX3tW3+f@m;vaJA*ENoEBaoiHbKFaKR9f(b~Umi1XWP5NfRSut)X@V#^-rrwZx z(PiTsHBaziG4XhY&vDHwLmj=2|EBM|MPno^r{H-c3&g;pEI*&K|0s`SoiqVOtIn)} zN(p#Kyn8(5sFE*Y3|a<3uzSqwyJ$BTVM^UPu4(st{&X`$E(@z!?Dz=jzw(W9SnIUY zqZVLAD9cR;caU;J5>BEZ)%N}k!RTuT8;wssQ%TC4YKxlc7RFzW*eACqMjQ7dwj)!l z;rnxn{7ZEZeiUFfxy}T`Whk)0tq|{g{iWX#<}H*mc#+^OsEo_yA~r>#5F+{RM` z8ckq1AHbellt8_+q|&k!GaD6regV(R`*%-MO!IE$+?JTz$nA@AzzX`{DdN&r_4QT< zG}V|?Gr^WiFo#fTO6DD!VPVACu^};XZ@;D4(y-aefWB_42>tXrayRsqgrxItWt?UH`|1sIUR z5i>JVNf>+s0bTavX0B*d01J8`v4;|4!!yG-FzAVgW`UVlL}O#~5_Z*~@&$JWKo*Up zEgGk$`KXLb1G@4d9>}4HpH|Du0oQwhQbFypZNBEi0<8V1gehA91b%W2(!Ga*IzZl@ z>_YZ{nOv`97Vf^QHXWG|H4g?F^!dKw3gLA#z|dZ?&ub9$cNbRJo&bW?EtD7L=V|0t z%E#6)uMli~|IcpU(MhXo=Wo^v#EH4Rv>O5a5c79buR*3qS&MtW+*pE#0P?MWP|k#3 z^8Q`-hn>gg70UD#NsN&*wOQ?yq}kh$2W&6`uc_&c++8UW-^3Y8xl}ScRx?8?=wp+5vF$FlKXh|G{4sMz<5{y8f zUE(MdUg97VLu0#vF(19$gi#znhx_6p=Ri8nNK*;Gb=h?@nr?Rg04puGy1736RRbo} zrDXxvZMupp+aZ;j)dhR5`NXC_QF{D=K-=DN{ec4my<_nm-ko z*^X!~Gj?$`y77SsTthb`WH&lUi`ys}KVOmQr%PMBj;$%qp^5dONX3e#4vijs&x}eL z8xj)7l_t=<2w6?LfA`pn*XV1)^JkP%1Lc(_xuz8m2 z8_JjKgTKH|mpG9y=|qfIh?g_bop3BntN7kmR~1)*h=rODq*XY+c-F=-&@zF`D_5s^ z_vDAoZwd4~4~||j!i|=3G^DjquE|E9r>~&--y#410|2s+uyAv*|8E_|O2Wd% z!@-$?dH{w2$-=?H!jW+6Kn+AaKq~5<&OyNy^lpB$VQ*<~7jY=5b5YPVZmKDY>Nel&GPL`v#~sm-h`q0pFF?~9E~4);K!Bx5R@n}9Gg zGtaXyGxx^F(Pr>zf_~A5P^v)ka{r?^JA6ctUVyMWyvBy%aC-wNrna^q%2R3};$|S^ z_}Jup*x=y4VSax1-D29%jJ~0M5v?r3$w(xo*5EFIl;};3&hE@y9L}$y_uJ|qL8z3z zaR~`oM+(lqxg0aS8zVhXB-T47Pz|4<7A6Leigg^U(7df*G4OCiY}C|zjGmtA>gv4U z))Kth&?IsaC11KK&rz9?kxdGxkJqND_t8ZOe>pp-X-9#l{1%)Ks z6Vfxb0b{ZMY`AA*jNk&|B?e6+H3rhP25S2$n*4(72X&+F2omWM3ADeBzamQ$oc|8SANFvJ;iQzSTyoF7kHr>>%Ly`$C~mYCv=o@go!?)R7ugFma$zj2#FH zx+(~cm&vobPqaAFk(+$9OZ1T%a3J{=k`coiv4#V`uB8obn@M2!#hnw{3O;jEaCP+; z;8>m;T3Q^s?;aW07+N`qVUNtZJo#xeahc^8PX;&&iq(9L$ok2Hs6jzNxp5+a%n*UN zu(1|=0pz}Q?SwZ9l>5YcF$5g~mo}FmRK%7bos)9D#6V4UG!&z-Z$bdu%=-Oy_}7n` z$Vd=lXL4#^(wT(~s7K;!Vx!zq;6~z$aAFfg3xRll7{=gv|LG}XYB&&geNChFOZ2OL z@GqH&a(++PU;5)Yplq2v1h1Z>M|ieR5y{+XA<{NSJ%Uy&{Nz7(!e@0_#V|3&~Nc8VEqZfBGYcuHBI&b=y<1UB= zE-ubz%$4zRB!dtm=5UPO#~(1CiiNLWj7F9^j!)yKRKZufogIT6q_^2u%FlXnee z7DRV?^d!SKkkypunaA#IsjO?CZM?h-V&v!sn~^OgsRai~WIL z%LaBb`1M^D#53%la?V%pj(7kxH{}iAks0LV@asFVTliNlGG7sZ0`IH$Lj2bes}YzP z)@1(_&m`sz0A?ik`+*tg`4jtr=UUCbJwR_vXz`anG3n%IFavQBi*qyETMK~mW#~0B z@dYW=q5TCelpOc@Zpw;yrC^dQmX_O)lCa1%>7JY&Cy9zQcZ&k~n( z?+$a$@}=>Bd|~>ZiXMDYzvs}hIQ{dmX!-uNu^)r_kD7Ad1_ie1D_%1W-z2{A9SiY0 zVT`N)ud0SO^p1LyH!I7;hHPLQDQjvvRB<%1Q4=%+>B)bT+0P! z&-pQ#Z9pAVB}4fars&9{?8U+0b?F$I&o>S{?iHZ znWFWVGr6e!d-IoTN1X$QRU+rc(j8vxFbXRx&64xEFJ_BuUA`M#1F0aprZ&@;IOdqv zYl{ATQTftjR2|@u&bY@ioDO-@+P(9Q1eYNB}?@vAg**iX@ zU$de!@$Y}({?K01GdW^jlO&JclhFPAr`7F^YUYrmK6ci+D&f^ONB&0sqmm2JmSw#G z{QPh6E?K9J@CxjLTd;get8z`uu993Vh7Qb-fX5#DiSHb+{w(4{Mm5(*E1$on_fD~6 zgv+w`H%lI4d%U1dyS|4%fls1}=(C)iRA875=@#=ZyHji64&3i$Fd+ zZt-pzIY{e;dU4nDW2MKeic(>`D%nYAMX%S>3;`~{-e{G@cG;s^=K*nApuFsIx{f`< zx8DP2PCGmAhh@@o3@yfyFH(CIp?_AV=wM%Edy$L;a;_9hvpE^3R2++UXDkvi9#PVy z*WmTaM!FMMRrf8)OZ%2 zPlrP2>08XX`ZJLup0QpuGhu25MLJl7sBs;@d@#=?whnS}6TkcYiin~$6#+Gxl1adv zA<-zN#oHwqQg>j2MB#WmR}EKQLw+H*rCpb>1s}?@XD!uuvX42X9YXF|*^e_SZf&03 zKN0DYSYcsSg_bF;EVa4v;X?SAXBVHao2=aM%{Q)2A^wo*BpeWRL3Fq<%UJe`A ztNmem*t9BzXV6@!ZdF^?iN_PE&rT-5B5-Ftn&i_1tIHsCc%;nh#OZF`9GTKE49ob& zGwpnrKFcg#I}Ybi}s;|SgqK3rywgCmKQG(9tCOKJ(fV9as8RId4e>gC&|HM;C#yXc$sBGfLK&G%rrhhfT(!JBn$FOQ={T#u zS(s_sXFOIyIl=QpfcxK|Yw!*nQZANUk@`FENa;YPD9d9p$2>kcBo9Jc0~Ef9(3F0X zkE6wTQ#VdX3w+auEpS1npPO^@P(R{6)r%KKmX^sbejpr@4aA=Ge{xBXL+jfUI= zh|9Z8*tHaYG>MKAcHjebno((dvsFcB3Pr>m7kTjxF=J*z2#M&|Gt0IL67R(RMl@DC zi;;vsDTqCoT(iyR<4ilvNZIzHiijFH=rB;}2RA=rwKO7WW4R8E+;6*oqZw-nSW1An zFaQeH?L@Xk$*mS|7rw6#Lq*sx9(npx(;!i zp5+?l%gGrE$CH;`Ie+{tU`)EoP48bDv?=wg=mynoU$- zY^iz?4GsQsEjR8T^{ig4clwN5#2O9k)m1TW33Y9y&6Z6DJoft4PF1SC0j|NbKrdik zR<*@ZV~eZ+-^l?(P_to+f*+b=NWzQN7MYS=ZnI%iLzIM!T(S4Z^(iwv6QjL;LFzaZ z^Cn><;}NIZGBj4=97t0}(;Q#7k+ob9rR<62{ctJI$H5DLDm%T2hqx{4l^d#W+qtEl zkRhe%tRJ0Ci&t=YBN~O;QivIWDQbi0hf;KCQTm2&tt9*LEG zcP56*cyE3?vp`$Gg21=IqIZ+H5$QP5?6OC=;b`Hmd?lU$pRv0?~DwA z?;8#w=KccztbMO2{j#q;(DL^JMvWH*%xpSz39&! z>-i!9BsG|_`-9P|{aPwDF$fx*-p(go4jxOymTZ*t7?>rE@|vs~K4)Z#TGuPoaABYh zQw6J!elM>%iZM)ZBasUZ;9Emtp3MyPPx{bK=T~4{z%0nIG7WrMOQ0?thf(9{F`8Am z$=M)3-3T;K4sjXo_g}3af~b z*6pC=d8#}GqfxMkx%+Ucp2IiJpU3@R&E3QtYeeo2r8c1WW5g6}sN?U^_KA4e9hwe; zd{g41O6%&+ks*fGUU$=-puoROnG6vfhGK7zap@lo{S+9e!9!t#a|%<7apxkPOB>Wo zK$iC-rs4$Mw{~3()jYF^J7Jb+rJ}_(syd#k@p!Md)LA28eZ?aVpL52+`Rws;D!)ol zfAvpN&utErr>Ps^U`#^n-ukyeCEx9<@4ZHvTKdAR7L>mgjR6mxZ; z+|K4#c>A-O(oUKSdg@|RL+3Ro0Pq*&qS90vM^#r2()_|8a))41eNBA4enQ`YvwOFs z$sXZ6=-WWr?20>jlUz0ih0R8ixs52)oiGMoVVD-+-a)@6y}%2gv;(Qo+mt#kkh_9< ztSHx5pDNTBW@5wi!|^NfRCzVMCi0}PbKJejT@cdQs)Py?KHx0BSs1+r1dT{5H6dhycHJQlXt4i%5IqxJ&4RL2%}$`iVL_ zd#M!JN}_L;{?;#^0E7l?+e1;XbG5`jzOcXU6ZFtX9<;EO%H?A+JBw0Mtltayk|tya zNxYkn0sfmd&IOTE0eC%fR|acoe$lbl3CGH1Q!Uzy5sHlodGf3*Ac8%oA6Z~lkVPu( zUnw(G2l^G+G9(GMX1=bLHn-*|9+dwko9N|J80Ld8L1-W zb&$PB%;~r?&7&I@uy-O?^tskep=+d+?qh6HvVWd1)jLmKgTI_H^j(9Pc7eE%>@=YtyQcqZkKZ3EAZY>i%orifCphvBl%((uXxB+1Vi}s3^oF0 zF5y-Q8?h&#GH5!{;Awr11J*!4YEB{W1DW4qlEqy5?ed!f(8IPSut&&Vzgji7YIc;z zKZF%Na$?}WPA!devRV&%B32i)(DlI$Ntn&E?#GhwM=}R8L00drVhYRUkKY&_D%s>> zm4_v2M;va!RtS2ycQ%;o#V)r^+XZmVgc`PzG+S%|0rpy(I1lGopC5eb{TP< zUcmRZ(E#a0{NMMME(G{Lwv#7r+15hIgm`eNl7BO)0UAMVT4fbyPFc+kFVE<^&(k*Z zR|QV!PU*yJGVm!}+Wc#O!OeZj<<1~+7T;}Pn-Z`;*7rQ^@qIkHu`8<4V6V>Crw=MZ zY0OgURTwe#kHn7noLnqKzY{+m*vry%XSTkZg{4+pU?wR)WXVw)fbCY)0gUg<&7S_HNPxOV8um?bxxtlRx_ zYY7ZL(GO6ceHt=GwTIpQwe@Z`aQTzC|l`t)4$A$*Tn zB$n%*<4o9Z%8tl%5d!Xw8`tD?y+a{n=QvXZ&=`x4eGpB3@T+9UEJCaUwN9Pg4c@5X z0O7N^tna5(5Vxzy5`=dPYm0&aIhNrO+rJpyLA!^vj`Ijl zwCR4=vdZOoE^olPaTUT_GVi_G%xWf4phy-v$JI29>|&SKAlpSj?cO_(0FL)2c+F^J zqJOz;t`J}u0iNQaV-yE>bwyrRq93iYK)UMFukl(zJu^4$4n(dLs_-f|m*`As=4cz8 zBiA0RD)r;;+A|ngB9(`qY;OAcrb!~<)|rBJI((+wSw4X(R@Pi9S}As$5ykJ(pr=o( z_P4EIT>P!8XYIR9`c#W_J~IclIG8ezns|6+S(== zS7!!FJsga99|nOA{#rqf@7d0_JMib5;vb)=4+ibahC1N_7t=E6%98oaVH5%-XAyeA zGQqEt*?n4y4x%by3;X@@zkvl*{bImtW}<~;Vwm#sON;YUE0Zv zHNy6O6SP`%IJZ`4Om@;`k51c@ELN9AHhdga{ch0u*fP?xxU5gg+s+-ua0MC!Fpn@{ zc@A5tX-pH#a-TII?Cp(ZYyBG153@hZ54Y4zbo5(Aq`9$-F2%_fL}v{y-F_ttf4cWu z?;4@q?MXeCt}7;JLV-=RkPsvv9yy{Lm zqEK>cv|x3py+$&$(8l9Jn?eS8R>H}VM&;n#$r{3VY^V5@K6uT?Nhp`OQyO;B#Tzf5 zKG>@owb7XieWwaJwB3;m8wp(K=J8g4qAPC$ZBZtJN5SmXu> zX%?xvI(I>Vo(CpU5a;|!)sbEb)#e3W1@~9~D^m6sED9H2+33qU zSF|w%#nHF+#BBl^GT@OtOjP$E=3G*1qVA@7Jvs*}6YFG_ir%xEzTcTxkD|j%6zyn8 zat;;skGq)$bO2po4wLe8<>2}56Q>qKjsoSk3Zoo@H0XGY78%yCDZpY?3pzxY7T($W zlPtRWxz$cX4$UuCLn+{+O!czT+ZM{?(ZsOyiv69{JH=1S0LXXL^U#&|Nd3a1FSB^) z+s@T3o15G$Ndi9(sn>P(!xq8+=SWXD#rFrRXumv@MS)XF_HtpVR=Vhd0CE_k@7P6j z)-Ff|cHu)|MBc@l$|j`69RB5l?!*{>0+YJ~DyYr2OK@bRubsD=>$j;-pe&ol0Ow3@ zpJ}_NVY`ts0We?vQEf~vo87!gsU*&puX%7!)gVk@SH%>b`Td*^-yEo_VtiDJwI35MOK-3Z$ z(s4drI(%c>SyL4GUXJHo_I}Ty6i~VCNkzZ{0~zta0g$0VJ)KPo=70^9SqRsxp>hq} zZjx|(91|p*5ik*DC%&nJ(cK%I>z3w76ylzIWRC@~!mlo>u8H}LM8Y)eFA4r6fD-;< z$TT!<$0ol_W`fu)ZZCzrUwkqQ%%W1c5VO_%<&$c%d$@sKY`yOkt$;xqhLrrEUBCK) z4ab$M4>;lO6S{q9+|UQl7ux?QbD_`SL#7{)P7rq6faDjug%Pp2SGiY>j3e< zcx?Ds6>DsqRY@BuQGHxYWd*ZANc%NI%`d#e=DIdXJyU}2j?*%7Km z2e_-f^vO77pD>*@WXO0Q=6=|ug(2iHS!iUAUuz-qfIGpdP=z_A)pJu%<_Q6xpHznSkDJ( zHbLU+6JpyjrLLw?7|NH5B@6!?dt~Y#fQp3U5*>P%B;dW)#=QH*&9ZNk8lc5pBG>QS^;zI1Q(c56lE}2> ztU1H4rDAO{HNvJNI3bLzcn}{E6^hSHJk({3l1S5=V+W$xoY`O^GuIk&L;7=cz@K3T z2U#i{%8Rj36p|w6KUZNfM|&+rH3H-zeLko@KZGt>)nO?5@8lG`YQ+3S%6AhJzr4Tp z{eM~XRyvnkAwmY_so7L(C)#1$c(Ac929gONy;GA2A z(xD20`5}{6xNrpPD5@M4_cQ1T4S3v;R}tlPm;9M&aiU4%hX|GT+&9&yk+p{rbk=hT zl@~xlA!<~}pp#rzUEkzVEa#k$M&yL2j5LZg*lB?7n*2*(4x8S=hE4 z|Ci!@(8`jo*4e3r`T6+$RS`!#6gHWVno`Rr^Iqu4ED+oye=a zc(|b%kF6DFwu7o%dZ}=bWjIIs(noK5!5TVM9I5C7rZ53HQxd22Vfo%4`7YGKmR0Pu zX-wPD{02Ie;U{%Q$=e$ojKIkwyiZkdzWkxy6E8vvSK1D~3pN*u=6YlPy)eMo)^b5y zvR%93=Pitl+LyJpvcbHZ_I-Qsu=jm)eTgrUJN(^AYn%o$=;Xlgk0`A(-!W+^#yww) zvTboAkmksxPz4XmDaKZ47CMwpM-&0MW+lPqk_LUuxBO9?{_C%C5|AW=ut0wye+FeW zvA+kl>!+*D%13-Gdw3`%@W`CWgzf?iF1b3{gPACj5l(6S*vtomeMZW*~SlFWJU=i+Sl;((`?7{AnvnNM*l(s@-zdot9vTjGC(8|IH z4P`XUMW$@7tlLB^jcA)p{ZtY*a!p&6K)t;v^?3R3u9EpVolh%df^ILX|;=nMoU zmieAs)7@PLBG3F3S=UE9F;HhyU{N@kVD4kM8P35`-iU7asuwKs?ymrJm7dE4jar|*{5Opg- ztF)a7*`wYR3gFbS(aWx{=L~#T-3nGOaLL@c?~YWK zx;vRg!R>y_yq`j(@v5!@hJGV(z31W5q8TmR{x*tcuR@&>gEGI)hoS?S&=M|Z2ezh= zapj*_%B1c5&SN7JUu}reaiGN*+c41gNaAiiOR~osaGy%E<@HLNto1B9kF^9=^!fH?JG0r z$rJnwl-c0}U+NNFoa@~^6w0zZM}*n)_%h&}ohV%h@^)8L$Eao6#j$hfMt7FFZ+!~xMygA^89z|hmRPf;jChtb z_&t8P`l#Oe2N7_+LSWc-M|uF{+Yw zf-l7%yb`M3E{+#Bi-pUa9CXAn!J>m(qtFB6%XO=W1gaA7_sPQDsk|HRqOBu60R2I{ zOprNbF+Jwr*I0^OJq`5%SX_QiSoeMT>qmk;+UpG1#+7IMrzzFdH2|D7UMkP0=s>7w^`G2>hP zVUGs)8=7zSv=g1t+llhRYREPw7pSBjQZ3$XCg{E8EzXbRD5-jOWD~%l1cZ-M@RbQ| ztZWT1A-17LE(vfVB;Y)aWiNc)u*Rs>?(-{4y-53p+UH? zCOuU|TgU_b5x978e8QN_dz+d_mU1+ zX4~4Kc)yOV$-&FjHL8N$%i2|5$u-;HMW8>`YriSwaB1DVbFyls)cyXqv(t) zSI%S7bM=;78;oYCKYtNO-c7gk8&ihdK&f-KJ?K<{mWFnM<4`aKM`j~$|Mnc(!Q)Tx zACI2;lU)jL`m@iU%Ht3pV4&=tm}DVaPMogMd(>Bxnx0#Tk00*=8vuw$Q_q~N`JxaS z#H19vV17e#SGA^7I=3>xVq!V6QK)1@n)ca}Li;=+{Rac&@@2z**}Ge)t`$g`Sj5(7EqEN~iw)t@>RMfH_vZ%bpR z?6VFEDvh?NHd6t#UhW9Ip~htR%`OzI(S1&(z=UO&fH8!O7YK2IO|?`0?lY{s#=o`} zwg9#V`iy43O!YgDh7E54j)O-e;=(~RISMI}j<$fR;r@4sPM&1U_SC+8@$yN!0%hKe z5iz8HLOz!Ls)iQ)H2H**uq{_biKKw`fw-qlK4tmK3XQo3+2fk}T91Vo$IW@dK00?j zQrw>$%5p{ReV|{z`Is5m=eR1f>JnG$rd5PKRqE!#sTEhRiMYg5WKS4DZk;nGlQ?;`oG|E2r&$p- z3};Z>3TfoKn@EksJVHd&UuJY$kdGOzdybBGRhNRVp<3S%r6ee;(|2 zGJxAhkWu$8dBaUCLtvgwVQE zNO!jK+ZZ7pxwuKeKS8B{iziehQOuEC`Ly`xG5bH&vm;0|<-@OI`Tb zjL3;h+JuXQV&0Bo7-~V3ya&Zy`So)Re`?UZl^s6y9Ww!g9tb3v{9hOZvvoNH_aE6x zib?Dpojg82mse*KzyD4CQB@WC2FNcMDPTYtp=ss+95allqcyLr`1xkD`>f(btn=LH zg@#Rpkz>VbJ7He!oNm2+RV&cZFcIm4Tu2C{a<~R?7gj)hdh@$I}vaQ;mD%R>X z)%@seg zhp|5(V%*#ILLhIHn^=wihtSnKPegE|6CTRgT39Q7l#-dlKOBKFF0~&?wQQ^b^nD4E zX(xGlpx21=gc6K}9$0o$YdC^oGLuRfQW`m@6WCYf?4mEae92;Cx9TxFhM=~~kyVL| zU$>gwyEhvQpM(QS!_&JQ?tM=S_oPao$+x1y+;soy5J!BVr^j2QDa(WW@Sswn%E-ER z01DqaeJR=>CXi;op=K9_mKB#(3>sJ*T==bzaV-+e7F!9T0q8|bud8sh@0F$Y!Uu&b zk`(mFfes!vF9OaMI`Pz8ZGF)&e_`|?>T2nKWDfPA1&BFxXo)w}hkgHh6IZ{IBwDCZ z4#GkzPL8%6b*AGbpnkt&e{L*u0Z!IG*olDg3^!jFRxrrSp-WGA@wPJ1#9QryvV21R ziT9?sm;g6O0-&xP@kPHSp zH)7mwMsqceC?O_f^6N?m>g>U79{b$R7MuBJ!yQ$^h%-jay)~}thI)8@XFU-4sKlT- zrbw_awENAO$j7uydX&#FsUJ9uF4;v554o;F`G}Dn2qb>B&3{^YY>a_r7etQ9EP}zF z)mz+d5CI{M!es}+d>0yQV(?sOi4tXi4zb<2Y$HrdoED5HcwpT?)j-VP$V1a>xk_}7 zsn!{IwnU?hYT%KVy(l?XzWwUG)~8#~!$*74_#sFjpdbzui|zX)ET@t?YR*8}!9Ww6%;fCMnA8YA>d^0#o}*NE5ar=eeoOC3sa zU6jJ)gE8!QdD#E?x3zul6bb7b_vqeUZO=U)c15>pU1VT2TnRH4bCzyiJfd z%k`OzjYsyLt>%undknkb(((eIIWR8<0zw_45lR%H=vbMS^7hit+QD{4g`d=8c?Gs> z1!ic7noOycbV5PqMYuIfJ9DmWH#8U6S(*@+V!Ej)%K_T1Z`4q}+c)aSvOk;PqP{@{ zK%CwKSwlqa#D)mj=2K~V4_}EzH}9~fObzt=a?EUTX)nTP#eTwj=SHu4Tz>=r6Q>KD zN*OeTJzYG|w%%$}8{U)3XYNMTO!iq2d$a=iAyhR|DR&E#{p9%s-jL&pBp{^`tY>@8+t-dDpxQjet{GX@f!*H0}d_Y!<%etCMKZm z>2(0?{{N8mj=_O+0lRH%I}_XX#I}=(%?T%VI=1a(;)!kBwr$%v@44SSb?VmbKfQl+ z)vm6t>aO1VSigAZw zs+w7}2&Dd7y9lFHXgFJjo~C%JGw6)hfiI|6P3sDgj#07Mi9t_S^Vkms!-(G@0fwBY ze%DdZLIpZ5R8E2FBwXB^iLhScyzO5NwQ137Fzwf^+l=SfP2%U$Dw{&7BEWKNzM%B1 z&ri(HjNgFF!E?A$cDLl*p9+Hhw7$Ovik@j|h4vrb9WRiXQP47=rZZM;mX1~6LP*AC#z8;nAs50bcE^H6tvw{oAm<)fJCVo~A z9tzKm*UaEtuG<9jppGdq-1U)R(4!m!i&}vg zZekxT>dK5FbV{kUC0Lkq^AkaDS#|^n4g)s@qN^)#N#JMx z;iW!0!|R$B;_AO*L!ya!Eg<03<<~lNdic9(bP$xDShyQeZ(SQ9WEv!CP;XGGGVt2C zEyKOpz`TzAw;LcypAsxgH715UW-|?YaHAb#3X8*b&-9j|fXw56G%r;e9hO5uS56>) zFwrdPIg>><)R9f-f7ERH@u#vXB5G$!8-KcO&+}GGE#ae&8bY+cwtz1)^xk{bPO#gF zIza`FamgAyFnX8Kjk#0}N!RUXpY{DX`{$9G&Z}J4VV+YUqk6`DBvO*T$WWrtmB<;* zG@BG6BKC7N47i|rdeFufc{(m2wHNKvV##8;r9$pF>Q0j0?%GB3`W@(pOVr1?bLro~ zyYLbk;-8;@2v@7Qe#)_YRoL**M$hy*;Sp;A9%#Z(k;=QfMMlVnjSo6vhugIM+F=pP zi-CPqeT-KrPOWrQ#w;RQ>Lu%h%+^TE7kV_H| z9R;o~Jms&vNAWWCo7RlCk9&=p zrD`$95$+4(%aL}lzo7$INBxQ&?7{E3)V?vVWF2SU=IR{R-a7iia)S3as``%w?H&6k zXC}~7a@oHx=jiMiYJ5*A{|nz06VeDv0Pz(ne+hB$N;EMJ7bIa_n)Jffkz8K`3K9F- z$DKqTxW%gI=|Eyu9y6Tu4*oI}9H8Bjs#fkIK(@k|=p33u&DT#h80TwFzd}IKTsjNX z9ZwvyeS+_r`#Ag-tiO%W-FEVBsZjXFvZOA zr8#*Cqh+EHG0?e;`z8~@g@N4Xz(Iy(07sjL`WE}cQB?$~sHkS^qAHXai69nQ$U{^o zxtEGnt&smKHThthc}ZOBO$S~Qw6G0F8*^dbQ0wq9Iy+_E+ZLL={g@d+5W*{e+RVBM0PTAa+RF5f8J< z3vzi-l_T7UUqh1h{EYnScq9EhCpXNKVIagvdoHkx0f$5UOU{L_+%}f(q*+$I$|gnQ zdr&V5!eWwh&h~I6fY!)h@NMoZFQcpeY3gPX1D0!6JFso00<$%G53d!auccQd!#xQ< zH)CP-De8jCJ*VYx49o%@&=3JfSXVOzC72g>S#tA;MQCm4UnRk&SZeg_Ge=@urly=< zBxgXz4EzJ}U#_$7ut?t}acxAYIz+XE3$x-fJ(OTwD6ht0X*GUoTrC5adp2e@ z@*vLoKjC-0M+d`q-4Lc(_h1h(Fk+6k{W+vFBXE0~{RiBla_pT&z*Nhkq5JZ%P~CEm z6ep-gUe$%*H(l}Ma|-GXS|GFz^dZcWX7j+@bk{`Alp65U6~P;kI>a11?((W8IuBR7Y~e?x%ov1?C`$!BAL z;qDC$jUr)yNtIhVI)eHM*|`$O!3V}D;9VTSyp2Z*m%s=Yenr%?P7+R6M9@p?w721c16Ai!GugnGXre)b1}uwoG!TKmEA3XS4|IS%F}x`uf6 z_cXcMDcXMBWA|7NLhN8*99Vpk5fGn31o&6d6N6yFbMeN_t4h+rOZuhMW8xefeHu{U zh+k}MLkEfjBIaZs_{e zw1+uvalY2e>BFNQ3B^J&?Ao9Q3Zb^?RlaYHVC*kA=zsfx7-nB^9;`+mCiRf5pzi)c z#NEWmAO~O|9$KpoAB@$Ph>*bN?!kwxukp?`yh{j}O^%e`M=xhy2@!&U20SuK58@Hh z-ScPjem5!<6;;mpWW7HHGrvkfI5Sh~G7foLD|?-W`(GvuOc7o>Q|)p4i2h6(Xo$kyxa z-u?lCM}_ht*j3_F@96HSsGECrhKzmkJNv7>h<;#f_3e4f;r0%c-jEsI9$_c3qs83= zv@1&y-U{*VO>`9m$u+EgT@`L8JV&r+2sh;RUE{ZJR6Z>is-#_vqjFgt(VpdGmPgRuuZQpD zSMAn*L&fVUfC%r#`Q^J8;BzF7!ybV%`MtF&!#&YOQgL3akkFTC`ucoY48@&M~&=e4pIx|Nt@oy4(Xw}E+hhH85@PGoL) zD3xpsIbJCBY;OH;*^AfB_LoyAUgD~9?a_b?oU*#s{L6f!t zD@S!nmz%;mO+1J>y`kl6>_lDe(X>-JG_P`!fG55k8!wt~cf*(~;niy9pGH?wwqV5~ zYda)V{?c=)$<$uczwV+NX|kuj3@Zx$a)h#(8HwsMQ=1hrJjUj8HC{oZNqSy0JO|+p z=sXWDnKdFMd&+f9Z9>G*)ew5190FHOm?Xwdec75{_r-A&KYRy@RZKU)P26XL^d-|7^^$b}nko!!xLWmZs zH`d~sB2mHy3D2>+g`WR$2R1sN?|kk{0zq3(RqC3$n&6jE*xuma;#o>ZEqsbY_w!eM z;ri=$NYKO~h0!^EMjIglB`8VAweS(JY5%+N4{diTgVg6~t*r!2_&11Mj#&Cw@av>H zGQr@vEvh2a6xi|(ysA2G>|RP5-v++xYcC~(RBGz(X>$U(ac=rW?nSG%eS$h8@Grej zLrkDy$osxUBexblA9Gi&P@QevMUUYWA3yos=v<$x#z(}a)u_8~F9gl^J}pb_<@31S zo9a=9k}0J9(%q9$z`&_=vV%YYV>EWupry3du+VFrO(uCvdS5|(p$z_lt<2M5v%+nj zTz`J`EzkZJhSJS?t%jygI(O(P@L}*a$iaFNUhjbdg$QktK1U>@IZ}|t4uuN?@ydyf z`Kla258;Yy>WEUTuXGL`IX$#iXQ$Prpk19IMNo{YAc#&RUB^&=W5<*1z0PdbHm_|I zg9cDYWetw|!YG)*Mtf8TU8qM=ENFB*o82w+7&rlQuBIByc~AF$*Z&p(Qv~tI^1-m8 z5ONm?KrFtb`s2SKrxFlAa@AGVVI%*$j>)*LgrcVQR|v34}#pM1QPH zxOxPF2%jZo?iCbb-@D%eb^!f2QezIo_Rj6`VN(Vg`TXZx5BxtIIpA zqLmU<)S!1Q5gsT~c3R(N$sw7d*-O$VOXx63%{P)CaBVt{Owo0#pjFj*hWuU2?DM|0 zq51XYalM`pP0@W(xC&Y(m7O429-i@-4}O7sjc)T>ciU1J=dnBr>6}G0AQxJ74>jh5 z4%uYxp3znL#oiAVu)6Q~%?o9@_`n&dQ8paZ1-J*kWIFJ@df4&d%KUvmnNN8+>P!EC zFn@E+VLKtU8K-+%reuPNFC*SsK%!a~-jf@*;j>HCUYlLOFxvoHgD1t6@=dG=9$G>e zmA7ASup09gnbuS(ex%rlQ@2zp474Ot?Gev}YtvQ}AX5cjDwY0P2)WtQ+~dX^ZRze~%omMqAAyjhtB1Rb}FGJ$^{`Et*a zg#C6?{JCJ8Ptdnvu#78JDwMCYDR`RxpTmCN5=KeibIfU71&SZW%}6^nzrn`=-$^2u z*#5X}SY~Mz=xF(G^LBkKE(Z{m6fn*68O-y*WfQRzL`=Qro0k5k0(z_A8)o7Eow zG&8|s_a#c|3{V1;cBwEiC*X=Yx~fHJP_AhhOPRN`smV}SPA+g_Ckf&HO+v=v(HM2` z@f=1;54nWCq*UIe^>?zoXm+)XZ@VnUnM8YkBghv4XO}3ow^YJ^CSD(3S@HolG06#y z)hX5iJO5r~ZfEA^ON;>?Z22si;OZiY)kRz?*xT%&kByZei} zrfg>>NtFUAYWcgam?BUtvRFQ!Dts})HZMvnwC8JuKQZ?gOdnhKqOyGse<9H23pyV0 zNy-%fQ~_Ptq_zX)=FgTLo6rgVo9MV##Gh4DL*lWvG>-yF(-dR4$vog=eZIEV*Or()%=ymm)q9J}S#J!&R(-m$KSjG+7j|K^t0>i(y^} zXgH<5#>z58TU8V|dj(AH9$BAk!VvR#-*gNB#__Nz0cjB5!(3O4o);A+`W0=!gI^aK zlZc@k=XQxNwZ;~YN{yTMQ!tJ6qir0e9jw1bP%cUIDaw?WqE;ki=G&<~k#?^_EKe;T z9|nF3M_KGJ!a@3SSoN>BR=4)ssVU_1K)S^X%4Bj$*7_gq19IaxkoL+^kPB3QT|MkbC=^LG;4DH(w!^`NZDn$n&(yGy=)Ri^n-?7*vCDr91h(zGb?TC_guM!IY?LqST6MRnN~aeU zyJRxDDGo!N$=naERc4R5ZT1NZdBG-RcE9G12%I2wdM;XeL-KxrZqb5E50QlQ7fLA< z(aTZN-)RRV;m)#zwa~LO+RgU?kk!;{El*E$;PaAfITT~hU)2b3)>;{r$AfZ}OU~Oj zi2LAXh@qRBlGm`XBWYzl%VBv!em`7H9_0j>Ag&o8HH9Lc{XOit={K#x=NN=Hsov3r zB|eoa3P2tbly0pKR~iuO7Oee$E0IVzt7;wywJYz_Y(W#O*m!115w>gt&el^D#k!|O zEc+17lL*@VKfGlDEfWgcdP+svtjrqvCURFv+3KB_1_R+6?|3BtjNzXg{MA#&IrVeX zkW54>O3S#3$Qo4MtFO8P|LD~?y(#zEc3S)boxRb!nf7ky&-RnX9Z-Vk~KI)%Ulqr2p z;WuivHe(|1EP~u&WhvG}e<83Ue_L{ktmpD{+DWQRNxDs-D?57us?#TCW~g_8gE|#| zq-&4uVQLFC<|J8PU-4EZBvbTB7k`zzR}}abeaKuazhrE=Fdw1+Ld+GI%wu2}@MP_y zUPZU3qQ~jOG4I4kz2ZBU`z)Bacyx$h!+vTSv9f^tDPsD0A8+Bq4WovtPYWBtUyQSv zx+uX^Y1YvFV`_g3FqTJNL2j}OA`kprSa~*sJ2pygCB_c&c%z*sxL(l28{_)751* zMbWc_-aw5Ic}{}E1-&Ejji#0C_Yx{NL6=JtDK#NJZ3Uy&18}$<*~LW7>XX?W{Wfqb zlSQi~56Wd(kTnA9jGtaAz`RUqD$>dLRj1*|URD_`?nv#s-13FUAfbN^^F`PVyV|ZE zs%X9Uc>Iw97#ZvTWeM_dRC1^M9Msp1!G?pOhdiwJBSh>NeLzJ*eCqvj7k;kb$QjwFdbQ`pfm0`dy$y`G(gwtAiyHA` z6CJ6*GU)2KP-2us*ec^Hk2S%-xcx3LF|A18k6Ysta7JXUb@})ds2awRYQ6EAshdOK zwza~`V;m%D;cz_f{i;9-BMZk%8VgxKw3pG23^&7m(?xFIAmZs(UXb`IYb(dy0~``4 z;B7@WYHB`E^Q_@KxvyQaS*^!BmyX=T{Or!+m$@#u*Sh&(U^(*|hScR<0uFu6I44s$ zP(qUn`0_73nDO=X|F$iO54t*Y&136!$e1aVQPW!!yjaF&t70OnM|#!>f_RYZhe-?V z%k%X33MM~L8EnW*v&##SCz6!hX-(5>6B*j;&rfKf(io=NWT z5g9-({_iKZ;+6svYLv^2F2i_kns-TE?(

=&MXF+E~ZoXOjp$0d=~Qncyy|ziu@4 z_YH!c)9;_+FEU(A%hNzBL&If}48j$(v4VE{WiQ&gbSCrGdtW2+MRV|{?N}T_e^wQp zujs>G0YacDCkS#;G79VVC&UCSzw_~!yNH1r+#0l>OE$8N_W)K_JZ`=quB z5VxjQDLSt_QkEiUI%(wbn_~3js2$ovxbIXrQ70%Ft-%qIgR9$&_+Tgcjyb62Qw@h$ zdC&^weX&0Fpf9u+$X=Hdz-K1R#{4s=4B9FB>0TJFf(_-5?iStM=Z_O(5zd%WT#GAf+a@FR|~)EwKSKwf~4 z8-``3`vo(uK|i+A3KFEV5ln|gW~|Q3Tboya(q72yH+SZP#sXojD^dh!v5coenx~6T z*ei`QXWh&O)zGs)2`|+gJl$DR7zG{dK3Vt(7ix1bx$t5|QP-`Dq&VbQfco+V=&Y&+ zio)j05;xkYiy>^uTuFrE(MoU|kmG1pFtOCDsbNmHP8U81LIsT%>o)hFX^afQXm>gX z)=CtSVo&~7WH=OcybrGDV`fRFDrEr$@o-GyD+JB*y8f53b+`|ud}5a*@2weG$+D8e zno0GZGcjGlaZXFU;=YILIX3$sgPS@HBz}m=%h0t0xoDdwrWgzRn|FO4K>s-fA0q@T z<6VbuRG<%G0>V?JiFSt9G3P202gGB@ZU?2EvI(C*m*b6JEw&w4M`>N`{WK!zy6(5ha4O;dnuApx$G&o?kKmJ^jkMBkrddSX|Zxf zeq^8odtEbFZ$B$^=0@ZMD4lPu?0WrgFWc7(V>tB^kVf~=dQa=M2b5<8&K3zG1aYBJ({UqKg!UpNX2lo&D=WP(+vl2H$)ui z(Perd3`#KkYGvLAa>lZ^mA?FJdpu^4!Tr2~l{@!&3Y?bb$i{4H7(9v#=%;Ee9IbV> zy>VofCA_vx5=B;Wj1+MhA;#rOEd9-ebhf*TR?w4N%LLm<> z5_u7!XtSWgbKET7Fgfm;omq72e>k@|$kWxKTaU5=*=hEuI#v3^IEIQP`siDnZ^8)B z1zP&kEb2Ufy9_=F8<%m(>-*)pb>ZTG<6D;{?=v0un;ot6%S~zv3rTIgvztS}uc0$d zrUP7q3L`{Y^^Gk9j!=6-GT`KrOfGvU_}(M>fsax!`%wH&0Yw~d*HOnZ_$o!j*?ex1 zRm+LK0X(Zp^fDy;bPV~X3f2Z(sS*cB8WT7j3IW3=i9!(*7G{dWj0iu9 zs*ZK$Hx>(RY1R&1;(I3?58HsG=iBnbH=bd9F6I-XK@d>0Ew@ znTj6|F=~Rh#V#WB&(#{}A7TMjI^xNVXkzV=%6X*S3~hqGeiiP27TqNoy!B@zh)v*G zsp`&lM+J7bHo1n$BHfWf1k0fb(tKC-`HIKK@cvG#sp5YxdbPEv=?low9=JDW!-s%C zRm#rR6wmWucJVUnq8SR(NPqht^2`^;;TLtOV}y_jIr?Ad7>`0fD4l<~35PfHdR6#G zl52N>r@9NZaO9LP%lS*u@5m;fkXP2P&~B9NJ_*%kTdSelw&?rES_oz>9$5I5_KNbi zQ89>^!er=vNbGggdr{r5F^I7Z3mF1Omh~64%Ktr{R26}TsJjKkf+yT%Sb}Bwzk3;_Y_vTxmPxFfMOz!fbea zFi9lf6L)y)Ur)GvN>8J2XAtNTspnim#Mnu;n7ezeusqt0S64|>6($%|t{ViFc)viU z4-C@osicgK6toix&h}FsT1C`PaWP3O)6z>?AuLv92iC6+U|9$7X0VrDxfI_;s#) z$@{&~rdboyzbM556=XJnB1&&1Cz> ze>#vbsSqnR%@l0jZtm5w2ZaD$vgSJP4q2tQF6oEne=o|Zn^ub**?I9qj2|xCn1xak za=w&-udP4K>nI_TW&iFCKgi%ci!}YoTEwh8*)NYA^#2X^J4lwhTw?X(HErRA#`5_M zyRnNQYUVK`(oS|vemM53HneAO?}wmPnAs%5#BhX9GLlCdX`QjrXrTh&&`PmD|64{_ zN)p3uiy0zbULzvvE$aYtWRaUblwin%C%7%yUk0Pz`;Tpqg;ztTb@j~d(R1P+maj5h z|D%OC?$0^xY&Pz~H1co0dun9J9!8VK!ucZw7hoOdH!b45YZpBG8? zk!**mh`H_r$|7+^QxF}$2qsYkqz`DdY(B%ZH431T?#Ffw6ESVS^Vaa@1lJe%*~^{^9X;Eidj5zmy9Z@g{gI zFSZr3I%NRvqW$5b5I}0{5F^U9i`4@ZHeNe=RI^5D6+a=Iea;h@{Ru;L7nSA^0PC^3 z`o{u2TH!_2voMBi)E39`l8IJy;STfDBVxxXEj7SvkbSxK&EJ$o92RksE zw`G8O>hY<|sgWv~aIShGKbgJCIW@CjU36uJ-2<%Lddebj>vqBHfc)Gsf&}Ns)yahobim$fNs5 z-~`MM-eU!e(33+ZvrAuKz)5 zY4&Evf|L~f6z=HuOsloxkD$_9dB-JJW~b(r%1 zZ8BlIl{L*0ws!ETqU}7%x)NIbM%Kp1ij4&etsNjL8sEb8Sma{I6z+tO~G$_ zNwmhIs5{q-?1Vkum#{XN?`wL7{lPY|o*y%-@uxgiY6 zrn|6pk($!i#ZYPOWw+9K^Q-yRa;-zg=8ANfsfw^#q7WbNYfmM0dG#&<4qt%j6!TBDI`vthZba^)*{2aIPS7kQCo;xXLZ3YnhG{!-GDCmIY?bboynhsG zg+Q?vzNlF*B3~OT?2*0^Y|q1Bg!*j8>kWE&hf5bTWR#Tm>PE9ZWdi|@r!nq=z^hZ3 zwTey@^Jm{n8O7fTU(R@py?8i^fD1f=rKo8u(yK)ONnEMM>dTq3|5_r1R=O>@67{x98zVM)aL3TAAdME$Oh*e=4rN zzLkou0cnoO5kfeYDWXdg+YMSLIFXU9W-IzE^L>D^6#OvMz*#0fTNiq5HTU)@E#bFBFe7xzRQ7IGJ)rat zG2-}DeoDH1taymMbL0<)IR%bN;40hddDcKs$@sYROP_^TRBVJTtKfyg{$Rf zDjed3vO{Ub(NsFd1VvHZTjKpyx|ijWp(G;mP~FKCl*S!#&CNsQL{hRfv=wPD$9zd$ z<-MfYliS&}nQ%2?>Uw}7=V`r`&1O87RFL}tJ!x830wiEG2qvtPjg8hgTfazszNqXl z8i?F0o-9UeH!<9w)!HMk`WX9ndu>0NqJUwIVmtk!6=U)unTn{cuN#A3<*?>7QxELT zl^Lww1^Jh2N2UF>c_l@kelFWTF%6^N`%_jKN~{=-L9Xt3FEu9Jd9eGWwspPJo~vNb zDG^{*1K_}yAtMMpe{-U6-r7F8bThOp=j*$zmPpH?65aE--scNQej7_l@l_Le4z_+i zeOSAQW78i(htItM$9yKes{tlLDLDwi1cwS+^|OOgYHX7C+$^ffhFXj6fePdqvP`7i zF6k^~K{Z4+1%XdSUh+RdCn+_K+vE zu(WLV^Mc_^cuBEUIR+O2E)a$ zmojx6{g2ImbDNDqt$KNv&1Cv{+FV$h zo7U^>6nzg7c7BM6Nhk#()uYlb^@pciRt_6f z_vEw~jH+(2kAwHvWP?QA-+8Z%&!3{6+7wtG!Of?JghXgJ=0}GG2<8gVz0Gz}o_D+F zmybCtrva;{mSSsVEKMTn?_QUy5J0>8f#MCzrM|LK{q)?^hVL0o@mEQn&R(db#|60X z4kJ~7=_+Z)W?>)K zBW?$3NlbMWCr*YtVTIWf13S9?%j1KAL`Sfo36vp>*>w`pJj^^TiVVp8!31qSmG@PhiWhBuO^-e%!95al8+thjznygHU zR`WU&GX$xpg0J}CE{ii(_J=193OKmh`}IMj)X*1Moje%b^nB&-aAv~nUp|jVr`P-v zBe2ZC2YbvEHZO{My*;+ioIGW{h<_S5h2_KdYk7f>?Nzz`jz>&dl22LzYYN89Qp?QD!qTs*Ql{Oy zlJU9Jqgs~9!=vMW(fVD3>cGn82s$nnt=j_>I}&^OHIy@ejDUgEIf3)Eq^E&Q$jRw` zb3pJofQvr7|kD}ov6ogW?Rn}f_c?Ir?UpkxOj#>R%;+`a-K;bo(u zLnrg{%ZiUIN+;kh2#;qFf;2TYJKB2{Bq{Y^(9^|l!NvXWo^YcsvT%hIus+zGLEHd3 zY}x;uBlYQtndvk;Olu$>WW4pjC`*qjm>NT6*0=IMzX`pK=&T74L;uR;@bpf$mC?nm zg$Y=YDP*Pi1W0P`wAF7D`uA8+f*rw2P!L?d?~wP{X9NF=i*Hv>Ru<4z9-LJ#?NuF+ ziAtME5V*ZM1V%!}|9S|$=DQK1ZL$GjLx7Bnj82Elq^5U!5D#=9I0;0;Fy`Cn#AeU* zaA$8~$Hwd(TXv|s&kv8m+@2P!uAZ&l%^~EwTtJzQiVgkGF+c9Nk1?KCTzluUy^Woj zz10^srm6%#F;D zzj=RZ+L(VKLn-mXb4)GBS$1Go1p9 zeSO5peq8*}C_>W{Ll7XW4uAtFH+MQn*EinjHsMF=_qK{SS^4+Ev!ob}mlKNLInj@u zug}zob>JxQP4HY4{AC;Aj`&756SNel_Gd)LON4#FzWIJ;{kXjQZUhI!U%zXizMCl> zG<4Rx8A`vc@4oAp>+0(sKVlKx>-6+`bV#AWt|LCbITo4UEn0(xfu`bb4XQ(9h%HPK zFZgvp=l3DK-Jy|rndPCe@#{48=QBl5uWjYUB!=+RFMxmugg-9)^!ub|-NG1LfO%@? z`Ax?RO26t`p2plBjP=tRK7DlsR6;>PBIjo37@CaJj|-)vs@R+mP~`TD?>W|;tT?0#D2pG@s$4(w=v9y9l_!Q`A+`e zfR5_U_Mg~6DE?)xU_Q0e{9jvjsX#McQ$3o*9a3=z@}P8A^Zhi>D`&(QM<;KSg~IVM7x z02a^PI1DwI*h&E7@9C|~mY@EkZ67|_y^_1#FW1$^Y;4GE=r4mFaj=RtE~0D8)xLST1v+%w8rq~Ie>HlQa;KePATcpw(?B|^iI|8-?{@-TXQW$2(VN8 z+u$(&xS2i&3>mUX?r?~4k*>wv^P2GXDp+zAOi4z(jRKxj`Z+NF`NVlyqQ#bF{ItHp zk4+6d_9cz^Mrm;XetJ`6s1zvj8^*>9`8koQc;C|&m_e57DtH zedOqu_EZ|TC%3UlXHfmg%gt5Z3JxnEQ#my94llqO-uuSX`kQWAH8*6r9ox*Tl;B9= zP0s-S=-j5xWi>BN!tNP*1`gc3>|${fmNB6J70PM2J#N-+O%ohx(D2mtFJO;uUSpeX z!WU>iu&sQ+;bTIcg-eV3mM=Vyu7ip*aKRpv!1N!DEJGvv0m9}R4RMNZew&4PdpVVD zp$P+RcAXvMIO7naiW=Na}BQ19=egf;uRqr|{Kcuu;0MYpo_%}HAUpdi` z&wzy&4;>+?D2t_!N_bT@EXoAV`o3)GJcjInk=KYJD{i5df=X!DnwH) zsy&3gH0rzM^0{=SGz0Z(N9-3Ox`Lz)26CCnO>iyzs+s%J!B@(63^&szr~GXIp~u3$@$ zYifO#&;H2waY);!OLdWcL&{wE0v5;dh7>=gXcGf9&I{Q=qiFBiOeF8F5D^(9O87A1 zSAv}-%ItbWk3Y~y^%zmKo77H7<6YNbkQUH_-qKXDsM;}$YJkb8LV%D%bQTVFzeCyi z5J`=|dPAZs?4DlSJQ$LRnk;fQ+VqaFTm2wL!`NZX6+Y+I9i}TfQ|9RMi_>_2OxX0i z8?5_)pK}~T2!05tRzG<6a|PWIUI zQSqyAg)7e1DWHz0$ZsqGu7HH3`QFX~&S!6pYGFU|blZ_q)R$NqM40(IMHgJT}&5iEdZtrD_jc|M>A%Mf8L>D zcFFS7{<@q^aH&6U=)v*s72JM5IPe1_P@F?spCYkE7JzJ(KDy1v>>q8wcXn#TQ1PY_ z4cwB3uoFlX01+*}%|<~i;Bed~=c7@ehB>a{jA8=`-r-=I)ZyNwYPDfJ9BB%TCe`>E z*_B?2HfW2$!4DFZ5?o$g)0qMekT0S2KPDVxjUIFoP&H63`C=ajbR_m0(@!k?12qdSN{@+lp>jIft8Hv9ac_v2ZVlNRHhTHfY{lUj=`!yruQm9_ojQlL#;UukK=Sy5C z2Fx}&21DbSTp=DoUG~_uud0pD!`ax+B_je`a^!tGal3VyzB}Z|&kr4*5 z(s%o8O+V{7{>C}$Yh9{iw74C=Z1*9{t9h-+V}>*itt9e!dy-aA-y#sea(bx@GYvXq zkt?|Qi1Ig*vno;8aSq)s+8*utb2mP-Oogo4~T@`jT z&^sF&T6|L^CjQu?oc~c4&-Z)Z+|`%AUyWf*v@n&X>U}}2RFz};go{|i@PPB}v*ei0 z^io#-0;%;kz+IJ$^LLff_K!BYw~rWz!Gk$w{ioPHfpME((q1Io#J5&yGCG^&4orAJ z@9W_<+Ck6DwUlTx&5M#Gc(pZH%x1&}Lo<--XP6;$O@i85J02+s2wYsjG2-_^fk~FU{p&rFdv$49|*f33hwAQ~no3PQUu0 z9alLlFoq?#Y`FGCpEMx%GRJBMx%(|-qMz^`w#D((J9%oT}5UD-~f+!-Y*hH54< zm>k3>010}TXdVB3;G}4x7L&tKw+*?hO27XS{uvibk%0+Kh(D$Ive|M4^_d?4gMw!8 z^*M^LaC#X4iPr5!sZ?hp z;xfe78ML=o3wsmXpoD3wQ6opHE-^n{)P>+`sUYRf^jJMv5`9B_p1!tZ)4N%2{}%vc zK%2j@eo9G9RMm-QW)cyfl!P+mLoZ`B%j=@H{-|)aTmH#mn23@}Y-c7D^0wxG&v2R5 zN|*%#OH=W-vSpru!S2z^k*$jehxaDZ(@EtO-f6i*y&>_;QQjD ze=4v5!;_K5H)$g>YAH&LxjlvU*~=5EKV)a0Di?4kCRSm{tvESy@!FClbt>?5-;347 zuG4-PtjE7X?q1)zdxHV_nQR7sApz`Kf_M2u(7kI#EA6mXAa`w&}1roX*ULS!KaA{~}kp4`@4oQWx*O_`$q*C&sy z>tZ7L@G!~<@l|Lswin9>CONlToC_E*Hb`%L%XwK6+*}WTC@cMN6dPfH)JUYdQ-~3~s{eB{q{fHvK|vmZ zh%Kd|>)ITmQQrm`m1+ks&1tSjE^=20bqqvN`C8b`p+tDGhf&eD%yRd5j?G8e zCe^vox32HK**8@571OT-M8V^F^64DZ(UKGv~)=o6q~)?F)B_IrU4N zrjlk#WYg|@ASUJt3t-3jjoU3viifGZurM^mH>Q*BYTa^w6yjvKA)6ut?y!Vg{%Vb# zr(gFyvWyuh@~eq!c_7o%ghM&{$PEV**n3AG=$SmB`qsXESARrRh?RroE5Il*i!I)D zB|Sq8A6p;wbNXsVpy+L4E;D+5L7|@XEa?xTnC7hPMf}|+#5%x}`?LNaw#O)v>0d2F^z*E%kx4apyT5OVWEcDBy|r)RW%l zt8Ct(XQ2pmH1Vz!aZ@t6O${6BQGVfglJe*y+G*G0TFB5Q^9`=Q_P#^6tW!*z8v6N; zKHiv0zKihI`*E!E5bJq#{s{KV!ldwl=8Uy}b?Ap?%fKdye)EwU8)8AplUgDs;Vwmxi+I_cVa0-l^CfcZZ(K9r+&8A8+}Iu`<{mn9Ma7Z8G4LF2B2g z3gld4XhLC;{oWDjo%`NECQiFnt)ttMGozoh0e{N|%;^&En_sG?{6d>Jy zwbD*VYH|)%{c1%$qQX~vXQ%}udQ5h~gGjg6iTup>D#`i`&u)p#0_>)XGB;ayX!gGbdQ#X=HGo=m|SOCy(Yp+FJ}{q{P1JYup-)H za$2bP0j)zA#@?13?T!kxlNd-g@v)8dHz5m}{n1MSMlSlCO6617}m(pBy+{zfAvoheL_ z(q|O^8}}wGzHO!QYMK7eI3+B9)>ZR#PbAGM?@!Yt9#cPu8SuR}QRdVxqE1ke0E;K9%`<_(M8kQv%g{J6Al`m(9MDw%!GG;^6fi1`JrY% zn!3Ey6O9)&Pry+zvSRgXo3i3t%cP7A7s#t<=dHnd@SbT^$0Qss&5OdNr0&2G{Au40 zCGGN>I!Ds;Pm-We7EPX`NBY6mz}+apj$e$ca|c7U2e1JsRVc8SuA@QmTt_<96^>6k z*Lf{59Ha$KNXi}S4Cs7+BP4U)c_X2Y!J+hH!c%N?tib}5QeW6?ML)D?4W*;nl$abo zg|?E=%VoSGe-PY$Ak=n}WWeBdaP$$^&b~7wqhawoILpy-8v2qfFqWRWa?e`5!R>}O zux+x=CrBXnYdK}jjxU-$OW`uVXyUB!M7#J$k`1FH7a!*pM@Ef*lA8rYZ4LBvm~(jB zK?fE5GJY1h@X#8^(~>Q^&M6%-B5GouM#?Fsa@a>49WlaVY@9ML8F*Bp7qn0jM`EN1 z_Hj3YG_E_bK5F9 z1ND@YQ`&qWjH|9kX}_g7hH>NjKFDT_z&uo~5t)Z#ka^o=Evv=aV z&aYF*q67|h0{CGGOI(PXC9dLw*|Ah=7dK;Xx>_6G8|kGc(Hh?#<#H9f4xRWwmzh$s~j_bcmFAtPlZ#0s)2^bNNY(x^p*ON3&>pC#h_snSA5Eg(NZ6``Q(((7p=-+= zB6~&ck3aTQN@^cuNsq+OM@e0nJN68sc`I+sd!Mj-^StT}yY`{+)B99EcA zTLJEWX&T27Y9WUv2?}Na^GbY2wsGZkV0AR9y^}(K%{3;iCJX?2rIIYkyc@*m)$?z< zNvr<_|9%?^6Q9T{U9ByQKj_MUXD@N_@r-V%d!TG)&W;raIV_bpLFhFeIT)j{afGo0H^*0Mb?ZC3M$WHpQm>eg=ifeiLZZj*9wqq-VM{>cTfx03cn3m$M zgGd*(8o%hCb;#^m*wvbxGGDMJ2;r17fN^p)2Nj^WTQh5mTJ$hVB){+!+Zg+Ouc zivdah8Cax3R34xkCiz5sITd&-(~Bp&%Oy)kWZ+r#n@6e)zt?CL0^+PTy>=1zH#759`tVPkXgM&YpPGUN^^ zufd27xE?*!13jXqXHH$F?KV4qAJebSTgrnFw=vUgBshmD^g*v898X_8*U_awN_pJ$4ZL^6c1Cd1$Ri|!Z3 z&)mDT;k0qdJDv}|@L`O9%x}l5Us-XQSG1sDg35wFue*>xA`h286~0*9sCVEa>vvac zkVTy0BFC98=ey-kYM}g_4}#44ILpD#FPocnuhaBI7_`-wTu)y7v1E$dA5|vXWsZU~ zjQeen!uwK(@Y9KnNL2Glzy`!c6L6Xw+tW=7Aa3)ENeYrhpc^h*Te0z3hbe;P&!MW)ylBajA$Z#Lrdr z?ENI(g=5KqFgwy-nMaAX044yWMLA9U3*we3{FDH3L?<+ojWBf;8eBos44iyud*t1t z`dgstE?PJt+on%{eMpKvB7S!7YqNcXn@z6&^UB(7bwFFVLhBx=Xc-VcaKqPPHG|vh zA@)r@^bP#D5a;mO-`M5;PQ+0e%u=F|s&t57Fml~03a=~J~sR6uM% zxapFu@+P|>ygi_u_fQQ(S?xWCm{B+@>*UNxxsPAck9azqkHI-hk2Q73?NVn%gR&l0TD?BzoURwN5f(4+K>?H}GN((;ShaU6`=< zB+2$o`fE#ne@KnI&<%6b&iXcQjg|h3ECsWdkHD{OH5XM2q5Ok!sF(_Jl~p$uuwJ&{ zHbB|Ai#gg|v2@sSh+!TD%VRPQ@p>@RFy?3ro!qEd6j*ejEDlb#* zep)Q%3AysVfU7hq9}bZU$em=Ta*dWvKw|D^BDajGhBiKYJux3#aXNje*Wvy_ zk&9r6XSUa|!WTjX`jpOZ+@$&7Xp;hQMt%U|h9!SIS9N7Ve5}@%&g{7qotF5;7Ih2- zxuT{=iGQ-DxsJk7*H(2TYL!^=L>0nnX!d}Ap>qM2T%VDNNjQFp0phih{;@h_n?3i@;SbeH~DZZ0}>mkHRntXP35@C*rrB8%#` z)@KBB`vbOh{rFeBWD62$uP96|W%QwmErj2iK}pBLSJF=1R}$0j_QX)t(u=PUqjl%G z84^qtbiDVrSi5hFy9?kIS=^$3X1O7tkTz%T^a{QfJ4KFy1Wp`uydY`~s~AO~r$AD# zi{@g+$W!7bqN|p33z60*JYJ5p5%+5D?e&86m-r}Ol)M_z+@sJOJ{L6_dpzx@WWz-= z-3EJbz;x|Z=6>--)aZil!n?FjWh@NhMo78BvrGC8p<*?x%iF$+(eNdILNA!m);b$S zjq)W(P@nz+G>Q3!a<(>qB#q(i#pgazaxB9a5$6WXYJ#!wmwSgq?m>E_pvDLq%9w|6 zqFiE$#GAdTp@IZdN__K1u5$zp8$Hln?cI1*OmaKt19Q{9RNH-?H9R-8{R^UYl8Coo zYVK6EmWs5EbYgMLG8Z?0ISHIG=0AK@6(!^I$vvUDc52c>j3-$!1f zpoJFx_@u-|`;{FtFDuWEwOG$Vb0M@Cex-ivC5Wp|@p?yULZ%6SZ~b`eq{$jzo6Izw zZc%aNh9CvU(^|wx!*k`-!Q;s2DPWoS<)cP^?JNn~y!oQI;D(t$XUO4=V-i#?@uAH< zbCa}vk4i1K_sT5~idcwE@Bz8hq+F zxeu!Fe!R=CH$@14Pa5^b!}w_4jkKZ_;S%@?vhqhUbXUmG@cLJqK}?e$zs}KX7va%5 zX8oYQJh^|N(HJCqj%TDtqHXINkgTFm4ZI|LmfoM>)A{1@#yjBKmP9xnjf_)j7M#ku zT9y7%b$gQOdI3^=7fJ54bh(c8C;a|Qgsy{v)R7?`+W-iE{-qE7I;4g}Ij1=CiT-71 zs9W+L`7zXOGzjgFrmUo@_2KlX6y-YWLjdWFW%u&r`<_YC^_@jR_NAFKPot4?ef+cb z^Wl$gk(5zQ1VZHTq|$h{^fDxxwLW8!E-Fizx~;sBPxY#w>v(?&O8UA;a93UVouWQ# z1`%eiBk4&9DJ%-o#51vcqZ3W zu_T|LDF@BlAexR55MOT^>INWPR4@e-)wb>LJDbMQ)!Ri4hL5Ex%;3WfhXaMw9y?dZ zbSzy)K6I(1Lt{~>n6U_yTFOc92TurHuiekfF{_S$hm^GD-sChuKsxLReeUZ-ya=c% z^Lr_nwVvK{Eo649*mTU;S8)7^Q5DGkDnOZ_rS{XB2ff3qs~gQG9IDcNlH3#_nvhcN zb}~VNPtfO-$au%8U}{+Naz`y zwa1dV;qcBPykth&UOfZlL>>2UBurT}?xfoVUns+)afS*JvprE@hVjT3Z`1I`cm?wUer4jyLW^axWHU{^Zb{Fom< zZly$gy1)#5Xg-ErDG7o^>Hj zV)zT?s}OAWm3F%sDB{mL&D9u{yb~uTqPL?9r(W2Q?4i5}u(z~%6WYACL9LIYq-sc9 zOvDOyL->OTThamdW)@fqKO}kfgC;u+Y}*tKc@R+N_b@h|&BD|#(voD&QITYS43REZ z>AG9itIa|5-E$_?Zx>l&p3T2H&Qy}qt?c8E!-{+gA#txyI}SYzmuS%+6vD~|P=oYa zF$I5m*4u>n3JzHHpdu$*nsacaA}Y17&C^K&+?J}7u7Vv zQ2oUOM{{2WT7xe z47A)lZ00;fp>3J1XmG=U&xVENtKpj;Oohp78!r<)DEEAS$RuIu*A%gj zSNpwf;8_)B{E7VOtqt1qt8IKvc8JmE2&ujWQc18`2LOq6+mTPJtIkwe;QaJaeP%;Jq6kZ`R_+3}LjS9?sg~%4NGwu?tsytRS{9>H4Sc4(0eks~g@GnS z2{utvE|hCx$I#^UYxkG7%^!OV5eBJ`Qtxx|zjrj7A4Ov!O4*Qq`e;*la%$o5+dsWk z6_WI`DTMO_c7CoDP-+@DZ~`@u{L-{bZas4>e>2|6HA7Jnsx}p2^^4M~&~WyH6Vj(i zXzTT>z#C$2d~rNVA&qDB_e6xw7COCa-&zLNn#;}}-Iq$-4&)wzQEA(cd%o^H&--?Z zT!9VCSEm>`$Df3MzMT^lqlx9U;TT$cvJ2n1b$1?eKz2C*44OfHIz=;VeuI-QEI_~h zxI~#+E`@WrJJ`N{wWsVqpMglVV^80r>7iD2jQJ)hlqD&!0+%CXv|%-vR!j`lBXOu= zq|(~BB`qj{2W@+kqxU%4Waz`C-zVCz+5&m=!5QhI@?T$nt3VAXea%^|&@+}@KgRW( zc@3DiD-%KvxiS=kQt}(FeraKQJ)?>wijhE6?kQefDyG|GdrhV!cd0qA*v;0SB-?)^ z-0S(2%Wf`D*TbF5jPwMwY_t%-PQ(X&HCA4 zWOBF}4uh!pbn{b{nK;_CrLeaySzmn10?-Uu_M2UU*nlwwfp4`wdZX{>ax;pb`~;O7 zz9|uZ);Nk3IxAbV9^x}ShOGyM6>QOdyu{F2SYTp0tp7$3^! zl$+GYx&zjf{_Ou?Fj17ILKMW} zg>F?%efh=-Ni*1?#m$-Vi1#ub_)`Xo9%WkTOJB&~JgJfgOTZ>)HebD{4jgrO>u3`0 zPQ8172{D;a>?!UL9q3mC-6M;RK$#uV?@B^+K1eKZ@1AI zR23bf0xKM9YeWgX96UMIdC1}{XNeopW&*9`-N&m6+06$R2&2S-5aIDu#OZo+%+dZ` z+uhxWs|t^!W#n6SryC{9^u0;SCwyM2%T0CPJN&_|J@tgB)VglGqI3If>WR<21gePn zdAF!c&0G=mJ|#3N2tHZc7K*`t#RA`6tp(=?dQ6?_+)H;w0)Yl$P55QhkWV@o{tqh! zzrM^eMh#&yeG{5n7Mm%zD@e$VPp17!WWNMMFD)?mnUTqYnPXNVi$omzEb!T8cgQcl z|0$BxWz`6)mN2rtpnBno@U16?c8}2A(s4KMGXJNAvy*#4d?{}XX+$r7YSudG{V44+ zQB>P1?0ER{;}l01Fm3ubto#c1cZK6p7{j;pQ#P&_XK@se420$jQQ`QJ;hPz z-@HjQI=u+GOi3hsi`dJ5z9{87jUMt|V6M48sJ$DGP&?XpJ3HRvP1(;hm**bzeC63| z#HB6xgH>GvHlrua22BJ(yH`1s=`UUTAKB581qmK?ef#!?a(W60ONrxf)Hq3OPbcpT z`NXsewGvR8ZMK6KxQdk4aGkbw(3QQ;4;sQlT!o%r;1%agkXDJqt+bR*Xu|b{grkj8 z)euZkm($IZR=(Z%?jrwT2zkRBp_}~0GC82g)~&{sAfaQKi^bcj`ZMI=eAA)SD#qtM zLbRlv@A~d*n1il=e9UTEFpnqub4N(d_ONU3X2VL$tc039D($PpcBjxJTyr??K*HyRq-K+EV#}K1c;Eckzh>G(i2{$8B-@Y8d;F@y3fqg%8a`k}n#_;oRaXP$0DBp@M`F2)Bt7X$T%E zrTbn>bA<1Io6R*^nCrz1v2pqd<8$Y2$oL>Y6Jh$BjkHneI!plKp{B`)Pnr7G1fL-e zbnu*-LB7r#J5U>>HeNl%(8E;x#uRd|UC+n-(D z9eG3fL#(9cVY^Tz^r=O&ylCjUZX4(Malnrmv0U=r38#Z0PrQW7oD&7#iTrs~&}QvS zi@2ru5$Kr`>Ra{Hq74+F-1I%H=*`XzGIB@AsonNE-?U0&Mdpt=g;*6c{KDh!1!jwr z&a~Wr()AI+%L)_dQsD zN3XnViR1&5CMw?V;fIw!@6W8{wzyowJM3KIg$D+;g7UYEiw2W)v9-CoU<{B_&BdX= zCP&RmzVGJ6gP-HyOv_fxX=BS&MT<-L6i`xsoDWEgqzUac^;V_;aYUx?#k7Y+RXwZ_oL0RHn>wuP zwPc)3Vp70I;&Ov;hguV&n|ojaye~z;HBgV@gs*_>O^#Z zEzE^{=F=hi0{TAkbn@Os<$JTQ%VhSUHqa<34O0&KqFzOGS`qp@x%5)(-mH`S!zceOQ5>{UYu00#ktEh z&!`lkibh_Ji{X7Kl1YpQEyVT_3_=or9NQq9n@m@CfRr@xSun(m4Xfs6)_nXF3X-&= z>KBKRC9O3Bqt^peRajI4PDD~0)C175jr(v?LQON|6z>vg6tXR6_%C%aY1wX0f!xVz z=@+31Ax`2luIA_V_9C_A68MNGmZ6&lwX(>Yx2#ls1xk`E6mG*OKz3YQ{8;CIXl9Oy za)xo}y7adrjq5&sT&z2v3?XqfR<`p+)Cq5SdU&KD{M8*c4Q`Z0I-~_m1C}}lA-I0L zFUX)XnK(N^XCqAOCXD3PE#Q|!w1mBkyj|1G@%jnrfLazbr^@5C9&X>S(E!JGlLe4S zH1b|DQjp*N$WOyOKBA1(>rg&_WTGG!1}IJ!t|*aV2!dHO)~s>eTcaM_AIpFjjYvQj zWuy7vaw$1HED_nW0W!jFw06md>M&Q&<~w$eCBaiwcwkE(V(McwLaGbjYRW#`!o|u- zFoN#ZLNt}{cbDNscM*r|uVbe82%)5wjzUO7R9eU;K?j__k*g#$onRz?$B!QK3})U8 zJdJ@Qe znvc4#TUir-TpcxVnw>6}{y2`@M8H_MM2+Ij(Xo)Tehnu+UBD*lX~Jug~b%+ z`LEU=o~ZoKr)uk5+k~Q!3lJBnMe03HG|@$yWH8|Gy(&g=y;NoBr|^|WFSvnn2L5-} z(~i2j3XiEw&mrG`M@R)(acNJ&O(Xce8MuNZizdU|#J(~G)al0B2RG`^ZLK2K`=ddA z`eM#>e7(qD-x`7}=ybWhBD}Kwu3V?8Q0{7K zpZu8~*G45X`XnQ?vUv=B;yxybtZ|%kT9-<8t{QbNo-}#LoSoiUG1QyiISkMSRo4*A8RIeXdpfeoA(Jcetwrk zNg%oUV#&mRw8!#|z96fcC#m%ly&>L)?j_v!*g`!eq0ny+Ybp#wWx%g6(lD)KxNV9` zI`BeYs{?C`D7+_vJxRVG&s~moNN)yj&{)km#xJ_-xng}LEERgyHfN2}{fa52P#}hJ z9~jWGbEcCcn>`yDxN=O0wNsGaObwAb#RYRbZmpevH;^~%r1OY+0JntZ1D8_;($FrC z4)VoNrje;guyDQn@s^+N%Y?kkQ3k^3@U|ek*xYVk{Q{l<_GLOvN}PZax6HgFOM4SS zBqq_rMT8|x*OUrVfsw=dXqDUCn#&XT!u3j{F?(#u!ohRH*wmcE@z2l$_y zLix9UZj?&Bhm9Mc-b8plRaih7UxcU+fv14Y=H@Qn+v3sg@J?rP^tF?gX6z8MVv+hj zRhCci&P0YC3WNS#mU5_rt~i$oa=^Ox z{Jhwj57Ov6nB%lIGGdDhL9b($2S;ij+)-uyL4THzO-6d@&&wGfY0!{;b5*lup;~W$ z6DZ9a^aXZMy4edxW_k=5yo`~$El54wwgM!s#%A!^Hnb{Z|2BiT-LG z!b4=EKPruib+8e}EQ15&OWxCA@|s>}Pr9vd_M!(^x+Kkd)$zu03lM%oa=SX8+>3-X z6b35#&%9N)7Fzqpav;>39l&+p#1<^XJR5tVI8cm_@d>}n9r;HN1zZ*%17GTYe&j%o zYX^5L(Ij1`5R||aj<(Y(k*yy}z{e%hwBg~O-wRWq-^C4VUO4Qof7AFj)NPjp{)gwK zM>BQ&>$fF$9{P$RAi=_ensX(Bt^&0X-?U1#=bVX2U)omCA#noe^_Q0|@;>Nh5b-jgU`rc|RW{mQoA+_%5 zjJmuys-!e6PT7tA)j-Je&~*%S9EQS?9m!9PC6XVgWe<9xtIdj-UqvxZ!X;pRc{lFG z*1z%;L^Ed4F?syRtcrgG`CHh11uf_F*dh* zx&y}>0x>wZMAic@K>{)`m)~3h6}O5P1d>q#GBURwX#`^k0x~qWgK-3B2m&%Tx9oWY z1PTH&IJfeH1WpG7Gc_@n>74}cFE}tYF*7qXF*z$RGAA%FCn*XqO>bmGVRU66C`39k zFflVQI50IaGczETae=D4^0BD?XnG6<46&?VOn~O|Y zhfDAff*7eFGA$&~3o_Fh2?v!KAz>E?VKh9cU_nA82r^qu$RV>pAv(!yuX1;h!N3lhk~$4eCe(3!U&vz9jV1=v7KpA~2xEkjmlP+cpT6 zYh|-wf0Q3-1qN~&mjc*AquvsYU_ng{<`R-@0cH?dg4s393j-tCQdnm)2eZNjTto8# zYk+VLR2WI52+IaE0ziVTz_92ASpWp6(ilL&?b14M6L69aMrDASEEFJXKn3H2S5Y=4 zz_$uHC?&UOdz+uQ!1rP{T z2Zz`ZY7XXyZ4yo6JVX(y00snO0uV4TP-ekw)7X zfv!g`Mu7qvO#&7H7onw%6F3J1ji))_WCD5{!b4gC!Ke-JvdZHe@UR9{)~E+q(~LA4 zf7b>9L12J~1AhYK5Q2c$sJK%Ep#il6Sq$)S2B>O_X=a=n{ca7U-1{uwo2{lZi9a#EId9QJn@W9Cce_MzY?@$k?{I<#`GaSAXa z2gal^1f-MBI7ytMB@FCMt&-}*GZ0YpSwHdWdM@3Av&y0rg+z<9T&Z1%o|1@5zJfSM z3|;MZ4rMY9EoRUj=x?dE!D<_h5b>xfk6r=2Ko+-PJsU@!2?}K>T!5LPFxz+pe|Uri zc?f&~IHXCi2IYd%IWXZDFBtFhcrVwGu+Y528%iqX=~7W5q9JqZ|YqPMC3~Wdf6ig`43yoB z6Dbpg2p1A}1yLI}@}&{F4%wJsAEw6W!VOaqVdJTcB`|b@+rEJljm`58b1j;OT}L=6 zKI0fD(&)fYyD?p5g&2x18ZX4w~*5tq)xX!r*=^#WL>T*~$(iF`U5F44w(P z+F4^WhM|_ySQ-tse~{@a+lpZt@#LY_r!(RTG^79j`Ja8z;*>XOVZkF%$C1mj=Dbd- zU0r1%W7e6NmLPl%6qRtLqexHcysA-{%^FIv=>8Q)n-oe~OT_CFZ0MspQZQ9M#(0c1 zlwQe~4H-h`wcWLSJ07x7ES1 zRa^_Q=+~Oi>0Sq4*3C&7c5eN?pk!8f|5tAj?lDIk=el{fp+PN z9`B5_RlEUJf3y(`-IVylugTU5H=*?tk}+_9QwgL(*iP4J2hCaO$ZHBuU*1t; z@tQ6KjVLa|utwQVVaJCK`IJY#L@QpkqVw?-8-_ja@NVh(RE%{4a-AwazG%a4naR

w^7LeqT0+j|!=^Z$|2`7#THHI71xD6m!3OYDbQ5-k-+>Ve9E4Zl~6?CdXm6MY9 zN4j<^%{H7(YQ%Ra>?z(^V^{Zjs*mwa3br|M73*@^ia`yf+ZXy+iiS77jDGrQlplY) zF0*`Ze?D71E0?E>$@OZ!7}4|L_zG5@?Y#Qsb z^3%M`FY?R$^4s-gIm;*cRX)q-vogQV|GX)etI2$pFY;x+%2$_*vdq72u`YWPkN{t8 zitPR9=b!Inn_rJ#{I>gyY*P%FCPdRHZ6C%oN)4K347#GG3s$YEnA=3LH~TwB&ksPc ze}k8hUivn@o}i~$v%)5dX_+cEYpPOgqRR8<@7^Epk}3l}Ql<)DDIQ1{ zU))U>EyO0e9K1R_dhro-c|VkjMnqkN2tT4r9d9lSYQmZL403TVX5*{;b-te;Kvuqi zynGik^F#g-vhx$<=WmpuCyVjvALVLVf1a-@c!BgGbx-G0NYB&x)zvsZ%g-j|qFhdv zkkKSSUjBJAp62KIIsBWzzrV`-9G>Sl3rP8ka&a}Dot;dVY4-m+|0ADL4VXh{TRnaVJ}lYx z7wg)}mP_j@+o7xdMg{i6Zy$HwzD4cbK<-xYE`xp$?GbH2dx|sEwpOsI*+v!iaR2qu z(GhCq2Q>4QW=cJXWO!>xsz$e7{L?VH+~{O@x3{~uho{`p(Qu#Ut3z7^eA9J{ z;qmb<&4^AdZ;MS%hxBZ~b76c123mq`6Mr;3k<@%H_&?%+fs)-@u;oStfBX6C*GKQM zCp`K*$o z$?Rs?w8Tcmd-vThyPsc?C59>iRPSK%7aRq?1`iKmh~ZiQnqR^GKD{<7-TUwN-~9R< z^g4by zKfgmO9uGFNU__1o&k8R5!6i17vSCAftZk_9g7n{QW$~gpUala2mVe~q6}GhF#RhHd zd^!dEW>Z^!J)MrPs)MF#X&2=f*mNB?Etku6Q#-r4I)U0bxtMLzf8TNwdo^Lul9VN7mC%A3uM3{}_(b^Vw?P_6*mfC-mAvc~#)=?$kO8tg&enK)Q)E z46uOohCx~?MH&RB0QvK#Mw|8OPmf=Ie*O4hy!bdj`gS#-lUErjCN2MR&ZJe(rz+uM zqEZ-&>m;m2L!)>3e^FDNjq3ODZ=XNB|K;()(ayo(n;)oiM&S?b4E|CusdL7)>RecB zau?4Sr&CQ1n|f?iuRrX)IzD*z_-F;q#dvWBp4so$MizLR2>9X;e_tqMqK_3VeSD+l z6ay-WLEoIhxjY#|KUuDB7R{FeYM_t;(VLSMJ>n!(u$}R;f5esUYr2Lt=x3xzGT)ml zmMat@!|d4~#|No^*sqhb)#Z|YsJb!x)|;?4ci-V2Qto)l)!fDA+*_}!+T25%yF?Qu z>_x)fY|g&*imAySf2O+ud$l?H)?1-Ad);N<@VsAh4{~$vtrrw+?%lX^4c$}j_t3rh zf}qX(_OP=mf3dr}hvtnde4BT;JMXYL@8%`D#anhFPT3)s7j|>z-OFZMfNspG!I;zc zNVwJ=tIfU>bd7uyI#J(m&b@W7X*2h?F}G>1-$V2E-J{LC8+Br+%=>$UUAt*-u~(hI z)4IVvsq^6;b-vv)w%PZDoga!je~*lBcSLRe9oOUke+Q`9<3sKHdsN!~KBg^0_pl3% zdLPmdxJUGNo7}bpo$M=80g5F1d{3wG7J8y3G)nUuyy=bLd4W9^{yhefeLXo_X4Rht zc;c1u$$@`p!rxqf@NYWs`^$Y8NGq9!i!{B6-|?9XXQudd{=Il88ENn}1HL_f>%iY8 zK3n%ae;#U(hl{jb@m(6C+T<$TS!X4qJg(24Z*EpoxP>mG$FrCO=e-tRBcjaZ`r96^|b6E zQ`=X`VKOqcZ?819eWkyJOloY^V{BO)Tk2jiv{QzjowXrDpO;www9wVUke75L?w{Bu z@pbg}hdoiZjToo|X6m*zyf{JWOtN|~QSQUR7X4DIC38cR z26k6!Ts&T!yd7Vc3nZo!7Aqh)yI9TVf7AcFSxjM7M2XKQ7dMM?`xMwr=Cf@HlFOp# zUvs$6_OE%^7efD9f%`;9wWhp1yE-biP{1UiUsQ#nR-S9E=`H+05HDTBIfEXxK7EGp zk-mX}!O1OoszFzr8oeVrFJK7AW+2XhG)gwOLpUkbz_BZRr%2 zJ+0fb7&zLrWo}5*z#bM{VZ>?Me`bJDXF9@QTydMT>%M9Co8*BcDLQ8ngt9z2x9=O#wXLHmSaVAzIO>tEPrJ0 z64npbzl6mg-XPjb7>Csbt5?4$YIk2pza|DHtP*`pQBqa(tza2by+%P5tnFLDI%Ye` z-W8^^tyX}qOFC8;9qWmX@vme0Yi|1KPxNo;mD**xB3{JJtexh&2mKvCe@Etg+vqK| z!ybq{%tKhgL~Tvf*4(P?Ahl=Z5`U1j>3KJ>J6?^a^NSJRTh~|J>I#~)&=gsEeX+bK z59ViO{$W{G$TYb>J}IZmpMJ^@Z?2ZV3jp3el3PFjED2HoDS|XWnjiy^A;Q;paP(Rpa4){$^uD8F2siUNMt0LlSEdMc}Zj@nVUp*lKDwwD4C-~mLigQN@OaT zt3EATS_O3NJ}+W^W)fH8CJCAW{l1Nkkx9JT@>x zH8Dm)I5IawH84grGdVahLohQmH8CpIXE#x zFf%kYF)}bRMKVD`J`G(8FHB`_XLM*FI5seo!Q?4_CDqGM6?YiM@!vCNKm`=J2_hFg zq9TZb;1#^nq9UMx0xE(wF1j&YHr*I?)zn3kE{ttro49#x6HSa=G;W&IjqXeuyKrIj zA85QpoZy!N>VU=QqteXw7tc-u$4@q-lncFUA*yz#6;>A@>kq%Inio{c5`{34R3AcR4}qEhMBb1x=|(FD#e zdmerR$bD2R{qd*Q5&5W9dU(xk9gAIm`QebxXjS_Asb|DCh;7URi477PL^Qg9I0kWy zZXm4DCe=Ui1xR*mmk`?^w&5q)Cv`OYfH$-scGKBA8NWZ@K(Mj&+`<@1Z6f@GjQXeHgL41NYD#%dGDZet4IIR>G!D3hfWl#~n6Pz^Ou3*Jyipk8|TmoMKSeHe1JQd!+gSB=Vg9=TejY~(jrP0H>TT{SCv zcGFdhvUdenttwP~>1wqK6W_XjTBE}EcU%=!xciT*wJJRM#Z{Z~@~5uWDWCnu)q3R@ zU%1+!{L*1p?aIF%b>*md-wwbijDdduPQW-!fS>qDI0aKM4Kv{XE@$8@%)&XCgL&nb ze@@DOc%1$NRv)}{3T19&b98cLVQmU!Ze(v_Y6^37VRCeMa%E-;GC4U4IX5>7B_%~q FMhcNMr#t`v delta 71071 zcmZs?Q*fYN&@CL>wylXZv29Om+j?SaV%xUOiH(VEXJVcAd|&-l|HZlM-qm|w^s3t3 zwR&|)JzDHES^@<)7aK_$DHa$l5YM7G#EcU9{1t_NHBfuQIE4p02>P^N z>qk7}c3IVHbr7p|>D++0kkpKa z{~6u4g9<#|YKB0*!ljNd)}c`s+vU9R`mL5XzVvWdls~%)=ZD;%bqjxyMR5Ug)U)Px zS?QYAurjTczZdyXwG!&jD8J+WkbDok*FGEFLGnb|?jwxG}t$#VCk^*i3%9o|XVT^nZmMMUpZGZ&`Y@T92v^EOz`f_f5sP|L*MeIQ`sM z+XR<|u#hHWA7_f3kCByCAx-}+)JVz`E0A{JyRgJn`*su+?WDbQ7k_C;ssm$|AuqO1 z?j(|8sN*I~QmXM_>EX@MgljJ7Eyr=(@sHy>#ttX zGYxA9ghwSbZTLN}!64VH=rQW0yg=v+ug|&c%Ic&pSEBa9zn5CY^Z<{&2;n+xT*(7G z32VQr6nwKzfm4)W#9;APLoC_|Gddzr2v?jQE?yhKPqx%YjUuRRZ3KvNmjEv-7yME>iG4FQ&VI=7*dZQZ+Y}%qF|`akb|~% zM+@q`xg-_!-#^&_GCMOYvuc3%+@SOb7n&ney(S5ILR7OYq-npCMzW>NOsVcV{6~>W zBwgsTTis8?;PbN8a{Bru{8i&l7j0Z!&Kwu*>1m%Ekqgl!{yRnog+iPAzw=L#wvVZb zygfC`FJ`Vsq&x6jVdec|LWi@1(r4OdT;^r67aV$JInnR#y-GFb%G!W`cFC+D9gCjw z44C@qG*Mc0fUL?uyVnu~jX)tg-HXvaP8QPn-0MA;vj1MZ36P#b{_!JyDt>-Aj{~{% z1O_dgWzGN`hU)$AoT6D#*4p5xF68|-a+t>`GtES&Qxfb{8+P@EUN&<(bLY+oY{Nf7McWIAV|5{sa;(I^%^NIC$Si+2 zzd-)7fuS_%Lad?y=>=iv9|@wkN`?amFITQ=W@GlVvUEfRtdxViZF_ppy{3PlJXI(~ zS3DDC!d#!lPfO6CK-u=C+liWUAl9Klo19(G1Jkz34h|OeZ=wSnH*IO@9B&w`Z|9lm^8<4aNL4pcYaaQoU>C)FHel3&e-+He4bpCP)YWXr{VH4{ zYqD^EJ{^w7X%M727o5Q1S8e4Nd;8JsDvx57T5z>k4XC@g8V|uKCE10!KtR;Kn;ykT z{T8KWYi9Zv*Un#wW+#I<7GtZa;lm&l+xV?>Z9&>LxX4mCl?uI77n{BJUiP_-x*SuB ztqXUMEXHktv%m>=ZOT^n;>e`4BJV)|OR(({_Hd%*$(*v%Y+sNr(rGc00UAEL=WO^z z`riE;n%hp^xL~f0cP6EgaririCc8eee5Xl4hJbh6fhTP_gb+AhE)~>h>X0kAEsx&0 z4%8<^<_sd@u15#8^!fCQmJzErpRh{Sgbuz#aOoG|JwT4%yeW)rQ}4aifaQcobM7xi z`}M}7yCuBWDikt5!R{8g(sT!@1$qI>`N;B?;za4}(vFbHnA^97$Xs(uj@JHV@BbJ_a8i&O*Oq>$&%{2@^OgZiH^i zxZ5?Y|J&n_BxK{rRnKB})w8YM-zUT4jfwCryasa#c5S&*UJ3{V8Zp;okwo=T&HW-T zG4OKDcE5^O6$onR35AT)GxrZ&ZFyZ_H%JHQoo`S~;T3C-ell`@bVTqLhgKqEm2K?o zi0G=mBSv7M3FSHO;;BI$zHDvOdEP^6ziRdx$_>vK#0{*@yK3owGn!d?87f|{T>oJ{ z_52pDAB#u$W;c0=WrGZlxf&*3Citi(PwZV%%|5P&LOszLMX)$avv z{KPRD$B$^~hQ=CS$9pyi^C6~lxth6)F$;K)XTkaWebzwoHoI~_p*Ah-%)wkhz&ber zY71z@n{mGl+?;1u&%((0z>-NnyL*KhX-FC&*eu?FYhsp8>SsF(*Nh^UFX-|BaGeN0 z$J1$!A)}x*bGL{u`q98dg4)R0RbsZ0M`K>mYI6)hd^tb?OO;7B#O$4VZ+uJfOTP)q zgYqkK)Hu~Ff@F!}n*(O+6&NyO3P}1*hTe3KLm>yo&hr};9h`@S4qB6j zVZ*$*El8TGGe zRnZ2rda0pvczC`Vj-W$F#FQ}u-)U*wDyjAB6PtA!p2r?(jdg>UNz zjY;ub)ZhGj%=+ehNrM3x8S|JfGUf(p)O{Zs=7>{)F><_F{Gln6asVq6V>8y@$8kW4 zGU>gTA*05YdxA#1?J4W=pvXb0y8#N*V)UG1^SKF(V?^=n*{IY_e@HV_U-O3-VX`@9 zlB1|HE9C*?H#|BsSOFb>&`CK z{A!+uI|* zSBjYGy*Mo8G~}*q7|tr3IqMuwzFPIz{Y5{^T5S{>&P0-+vH-iZUTEsUWp06T-)aK> z{QZ&EWYwOXSORMn{B_7f1m) zasC%OyIDvn+;RCF0cGZafe0;M#H5rH7^Xf+B3%T!BWn9YIYtB=!j$L*TJL0Jch_f( zzODw<_bS|QS5@EJxZ9$p7)B9t$q>`RNw$N}8;}dERGI&lxCpoSZnyGlE9j*MQMjEEJ47*|IR1sYHu%k_6iUs9?pX*J{WPV$tTp$@IC@q0A_Us)=-Ac}+9+HfMJ!!jc`M~c0-C$go+ZlB zZbX(1`7@u0p_Fvd`8aCdNDGl_zaRw4?KMZj-3(o`>=7GXKYx<6flC@e(lbg(Lu&^S zT$(Yz9`rZDYX3=v*kxlapgX5!{U3OzAh^I}GUTQeFceFPk zAxG6<1edQ0F8H*C7~CQ#Gf06{CV+&%mzZEhZ2zUI17o2V zV?2;gCJOV#0%*%=ID@z(v40eBAHhWGjfx1)E`ln(t&;-XAKm{Z881A?vSBO2oDu&d z&ig@^Y3j_X3o2N(!qpoM=CIDgf}Ra({Cg@ME#Pwr>fTnhceWnA6Gu;Y2OiPeIO1d_ zvj`coG~G0Yi9&{MEQ*?4id*@M7C5Lba#x3q4A_*dPe7xoc<6dGzSa~j>kqwe+8E{@ zw_cy7THqPLP)g>S>rno;)=?O^cGid&!W}HkF90E$RW@%Zxeg_bY%neuI zIu|9Yr$)5!JEz@KIZjfdpnM#;G9ZCG>T__E_v(d)rptyzw(cmAGabFY0>*@5ajvX# ziSL7rFo!=Jj@FH+b)|-j2pS}j(&ca&XCR&fhQ|4KNjjjBZs|jPl7M_a7z>E>ajvr- z;(0$xkI+9wDV&{5S0ayQg)`8JL#H3!JB!yph5DjstCe57e|_u7{KIKaF{Wd`K4`Nf zfxKo!Sr-SrAXy&l{uONd@HC^h)|ZqAhk0AvIb2S1gRk{6iA zu6_pMgMI@D;(O!rNX$0OAq3% z1{xub@UqoV7b&N<07SftzJi&T@pP0#iLWgaM=bT@rGv&j)k+Z-b^LCHNS-gcdjRvp7$T033265B)iOcPsK~P6=~n1gqw%#saEW-k1>Ki z29HL9ZgHZ(P#Y4TFL~Qn3Tzs~LnZ%q{vBdVOP}H6+zzGx7tnBsAf#oB)1C%h$82(e zQ=kL3N23fIQ}nzJ-TqMghNy?rjMW-RSMKhx7UIcDPZlHLvZS@Z#=zKpR*H)Fr=fb_ zwXr%`0v+b0`K*>?f{`Hj)NH)HZC`%0m~rwov-9IE$a}-}h#620HtwWZqmAW|-reg# z>SoB??)fgV2R>Z7s8l~iLgA(*uIh54ti_Su-$&Om)ghl?j@|Mg`E%>QGO z$yxaO$n*nY>#CND#d8jJtPxLzIt_Q4?)99wzdp!5dHX<%DVa}RsK8AQj1uy})qc}07B0q`fMkTbBj1@|{mu-y!J)g-k_NZH`} zHliseyeqbBL~76Au199nIKMMD6>4XpvZ2wCS#f;vz_28wW{6ex4`K8K+uJoOyqpV* zDKF?qW`;m;@wp`bQ?Wn#;-S=yLJ?HN_55gj@a%?#CTTlts+>GU>!zle%&_09)mgwd z2gqoFcLgG9T3=4tpP6<3S6NY{RQU#rBna*_)nCG8+0ljxIB z)Cx()SAZ=OoFjwin+!)EQs1z@slK&Pmke)--ZJe@BKjQ{ezoUB6sKnT{5A874h9}f zk6+7(-O%M0B;fBiDB!0<31?<+>f-8bmXtvTkHf`E!c5{|Y)!()2lqqH%-+J)l7xkm zndN^w^cpQ$mkmyo|2p(rsc9{kJ1CzdhQCRi4XVE~6sV^ISxF;n#L9{>Jbcd#ZkVu| zX=Rhb7o*!fui)Qb@)@+Lw4I$2`QPI#sOx6*5}P(*lBTevXS7G{jB89M?o2hPh!-Y} z51>UYC=?~l4mPkPbWOE+pRTTi+Fh4ltb41{fZ+J1yuhmjeDji$Aqxj;#}(@&^Y8wG z0VUIk!SPUonvKyPRqhvAivvnB8LcS>UKHxQnUX7REn5q87TK`wa-)x@j0$;j7SuqV zqt-N9u48S+3Tp$M2^rh?)$!rb7z3>lDh_i-*P(#z7Ddnz6SQ^CX7-2oDf$fIq6~xr zpp9h~C&{%qZ|aN)g=-P)#E19%Ywq`CHtkT7(P*-o>*-FppF^cq&Ab4)iotTPL}D3N zUO-AP?tP%cF}r;yE^kA{zH$K~stUph`?xS7^NJ`Hy+1MYhLCI6v4vi z0+(37Jd*S;Mw~V(>ndrfcw(*AN|Wkj^=#*V74*mmH_(GYW39`LTTp$26YcA*4Bn!m zAhcb?Qs_%oA^ur=v!_~W12u42>3rd*&Vp#_BFrQ5yA*Cjr{YAAfYO!#HaFgv)XB6|0 zmQS(G8oVg_(62 z>fHu}qp7?IDQkbe{TIR|X6#CWjhpnpA$wQ_-Iq6ZiS|ReObgoPjXA$$VOHHg&Dw8X zdM)g+95jgyVv9_UXG2UdMgInvQ{S`8FprCv>HLBoS3}-{d2<00ixk8JodgO zJ^#xrKkKI&OOP4sjrDZihOt+A#-K>b3_AG8I_y`;aB_@nTVA@)e#pTToUxghT+~Ka z=N1;nQv7VyeLbEC5$+x@B6jZ<)93n+ws|^7a>ceX_BZkaI5LXb7Ilw;`LA2XaY4K! zWo6dRgqVhOcoR~mtuIVR!2I;uos>#sVg1wB+im6{cYRI@vRH~}W5ATxA3%LbqBZ9 zNrSQ63Wtbc8vYhRU-Vi?o0M!Yp#)9rK7840EmH_K zK`M;DEZW-FkVYX#~8sCj_EuqN2>!#z`$@;ywUUOG#ovMso8=~uhg|K~4#Y(Y?;?O|8mtz({v;?2LS6X?Z~^cq~qk7$qgFx>`^X{?UJ4 z)Tb$Ky7+b_TJDM0;35lQXu%_ZAO~x?{a1`9AnP7_UnL5mc^aIY^!1D0a_uj%8>ti$ zgx>mZA>}w);HVdiHlUUDHHQf90?x5>Jg-D#PR4S%i;;kobL0l+q2haVa9q+9cZSfT zL5Z(B6*C_sr1*zNqtb0Fn0EvWv>G0Z@TDOOLEODoo% z?1YzqdT@ebmcY$9SJ`tpz8cZ@P_r#r%T0oN_{jNMSxTZ1OSKtRro0}-lgVqrutz=1 zQ3zC;g8ZFlCDy6;$~fkNr!J|HMpUIs)rx`^0dB#!R@4MO0uZofIbXX3mFrXe_K0tg zo9UlDN#6mIVBD<#TUUB?tX$Bg(LQSply{)agF0ssgJAGYhN^kMd~nSJ(k&vq!oaHM-7QbEOtQ`A#l@2e|YQa z2UI8ss;Y2qIsXF+jh7r2X;dNtgN^*6FfTfJX{fD4T#)(t0jTIXjGRb7$SxAhS+bA= zX*VcxCT{4LvIt-vLrmKI7$x5A0Xqvqo6a58PZ0z&s9hnf$Q>{aa~iA0APoZMj~?KZ z9ufe)xjW~b2w4X{EF;9i&7%UcJ(?ip84wnj5(C16N&tey%7QlQCC{GQ0fB)oh4!V2 zCL}#oN|K?OATA6^GSVNg^@~Pa_#_ua36CAMoId}W92BU|Z=yIu1d&&0!#YqU!*>oq zi3(%YnoI2jS0mh#3QRJLAY4-fp+SL@Cqk#IM-zF6!js3*FR)0CiZZu+$y0ev=ceG?)8L<^#W4R&$2Y|}o?fic3$uzozKGRxT{Y_y z@chlS!;*6L*0b&TWytleGmZCDL8qOQlPO0~{|r2Tc{^0|gT60@T~7>se176Xw`}Eq z*G?4edup`RHw^hsJVh@S^5t%G2VDF&P5@@$r4|k^y%}b@keIu-eND7DGa4t=?;1|3 z|6Fu;2jcUWn+Rv)|9s5u<{BkBd_1xBWu=dIxHJpXPt32KhiqTIlvY;A@?{-(F#C8q zego*a`0u+ybXKO$6}>+Dx^eQ7NB)tW-|Vs{_Lv)YSqdoig(FKEe_v?N3|C!p6T^xi zq${H8ML1IEpDbEy#1}|=YQ7(P`D>YGEn`0^>_Y}2uY!J=W5%Bi!5o0>LKT#iT$iP1 zyfDUl$*O8lB+A9DgQOqR zGep_k7K}e_DOyQM$kkeDVM~Y*;UXG)#^(0b^>J*gR=<_rP=q zzCd)1ix}t;o{>|eT4L=mc5w^P*LPRRT1Xq)Mk#*72CsHT*JFfoEfyDUVHfKVu1GVwB zHhW~^xd~3c$Jzq}Er~lwnSxH=r&J(?gA1?wMz6lu+$O*VK%HznD$eIjzwS|DKA5$y02J+-)`XMr*b*bXl{{MbhDPHQ9WsSd9K?J2UskPCS#c!q>Y_NurPO}e-gZdDT` z?zjT(wqpv}YkO#2|9L6Gmvq5vcX@}r(IA+V`R_>`*k;knX!N{ZXJ_dRt2XDaIjSCp)%NVc{J-J%YfzBwSM}QOpo86v@KTQ z=}0?jVa8p=?eF920rO~^hVBO)p?~qJ1`z8TcJC|C8%&NgYgg0H0fY&2xI$Ap*a=ox z%1kJOxG~R;xXeq#`)R`^Uiy|pFXuT#7D{kCIkG+8VH_E+H*d8C6=!Mhqr*laZ#U^Ot{RB1KbL5<{ad$XbX4>GXD4llI z#kN2UR@n5L(mlCy2PUz`y&NtKbm++UsNqr?Qu?GHqm%mruD#qm(Z~Jg<%CGg_w%PL z%Cxnk7H#3gMoucfXuhl(5Bd~ah!wvDGS%{aW1WvWN3c682TsQ(Y-G4cD zp;lnBi}TwN1q+)0le#qj3|4WX_@Y6|La&5ZLP^BddtYtY;9YGq7T@{`TDh8Sdq*H0 zezNjUO%38~{u!0KQniw$-PlTO=N0w^{N0+5m*DSP?Q|&VvYhggU7el7w) zaB|a19zzI72JnxXarCD~h=bG^t!+_174UyFE`HF-+qE9g5Ct?r>xidXo@}V(H1Lnd zmDTujmYOvBbcYd|Stvg2zZ3(-73HySal=hiSr`Yg_c$7K0~Seny#*l<2TY}^x7+8b z5QLF!pUiQY((i|3&%4xK^*8PDb3sj5T15gVKNf_+z5&|Ym$y=f_nuQ*gEqHDeoY(y8(-_xt%Fv3K2 zqUq6C1%A+4Z{-IY-18%4LMQUXOi&v95G&_^pjqG=$mBfysIRJ6yG;Gc-Ia>3P@Hc- zafqoEx`r=CVd`cNGP-nUXnQ>h`AvI3!h_xF6$bzrKb*Xd@k3z_>34EN$p5N zRCpy4$$G64H9{~L$g5N_{8{M;LH-TUzkxw?tQ*hUCPL}{!xh_oiV}Yy<8sRJVQgM4 zPmd$&EK&s&3DJ;ug1 zp1Oz>^5W)La|Qk?6PXted1Os$z(1UW+66v^1-Qd960R3WX-B3$brq>q&Eo+&7Go)v zd+N+(&1g05QTP@bh3fN;aMY^{RJXLK!Gz7_H+%(5Bafk40)6rI39z*hcY=6@!M!Mj z!QFNd?tV1ai81Gp%AfPS=kc@ZfN^iBk{wDo4eL*54l(PDb@HlR#%CtIKJAhj@P%*C z0n?LT{~zaKWcPoqq&zZ69Pa-I^TNi)#`!mwG0ovG| z=fRrxFE-Vm@xjLPjVowZC5NWeX`dGxvElMc$zt*CY%S_O^^ieIT=VhB2EizVa?q}h z&O-Qk4ieBi-A%#ShnW`xr{)Q4A{n?i1=6`E!SklKF75*Q7~!I$cDWXk&*7r>P2;4K zx&X1+-z;M=}tUCo!>pZe9f%*1yU075)UNzk6YGHUK(oj?c|J;R0kzC^Ouqz z>+L$2W~VrU+rz?h(3!nn)UMNLgE%6T<$#CvkL{iz7U;2}V1S7Ev;>?pK?BX`U%!$t zLR#>}$iQsh!T^N*pbPr%jR9;fR~D2ISooUP-Sb_C?aN3`D@0{EUgfAN=GFKz3yTYD zBZ9q-Q_&jZFsT96ma>y=g2#5lWGTW}7FU6C^F`WrWIu?}wqaJpT4M^$b>#O@I$+&g z%Xm6T@4Ep{an*vRoC0l7QIs1m=Y~yG7;zqjk4EMUWnSG$6?1X}YeZ#mF+(3CSeiz) zV*id~*rLH+pA@#81n=lq9y$WTA1P*L0Ti=o-S(FV+I*MH`PBrlY?VL7h1nSCAUqTs z_e81>@LyehIb8}#$6)S;OqVI3>i~@iX`J^T5W9Xzl1f>qfBBr|LWS-(2ueL>0azhH zf$x7nruA$+rUHmC#t_Qsx06Am(5KIF`!fu2M=)Tn?tF27#rqGyra2llZVWzdvD_eO zVMnNRdw2+m1fA+{7SN1)!L-|W`hK4x_K;EQWa|HuQHW8m*pBst=qR_F#s@N?El_OX zcOm8>J;#h@Y@?$4x|jqG=>Z>iHRX#SLgFw1*}KPhl(ok2`^EOP!-f%|gOd@2pi+`lbnX1R+R(w{GpI zS-ZMgzlpC@#~AV~u3Ha#E`X(L@M8mvF1!UFEDC?{uYa6bg8n+Uax&x?ljbur@<{PW z(;SBLhD?!BNds#&`$~Kl-Y)y80wDc#R>`(c;qr6FqwU- z_M7vUkMn&rFsWlIlixqTB0gr7NA6L+!$cNsb=s}!K9-?w9GTM^-GMY9hO)y{kE&%+ zFcsZ;QQFQmz8FH|u+ztoYKFfqzvdoiXzs!U2d^o#@6jEB-dkPHVp3f1;W zuOnATA(-yIy^y-isa*gFit3ESm68~@bcYDloI0g!V8=(!@G>au6$zHFgU{ka!Y+X< ze8D*Sufhg=&NHPAtoPdk+6PzRC)#x%eh3i>ol}EBRrkphKyI!9p#j-1+?HadHZf5e zdNYuwHT?>Btol*ug|M;;oAwr5li3P-!>|h;c+zfK3m)@=^YkV{^F>+ zMxYoWD(U=rve>+Y)7?F7 zTre7WM-PX$W+P5|5y#Yx!o5mejuFE@!W{YMK^zokXlK(AKGWvKlx8lL(R7A#s}9xX`-w`YqQ&*@NCv*044ul>$;ZzFI=GhjywFfd z^v^m1EZwH?`E>vN0rlm_toEqs7C?X}_==$c;dY*lT+f2PyEFfU;<4v@}xmm005N*76^c0`u?C6Wvm)lg=D zR+0=(^90605-GXsw;dl~HfRaQ5{!=4F;SaqAYtS#n~(7|L|tRcFka_tkndR1=X?qTJr+nT0uAjO3kcf4&Wk;afnJP>6E zBqn3oD4_JOH@gHYYHc|Nqw;m#RS{0Z9(8YcYrZ;kwru7XRF?Qy1?EKG^_fTCZ5rhJ zfA~V#5)k!{H64Tn@{1ssK;f;gExzvpHP@}Ip^d!(L5j~*sQ5w1g3}tkIU%5Tep-X9 zJ0B|m`_}t}hpGW+m@wrE`n27c#K1C!+D3~Hk5foK*m{{aNt{T(5Z2}M@%_u=dpvg8 zNfG_-L*}~N$ns02mY-frVB2&-CjfS%sLs;s)1H@7#fbpDFm9F>z7xC0GoJupj4wKD zB11=+dHD`%B3U;cRkt(xK7`+F=OS)(IR#fc`Ne6Via%Waz%{jpE$WURr`^;@W60Tj zG+ub3n?`{QTntxHh?GburyuFTyMJvp+kglH(;~I7`tnLf5wi_}*$ROPuYzgR+VC-D z6eToB7Pp-t1FIvk3MU>FSj`0{d^Ufz6V7Tn`Sys-lA4rz!tRniAM}7QN$u-4AEh#T zl^VBX_uEZE;SC4xm8wR8YL@NBBDt((7z?dvGc8EF`alw$Tg-=ODT?CQ-}XRUwm&`& zei?=*79$3HXaYEp^1wo&?tZPtcDQq7SaZdFQB!o$Go#LbgOixY0!-kvbowD|rH?p= z5P5YuELe1t#&MiT#>H@@pIJE$ZR&#Wr+^@?--%Ufq5W7V5-w{mhE69W6tmXIy@But z-)JGA!r({TDPOoD=g#VSb+@&;bA9X-ZZyQiJ{dT0LFf=wq9ImD>YfFhM8epCX1ZB_ zU%Y-k>TRqSM4(h+w*d7B(ziyHPi+@o^Nrq)kj2^01sYE92ilcbBz~QpTRlYYu_za- zd8Yirx_JWX8%{1v43K@G< zCsmH{Nujb~O}yuV0_#!qxR4-njc+>B?>7YFB2BRdD*q4$gj*gdakJThTgv!C+xh%dJBRbB28R zA%5k{AcDK$Vs@H1cz9>@5+B_`hC%@YmRjxJSFomz0bY2gGP0MBx)v|KyTdqHqoTpk zJ>91V2tpc9gFvtln&D`)=iJu>IdUca&PednDxQ~;-o@#^Ar@ni8F4Bvl|7^kSNs$+ z%TKi_4dnQvt%n@C=Ki#%7yAjfn7D08%YgIT3MXx!69`#88={nRP*R#&-X0JLsX@XS z1(1fml?Y_FnySBrh8JEFMiJiY$d9-*kk|gH;*jb))Btc+zs_NO)3WCbzUX2B(J5yp z=__3Y!(lz`KO26egHX|bDpUU1LNBqEDpTnPz7;&74@SRZPyv!UrJ6HK5`EJw6lmL> zQ-|Imb+nD~)lBqa{n}N5Z<_M!_PNZSMtXEd-nGb{p9Xn}QMos`^D&=8sZGpAOgv{& zF3Nr45O_B89m<1@^4a`ywfL*!J+P?MRrIg0e}jbK=aiiVVu)Z3Ga_J5*8|~ZrbBG~ z9~sQ5-UYkptXaZ;mByE&YsKS%@+^-4O(3V}ZeIc~H-uNIjqD0ai94+?g6*wDbShHl z3$1r5Y?BWksUe3zbQWYg2WfUMgk67h29|5x1Nii6hptTHwDIIYLl2UC2@Up}A{`~2 z2eUAL%tI{a;D{1igPTb$8GGLern9=H%Sz77H5*dT)c3tf;i)`sNQ)xt@Y_fwe??XY z4h=O4aQZ5t1s%$24gH6wP5FO#;{AuGwy2&Bgyb0BQr-xj`)m!HO}U~yw#})qohc&V z){$H-dh>HbYGmj@S{BsCq$0ViJ5xDlG!&#UbJoa{#&S1o^?o!E(D{}6Td=*5Wb*6 z#S}FDuckC>O@F+pfm&7y2i4UlX}`eO7G8jbR(7gGX1Y@muJZ^M&;tgs3cYXZ?gUPm z7c-}-V=N*WXO?`2?owkrqXjq0 z2=bBZ-K*8pVWZW^%ci+cR05a_{~#a3*R=C>d^j+tRveJ-J)mYBqPDk&$Eh%`08 zcJLWGGv(~fBX@@8w2WjeT?Bu3k_nX-R2ILxsO!b*BvnMQq3D0*`PPr2zKA}FLqF=r z2%#99u#3hHfz4tP5I#WC_z{CWVypYt_v7}pS9c6^6NOwJza0=!G6wxIpeIKxgQd2x z#0)(`r(XrJG%BT5wG!eyN4G|k|5`Wu;7=b>YM)?6eV0ffwLa+tx_QUh zKQLTuM*Ctu>lUK248;&4d14CptBc8`Iib{j3x8=qPhFvIKs6L)AUHH(J_-p@-Vj27)3pyb2$*BQ1 zh0R!o%ak@a1) z(S51^Gk*PNv_9$0nysF8CD%G>=cJ1qei8xd7#(uWqh?*ttz2mwTy05{abqS7*)TfFmZ9!4d;O?78d4>JDIw(DuS;Y=0 zNNp{2f^I#~V2?wBwLcAEJVZo*FqwpFI9B=XOzZz~h!}1qk=&X?M5!`NbN~cPD-QyJ z9eA^Ol{8KSYx4ctIOu20`AYB+t(#@!%L>R`qI=Phh%VYXzLcG0|Lh+Z#2RIhME5s%xwy6k)FP zFr7_QZhYQ#5lR|{TeJKwTiAJxZLSvsPU@iCMvsPzf~6P>w|?*u77#YU36KaSRy`2?jEs(bv>tZ-Ea7A$%!b zawv*+M7_Bp6R{HIDeTDhODkpDhc|uNvDE+#ub}GH;tEmBzQ3#PjEfVzxd<74;h#=%uEm3n05EK=y zG<=_5ymSgjKg*g?xa2!62*xI1xcpHLY|B}61y)OOg@xo-B1^L`Vh9Y!DK+YObhm8@ zY#DvMLra9TXY4PQO+pO9XljRL7;M5m(;wpV$abFU>ZCm(x(R^T##}*K6ZJ#DselW& zSOMX_R%fYsy5Ml{8U-H%WhW(Ba)V?7mG%0&@;j`@xC~cqC@_5;L_93`jV_Q-zndT6 zKdl)WB^HiMNBj2DqT!-R#;1{5@;Y4}d41ibBgh(Lop;Y{%{L3CAbAd&U@u1GzRgvY zr$&#F(LL{^DFw1Es|}wSqI%?9*)Z-d&0jp5vb5n-LaaTZC%vGTENA8SN>oj=Q{VFt z*^9SKi$RmvE4g{|Qa#)Ts{1Ku6hF%We&m3rGcc{dpBmj~eQF`-k>Vr*d%Ic*j{w}k zg;h3Iw6$TTId{LWKQ8iDL$3e~pT-Z5UnvJ0BsJBQW5BsMPE(92q&Tjs5B!&kJoZti zX>QVG|L;ev@m!A;j1wDpVjU442)z3q*a%}!mTxjKvfrS?U98uwcH%FkvUaFPHx0R9 zth$_I!n0w-b8r}7zd%YuHArL@YU6x|COwLxC)-5-ta7V|YS7n~i#xq~vG`?sIn3j4 zuw)-#P67+gMD4v9f^XO-7jO%E{$=ykp12{<2M|-)PK9=OJ$g4->0CRP3(`#&e&pgA zqp3?#U379osn>7k2VJ#`;sE#M@~VVVsC5e7^71YpKz>NDJtu@m`})ML0@su%K}9+o zh%c-iP#6YH-YPeg4=5-f2TZ6dNphz!s@2lK{t(cJMMhA; z)~VC{?I>4D;}RMSPNrP*^t|cp$micb&6eA=WYv?~@!|>!35x|^gsi7zHUFPThj}xb z?Z4eXp%RhJ+|fJagRb|NC2AyQoE-nvhrh!wY2vSBd_La&ea-DPJMcVUGXh;P&%-V0 zFC+XKjSVzlGHK?aS|ZEr4$X5D=g5Ni)f3`H|)FwzW_xjEST_L%W%~Y;==xk{i%Jxm!0pon)!#m z2;$SGu4jX-^P7a4wu=yt-^}0sJJKs`dJCDMte$iX_VtUuUz00_aGYWc4y37tB({22 zF=))QhQ7RbTGGbMd>nz#Flb6TziM<~&^%#_mWhPPo;aGP(W0i&;3z1&2&8s5 zOXp`lwCqUJ3OcTr`2Oy^CQc=mD+m*LrMC8Xk-K}6bqoR|J;TOOdF6(9K_RCpPy9Zk zLzFne_`{P_DA5+7ur+|qbi@CkYe8W7*q97M-=7b0qA8ca$OB`}A$e;aF zBLwJZ5Frr%cxP_Eq?gq9$||#Wj%uSx9zuruAa!j>!ZB%U9m>52W0yA`F<67jCvBDC zvT3iF%&sjJWUHB4ER9qbc2m$4xiIBg{)t=HO2_s&Emk7t(7`d$bDb~!e9>9|F0K0B z7iXiMC_I6ODR#5lf2c_x+1W|EV~7}s3&z%`GH~@r5QGwXm=*(8$aTUfwYS(5Zyet@$wb0;OV*!<@iG zq4HwD=9DHJf~!b5b3Y|{CZ4BF(Q^dFf};R@?XH}a%t^TMeOE`4{jvh^gF)EL3C_^Q zgi#60C6?3k8I) zodWhCd$iJOlv(z|oJ3h0v7qT9{=oDfONwzh7@_&CH08O;Wk&D#(bqNJS7)G;bWHkS zdUA18IQ!)nt0w0gn2#V>Fxda3is!#nk*E2qfg&~qt*{A$v9YI-N`qp8{_h50+9Nio z5Ku5rqQj0S$?p9SWdGsE?|FBg+!4XDpS(Z6%hVX9v`1!wu_q!Cto+X=993dTxf`l~g5_?#{0pT4#ScS0hU z65BO6x9x3KIYaZnY_qT$tl#)bhFar2-0r)zc?Sk`e+z*&1?Ctuy79E;ZUs z>tzw*lLC+cQqB6TXjVz*OvPA5306qz=w9Um>ct&y4HIH^^@!CkK|M240dY-;+p=HQ zKgVZW49c&V@1RC~ozaUqT0;~F!!XS=X>h{n51Xr3a&nxA{fei#`TfMrAUMB-up1R1z+r5lnzB&P(n19-uu{@cVD@b(}(S ze0DvGtCN{*O8f2z3>f^~=pQ~`qFW4O{IgL%t7t5GM(haxF=GGkt4%>?MOVnstqR|s z>f#`M<@3T;|B^!eAmd_O1{k0dq$i9sEVCLX(AmS^!5P_{?>a`k+S!{guOD4Q(dgve zF~SssUb*oLYwvG~7%5RvK>WKQ?lJSWpk&n&Kd6WVo{RKA{zHp^@PWNLeO(+O|EC=X zQcQI@C@@#eE*)2f3t*MN3uwP$RTldH)PW8h;l`m`tU* zw-OIeg3{o4Vny=rghD`r;kgm{6o!~3Z@%vrT^pUbOEl(0Izc0&HS0$%dgE;A2dJ@& z$+@SdkapzZ_&)JmRqq_3Yb^vY()6hd(EMU1HvvQtkKJ#=cmSU=)SHap=Rb?BAWoKw zgeX_xB5~z25OfLxvoEjZ5Dkp8o{sm?R{qDax1;lORpsA$CO2NNY^V?$NW{I}!%~eq z&Km(5f|!^;2YukhV-5Jct;CyEcL%RtMcyX=me6Z5ptBFDB3j4hpRLPJl4T7aJN$QX zH@;2@mlW~05gh0_FsqFhn%47CP9$56OD4Qg+qp%I!4arcU zsXZr!dXJ2TgXtDlEz>Nq!=r#7JY*PSQK&brWAQI22wr4~j!=P*v-46kbTkKKLH)mJ zX#5yg0YKq426; zCHO-OlccJlH)N}Ece9YR;gym6Jt!x)A69>^l%JEcdb|xm^ z7wpnfA=YCTj+*XE;L}n!Ph}i-W}Y3$mR0`v0AOH(!cKg!UC+x3n*6-m#=9oBDK7H+ zUc{gyvqGQ}OSi@()1xh;R<%tN5fkupc1CE6W)XTb#CS#hiXt#GTb{J@AZX(X1@#Yx zC8u(mBY8i&`H}5E0!y|XO5q@6$92%sQ|i=N#f>@s+|yx6rZR6Zc))Ni9}^nsdm*?d z05cmuMXsbig&T9di37x&mPzP|zTOVH$uqM0c;1?+-*a{P!r+^(RsH)%7)=3mL0`qo zkn&KPU@oouy3Rx;ni`u12)31s&hbjW+0DT%c*b*K>HFY3!&~xOccX33@$GN^((=q! z!Slm{R}!So%=87lRxOE0splFSj-+G&0Pt+;0`sQK(0XR%xD>+IRi-RiDYmcsP!kn( zPX6xxYd6EX8b>OrmhDRXO9~CX>=d^kjb`})!+#hiX=Fb4_1PnRk`85n`OYx$jHIuy z2H~Mo`J}sisw#!g2F3;}p^W<<_W;Y7u+t(Be#&T4SS&cl1rEGY^E#?$-Kwa<0P#;| z){g?`OBi&*!2(2?0byX`R76P)?HUb9ZmDk2UiLfcs63UmU3GFq|W5<5T=orJjVW=xiqH{JJUfdnMVU%O<0-(PS40|?y zO2m=uIr88eJ?ZQ>E9;YYX$29xm z{Dl~0Bo5TY?Uk z;wNMp=H6=TyV$0pkCB0DH7W=p!WTChMSSpocASbjlt{$bGh&CU1pLM0?#YrH7GtIh z<`%_n#6EgA0;E$|h0^%%$NNtRb-fN-SI;68+0XMvzX8kM@zn{0UL~hpYlLG!qa6w^~PeLpyR#uAF$v)=uNk0Df zi4dUYCEV?E=bv@EzUFi@Qey(MFKuOb;x%Onm9%KRD^zW^=Jz-pmhEj)ZDFRv3yoK{ zS-2(vOBGnC*ykKsYM~wGs2qCD5+IID4_Pu}>Ovn*0}9E5`%_)ixBLV8Sbt&pKU4ve zh3o%gqvK#pBl_PdR9#v!9_!+0+YdC0IhV?)5VJhLFkT3Fd(&p{HQC&_TbR zN&#IS1pO#b!f~z*!Z=bdS#1wf9)!D=1be6L;V=FS^V?B0_}HdoDN65D%wR@^Bu1>p zgQ905kNgBPsagWWn#wqc1j|~$98N~zl*4yo>b{pM_yBziz}uHQ+YLa{Am}PYvN-)7 zV}5MNGku++%V_;$o%9wYR#Fs8BJo1fGAQ}i+=$uD7bG5EuSi{B`rI7H%QfFLKqh`AiDfGAs)i2ZrfNnh`1uXk<4l}XwGx730S(9zMft%MLcqz`_%Py_$`QK zur8t^w|5767><*yHBzF|H)#gLi)rI9u976f+@NLc{7)Vu)xN@Xh#O+6QV_DmX4dP< z2li&Esw(zQ%~yEi5DBbCrPtW}kcpD1Q8?6bgt7h(piu2^P#jiN*;<9CE=6s_)G*>* z>+0mPV{re8bbo0U_tMT2H1VBbvOZib?e3K+r`$*8P_UH21LqZmD$Q|PZU6nw+R5_0 zN~**AZ{}b6Q=hJ1IeL)4Is8xr+M;aFMt0=H&lukp{J&dsXZrViay87f07ik3FE69} z3+Y540MNnh_*Z_0&(j6>Gl}cJQH-n`+%G+?#trRj$t3iFaMO<$eR`mIT=_C2Bz?ee ze~-bMu{!fF7AyLk`V&wbSvkeSLc!%0`icYR@ODGYTp{c57NrunFRwNhd5Wd|^MgR# zBikn)1j$;y8X2<60Nu3G&U^up1n+7CU}IJ-0A@}Dym&CS2+9R>KUpQR!0#Yy&JBXc z`(riG(lE@+wQHaSMW5)(_!t6m5UEBNG39nfn*KGD>&ZLE=f@1AFd#dwE0W0o^6?pX zmMfzPMwQS|O=psMJa`tkc<@({VB>T3$Qi+!? zKt~J5Lcv2Qkt6q{QE>+NpBz)MzfzsuT4F8l#|Xvn7<;z*{<%k$x4ya64Q{#Bd185M za8w{pU_tW_o+E4`ky!|y7IY~W@5oo&g78h1g?~-(HR7GHw}CIJ$c^0V^Kp!D*TRX> z+yW3T2Z%D*9$`KNHz|2cclhe!aB&pu0N;jdkO`k%fbio;0^qQgn72Jf+H`OK@dSfA&_H?1H5fU7vk z$Dcn1Di1rFD+S8=fu0jOHG)?IePbXsyQ)8T_pm-*61;G-^)R)iLP!XjQdM^uiToqc z6l*>_+7~M55X*|ZEFodM`i6|uZU{%eJX~F!8kd3U$Y^sWuv{sf81wk&4-QM+PeqjL zwDx{v&PYCjA!x959R=27(XDtNfKXd76Jdzgio9i!K88mo=oFOR32GmHkFN4uN5XbJ zbeubDl_kq_#5S>p>?}amaMV3znpDMRF;PZs5mndwtj#nFc112hd7w&j5X@AzDMebj zyoXr9NVt7EF%1g#!+kRVHbKiR5T;(bAC_(L?n*w0lpM+ApQr1PBoa~x&_ow64l|I- z&0N_cwWnZz;IZ<_bV zPiKT6Vs@DeJvE0W*GGlkD98cb&7Wv>Ns7CBbk`A@F1F=3B=v4*dHi5~Cj`2q)_h~% zlcpk@q4D7fb>?1g!%iR?fcL9c&W@vJV0lO5%+Z-GQ+C00<#&x?M{Gu3=1kE`hM;6Q zS}^1x6(ZCHFgh<0H9f;ssVw6e#frI)8Eh3xA{U-i__bf?gHD%0xz`$5oC1$DWdxwOqvAAm<3B*se_|H@=P?9kO}irjMr~oH2EIaq{gu>3Z2HLoi9zf5e^fO#_7(vi z;C^_RNRH{StxrfUsi3sF4q!A8cGi}E+7K=*3=cQ<<|)8NYxzGq92@h0RU}0a4yKk_ zRp3Ukf1Uphind&40_TH*aIm(-<^oH?At-k~jG0|5O#A>>kSo~!?@|E}4)!$p24D(A zPNx6sa%W~?|BoASN!!i=w+;Eb#-J}wD#~$e&j%ULaO7mLw;6Nr>}fq)iSjiJLJU~Br5fYU@At4kj0GfHq z#rDqSVUO8c%Ndn1r-srIiDJVNQG{}5OGZv#q*Rqiq{(W=ih?Ody6%o(3)j(zJm4}M zL{4cj_+(3MDLNnFY^eSFZd~eCSAq*Mcc_pCD#RqmnF$I9OCKe|E~K23&r=w55CWcQ z{vZlcBpn-IpxYNBo{WePjT;?lib{y`U1aLJpE{dBryj)X`wP`rjWN(*wob~ML>}B? zOkD`n7_APY6FMHWJ*dny2!^R1S#6Xo%wGh0vnn!dp54~-8473UnMe$sD@{^)j+{Q0 zq0c$9&^Y8hbO5!EXx4|8VDOb3bPAR|wJJU;1cDrJB}PtFLO~F47q&l$isKH3qW1eq z1!!f_6h^V-wNM$Y0_DM2jH{A0yJWt`l{_kiPPt-c#)M$Sqj zVp!RImA6WcYAwHJXL_UlQw;&@dGLg~2=>572VDeBehfW#4X73ui@}b9QH}hG>|%!m`}iZC>(t!%$OxrJuHV)+@|A`-~ck~ zRGo}GYON57=&}_18#{+Yy!$@Njq20G&QC!Eh=ylxQ(zaCL5#S?PBA>49ku#KY*PUs zLY~t%$I>sX_X?)ELSIfiY3-~@pgctf&TGRV)09^QjH6jwcC9j&;-D0L zoJm$$OA()Ef85`z?+#&t-R?FBN=DtmBB{>`zRx75$V9EHR)FK&RxQop1JeyLIws3| zb8@d(TfV&h?a-RN{G__kR^Y3RpYR!gNRg*jX_D?Bw~*Mi)F$ZR@WCPr+>)(*uX8`9 zb4uwjt8~lVPspE0+Y@=yM-p{G@_>lEwr@C9&pCkHFlcFdXG_sJF-8x`M2hExtsT&@ zCo~wj9YIfS#~a>*z))k(0jczCiH;Xb6q^#IiC+)^D-S73`oWjfgeY1)#iVhXy!Hqps zl^5N4F%~g_n(*vJ0`|}R7)O953M4UhfNkU@3#H&sl`30nVm6ASxSE-P zp=JgPmg)v;8)-iH4u}x!3F`%rbdc0tqIzxj&mOX0EdamY(w_p^L@+X^d*(d_pDo%v zm$qK7>lf$^(=!grQV|+#w4ikszC&8;$hKD6nb+u8>Riqncjd~}l-fP}O1zmFxu=Ug zjFEYR!_}I*^<+#gHVOeavnWp?6aKy*t(BE#eE+Ffuf=Tqeq3;5TuB0~4Kd8*wfOM$ z;4E&$XeWS)`N8^rS_X7~ciN{YcJc9j?@jFy#+~oH3+UxMk38r8@l5doTyMSLfnqEATJJ&&Badn!c=Iy63snpSh|UD7%GCHt{;bHtr>B z6GiKQf5YQ4y^1Yk8=}ScSN5{QLIxae83g7<-nLcQni8>)B1J`({``A``+H}RBfV_1_;&Lqr!ZAuC2Ad+G`rv9x(vECcKLVSG_iS{vDBm zA&()1h(piCo^+ZXA}(9xT7Au6Hv`&A%P=uU?bM`F0UQK`Vay_&{Yr%LH*xea1H;*J z%zco@g}m-R;h1@u?qq`qYG1_-T20$c)u}~$<>p-HHV^^54VZe}dRCSS>T%DPUvPK| zUbhe{nxF=;=KugA3tMhLgEO$|6(eix7*-4fjZ+D z2|A>3FMca<+IA}ig3BHj@^V8(dM~<+zZg&I4w(%_jxn6e$W@*4+R|0kJbf@6ua!Q} zoNx-46va9auo%k4K~@oSCN!N(;r>WOc(ZjDvTs_2kve*#sy~Cs*B^^*|QV39z^lJ9N&lNCWM*hpTwL%NG1Dgtk*@ z$`LA7v)+Zt{y4YrLJgFhObGpeqgLGnY{AgNbu+i1!x%0@8itvxw~SR$hr|1|L>1y{ zzKPBx2REsR({xh{J-x8+kN^{hauvq5Qlz*e)EodzPjkaV2{#WwXkN^zi3J#lmcp6a zxfzs2%9)Qb)m%xSL~LaAf`v_5@yk9Ei}G2Y{4Ovb=wNHyyAqikrpxd=qwhgq_o01+ zSU*iN|Fss%ez+X#TIA-a$?sbDL|c$$-_(g3QICsgTqwWB|K~*rErxXn0IoSGvq`w# zzYZWrxHu_)i4#`;GgFz%cv!e-Iug zW6N6w@GU6poP~!8#y3bIL!$owU_UHQ_WxHV;b7zVPg=LCoXa93T-S?+Cnpz6%nD)s zfuoI4T57?p6(}A|xa);I3)x+4Qd4hePpn&>!s)b^E+MUY zm2fsnNvr4+-F%{=QbD3iA-KpBe0%@R`dF)Z=2n-JfLup6?mE+lcFz~ZwsDD)81;}E z6gpX;b06S0Et_b&u|Xc6s76~c8esx0rtogk-L=P(|IWk^iV5k+9!4e8HcW)RBWLF+&zz&qL3j78;v+z^^?hfru9_$sr3FDufTL*OjhIo2 zRiGYiq7R0296os{0FUr15+X9U{8DeFMkL1L`$t&yH917ZgoV7|460X)x{&%!z>%PD zg2Vha`(FXFue(mU8*Ba_VhzMib5tSMvw=N`5ZHyyRWFbmla1D5#Mu?h5#*Kf)@Fok z`B;EH1H+Fv^w<$Pxi-Wj)}P`aFb!-IIKmwL!-*SW8iN`eAJnnjVZDY(<%5MIXK0k- zEjW|LT;2{+%PXLh53j9^;eR{Y$~3Ywj)2kbcXx5Ap=ZfEehb(TZA8gE{>jW-Ipz;x%-P~MhAg>l4lCzwJo>sd}Mrgdn;J_`eSM*vHaJdccbhg z30jI;kB?ujhkb=BI;=dzLWMssCdTQZ8j^{=voyS#4C^jJX?SeABqqHEis3VPtbwFN zS|;zIYkT5-mxEL#)c3#M(6qM)5IA72G$l_kG!#x2mj5ypW+EmQ4pz3bzGVIS{Kh4VxAt6TFSAjHFfoQWkX>~g% zCxO(>&2xWn*17IM1_NtSOM$?}1FG<`4nriV%q?#AEUoPKK2uM6;()ACae!bE5i!5= zu7Qws;)_b4k>C`8xOD<-cbGGQ?0_oVf29&x#Qi`EO*!mc+RjW29v&YLLp8Y>H@UE4 z78d{@TeY}#fK&zLi6k`QsdnQMfg=TVW(`W1NR&YqX=!u5ixit#T--sqgMb`BaAi=D zR?nt}z-?ii!8*+#%g0AS`PYJbf0$B#;(+;fYo~zg7#lwEuNiIxK(vB>Tp=>kSJ&1- z`v_7ET>>&n;Gu4%lym3SwlWBSz_PxLpqcQT$o>_MXI>{N-Rk`;!;GtC02s==zaJ z{#kkc>7hwU7jC4V2En*cX#s7f(P$tfd>9DP?4JjKmfECr-vVZ z&P}re9Z*R?*jwIwaOrZ$=(dDPrBkPWVfj-{mwZfY#e!azZR5`$cNnDnCn4??2S6Ilav#>20XI| z{6@At`8)>kq?v{^G(U-W9Rd7yb_Plp{YLr<=LD85{6WGDkh&z?_p<5i`5gt`OZJ3V z50YN|L(C48G$}9$Z>ap4)tUh;EB!N@l{YT%cwF|5SPz=M_+8M_Z22wXU^Dlf<+<(J z3pl0AyAyacx4P%3@07zpJvDeuo%{UP% z(Xu~SjXhL5+fYBsJ!&>T489Y`uMEDhSC0(7JTNCccXp2H*>re9Kas=lzwTdAf69nu zb@A|b=qCguo~w1fCji@%MDaYMU`|fc(;*D274)rN-Qpp$F-9J;hMCS9EHPnyHgk6! z6QtC-%yMq(yX^-#0Lgnr#P{*PU{BSzC}&YCPBNgnnl^>b8%ZyXMxEn__PG1~VAs8` zD<%o={>ES=5NvyVH<*wPF+dj{bn!V2$zBNbo8{8rnlJW#%GXa{W)q|ZE*;}OOWDqn zGiEAqOy_gnz(Xv_Z^LViuZMNhaD%r^>6q7+EG-@j>1_u+04cMBGbBHG<5ie0S9VPk zLUTS1nnlf=b|`+N(_Pe_jv>5^;(HW6$%LV-7iy|Vea!Tky~qe$U6^Z`^ppi6q8GJ( z4>)==q|wK;o5As?2}JWbR+HI4LJ1=9w!w!Vv;RHy?A}`E3}8T zD%*~Pu-Pb8>0V`;{m+f>aG?R5`b|}TXH>S`)3WDB{ASx-@^Ef+3JwCwjDi6SkAM!` z8T+!~&OVr2KCb(1h=T%WV|N3&|3N!kX`0axsu&*~VCI-0Sx(0ycj&JhiE{XSwxU1l z5;rkv<|U?Zj{n#-CmpJ`I{yH#kpTD~QK=E4GX)9e(v1&n`DheqGw@5tyrbK7{CoC4 zJsxk=x#dMRS@ylGP`26nYY$l_3ZV{7ZAOH$=|fv?sV6TbBukS}e;kt_@6%1F`#g9w zZK*#j0MSQ#?pkf?a`PeC7d%Vcz>$&t3c{A7%IlRRVe{B|v|r zZsqFf3#pGlk!l0(a!X{6nL~+>`W)#4AO)hu#^i#2EEA8c7-%Tcn1!ZWQ-wHkhW*er zo8oAY?^w~tNguHVs|nG@qU;Y?lBDKi#W+uSm6#p40=g=pOm7O9x47H;xKf``CuF%! zB^_TjJD8!lNv@*K*K?H2yGdzDqA=eTV)l*O8OS0z2^Bt3R9#2z+qg& zA!YAkYu+Aa$EU%MmcB4k@|YH;+fYs~Fy^v2q@YN?d#vtXwbTHn0l=&RH$?hx=`fyj zL`bTE#Lewb0^JL#_Vk*=ue25@LK4xOpM&$5XuYg zn~=!kr03v&Nd$Hd#sfAyaV%(!0P9IBT4WJoPW-)G>5PIEe#ajZFg4S55H)-aP;prC z$j0e+)TUh0`aBMa24N=_NH0GkI|(*zio3CSWPseGW`n1#E1zH1XLAO+p7Q6IpE#-DfZO17L!<#JuZQ=|#F+#@ppv)}EENB(?%j3&CKo7ekXG>?- zNg86%2##(3tO;3^5aRseu$r9;0+x*K6TvgfIYHEGqBQZl&`M2A1Xm( zu*YjhrTHZMWm_ATK-0YCg*G=|#L%Q|MU)V?rS%aC86#AF`4=3>>B0<~c6I|_M`E6b zn5^RGx?-_pX#y<7tDLPg0CIYyC&9BKQi>y^yD}w?YANRJ=F)Y~xk!Nn{!N@$ON97c9h z&2#N3zrQypgWg~8hv>Ru0p^ge^(mizswFXnfS@YQD~U6)ZI8eE!a)`n8k$e5_p~tE zMLTHoZI&q8KB|4{0F-95&g~3NdR_r7cled~>?PFtx|(L04|VXyz}z8tIT+X!1V4Xy z*bOd0yaLJx{k7)waQyMcD-W=bPlgR3R-p8(FiqYM%Pq~H5o3f+hwld^H|vM3jnHtm z^IGvdO_d^T{qB7RADqTMMhDWbPP1N(h4MS=TJctnWd0vKfEiNh25^4^@>hLxXd;fx z=OjV3CpO6HnK#^s9WvS_8qg6&BNc@_qp7dybGoho-Ox--Noz{z3K-)+ZEYB;^BG^L zA-92}YBf^b5E*p*@N;Z4Ds7YSK}!D9q}N4-sqOMVs5&}m2%cuf!@fn*QKX})C zhx@#?^sw7<_#@Oq9Z>`J2oYv8N}&JXE!J~{|sZ1L$AL zfl|O?SwxLoa{V3?!0I1dK?&ZJ>@E{lwdz<0sH9{`skmuG=1?J5o^Y0r1#R;2Wf-QZ zat4C$)$?K2OOkS+obnlsSq-Wu63twcT&3uW2`5x~H zZ-1|EvhAsHsb>Gysu5W>v>e=RHEqO_@Yy~F!cPC4*C^7o+K!ZQ6Aeel0Aa-+Itt4U zNEL$uBs*NWMEK-A4mj;Z4&=w3=w%c}ig(ej`;%irSsa|Rw8q|>x^zOw_z*3=~Ym!ytLDdY#%cxVu-7YU-yLQc$eWFrE+ zFn5-YAF_FiScg$W?n>#q9VN{1hKuqFuf}2oqAi^N!VB<&3nv3H6WC}`Rn4CO4_YLT z^O`ZoaMd_;alF5p^y}uX9G9N{_%D2b5|Dl=&do@Hg~Sd>)d1MLEkZRsN%W9%mquJ6?LpG?hDeZ8J2lVHOv&|PF{aF zO3YvGjTrH2xdC)WCo5mDwxX#h2Jx7*sYk_SC*pQwI(I#z zD_S`mXZH*LzNeBg4gEO;mFR@GFu)F{zDLmwl)$a%_~Wa4o=m#zd-L}wK};-WI=i~( zok;TWvXJflB2=a_A1*pkrj#(px?m;5tJX6*ujBQa+C(#1$D_T$rdJLCmrbZeW7LxI3`H6W40E#8YQ^&W zM;zMKSCK{af~-l~6gCL|Og(jCH8vSOqW@XeSBq#^oByy_3~uBIq5oviHTi}VrUwrU zucD&oEh~U_JoxB^esoAOv#+|eIej43E0rC#*zay&ZyUW{qa&2<<)1SB&l-6K#{KzE60n)9yVq;ydB(IO5!9SESQ2MFhZf1M? zMRs$Se0QY$%gw(7kM>P4GTvgvWsWdJKeN$=h&=9?sp{__z-@@3-naW{{RpYxjAmK! z*055}k**GL-JG?=S9IG1<5O?2C3XpWW%1GIWZn>tS|MJaCn`bn-irK;##Ef1+%!>@ zL7(QY8YCb3Aa)J+Qo6MmHp{vaw~?z?ru9*|SNOKO%Z!TY``tMiYYsSv2^RaBqEoQq zr-M5oR{M7XpdjnB$wj3;q0P6u!vy6|cWfrZw*ln48>J`?%I$;9#Z1|(5CRHakfmY{ z^}QKXVC}avY(HTq<`5grLQ$zhdNSID5&W;jHOq`6dk+wV4}EorOiSO=9knq6>hvT9 zzg^G6Zq`di222-YbeqG%w25i4tSRImLK|G%vat;r0D^^qh`*?wQ9;0Ey$zj$l~w;h z0`U^_nEHG3F7DhQ$g49HVkgWd6Xm4^pbvYWELXm;p5$A#32YGf5m*WCl2_jt?tZ+i z+_P?3h17oU1(2+Q;d=kjVV44>2}>T+rQ_d>QlohPtD$?~$>fLR%^T(XP^9u{zJo}^ zR4v;dU}s!=bCNYZwL{+d)y5NZwSifgs<(VuCpc~@NkBhTABC9Pod@sTPFetFcjR+~ z-s0u&C5pG=ff|zAD*_boz*=`ud_KdvA1z$ug5<(`wYFq_N$pW(c2b|DO-Dycd25eG zW*J7E8*UUJ^D071~&$*ME^7^fK=b4}$jKN^G%FO_Wv;49tSV_NS0yhhKY z`w4Or`>h7`|BAu=1|=z0WxxJ=l7q71C(JygkjRPNZjSmiEGK!6hcoXmgW?PU zD4j@#*E`gxtx$?~{xWM*-PT1gvTsyo0E5kl<052dCHaN^z=NT0XIsXJulZyu{Kc+Z zq@zJ3&ZE$s7!C`%X8Dfv5Kn~OhG5!uE>&Q`uGK2-adL?BuTJUpc7-rZ;*Kf$k}^lK zE=)C~oYLuzxBaevqKQ=nofX5zcTidaKqx5zFwgQJNX}H6Lp-OO%rS7dy#apfLI>BNGS&L||h|NblX5^^Lhge$Xm|H`gCqZ>w3AyzUwAkkkF z*_NU9=VBHQ zg!COWa=lLVjLF;|sIf4KcjSs>aMh@j_pDilOoW!~B)hHPf)uUrGgq%b)a^kquog}( z7!NyZ`6zwELzWE6>&T!ZUB9Otu#i0>C>0&z@e9-&?skZzjl^n;ka!hsHmt5w)_9}k+ICZ%B8Ov)|5Ag&y$US%7j!cPl?jfd;3mVm)2`^#QiI_Hik#eJfF)t zF4Ynx%0uV5Th~AFCp5P&_OkB@4^W0Rudgg}I+Ak*J{QX^5TY0Y1oOyFus)uYl>{Ad zCfO7*HeTJws|557Z8JNf0L}4)Ayw`+Q8}`7@g)Wr&i$w*YiPZVCP?x)%U7#R?<#ti z(L%qPJl;BeE_43eP6=f@yGBL56q~i*1y;j>cNBMd09qfygiXr@+s-prAzaK&aoPL5DbFs)=%?Yuq?}%!22bpiBk;6emrhDW$qqXWm*9x+ulbL2 zOjjXH-hSig$Z%eRFYD$gAQ?tcjUxajDzdS$N66vTPJEh}79~`%&co_5zz^(?g6m2F zmqg_qRjg>iyqDF#@0zFnO{8tb9;P@hvWD{rA!|*W=k3Pt0QqqqVE&y+#y%wjVQPOb z{L{K@ber$CRSYBb9wp$sWLTU1y2G!DdB*niP zBJg$(O9Q)xZTB8YZpoP_{*okQ@9@sYKX0IFswAGM-FR>mbK?P&}TQl}3R$OG4)TE_i$wR68=o=ra6 zotP#MgvlB534Im0ttB{|T!~Al+rgJ#j=v6un0o4+f_~j41~3xm9GW4NLo^S&V6x#~ zLI7cLPbQMadMY=lSn`_FmKO zY)9zR*}$zutkS!bQU)`yryb2gnI_AOoo7kjAh%Om&OwRXc zjpH_0ym6x|N7i2m>xLU|bipX&y@XH7g`=47fks5~19En=-%b@zW_FROjq2TmU^2xn zdvQ~?R@?c!I(5p+H%=E79uq9WJnA-*a|FJCC401ZcZdvkw3d)i(cvjmb^y+VW=_ns z)kOKrCivUSYiF}Y+4@4sDDTbfVpxt~-g)?2yZ2YPFT^l78)1IqEF592pnm&;kV{|G zneSy?R`<-fOcODAloE5kC$7!y~U0JWhpCv&w{zjNW$?KIPV5Ez}CQfidP@<120<1f) ztAzO&!(r>c)bwaT8bc%da0vN!V(iDnLYK7l$pR`W{cVyM{^p;CeiaYZ0lH^ZVAduw zGDc;c#b>USy*G>}QPUsD&9Z6J=%Oq(QF23y-_+i{2k>(o`aE=66FG#4)@_PK0;ocB zQ8u!hM0h`zd}TKJ^!{-+o8LTv(aGPz`vd7@s?fhlCX=Avd~yX#zb`j z<9vEN5F(ED3fHLQ#_<&>g@eZM37Dc{lrlFkVzFKfP90MWIX$bOfXXc}%-x(-r<~uL z-lS<{NBoHD^}W_+{v0q7X|&in88b!U#L3K&@|>)`R9d$c#-c>IkY<#ZShg^W#cdRF z7$)9?uTyX^WlOs?wJ75g?!P?V1=O%)*p+ZtAt%@UL}T1lPM=~=RB;W_$Ba`C$@Opt z3IhAVvY6U3d)M350j#a~_wn__hR-CL+;Q?~h6kLlR~ogxr^sgT{qyO4Wqr%JCeWEw zBg?d8*L4fN=QlKOdZETSvc(N?gUs(2LTW%cat>5C`$607MTi${HIK}XNjR6Sb1=Fk zyF~x8fDTzLIprz!cQHg&9`_G^Vk?z*Pm=W|uES^Q>T7mx0rgHrqDui_R`3G)AHxL- z3ku>cx>kAuTy3`cJ~uG~BbiT$7pLxpfs%^^hkrX9~x|Kh#mQvyc5Z#b3auOVLna$NCNRS zzZ6}5lH@-O{-lETj5Dj3URvD*+fNo8t8++*N2zNCFurM?6gk@`z(=SS4}SN^n{$iP zX3Mb=wTNU78t^qxTYt9pA3y?@7^4Ab4aT|To~<4hURBm&fO5fS}xMQ)=QyHk-tpS`#?>5`$K&PU6I+gHVRwkt@~dc+D^c*+H*w)5qt(zjQ22M|aU)CMU*%6p=h+{;GgUFkP(1Ee|^z ztjsq4z^{N-Pr|IozPo!VPo z!`tSgtlmx-fe7}%G>Fz>n3A)zCm&=mNPln@XB&T9g}7P#p6 zfnq5{zzq7saAZDsILO$rD5`9+sk{G>$b+7usc$M+O*SQ})?sU7pd*R-GZ^Ewxrb4Y zqZ!UomhmqfRypG4e^K?0&6z-3xNU6Pb~;JNwr$(C`Np_b8pr9 zGS@FyYu0$4v8-dNW4Ah>Swwj~Kvdjid`7!dpKC%+!DUyWF}s*O+W%vNdN$!@aK0rg;i6J>#_mZ!{d1bw2(jcDC_4*W#@ z*T}zYda0<4H0-4LG&1M&_!u+j4Eq~=Y46@CZUj|vS`=d=>^34-baX7DU=h(8&On^w z8E#<=F_h(ZevoDzg2MfMG2kGI4ZeQCN4vV*-a|P9Z|r3L&uY{u_ z4-(i`;N{NsxvTVoCoDv~&&AS&&-|cf6RQ7QlXI4)(Rgr-H?lTo=R_0O7jF> zod8JqIC~`fi&5%UuHM2y!6)78n~s!a1Rd9Puvgv}Qs>^(Y_~8W&zQVbcE%O8gzddX zA(p*qL^L-PCWL3TKtK)2F~(T6*wXfFEouP7j_NzP-S(zOJ@Ejo{^=}F39-7S%s z{xxgAzGb(i_7OQB+1XlNsms{5C7zv168>AOW-bqSNM!nDbOocV;5OSu1!0>60`DT+e0uWURScH(H-&8#J4{{d!6rps#lA3Vf?~JL8M# zuA{n06@ctNlu(aFlS|9blKH!air1wze0nJkl#(?X z{O4-rd~@#QyB_q#TNqg4Va%L`*%#vB(|s&e{Z;AAJI=^;ozCVCJjUUE90I4Zft?(= zN^pL7KfELdQV+Gq!u`$b3b2Z`+68cwkM^jhQUJP_Uz#)VqQlUCEY3@>D?{3qH(0qD z5WBCX50ICp)+_AQ30*V=@DJ_q6*c1)bl9D2O+v05nhfWro0Tx6-OA{{#R$;YzVGCn zYx468bBzb%wtBaH#>QBPnh6)Z)+Hzdw*Q8_LSIU zJT!5#F%h5KByxazC9X8wgpI3q9nnUqS#WMBN|0;x?-(b9vJo_nqnMs9d1I~YccYFnZNSUH z#i_qvAyBTTJ_c*eeCsgfO4UJF5%?$nZzU#?CJ1J|L61vxDjd($Vz#;UsT#6O!+Nc4V z;Vyea^o?2ULQ`cdv;B$ute0zW6u<```zX00uSrf6)OGE6S(t@0)e^@zU-`9_KZZgZj^4nZ(eGaH@qt1rg((AWHb;< zx!bNzYu;#pRPNPc>_AGioGHt@L@VZ06|7F*&qw}@uTH;JKVnS1f&IePE`VC>BsDM| zY5NP#(%p04m!|z_M$u{|i49nF@Ya&BdNQOa4$IkWiJ#OFA3o6j#L}Mn>u2o3fUfzO z$R&;Db56f??@AuPorU2Py}tVsLaFLkwJ{)MNE=NwK7N39C=RIb{zF(00FdMwhqag=B9?<|jkTnJkkGNY_nBNxR)J~D9}p8dR$ty$uPlU$ zIBPDv2=h?e_O>Nx9Sf8iD%54M^l;=yq~lSZX4n(Bb(_z@y@^LE$AN#G=+TCwW%#>< zWoZMcN}|3DBhr+d_k*a&z@h^PMqNyydt^O7U@DUyp985^eSEt6PVE_zHf`)ZBdvL) ziMFdHI68D9b;OIKV*9}_2nScWH8<>6k zM|Wsty3u~>-^1_f=yVd4S;T_r_=Z6nfBT8x#r(MCU21n+@(XSMkZ_`O3u<$GC_@xDB(jW9@xK{7g9S}dIt_7D1j$hcp?bVIe z5UL89hcSM5gbC3U!Ue7AbA%d_PAO!T)UHChXFBFppq4139+Te9^}!$r^+9&o6lb+F z7j9Go)6J!_g>dQ@Ctk=}D$#>IJRLlp>up)F%pYuzlu){`IlP$SCl`Y0*2bx^QfSKh zubJEhLu{w&3m^wKm4|APe3gq|NFPI2o&y-JZq6qWZNB|bt32#!HTdhS*{a2*Dz)*R z)B9Hf`uqkRt?Idfhqsl-yvr{Pb9{2YR-bvQ({Zhv$f4&1 z#BRbEuHM$0PVB+am3l~`CtY#95Mx>sKVR_2ix(IJAF#ZWqZ8{kXrni3e6t0@y>9@s zC>QgwPHRvT5{7t#j|DC7H-iB7n@b_d4qhOmS@-TZHLHJvJn0jOg!%E;hr+pts%~hM z*TU?mo{C1z^A2OVxOvSSsJt=Br6PPpyI_my>xN7S#50ckoPHfo$xc%zXiP_k zyU(II`nJ+qkc%dag#mQr3Z}t!;jshV3SdeZTvbNRc9(Q*E#-F^7msRa{VB}37M<%G-RmJ@vU`P3E(%b zWE%^hjh4=l%WI1F%SzGQVsPzz`*FKf{@SNtGRdQX?fm8gSxC-Q-FZ*}H4n%By38MN z%$jrLuA@#1h;+_zAFQ-4T8nzk7#1^17*uSSgs;FvE3XELxt9L}z;fQ)n4W#v7!c`E zMJa4#_QGN(3xQ4Z(Md&NU*?Nb2KXKq6fN%shVr^kw5SR8eOb|Po7&IbGrui~u7*8# zYV^x{%0nSTXF2S=CN=wj28ZEFq_#5C>P`aF>Vn)#+`7~_k0HoRs9l3eA&$arj_VaW z$uTe7Ikd4+p#(vPhh4MX8u-4a$SBv9U_n(x;zQCga_it{RqzY$YU-Ty0RZ1{<|H{^ zSZYx~wQ}zH5eU3kJkKWhOc}e7l4?f}A<|HX5A-UvjDdull(-otn-hOFrLr~X zPNhS{EK-4jm~C~6x%4>vR}Is?lR6+vW^%{i3As)S`zWqw@t-9uYe8;5%Kto2$mh8% z?gC6-mfmEdQmY=9?*F-}0KX67mqbjS>0;;$xw4iZZ{I#12et$J;GKeIZuj^43eU4r z-{O?jo@2SIDd|=Ovf3h98F=}}_h_|C`$1inW`s1Tgz(2)Iw}|6d)&c4JYsf>klLmI zX86hy4^G(bQzRVR7l zApcv2HxY*5&vD@@z%ScmCac9s?ijF5+HXtbyfgP=`@!gy>;t9;i;CQDbktOL-Rcin zqrkz559VFS-8EneiHMh4zr~Ci7F`Mj{d|fqt5MHeJt_Q{)OIPbgizHB3$;fB^YPo~k1$ogdAg$H%mD z)v{40gdR+_{K{ z>P-0Sfh*&ZgN^Da4+2OfM|ze{x=|s~>Ws1HHv|a6ewI@jd1c#R5MKp1%`8Rg$r(6g zEmdHz?^yk!0HmTU?Cdxyxy&Fq!!opgtgtb(pj<)_vZD`O@{GKx_Je4-Y|;EkmESmY zTKH~C&P!C2wINH1)#9bWj&AH^QXfQ=#tB&2)3=h04rc}4f7+z2-6NCR>sN5^a9fMN$QQ54mWzGbfnW``b?==^Y?|bc~ zXf%B01?vQ1Jqv6^!#y1M(5MZJH7QN>OBXx#_pJfaaP$UuTW(Hokhzo|@T(zjENirc zLprRpec>?eL*zxr-YLVyu2XfM*(|DYvpB_j0CaYoCN;9xRt}cfksG#CiSt0GD!my2 zqe#Z){5uo*vs|4$f*CwWSWcanmYq9ENe@&vw>vmlg-eq)z>P2q zvBzc?(BGa+w$i+9z4G(dOwl50smwH_erT9_%9dSM zfIBpPC~3@xV>W!21b@ zGMiB>1g=4LPM;_p!8rH*2CQ%1m`jwk;)Ch^$_sK4lfuHqZBrVR^j?knNG#?Y39D%J z71@=WQ(ADew_0l<`eGv0hTCSP!*w} zJLz#u77Twn^ABX!%<0c6MP*m(E>w>#MF&cm46;&9$BgknTmRKjQjBE|?<#5rdAm|c zQujn_LY}B29L7T7dL_4WkCJ4YJjnmA@9i31zKtnYN$jdE7;(p^?UCkQCic|{~~6K&s? zQ+x(U4h%gVxkBC4Fmk>F(jV@Z3P&LiEjN(T$*YmYP@lHlFuIO`K0RzK7=OS6@E%mf z3CHBv?&#+|QUUiz>g|lt0gzPl+jTBi9fFHR{1**%Vmzr7I!g8FfFd%&6v9RtSla;u zq4!kZltdV_GUU}a}KXKC@gu8dz?FiaWE+Fqx!Jy9Ga$t>#s7Gz?E zoZmK-h&tE<#2K@{0T8sZ+oG@w1GY%9W-u1jD2kI)67Ms&p~miU=-cB07xf7d zEQvO|lM2I-xez?&KN8C}%G=A2mRjPE;pu_0Kbma~%FrUBed`y+!RPfLF|q8o!&}X- z)DCG$j+2q+nBZz~M{Z*K5r2NX3N6mD8h%#8HPoICx(@!;tN!k#hVD{U8;`>rZU5Z& z>mQe{LKy#*@he^3#nn{PMa{(MWDR zE2;p&{HpPOt+mypz*tw|#Ly&?Kv*)*rQx56vgzF?lv7Wki|DjhKm{oZk~ z=ypcugz!s;aGg_llAE8g5wO(cJwWouCI{;Og2)=-i%Z$%96KvmNNxFln z#LPgE(a^aZmz;N<_{1*O*STI%>~I*ARNhi}GU|>{M3iLEtP2Nds_>6^{PGeaLl~`! z)?gW;-nVr9WJ9tZ=LROU{FZr?UMnF-7#s}OZ?klccw%3I>f(#)hvlcCb%wnX(Yu3|}n|H$(9P&aOt+&)2y@h}`KSEM!`-(Z7!J z5C1ryl?q1Y-CD2Svr%D2DnIRFgr@uVbcyQME5L(|lT}HsR1wy#dGTjeK`k4KPT3d8 za>408s{mBFYw_Z&kIw*ilOu(Yq6XxCwo>DVjcZ?XX2@(J{YXg_>9e|GMYU*xrW09x z1fiDH>}*_l=QKCV#Kgm%{k2U{dFY+1G_d4f#7o2T!L<8F5L6IG#{cG7TlHRng9t#G z|H*p(6K`#OLkF401z}|U-?YgHGXHO4|IdWl+Aj-YWB|hW-ykeH5;N!j%fWK6aj^V1 z1`Ec?&dTwhCU9y%6SxZQ8U}r|^47MHqq}>W(Qt_e2pBXA7?he$l=4;$8ug8mlp{<@ zTW8wBf!fi0Mmw|f?cciRoe3?;9$Rz3r_bl_`O7oMabR6rfr(lqEKEZ7It~Fr<+M1$ zzDe4?>B-5s@u6bGP|lzqCSxY-!2BBn_3*b|5VU+E>$M_)>^#m3R@pfWaJe@J=o=W2 z&%l8X$w5~ake{Aj!(Xrtp<&?2m0SZNfh64g8yMhrV z_!$Fjq@i!dK~NAdLEyMB(5EF9pba~svAlj90E7d`PbR#7T-NQa6TtK@Jy_^hf{m^9 z<;8&+{5^#56|kFuIg)_3W)Ln!m?KCvao=vh%FGR*Htu~Tcg4WmI&0LQpQ{yuYLXXH zgCFH9Dz}pk?Fz#A)D4pRZ|T@$=hR_x3XuS~IwmF(*h%brC%@=#G?PybH~UZ=<7^n3s4)7H;q@AmTK0;1lV4EOwU&!c1AeIR{$U_l_vUL-;R85J}lk|6->-QQapz~f)USN>|QmAziC!|$?uoS_+X zq8G}L(e>}J&{O?NgKul&HK4z~fvJ~8$$~&DfaJe{>fx&|_ffZhHU9#Nzy6N@xTpNh zdidK)Y>H@p%D+_&c>Bw5XN_6k_^J;aC-vgbYZp>_d1xo_!@dOdbV^|z=x>0S_V*c3 z*m8_$L*EA2G2%!|q`qh~OQ6GW_0t zXxjjRGEm&p7qDBc!qhH1%`dpUguk|c573Bk9;r)0GMK&j58MdekLtf-K3{Q2P!NKG zH*uHWt$b{-qKAWx9}?E_Cfnqhkz;Pe>!-GUQF+6v83er$ zy|EHF-vRv%6(im>-Tcl+UJF}+I16dNouA3u6(&V@pH6(|8(Pc18utM@zJ%})tZ6-0 zvAf&S{DVZ4M`}a#uBBgT1chw?t`HG*y>6PjkDiC&gu9IEQ|{$~*?PN4$~Gf-BR#Mb zIY#ugBbg+A(ICfp9z#l=z(d&_eHoOK7~Z)GN+*L4KpZ;NJ*)0vTxaf!X6@3c`(KHE z2}3ElARjpnrkN|+-n2?Nw#(026LhXbHrWl%j+=+jSGV`GrhV3Te)<|Ma7goJ4fgXjO4f0^KQlaHF+*bW;?IX;F z{z@64Rv==fEPmCejEEQD#{LgCDq+sLS^JCob#w=)F4Zq2<#Zg}ppqA>4W$RP299#Q zq?OY-51sI4r2?f9reYKGlU5j(3j=tK%vD=Lg9cO8+vZnKLp{+K-%T=l_J3e~m0uo1 zrmRAAK_mg8`*t*11K)*U<%6rPj$_DgBVAsmn_SkeyQQ=5vc-7-Vj2H*RGSBR`{ecW z(S3b$_AXM!i4~>ASN5-P)WSbV)@Q^$m<+xvBe55+!r06lPyMZwF!e~t8hk}V?NSM! zm!^;?87^g{b)q<5M9nvzyS!13vpFQsKQD(;?a$Qls|D^W>_h?!;I^5&mkwkM&lK5YQ+nlp(VZMF_T<5) zE|IKg7*hSjCB%LmxVyEWb(wu9v8 zn$UQoGL4+>8{1XAaG!|q9TxNWBa|~TZDYW~u)SUqax@$tAxWo&%KZ@L?d#FzCzvnI zPK{ZPeNiNxc%8%Iao|N;>x7FIYSG;mt3q`=!@Q+6DU4G-?1B(^V@wd=^(9WAz4(5i z+c^QPc@%#DPm(8|T+EiKL`rp*w`kfMzKoEj$&-(I7$l7Q&z!8tO+wc5wiv@U#rI_{ zBVD4SgLE0Ic)KJ1lP3?K6+@^TOrVl4B`Z6!{Kq>hG1@k)Y1#$Dc~J>wFpjrD${a#Q zeC0(0RSmWA&KgqiA-2_3@EKiJarE^-GiC*`8-vwMGzgTp=jTZ^vo6@`9F)2wQ#Y35KVPH@Ov3nI+$ zDGjv&M`DQLwDY7t51B6IeK{$UUvS;?bH40B$(c1 zlU6mHfU;z0ogxO3mcLYlagC6p;LEDF{y4ivNNMBA)Wq4Z$vd7HtCsWk(CKBpPD*87 z6vVJl`PJjsXwhvA;(ML)px5XA;@OXg&UEVU@6rv_c-rZ>o4m{n(Q`>od2O6<-d z%SWd-qFHHs@_E7}2KA61Sj z;3gO}O8a<-0+MIgd1;NmOYYOGgnq#(2Wo?$G@e5U+Vh^xmz z)s&TRX&l8QrKP}@>N+iCG1sF3d0`27MZwqTLupDSKQ&a3R-91#;l45ndR}w}#KjHZ zp2INLWl(*}Jk4sl%o;&Z;Zq_#{L`vhuuKyF;Jn`BL5YtaY`n(skgBEqA?{4_Omf^L zgCY|Sq;rHvzesN@cv!0whrp(lsV=itk^Fsx0(#{sxt!Zuz>%VpARzsDd`{^}70p+| z)JqN<{s{L3OQY9kEae(emT2gsD zvh#_Z%4*g+9b%R?OjJ%)i43X(kyewt`dE{#Ry93o=k*v6>TuRE+pd7_%DF(}I1NkgP*XSawMZDU#UqvJn0(d$YbiG4%k^h~7t?xGC@w5QOx0_ipT z{$98Ml^nf}gmYK&P&a;E2)E`trWbiLO{V4Y?!bk74e2gwwB$=(0Tx65D=H?C-3!JB z;JKZaDajVRCHK5fyrH}?lG2xqokgnWX+J-Vurmj*RS>lLgRXD?BP{Y~S zg9k~^4pDn-NlXOe%q^`fp1k*nI4PzKh%crSW zBshk`RoBt|_ou|y!IBk`&ZU(F3oz@~q!mW}&Wfi1{k4+vft<@v?r!cqm&Uinrl#Ow z4WeTPZ;CnF?Gyv9C5nMDVYzd*7CaK{EEtJO`ch>`C3yk|h#^<}o_U!PPRe(;yuE)> zvJLhZIlS7C!V-e!XomPeU`Lyr$<;Nw{->J^UsXmUjkbf)%=m_6dBY>Erpt81TEvIt z>V?y%wCqHOL+rCbuihwqWcO%1@VW%45(%M^J<|Bpcu698Ut(@ptEaAt*pZQf7dA=W zIyhLV(MLf8i1n8D{EQ5|tSdR>o&^16P71V&72At$3b~Hlx8C;?(j#B=!#dr=XG8|q zCVvCLMgy8Ftpf08P=XPANP}afV0M`Ao+HWz)d_N${_A+~Bc{IKk!U>*!ird)!R*y; z5;)=4GR{brPSQa~GPuYpb z+~PL_rjkjk!%QVqvcp)#=+sMy?1Ay&4cinsynsSTNbtp(f{XHN;kzoBdZDF&l;$s(R-BTeq|il}9oSnF>g7d>V~!V)c4OL)h%0o; zaU4niqNTr^=WoK2N>{)gtzApyTx4n&f4Ah}<7hgA+1^kVX&Bpb>vZu_O|jmq0nTJtUft9j3vW>0zg48eh$#1w_B?OPSwR*HC!@ zvE9?w{o@Awwl})uj10KE#cZ#9o-TR8&lyww5FaBlexIbp2N~K%`|fYnQAKQLEpsj% zD@ns5q*8oaK<#B!NQVOn#yw&~ZvDR{jOLg#?QFs6mFU|4xKcARc@tL%lfu58LDACi zloz}j601}Dx0HGVQ%Hs0)}^?!6=9SCIPg9wsCg@^NE(A{qxh%-e4s4BS??;^`Hhm8 z9MyM6hdjxBNqz(|b?eDSHsuw7t(A5Hg800&I%A3hc$Z!*x^2uzQS^l?v4d%vL?ajyMZ=Zbjz3Xb7%auvrFMrY{y6-xpSLlk)fQeB59GrT{4} z2y7et%AB@T0s5xRygDUnT`xUOg6?rSufi)y+cm`eC@(jb(U`cOz~SP%LjId677ngB zs#FRZrxE)wg=lQv&PK$4t(P=`tvhIMU5Dt!8L;`pXwTUWpYfx=a4M2Aw|;SQC}^*O zsz|xfcGoO$hpHX~IVfM3KelHA7UwP4&)_R=gi^vJ2BouauhnDeXVUlQGeA6_q{Y#U z=8ES)Cp3PK@b#pK*HQWAAd#AO(@k_*g9b%wUmHfK|3uy1X+hQcFBHrNCCi68iYwJQ zo?UJ7FJA00GYn|H%;1)letDHfl2jSdtAanwnPS zMxTFml=0U21+H}|Ns0IKKe>%30xS(C&Tq2Q!{74>p{?~nRH{grvVye=Q@zj^$3M4f zv&!i1|Hbhz@rN&Ft96jNcR~pPI)5J2Bi1s&pd|DC-`XRMg@(vCY0HwQ5A-Y^yRce%Md;1>ijaaTscKPyKvmeNB;E+&i-sh+Yj{enZ|ccYdq2DD`SGd(4`2 z>rd&R2T#(S7dth_mqWc@jIh>JtcJj1jcyZQ83U)ijJ`D?tzVjx5f!dS2`z6g{ZeoY#ZuB_Riv*r zsy#j5!v{+W!&?0v9UF&`S=Db1-5vU0c_gR)Vy|>4Tx^6?s7r9A1H_ksbuq^%&cHLQp(EfJGiu_go5OLpaK0Xl} zY%Kmwwm&8_@Fhd>E{wvKMOK=^xy$?KqPkQnsrM>(k@R^HKUkgl4`ZN^8?wSw*-uGV zG_PArX_d?%;8#&Q`%2FC%8!oQO-fW!&M7ze{P8|;;hX~=9-}Y2^126XV0?n#(ZZZh zeYmzWI6ztI&N(L1E1|=vHI8GtSYTb3P51Ez5;6mvIqf$)cIfS0A&Z_IS{**NdfrD; z^+^e(6)u6+L#4;BUF6HSgRu{|mMk=x9eoAnlCq>4iqsXkf~b#^)FQ6o5GouA8mv{9kZ`V`^0(5z1Y z$?EdA>ygNiYynfRL{W0Rh;C2H>sR0&kM!%ZYrM#pbjvz7s_k z7q@dMz{6HULBQwog`&MtH!K_VLkzg!X--CO&5JY#kYrPQtl_+U0&2Bhfz0@L!_B4= zddbijz?#9x+{*zoh82eL=H8czZTi5;mrKQ8Q$CIU0y$;N`2rF&V!R=QtFSH{T8CTJ z!dl^>%{{;XYo;HKuv66jvPhRZlGD+IZItQ=NIlDP%`QZrp4ABZF*y$!q4P<%{~;Mp znC(Vvw8`Exf%f^7471&TvbLm8_DwXYw<)4DgjY8qZ}LdA8>%^Fz(-V4v1eV^pzTUNc1*;Lc{&q#Ufb|+?OcbE%tf*6Br&G^GUkIW3+ zM~)D<4287QXw)>ZePv4IzjACUUgfW^SE<(D6f){GsoF8fLKljsKM1821JUhr%UU|& zGf-WkI*pVe(Tp}|`!e!9b93*a7nP6YK4%WXpW|yFV*)rnJiSm*kj`*jtttUFgnT2L*b+W1SiHK z)~t9s{JaDHWNVCU;a~4L#`bMV(uQHpgcG9TaSywoQks1VL4H=iTrQ$t^~5|}c0P{W zJ>QlK6Lv-@Q`{l@3@DTvKP+(MQrLqlH6t-J1t;U$m5Z5^n6I!%uMx`V>? z#LqW*Hj5Y*!8;}6)sqi6eQKG+OXc!4qU$tKrHj+UU)ZB0qn<`)1Q_E08bx&jo^d(- z5NeS2`WNBl!AuR|N*>7?^R_&|^(kbVn*z}*EZF$37dYdShS1*UkqLM=Q!p|;rs;-0 zY`#NHlrlkid4h%Ox4pGq@W)}S9S&bNDK<#epBzp6;f*pB?l&>F0CD;A6tPUZU!9cAsGvgk=e`Q`^RH=MF zDK&)x$~~e5_S)_y$H#5@TvjvOf<0XYUn@BU_MAUc=eNoT70m z;w43WEm3vR;7&5hGxG*-D%hN-Dhp-RC!wM7KACa9Wa9H+7vOKGBofmDM^60W+wE+3 zsY3e$T^nUZC=pix(u5bT?ACCWDnhXpzs8HGJjC_ve)5|m342VNY>zZrjxt2F8ZgZcXwCKZ0;swrH+LietaY>-44Zr^c=}#SxWNc%m~i z(7QRD<%J#NpxShLy2_zPgmLeQkND`iR>m934*FNNmb zRVNuJF|@(V8p#f9G-gubVW_4N=uBpwoG?jQpef?8dKnZrr4+1@J-%$_&aUeQg^$91 zej9xFGl=!43O}UM68mv}&A>|5GIewV_vS1f-cd%tKhZP6qfbnI;CJ>Ja zn_Auh8E`0~gJp^QpM^?T`r+zRJj(kb)P^Hb@R)myC{NUj*yofE@h@C0zCr$Ajp(ZDl7?R+ zYoZ>M7F$;P>3qK?H(H^WavlOJaX6BlBVP3&Lq+U znC}2-|GZSV_{X{%$YxhoVJF~88h3Bc)|dr|8>2_2=s14GH9C=m zpDn(sQtmUG@L}T+g8~`%sq!M4Bw>GMN)N;)F4Bvg5^fmyI3jjLXX+!U-)P&dyOQLO zwy6m9Y-x)O4Q=&lhk#EZiX18arNE81y1usr=uI}^W;HT;x><o_>gU1i|Z{?8#Kvnr1zZz%4 zsO^dUI{_S|Tdow3ekl67Qzx&~X`$&{`m9QJU-R+NNWTkTO07WIKgn^IyT5Ib1kodN zKS^d2zvk?|C1fac4KKr99RR!MEg42=z1-w<`Z#AkhcFnYcC~pPiZvDxqK#;7LxO`l zFq4w7weN;gK14^w&GHo5bC>xH0sJA zUC{8d^tT?Mr>OdwM(y`;u{Qjma)r9A+8(M7YTM{!4CCzb0QM9Dc>JPZRi5&TVjJJq zmC$oZa)f5@RpI4Ab6Ie2yCFo<<^rX~w3Fm!jf!G{uAuO`zxRfsZx&)LTC{|lr1|4* z_K!urwWRfF7>w6SGwi;Dd~0i$YkXu2x!DROLif4nNi2o8tk|ylm=c)LJk7PvlJh|! z=T5+P45&X?K7Vom+%Vk5_DM5%ifwD`$H0pHaovTP?DVpmelf{;JnSGdX?+e=9Py`& z36@{D$7DT96sHKIdj8$P+?tJ0%GyhWRrrK+x>&qd-0!SX#Lrw?<_W&k&9o`@i%2uI z2T!(16T`5Lt{q9k1*I^=ShSulHXr$PS)tpYJHLx8+a>J`uyg^D<-8`F%Vyid>S-VJ zj)a11Hb{C#1Qb&f(sPZe^#OH6p4J9_UZHl<4MX4cjLEM&Dl7i3iKV2fsP0Xb4|;&z z`_!%Hsr?Av!0P6#@-d}6z{!&{$sBZ*fd+HlPCpr6Zb|rXgChL{?sgSLY_2OCc;iXP z@$FkHB!2o1uu4N2Zw&v8cfn7Q^6F(&>&hn5hZ~g&ifF@>VrY>{Msk-NhsJ7oMVw4k zrol4a%vB@9X~z7;!GTNmlc`ll2Ar?TvT7uGq<31X49)*elNpJ<&NlsCw8@S^HU7q` zvg{!rNO_qZ;3b>Ab6kryzC2hxc|yN_(@y$5VSP3LkW#xRz{pUKXSIE?+-O1bw(4g% zoiEVw?qscWkVY&Ra{m}pEEk#aRV@&U}cDXQ9Be@szWo$X8lFJnn| z%^q)3@PYkDdb(=NaXwmW(wNWLW$=d|dKW=l)ywm$<|@ylxZv)KJCiYMw)IU8s8ni{e38?z) z)>^_52nSXndhRddRc3cxH)h(58a)-X1wm+}sAn7p5EXt(vC&jqmIC9<-OCKL;G384l zd-LpJ?LT|^es8UQHNLbfU=*}e7Pnif3hjzrb4C>rX{6MGi{1L!QB3O-Ex9^QAiGa` z5Hk2=tm-?vJgl=z-{stcc<&JB(`!2b25m`C*0Ol=mqjti%8lk$;zmk%lcQ%@53JvA zJVVlpKrWMD+ahMDYj~sfybc1LIfc-z4K5B4n zGW0V}Lhg%*+uat!lfi?@eG!KZk;IJCXnbBzzH_|27as0=l*01cRHiMXEcJwLb zLo&OIkz3RCtPDKZXnqUAw|nydyFu09Ue_7R6=%g-0h62cO{fd&gv#n>8t^G|End1s+s}Q3n3S}3e$yg~Re%~vF zb?t9Vzv5t)q+)ES{}~Epy?oX}K4Qhwa+%FBzM)mMF==X{NTXbg%Zw`lLR{mpWI40t z%z1n^=xP$~97WQd2CO)U=0v^WUubR1GMZ%=IE`SxB3C;EoJN}XN5_p`Gl0r*e#=~o zfe(ib&_I4d$Xq#H+ElT!s3M}tD$*wBzC2&~X?j9R5zmUA6cDO-1IfB^64=>fK8hi5$HN*h6pM@^M@-p;Bb^h_9h6C~xD%y1rzO z(yN9t0z@`>U;YC5`pkj;k7i@~zcgF@3Wy3m2-E*ywzPi}Vk`9%h~U4g{}OGetpH?D zSRoLm{}FAdOiY~Y|Bqt(XLDftAI1jG$oiX;?SD20S5p&oxb1Dae}|Dp zxYb3{-Mx$mKG4Ozm1SW;*Zqa>)Q0zThtR!Y<@fC7EbgvCJXHk~RMrMIDEXDZ|J67s zda9}-|9?adAmAbY5jotoLIenbo}HOkLLPh%iY*~q9KoeVU~>k^9$y0lfUq%ffnag{ zLz2=$qS7+3`zEHQ9tnlH#r6Et6RJw61#*#zj3Gg|h!w&&*1b8jGPOB^n7>bH{WpRk z`UVCEMgO*P3#?(BSy&o@fsEmDY6IE!W6MU{{8!{4@K59b^Zye$SeRT6S)5wXO9&%6 zG`h3^fXab#{9|hcl>79XfMLX1`T%2$Bnm+P6*-hB{YT`$>;wkV_aBi1(HQjA5eO4F z7f9bbaOHv%kf=A&nf{2=U#5J>uTEYd46#(e!1v~7oj_`SZ%&Mi%$3dm0hK^%zwM1c z9+n_;fSIKY5TGa_$LQ?tOb;*unf_&HWaDH9_BVfWHL|oZG6oy`UAz%MLRcAK1m^f} zhE67qmiEq0j82v|f3alxD-5{N;viE|J6l^I$k_?uulmF+9f2m`&U-NZGh%Cyog2vO zH#V~bnVS7Y#ni=~NgZVA-~yBu`?nQXgz#Ht4s-@^Ff%iAGjjrf4gjFLi3QVNNz^>- zfq#E1S^g4(d3bx-+t~xmz*K6k1}`BCfcdY#f4=F1_srA|WaIJM{O>_CNr;Px%d64- zL;4@Jh=`p#z>9&41;D_{!3VKaP4dA~sDASbUU!RFJ z_>LsPnkpv;fJyi-(Z7EXCxA)hH{t>?iT+0104A~DhzGzV{tx0}2CwFC z!~$TF{Eb)vOj5rQ8-PjrH)01c$^1s(T4aACa4mAb5x5rl-w0fb!fynwMe!d5UV0{_ z-w2#t z0P9TbY`~-bkL0h3GnxK|tl(ItmOw|K6Zi%FZ3ONo@DB*4WcG&-7+L<#!S)w){cX+4feGM|A2vPfM(9Wr7ZuJ{_A!5M+_dAJ@`$r`=gyK zU}}F5X94s0-Bxxm4JR8TCyPG}z%hPD1V30z&K8cqKY9r+$l1;A4+n4zmp>r56|R3k zFg>?F1_h4i@dpHR_52(D*Fl@OID)x3|NZQOul+yx@84J;&>d)kurz;fXTld`RU6cH z_f;6*jbV43ALcamPK%XcywKpSbD#LM;by#QR_3y7xx`X0i-dtyhk}U1UH00-rPod! zalHro4Fj>nzB#dR?ELEuBX0iwoZ;4|cgc4x{YA3vqsd=9&12`-u7Hiduo&ab7Ja_*4aoLh_s)pj|qaHw*&Y-0kqBlW^k6w*m$ z`P=Ui;@zrIHwK0WSJ8S2yCVE$TmyE`$U~gb#Q6pZ#Mt4gHCFSHpK?lEkJ8b-j3qHX z9GUi2EO~s(r%UT8^_?)BhkJ1D3@=ST%KmZhDd+h{h;JEI^DGqW>ds= z@+>Fh^roIqVoZePK+VJx*UenksDCw|&-*69H2 zZs*n`G?yIvP|)9Nl{sa*Wr_s*`w~K2-WB$)ND?(fpIC zix0+qcmqh@l8^ACIJ5zYWLHbNpH*ShZS~|EAx)+T0rBTAskg>~k?ec12>x`D%;njCZI_TQz>VyJ)#Vw);*PDW&Ji-clQV1+ z=4z9{EC4o7j8nE1>Bl{kK|OvkF;w=~!KOeQDN?e!w?E^Vd+UqDmV*%XHL^@Tg$*) z%%6XM6t{@s;l=Icj4s;dBEEJoL%^BI7`b^`TS&Odp)WEE_NDxwN}nmF*zHP z%?>{a9&lrw#+|=1xC7H54WmXs%9_o#=RIQ1-64oj+{tHzmTfszSG*H1R8%D|&ck?= z;<9nTQj=xcNw*9EALzJ<%@o<(L$Ay?xZi)>Xg&q4dOoZ-_a1lU;(a|ohe=D^sl`D@ zc*FEdUXwgEJ}2Dh9%e9S}i=BB%W&y#qPSKDnA9fAPQv?b1nT*dy` zvFzvEw4fckErwTG<;GKwxY2;4y*<|6Zu9^jTs7kCHE<8tbGdSBg40)neN1Xrqn72%!Va>*dMhoA#D~BJ>`e%{;H!@5UrBtOA4Cf2D>8#Dsr4CsMCT zk|Yi;@J9NEk!7Kl_d)QndF+1)JcTLpzil09f}+U%i~>QK8-fA4LRMCY=+ z{QN*fv64;2D&KpIIVj8xx`Ewx;8gR|Dr|4!g|%SrOskm8#UfQXT;zWymIHq_wPR!O z<&sj%5piBz`c`L_)*B?lVvmrKAmEb* zUJ16tBw6wq8{#w0#U*u0mc09d4XKJI%ILKoDyzVeIGdEb5$b425r%oPJ^jnc2n6TN zoX}l8gO!YgL8fN(aBqJg`*2}-f{@$o!OyD3Upsot9udWz_~VCkj?ujgt0?MIWXX8( zqhI)-?#09e{ly3F(z6aB18kAB1L{KOp$f&1Y6<2ts85<^3i;8~TvH*NA8psMq)Qmj@O-XM~BIJalgnT>@7E+L&;=;)>r#JCyNsr1KDTqMEU!37wqZ<#jqyb25#}38QPHeA(L)HK&l7Qb45V^^@{h z*p<`U+rs@8&whW$-Zpg}HWJp!=1?w2RZSDnw_QbSB_rl$k$a(a6h-KD`Q63YngT+wqCrp;x66lRiV4!>sBb;%xKH_Qd})R&>qkm9SUhm{Eh1_XG@s>~FS zeC%|Epqi!Q>Jkyh&Z#|K(D(eS$M$>8;cHUUT6JfRxl@1Pf(>uNbH!-Ao1P)$gZfV$ z;`KI65r@jYiMDOZ2=$P_=KRY;8p4!h)#o@}H(b-zz%jgH1>9cwi@z^GKmc}pdQMT16i zT~*~QEtG$IMU`-SV*blG9)TsJ5s~9l}&>2D?YkN4Czw?I}%UrW4D`y;5_AdV_!CSf?h_hhr5)7>6>dZ--O9D_ttG zv4kZdjc?M0r&p5~8}oVy?{SvJf1%CFI#J2zO-QK3kY9Cl;NiC+P3%%JHN8naGE zJ6MBvf!w{mbqj?7*+4pD=kpe*CQX`tu?a=&buAu(=0WPtL>?eeD-d&>_Cfyi<-|Q0 z)-!*yaJHL=4b_Q3BMnkQa}O5ip3*rmIe}j-hdiqE^#j|~SC_lr_v1U>ckv4x0vvmMfd|{uyuh4kwh5<@4*87yp(RDVF zbnqDTivrKbCc_q@K!c$rVIg2gDvEGOl}i8~n}#eqhnYnUv{H5-CMw6tU6jqXpMwmGF>4a5wy^wN-#~>olck`n;$xcfU}5JutE@ zQA(ieYv}oEORx^(cI9W17Da!A&v=n+_VsWkX$K!SYpA$Y8WJ8Q*a+bhq5@T2GyHYg zml8kB`41Qyrq#i5pBD!;)*u|n$vl4?#)KOp)e)-g6kr7XFu2?duKGmqpr`;r$dO#z zb!7%oXJCztO0|QV>bPE&W&eZE)vL{o z!nsg-ac-y@D5YHtdahb9@d7IM);8ybHj_(gN)>F&Nq zjwu~Q;YY$+uAS*g{DC|}#D;&p3GBVYC+L}6;hNUIJr`d@HHg*yl?%Wq5t|L}RRsfM zl>kQ{^>f;f^Z>D&gdA4%y!--vnOTwr!sy1#tR=kNdc?1QC%0#V!T0W?h_XVW^1^OG zJ(?;KH%NR-U&h#%+CoGPh58Y9ZtoGbk47F|EepM|$f-YDc$s0>FyMavvSnx4qM2_!{#>I1-POiE7hY8?cD9>xP?2K|1oSDFeR+ga#f2H;h&#{vVb>bD zeTS}B_lkEJf^8Z#LWz^W2zTW!FMY2FkAV3|<>fv*9h`Y?hvI*<-VJgDqMsUxlzFO~ zx9FKDLLK$|tA)H2%&t?zAN47kxSpil`v`a1^?4T4bx6H~YOcI)(Jj6zrA`f9!ZXAf zQz>*2+;}~Xbsk_nkIo;$HZ4wy>}$@Z8n+pS)s z5C8xLKZLKAa8rL#c72`(5H&iLx?^wAb9f^tA$@UIo|r0vKrBf-b5SM(j%fNHz zYmBvtB*Vx9OItkzq95n4kWO;)yR_Bcz{F_HoyW{+54t@!w;u}f#UZ%oXrBv_>{{x? zC)PU!skK;AkEjY1-G0=D5j!G1<3ptX*@^rt@G8arjKF_sfy~CzO&@6nT!OUv@cc=h zDFpWOoz&6CujowY72^Y+#_e3|YnL&jL!TaJ_I+_dyLb70T?NN3de{~CkOD{2)j|y@ z0qXnlVf{*DdI8VV;xt!%6uiDG^5j)LzO5# zjpBXd-Gs%nsZjY*YH%5=jK%)LEX@N+tJ3T9G_m{C^Fr(N}!0b@I zP+xzuAY{qV5mxdfq1!6{+|-#BOqj99$~8Bu;uSg~UD>wxz!b`j8*fuiclGOu;A-z+ zqikg`KEit_cDd%lSj>QDr{(uUjg{DXXu3Z^*NlB4f#VZ;nJ|MH2#(%;hsO)*pwX0- z<(??q$a#FO@{v``yKRc{Z_Sgk);xBeg*$(6hU-B;O)EPl-{R1`D4t8{4ICnz^ergs zlvRCoAUXXkWf#Jx#dr9~FxVQf8~LH*j_JqT{!sNktUpR63M{6}XkZ-Aq3)M*ho_yZ z+~#O5l6*%bm5y~rbb%4#Ij`K25Qm@;hB1*T4tn+=Aqwdx4jVDrX6>OgRO@1sgQtIx zR$>PE^jBos58Dp}I*w9|7`*llpCoj$Zaxw(D zZL%)#0bl%XC3($OAc`|n@jS0^;#a}3PSHZ5HIoC60QUt~dX=)PIYf08^mM3GSlfOF z6~YQ$CYi|48rRdZ4Z7|LJu)I{Lau*i@(HF&s2{ejIKk0->{3rz1XRKov=C7TBBXH6 zaaa6QwA4CL(o(T@=SI`CIT^R|Jr!At8RkU<*#zP`R5^o?8c|aNImdMJ?jww3T-T z=qsxvw|#;zuDlwh`>0SsM3)41WqX1wR@#B-Tn zCznGB80-WH!V(la6E#X+#07tGVyRXyZN^-8wboG@>8B*oBsyt~xxr;QmgYK-4w`k4 zI}nK&A{biWkhAQ#kuYAtFe6jjsOm{4OO`_$@02akYmCI>*;)dxI)bMZg0@8ANVz5ws_B)-&*KYo9 zMRuvd?=qw;#p99lRI`7IkJ=6Lg=N{^mJRG69j(6ljxWH!%j?5#WL?^24)Sc_W*P~{ zeRuD@UfV^^yR(S2j&HxJsf2&j1wWD=C9Ey?D#Mb-quQNb0Krn)n6|uqcq>T;D?*~9 z`1WZU+u_Y(HcjFim;uZSi5TRKdfft5+KNFT7f6*^@50uW#*|K9Jho%t43%|x82VvAzY!*la zOSj=nfY5I#2t(W*{=TFcc{ zcyx+So%37+b#$Trb$w^o$m!Kp`W5r>^jm`mBpSUi)voQP8;9~lh^B`mG-DcJGt33< zh3n<%sGK(D1m%UQc+@Jfk8IeU`H)tHuR!|um`Pz}V;wT^8ERB;j zyO2<(@I;{0jCOd4qi-$a*VR!8AEvkk*T2-_<(5Z`5xB=qq?6SbsV?Gc<|kWK5Wv_t!gMYJl&ugA#z;; z6cga0{au^4Rv9%%kZ#xRNr!7FF){lxl6`*%MEwCEYYX2a-Ep56opE~H;yBU;w!v8e z2WO_GRX_C!A=-vc9`J)VR??*~voacs_A|%mtVXVn8N?)

_zX;OXeV6`-f#2*=H9Gra$1N-` z1wQ=4Kyn-*HXUtkeV!606E+>QI(~mCZ!@t#eW>}Tl^T!O(80ngiO!F4!5a-J#OGwa z-!00t=gPn5g(i;K_<`yku3FDwxM$^LFn^Rxu}0Op&Dqx zieORnr015#N1vjxgRI3wJtAz}p+5OP(mO3~* zDzx)%X4kVGiS&V7@t1uo&kHYdHto+J^JyF6?SVj?O6A(CEm?%3qPe=tGZZOqJr0oN zF;WW1=gYaa6Mk}U6o_oo5Pyc|uH_*<|9z{l%8Lpu9EN;f z?czY9X)We}11?q?_U|dSJhr+U1S;|r0g-&d$yV#)6>gfe1=>YLFN29uU8nDvgXvZ{ z>ebOb4?ZWq+r#q9M!X2=j&6}Js*oBZ$fZYO(hv|^+Pw{H3iafqcz%C@_gL5_mG1`O z>y4)LTz@$bsEVcT7$TN;T_cB&;LhsqD{@&J+r;vkjos}!^r3okio@C3vQBj{wx)&@ zjG|g&BYUhf)!5@4q46Nv0HT5sRZuX6}9xih$pvOjrz- zeGAj*{1G4g;W9kOotN6=|Wo{Q~6u~dCPmYv!QzjH) zUWf`2aq^9rDTG%%nE9ABCBCqw+gml-h{6P^aE<+Xc)CE74|qN{oTTw60A9wo6f7`e zvfOqg_x{k1QO|!xACyv~WTK2hU=|t<-#?oW-&Pbfx7!`kQPSK`n#WIFz$_+gheWSn z%HiO*5SdfDDz#~(~>Xw(Y%#Z!$8i))UFIo-!gNycU8J^|=%|2*lrwebE%$Biu z$R@VX=lqW)DdE)~b3O4$KE1glL>}TuL1|g8hvs?ch67>C+dy_(50&KWP;Rd)c3{kx z>J~*W6|`G{e0{#x2Zhsdy$e;;42>J~cr^}<%C3Jdgx`%Q3k+v)G8lNa^{$Z%LO#!Nn;du^gDq%kT)(vyJEn zpeiWEwQHvP$fhDLZ#Ax`D)HjjR&0c z{1X&h1dX+6ANlD3?^wieO2{mR5-ZNBUntO=DBDW-D}N5!w!eoNje)y+@b#|T5^h>@ zOVZgeeD7_z4&zx=vl;33BMik8A)LBAq?><;mdg@-;8+nW@k;4tMLXo!Xxpxs`Z=n} z7VzR&2aM`pbVO87TcW8cU?179e#~i4K-}cdQ4_6M5zkcq6g;_rmF)N2P2~YOV?1(7 zpHt@w5_4+in?>|GZYKb>s=&3LH=7Gj$6=q-V!?ka!1)f5BkOO2`E77e26Z5B?UsM{ zcwT7{^{#^Eozk`0BV4rvDgQ;`*&}i}>I^@F#>TgW#vckBd$oa;>gIZ!M+I+7o3^c( zXj7@kv>gCibfP}?au-!X?SI*9qzKg@&=}19jvAKO5k}fN}O;I_?-tf)3?00{$BahFgWkO)lMaHFLM-StODhjcMk1;dg(2zL^ z$RuIuY=0khR-@0WfhhYmYtT*J&iEygQJyCSGyGhHhFga4$Dqye^-+P7fnA!Y~-xR98)v5zD0aBm%E2%zp}b$3UuR}#f7p69ip z?0? zKD^(Fi}%hoK^BSamg(}5PJI`fPRdOy(Q`w^sy>3D%EoZCINV%ge z)kW6HES^0n^77Y8sWMTrST}6$>T$D9kQie0gVu#NE$5d=LwNK#x@V)45Bhqvv`n=H zXw#olxo)u20?&0B?h}8}-&=9q60Ljsd4%9+S#rM;lTJRmLfFiKGGq1MVi5x6p(cn< z*(dfr99Xn@;33U|7bT%prY*4FKWraFZRfwmFE@hW4U7FI>YN%JPiFsS%xE^YQ#IC3 zjg{n1Vt8zW|G{UX@}{)%ird+(WGM-QBeJF}!ET za+qAAE{JZDV!Zd~iUykL6(y$53!bd76m#Kbe=YTF4{(RccF`O}kIZVM4dlR9`1I_5VLHpHf#l<-r$3;fEMW6|PL-12mjMiCEOVOCg-+24 z_mT}FI{3mDR>+t5GV7e7d-9|RA5lmDeJIM2gofsF@bZ88@#z7kZBVYNFlW+1qZzY! z{+^c$(6aVoQoDvegCje$DF<&rgWyd~HP^8p)J2}kba-xqff%rDw;ps%kSldxpcUqu zRiSCcQS?IX?V&+$(>r@mnWGNP~4_cFN9XfHGYOqy1Xr zb7hDhR+aWYLM?))VBZ8VDsB~oKTt@cGUWOMy?vDO*?tS%shCyq zL1O!*zB(X-G%=<%0mwr*z{6nQcrehqSko(D&;L^K(_)c=)$dX?Hez?PMBdmzR%p$| zsPunxxK!XD-5pb9sYQMsU)Wa1ZCMLb&T0n9#FJJIT zQ33s7cFFpqdW8G!4Mi_~I?jxX;->p4pu<;u>Ke(mZf$<&H2K`{ogVz6jrn%{SPE`R z#AodJn#||S!=AG&!IxQn!}_NSXUAmO`c1!Y+x7P~L&M)(lW+7WJ^9wr&FYgYPzisc zJ9csr->w~-&EABO0{c}C?{0*#2irb!+(({<;^cSc{+QF>*cN5%mdfZjUiJ3*kwzlm z;a;nJPOJLVY@*V!`6?v z@PQP1PkFV~2`AF#P}6ShgMH3G{2nus*_gwA|7|wz=d^iruHa&q_3f-{iGqK(LZ4do z(9d9-aLg3bBMQkrJ$5luUxl7FWC%p~bak>PM%u<_9gmY^5b{?PY#5TChDVr=>DTa)O!&AN9n zq3}jaBlj0ZSkPQj0vsHyA$4nkX2lOohQYR<9}niL6>?=4oq>i(2TFh6%s4FPlU|-@ zXEJVRSD(~Ur2~B@W;|8D%XQY_BNN#XMr@}rSa$)eHpNgGK!a|SvPBKn*TRJMQbZW3 z@ov@xSbf61{C9PzKX;~Wkk>?Be&*myRoI0I_U8UD3Y)O!1@4TW&erMO z%6nrqu-?j)8<&)eXFPvQnwItR(O?veyHvjA-gl#|YBbB!DstKNRicRXF&=`K=#;6~ zj&HfNf=b8Rxf0VDoLl%Aav2}#I^B}f=$#z{B_@CG$*Pd(pDOI36+XARIsfc^WXVmS zPwFtBE;d5Ob?H(T)+A827WNGZpEAKO*4+NRdk=htF3%^mHj{txj`%3A+RHs;gDv6J z9yf=yWb%nj{VRtXiSL(@@uxV#3!c*Ys=zuqFBS~p9gM4>w{Oc9orN>&zelt}Lg)@X zIY7payaUvjFQ%4;@?TGsxe$!9RlH+n)3#DEDKNQ+Ow{aIxJDN0vs z-j=8pD*$|zEHJtk;NBSUp$?ePSB15!n?rs%f{1T#x4i1Y51Q%7;D3~iTGB2&u|%`EgGz0j+DvK@b`XI0hre_N(^vVLfS*tA(J z;G2+d!B(>*toGNo%u zVN>On1&fr2>2x~`3-w01N!uXP+?!ldrJv6)K0;~kGC8==XE$K(n z3V=*_wdjAxJksx1%&o96oT5McWBPk)>#LdVR0u4pTj11@j$is$58McMKQ0eeBO4uZBYuG|I8t=gPv;*$&c{j&MeJiALGFTFkyVJ}!( z`F0QMj7I0hn|s0>TZ)o(%QV0Szf>6-*cr{}b}bn#645zJ!~2z03d?QVJ}7EiqsnPP z>+OGk)|Cfk$wA#(F)y==tv@KGgrx7m&Bp;nI|fpy{0urc5_po!;JR0a5)Wts z##{Gnmxpt}b+<3Kc)~lyLQErtUX2T+?*EDt+^|Mdm8ER6>@NU5iswt->?hY^6CB_4mIV zYZVDS`}!F*#62_O%U~j&igvr4#dkmFP>WH{N!$BM1kGqHwbZM&Pd{%S6AUIu$KpWp zFR9})^H<#mTcU$_P&_1x7dy#k#&>@sB;6F`aOxa5n3k)kK{53fo>npj;(`MOG#TEd zzxuxfNcKYJ=w4W~To1*ru_WUm&I4ObU^nG-$qUWN$-|S{wz4TOh46aF)GX7RqafM$g5xPI`rbw_a}cpI$M?> zr_gXS*Txrmi)f3KyIj2>D2eCneQw4~t^-#ET3{5!<*Jo5T0qux#5Ev{E#jZxmaNAX z%Ci=}yVj7Up<@}ARxuCv9%HejRovY?k}jOMU5k2u?bGtMzo}B{ zMAd@o*H51BhC*bVPT~j)=3{@6zM(p|r=%6h#MWDLXrio3!FHFT=WH=1#v)INHP1h? zho}-=%AKpGQeqitszT|ZAeS3G*EH5RQ zZ@5OcQ!QNB@{(GOS%T>(i%xaRHASL6dT;On;d4?U;4UBG6~$KkF6e(6?(2#m# z!;;xE&pwYnch}=zqhHM62HHrBW6H{?X9&;vsMRGHH z*A%Y_$-jQKbE?Q&{?PTXEIOW zYES`r-pAgN-~3EaUIf1_^j)r^FvhqOpWO45J%>#(H(AF~_Is5;#Ow)Q$5pebpe-qx z3a?Ba*#?PF*#>xcG;k)S4(xWPz4Ds-Dq|ho6YiDKkW;sC1_Xa`RtKJ$e2k5zMKdBA zB@G#B(m2{RU{+g_t5o_JdZ5wm3^(9`(EC+^44t4Qyne$;jRF+h_8};EwSp0^FY- z5Y|uKBA?M9<93^nzpBpb4HspbBS((ysMVB993-bnd zi22H)RV=gy(30D#g(pcexn=299lq(pUY7C65F~nxy0d>ur?;cM%|3S{As&xc4-7-T zj+vK(X%e(qy6zo)MXk`)mg{Me+vIP5a`;I{ODu>a8mrQ^0D1eWnL+f~;yCzCsM#WO z&#LNp_`te?85M#AuauW?o@zCtIrf{Sk>fA#edC(Wn8Y-?mL=E>9u$CM(Bx{ zYJ86f=eK{WmnvzUU$Sg~{RJllYI(&zHg&tg3tp{0oP(sht#YpPLG5xypIuwjuoaD}e2yNXXFP>3#Y!-=;$CP* zR$TWH)_%UL7yF9Q@o%0M&4MMkfSbPFR4z0aRJ zwt~!-&)2ZH`J7Apm93bElu~!ji3stKN>bj#6pAE&9y%@Ip=BwO;DpZ^ zX4X7!ts(8A56FFPlf->M8T8Qy10nA?p$>o2+h0A!L%+Sr-JtDPZg@?VMzN7QgS`*UC%47l8_Jhsnnz?_) z#OG@@{~fn|t16UbcS!2(u$5S~v1=z)9+FCa0Zb!8JSIyUbjujKi-B}}%YFXEl8m~( zz-P^Jp1tbf!1$!8*U&`HUZbjF{g_=1;1={vGDm;sL# z^W8Z|qm_QzUo{q+2(VIFuF%TUSKc!&_Am?UF3X2{!Z^?wr-zlbHds7*nVLhL)E9d4 zJb!{GHPRGzKk$9*RQlkg_`aswhQyNZBt5$^wYR1k4@CHpLs&yyYG+K z-Z;2q&$EtdbW}R*SHBBxZzE~G!UY25G7}xy>TxI`A}j34uTstmUyHJ>%OzftKRqKg zw!J744|)D1g!2CWAX6jeN8FZ-j1-fLJ5Oj)V@T&5C#$rgWnxi0mI79u4Ruu`!ObNSJ>feXC;JjUA@r!#OvX zFIgCVR^@#EzAKAQ_wdQt+k)q7KbH+6Q+vOdB!U6zV9O5D@n@Bb)s;X7jrd%#Yd7n&?{Zl|YRPDfGCS`T zqX%10lpSbXs<6q7AzX#jq<$}jeW!493To9nv#sE?T;PJ)=s*mST#Aq zK&GW(t(!V+vS&h{3S?;Tgo12@mtjN3qo*Y=65LLL{(NgD$r)*jN)&xyMY{3k0WRqa z;SV|IV|ziVbU97obOYScH({;Si-IV*=CMkWTIotN9s)Blmr-~G6u0iK1G5_fGc%V_cmxx-w#ow>K>{;1mr-~G6SwCJ1e8$%GdGt} zcmxx-kX-~&2m&)Xmr-~G6Swtc1XKtDG%=S^cmx!;Uv32O2?8`Tw^4WmP6q=rI5L+` zvH>O#IWRK{FHB`_XLM*FG&VLdmyv-4Du3B>+q#nP{t7-G-&6!*C#F)jDo)~TcH&r0 zPL^^_DGF`t9$E6C@!;wnawk&)sBL$^A5lv^9l%tJoCl{Q-UP1>&2C5ph3$obJISktzhfJn}3eW-7f`uk`pqs*u&rGm53pCUqotB_y zuCb#)VL;T1T3_c)d<+4I5paYr|29(n< zp(wz@T7!9{hFRKVL8Cm{fr$#aqrng|mxyh0=ou8n|w0X+!qmgrbw2ewsUXb>5D9(xXY znkG2#yCxzSSQe)iz~11@!iOD$vIo!+RW~_!4mCHqLtjBhg0c#bL1qXdjU5P9iO3r5 zfXIdnh#ib$__T%E(a6GJbbo7u%cB5J+Q2-UD`bLml>;$RCW}gF2pwrH!89rdN@)w$ zw1vkWuyQ#%*n&DvnSAHLgB@}5_z38O z94uDIFvz{hX4li{X~fMyjOn}@UX8{jqyd_8G+HjpY6iK19-n+)J{>Mc%Mwxs0(Qhc zNS-}-kcoYaUdw3vMHYDiWpPx^$HQ`&eFAci_cL))eqUyh>8i;xadm!nRh@s%J~eE` zEJ&A3PxX;^BBX zna{*fygh!7|9@O8mskHK#J6wX2FuCiWOlxs&!>a&{8H?KTz`p|3wtXsuj5+6_3$Yn z#oh`xcYv#Jh3g7E@cwpmolyhG$hX5)`5=c}{2L<}l7kK12u=h0=4k$8O`I`Vp5FWf zDXbVk^nG%+fYv*(smCo8VJ3&Mj9V+^KmyziJnmQ6T0baapGSYCjWm;%d8IfE@kS3c zcwU249)j3q9)D7r^94X*V+5JJ*9av%T_A>q%@sDp0eZ_1R91w95>e&(k%I~Y$n;JD zX5_$_)P{g`QizkpDO$q7+|(+mP7E=S_VIu9#G@-%ItQW3q7;Qhi?dv*U5K8Nh)ceL z9UU=rJ=-~y$vCu_L3^OTr5b?MwrrscKux*z3g`v0xPJxf+1N59D3qaa0U!lr0Vuq* z$9_&80$%_QX%ehKxgg-3mS|t=(6SomK(!DeLV#r^vqD=?h5G?q1I90MGz~BXh$aDu zHBoNXj+4O%bu9eKx_W){P1ixAwnh8h%?IVj3V=>$fy z;9!l*4u8c%rvNJtpM`S363QLanEIAXv);c=3)LDj=4snbz7^^C(La*%ZjZF4wqec$ zGH1AX5*z^rss?#j0GbmSS&~_L*a1z;dchVLUb%PRp48mjMk^I|Wp3)Hm9rQa(PQRT)N8majXXF;^ zAdLR}5)=e|8!c}bJ!jNt&V8x|@mt!hxbf3)S;U!=<~d7oxMLV`l|UG4>H`PM@NnL$ z&hPiN^sRpYtH}}vez7?@+Wi>CNoWn09t8`NVY%C%sPEm){w+)31{TX%^+7y^t5_%e zMemw=rXRJKy%Oa!4tLrKy#U_9D1?5kL-1%>PX{|w?ol;=p0NT&d`%uRb)rKiZVJyv zUra3hR6~uJ#qht3y}r4*nR#rMJ*k^%>P|CJz51=(&s=Dxa-gQl+E@&zt9r&QENUwu zGM1u*=47?2-9njqUq@HyG7H2BEWKqe$6HoB>oWA?T9#XT`7%zs(CndEezp2)ErPW| zuV*}7k6TRn-jyoT-jZ+FC{8PfMO}wieZB_#Rl;?8)_>Vl)GRdUR9`y zkr?Obo%*<8_RKM4VIUh%a%>GTZvEXImI~cugSrDN$7v&+WSti3`jp;Tf`l;MgS_Vs z$!n%hK@}O$6Nu{X>Og{jnt6Zzj(DzWcyCI^AZe!SL9pFsChth>HzOq?>^CMFwEZDY zmVr&qGNppm_0(8TtFM!wE1-PmJAkK%gS2++)| z7!62Pg5-X)7Lg@=K^Mj{8^(DGooEcpRrvrKS90Gzv+6|A&Q$F+q~#9>Nt^B#tn59L zcNK8J%oJ#}B4B#^L*y-pc9vWmryHzFJvu*Qd|aCTKr#pSt6$p9Z&{}4PcNpkf7SZ6 zZYAj-^@B{PN26Nrp^*Bv@M-3uZW)0 zNGsBt=l-H}-^f3(K!>MJ`bM|;Y^lC^`mHxOaNp@{hiAhR*Fdbx>(hn)giuWvoO`{2 z4ZFMW#x&%@gQ=ZSvNu>EtoMu)xt!Gqn2&~63D0-eTx!uE4!vYKZ;T|0R%dGVOtkon zcYIZHMsnL)xuYbPFa_mZ6j<#4$_IC#Q3|2!1RpZ7tJZP}&05JX zMKRu^H=36Mh2M_sSxh+(Gl(kxK9@`m@*lb>Ef+kLTypYnV?@Mspw}_XKbVZKIzMJS zW?MC}s>%pN+>P0E@EBc5S#4-)WV7s*-#5Qxy!nV2beenDG(Wi7t%*L>`?2a&i;)dS z4?WetE7-YjT9}8j6*;@cTS7WrKdgG=8tM%eP`=SdDDVr7sSUA^o@o)st+2wki*8%zPS2q9U(1z z`Q~Bg?Hi`e0cu_5aqRD5GC~%HcDR92r{gg^(fsCAoeZ1~v$h7=^N$0;=;d$J( z-?jbtONfQg$b}N^@%x;LVYkxz=KCq-5s?T!3xmeI7n!m>;Bv1^!pN@ibHuyz>h|>Q zij(lR(*!-0R#majN0VqP^|*PLu2Gf7o(M?2%g2N`x;vkvuHj`SN|1QA=cbQiI(i(U zjCP8D=OyRp6$Q;#zQN#^H#chLUTulii)wb-{qY$0tTx3~*KT7*Z0jODfwu0&IT)UYVFeu8;XA3Z%wTO? z%04yV1w#$IReF>CLe>vE*6ospp5N~XsdSr`Pg5nhB zioUtQjkI~ljb5>gdCoInZWjRxNN;FTcB@s!pOiFX0v;}5U zf;$hhD9b6@x%$3bq8QsV!oL*3VF2AO8Kn-6dokzZeR?16J*}aKFMZ8xjmAfVdW9U$ zZ04d#s5{QCI2gt;i0*$g+ple!mY+Y6AMFX1Ve!z1Z~IA@q#Q$^@^GTj=>`gE64s

=VT2)VmNCCv*V9E{Y;0^i)OVp zV2M-Kw?eHIEP0&a8;Gr2MhVODqXq|^!fJH#m5q(leB7AKN!m5JbV)Uq{0*jW8shdq z4Wu~#Y=5XP5tfo}ipcUWVT))jfO;V2>!Z+laIa|=pV{bOGpj;Z=tP!nc)z7-8$#)G z0=~Oa{~;U!!hBjyu(v-Xc*E)j+Ol)Ww(s2MA{xp_8gdJ z$hDkl%b-X-q?)qD6>-qwB6Hv}Ao<{!Xc&E)~Cx8eIa z6uinLKayp2j(2a#nUm_M;5<;KS+vaD->ZfH-F<{EMS!_Gw?$k0+58mNJKf@1(AYlZ zaJ!T{HW+84!u8{yH%;>jvG~ciL z9{e9iI!P@ZUI5q)Ut3`r!x^)l%KYv^F@_qCo5P$x(?Jpp8JV;j6cmCol)`<(GFT!1 z6jUJS&ihf`PrUK+&u!sc*~5^e`M?;?O7#7@xbI|>HGCO@1&|P!4aSho+*N)sjN7?j zSUUJsf%c4_4pB)?r?B)S4K3xyBBg2S5oE7p8Z-Ist8kV)mo3T9hdr_c<51xg{Fuh< zfD-;GFgf=2$h2lke*)Cj*?0sX*x8yb`#p{|vM2~RX{IcRs9Gs%)i z!OewB+j+AeI+{&ig%~hq(7!)YR2EqxUL;bzKco~4&ol8&Zc_|q zJ>$b)uUq^N)ts&xOzZI@( zIC^?{1LURu7gm9RfdBTb3L`2~!EVb^jNt)S(IWZx+3bC_h-pbw$KFcp=yOQeL{gHT zeb<&az=PDJp<1zJ;W2(|{Oehon6Y|tvfuSjrbFIQ$Bw>c%1+UK3GFvhV<`MO%39(SJGHM&rAa9xbwdYDE97=bH7Iy~L+m`C-b6$okihlx3Y+b^ji zYUuT>i0usw6Ry7U9UA;hcpHt~YH>iq-Gl1mepJuyU0F}%EPEIcn+=*hFixrSr8LZ_ zV-NSqsmnGUP78FLAT+&dABfj@WKY6e1laXN>S)gd8fUvGMp^m%Okxs$(LKDL&2y2y zt9jF>`7J8FNH@iaTO9#S6D`y>nC^CjUpVhv$LoOM_|INCSw%B-vL(L_%}c3VXB`iJ z{W8DuVD2utai@_$d8d}PBTr^s89powA3RzZw~Xm{{FArz!gc4Q=rqrN)uy#StW3Bx z9n*+Ufc5sKo&6eUc@UU(rB@_xdBt%#wN`aD{r2jEsYKa22IgWa#)g(Rtu$t5t)qpYW4d zb=C(Og4C@1cgSLro_|OE5Lko^3gPH1J&rw3`dj`cM4Yt_^dgAkn8Of#ei!S*VPf6i@>M0)yp&YI3qbHL0g6fdBsr{p}(hTUUE;2LKo-_n$TjV0B## zjoAD44{=T7$PhAW6zU=B8&AX-LoOiz+<)}}^3 z+iX*e+G1~$FDB*SE|S+Y3PNzd_!W>dC{!Hm=a1IMJ~dCG zXdvdVfI}|)^PFr%7L2Bi=BQE{M;&)$w`mS*q^lo?=E6V0Saz%$i7a5#v=6ITey5Gu z-CPo_2qr2)GMknx zn1-1xN1LJJbVY?dNcyx>ALbltLopEViW2$~AiW=-JXY(+FyNaw07%h~+qGo4>}9my zcjM3NLXtI6d)`?Q6%6VmBZ_A#2^{I?B?;lMhv{&d9p5bqUg=5ozI=T8vpUg|L0z&n zwB0|16yRlahxhbckmrjaxFxfF?f=Z(S#Y=D95cjmLMcCxwfE#8vkR0QEpQ7Ps0 zC+eF}jyu;BV*eHsy(@$iUB;|q`P)AvT~qY#3_nZ1Oef{014)78q`=ey0{`l1Q2!Tk CoxLOg diff --git a/doku/jtag_chain_controller.tex b/doku/jtag_chain_controller.tex index f043955..d238eff 100644 --- a/doku/jtag_chain_controller.tex +++ b/doku/jtag_chain_controller.tex @@ -160,20 +160,17 @@ Der Bus Handler akzeptiert 9-bit-Adressen. Die Adressen liegen damit zwischen 0x \begin{tabular}{ll} Name & Bereich\\ RAM & 0x000 - 0x0FF\\ -STATUS & 0x100 - 0x11F\\ -CONTROL & 0x120 - 0x13F\\ DEBUG & 0x140 - 0x15F\\ STATUS2 & 0x160 - 0x17F\\ \end{tabular} -\caption{Die 5 Adressbereiche des JTAG-Chain-Controllers.} +\caption{Die Adressbereiche des JTAG-Chain-Controllers.} \label{table:trbnet_address_ranges} \end{table} \subsubsection{RAM-Adressen} Das RAM \textbf{ram1a}, das die JTAG-Register für alle Sensoren speichert, kann über diesen Adressbereich mit 256 32-bit Wörtern beschrieben und ausgelesen werden (Tabelle \ref{table:ram_addrs}). Die 8 bit dieses Adressraums entsprechen den 8 niederwertigsten Bits der \textbf{ram1a}-Adresse. -Die höheren Adressbits werden über das im folgenden Abschitt -"`CONTROL-Adressen"' beschriebene Register mit der Adresse 0x121 eingestellt. +Die höheren Adressbits werden über das im folgenden Abschitt zu findende Register eingestellt. In der Standardeinstellung ist der Block für einen Sensor auch 256 32-bit Wörter (entspricht 8 kbit Speicherbereichen) groß. Die höherwertigen Adressbits geben in diesem Fall an, welcher Sensor ausgewählt ist.\footnote{Wenn die Speichergröße für einen Sensor von 8 kbit abweichend gewählt wird, enthalten die 256 Wörter dieses Adressbereichs @@ -190,98 +187,69 @@ RAM1AWORD(0-255) & 0x000-0x0FF & Wenn \texttt{m26cs\_stopped} = 1, kann ram1a ü \caption{Register im RAM-Adressbereich.} \label{table:ram_addrs} \end{table} -\subsubsection{STATUS-Adressen} -\label{section_addresses_status} -Unter der Adresse 0x100 kann für die ersten 32 Sensoren der Status des CRC-Checks des \textbf{ram1a}-Speicherbereichs abgefragt werden (Tabelle \ref{table:status_addrs}). Bei erfolgreichem -CRC-Check ist das Bit auf 1 gesetzt. Dabei gehört das niederwertigste Bit zum ersten Sensor. -\begin{table} -\renewcommand{\arraystretch}{1.4} -\begin{tabular}{p{3.5cm}lp{8cm}} -Name & Adresse & Beschreibung\\ -CRC\_STATUS & 0x100 & 32 bit Status-Register des letzten CRC-Checks für die ersten 32 Sensoren. "`Bit i"' = 1 $\to$ CRC-Check ok für Sensor i.\\ -\end{tabular} -\caption{Register im STATUS-Adressbereich.} -\label{table:status_addrs} -\end{table} -\subsubsection{CONTROL-Adressen} -\label{abschnitt_control} -Die Register sind in Tabelle \ref{table:control_addrs} zusammengefasst. -Es gibt ein Befehls- und ein Datenregister, die bei -(hexadezimal) 0x120 und 0x122 liegen. - -Das Register 0x121 wählt den Speicherbereich aus \textbf{ram1a} aus, der durch die im Abschnitt "`RAM-Adressen"' beschriebenen Adressen -zugänglich ist. -\begin{table} -\renewcommand{\arraystretch}{1.4} -\begin{tabular}{p{3.5cm}lp{8cm}} -Name & Adresse & Beschreibung\\ -CMD & 0x120 & Befehlsregister, siehe Abschnitt \ref{abschnitt_control}.\\ -RAM\_BASEADDR & 0x121 & Wählt einen Speicherbereich mit 256 32-bit Worten aus \textbf{ram1a} aus. Diese Adresse entspricht der RAM-Adresse ohne die 8 niederwertigsten Bits. \\ -DATA\_REGISTER & 0x122 & Datenregister, siehe Abschnitt \ref{abschnitt_control}.\\ -\end{tabular} -\caption{Register im CONTROL-Adressbereich.} -\label{table:control_addrs} -\end{table} -Ein Befehl wird ausgeführt, indem per TrbNet ein 8 bit-Code an die untersten 8 bit des 32-bit-Befehlsregisters geschrieben wird. -Das Datenregister kann per TrbNet beschrieben werden, aber auch von ausgeführten Befehlen verändert werden. -Auf das Datenregister kann man auch lesend zugreifen, um das Ergebnis eines Befehls auszulesen. +\subsubsection{Control \& Status-Adressen} + + +Eine Liste der Befehle, deren Code in \texttt{jtag\_constants.vhd} festgelegt ist, findet sich in +Tabelle \ref{table:trbnet_commands}. -Eine Liste der Befehle, deren Code in \texttt{jtag\_constants.vhd} festgelegt ist, findet sich in den Tabellen -\ref{table:trbnet_commands} und \ref{table:trbnet_commands2}. \begin{table} -\renewcommand{\arraystretch}{1.4} -\begin{tabular}{p{5cm}lp{10cm}} -Name & Code & Beschreibung\\ -NONE & 00 & Leerer Befehl.\\ -START & 09 & Aktiviert das Warten auf den \texttt{OFF\_SPILL\_IN}-Trigger (\texttt{jtag\_refresh\_active} = 1).\\ -STOP & 0A & Beendet sowohl Warten auf \texttt{OFF\_SPILL\_IN}-Trigger (\texttt{jtag\_refresh\_active} = 0) als auch check1 (\texttt{jtag\_check1\_active} = 0). Nach Beendigung eventuell noch laufender Programmiervorgänge wird der STOP-Zustand erreicht (\texttt{m26cs\_stopped} = 1).\\ -REMOVE\_SENSOR & 10 & Wenn gestoppt (\texttt{m26cs\_stopped} = 1), wird der im Datenregister eingestellte Sensor als nicht in der Kette befindlich markiert und dadurch bei kommenden Programmiervorgängen übersprungen, so dass eine Kette mit weniger Sensoren programmiert wird.\\ -INSERT\_SENSOR & 11 & Wenn gestoppt (\texttt{m26cs\_stopped} = 1), wird der im Datenregister eingestellte Sensor als nicht in der Kette befindlich markiert und dadurch bei kommenden Programmiervorgängen übersprungen, so dass eine Kette mit weniger Sensoren programmiert wird.\\ -GET\_NUMCHIPS\_CONFIGURED & 12& Die eingestellte Anzahl der im RAM zu liegenden Sensor-Blöcke wird ins Datenregister geschrieben.\\ -SET\_NUMCHIPS\_CONFIGURED & 33 & Aus dem Datenregister wird die Anzahl der im RAM zu liegenden Sensor-Blöcke übernommen.\\ -GET\_NUMCHIPS\_ACTIVE & 13& Die Anzahl nicht entfernter Sensoren wird ins Datenregister geschrieben.\\ -GET\_TRIGGER\_COUNT &14 & Die Zahl der \texttt{OFF\_SPILL\_IN}-Trigger wird ins Datenregister kopiert.\\ -GET\_REMOVED &1d& Schreibt 1 ins Datenregister, wenn der vorher im Datenregister eingestellte Sensor als entfernt markiert ist.\\ -GET\_REMOVED32 &1e& Schreibt für die ersten maximal 32 Sensoren die Entfernt-Markierung in das 32-bit Datenregister. (1 = entfernt, 0 = nicht entfernt).\\ -SET\_BREAKPOINTS & 53 & Setzt \texttt{breakpoint\_active}, siehe VHDL-Code \texttt{jtag\_cmd\_m26c.vhd} für Stellen, an denen die State-Machine angehalten wird.\\ -GET\_BREAKPOINTS & 52 & Schreibt \texttt{breakpoint\_active} ins Datenregister.\\ -SET\_JTAG\_CLOCK\_CYCLE\-\_LENGTH & 40 & setzt die Dauer des JTAG-Takzyklus (\texttt{jtag\_clock\_cycle\_length}, angegeben in Systemtakten).\\ -GET\_JTAG\_CLOCK\_CYCLE\-\_LENGTH &41 & schreibt die Dauer des JTAG-Takzyklus (\texttt{jtag\_clock\_cycle\_length}, in Systemtakten) in das Datenregister.\\ -SET\_JTAG\_CLOCK\_TIME1 &42 & Setzt den Zeitpunkt der steigenden TCK-Taktflanke (\texttt{jtag\_clock\_time1}) innerhalb des durch jtag\_clock\_cycle\_length gegebenen Bereichs.\\ -GET\_JTAG\_CLOCK\_TIME1 & 43& schreibt den Wert von \texttt{jtag\_clock\_time1} in das Datenregister.\\ -SET\_JTAG\_CLOCK\_TIME2 &44& Setzt den Zeitpunkt der fallenden TCK-Taktflanke (\texttt{jtag\_clock\_time2}) innerhalb des durch jtag\_clock\_cycle\_length gegebenen Bereichs.\\ -GET\_JTAG\_CLOCK\_TIME2 &45& schreibt den Wert von \texttt{jtag\_clock\_time2} in das Datenregister.\\ -\end{tabular} +\renewcommand{\arraystretch}{1.4} +\begin{tabular}{p{5cm}llp{9cm}} +Name & Alt & Neu & Beschreibung\\ +SET\_NUMCHIPS\_CONFIGURED & 33 & 00 & Zahl der im RAM vorhandenen Sensor-Blöcke.\\ +SET\_JTAG\_CLOCK\_CYCLE\-\_LENGTH & 40 & 01 & setzt die Dauer des JTAG-Taktzyklus +(\texttt{jtag\_clock\_cycle\_length} in Systemtakten).\\ +SET\_JTAG\_CLOCK\_TIME1 &42 & 02 & Setzt den Zeitpunkt der steigenden TCK-Taktflanke +(\texttt{jtag\_clock\_time1}) innerhalb des durch jtag\_clock\_cycle\_length gegebenen Bereichs.\\ +SET\_JTAG\_CLOCK\_TIME2 &44 & 03 & Setzt den Zeitpunkt der fallenden TCK-Taktflanke +(\texttt{jtag\_clock\_time2}) innerhalb des durch jtag\_clock\_cycle\_length gegebenen Bereichs.\\ +SET\_JTAG\_SAMPLE\_TIME1 &46 & 04 & Setzt den Zeitpunkt der ersten Abtastung des TDO\_IN-Signals +(\texttt{jtag\_sample\_time1}).\\ +SET\_JTAG\_SAMPLE\_TIME2 &48 & 05 & Setzt den Zeitpunkt der zweiten Abtastung des TDO\_IN-Signals +(\texttt{jtag\_sample\_time2}).\\ +SET\_JTAG\_SAMPLE\_TIME3 &4a & 06 & Setzt den Zeitpunkt der dritten Abtastung des TDO\_IN-Signals +(\texttt{jtag\_sample\_time3}).\\ +SET\_JTAG\_SET\_DATA\_TIME&4c & 07 & Setzt den Zeitpunkt für das Ändern der TMS und TDI-Ausgänge des +FPGA +(\texttt{set\_data\_time}).\\ +SET\_DELAY\_EXPECTED\-\_VALUES &67& 08 & Setze die Zahl der TCK-Takte, um die das TDO-Signal des +letzten +Sensors verzögert eintrifft (\texttt{jtag\_delay\_expvalues}, Wertebereich 0 - 3).\\ +\hline +GET\_RUN\_COUNT &50& 10 & Liest den Wert des Durchlauf-Zählers, \texttt{run\_counter}.\\ +GET\_NUMCHIPS\_ACTIVE & 13& 11 & Liest die Anzahl nicht entfernter Sensoren.\\ +GET\_TRIGGER\_COUNT &14 & 12 & Liest die Zahl der \texttt{OFF\_SPILL\_IN}-Trigger.\\ +GET\_LAST\_NOT\_REMOVED & 1c & 13 & Nummer des letzten, nicht entfernten Sensors.\\ +GET\_CRC\_STATUS & 100 & 14 & Status flags of CRC check for 32 sensors.\\ +\hline +START & 09 & 40 & Aktiviert das Warten auf den \texttt{OFF\_SPILL\_IN}-Trigger +\texttt{jtag\_refresh\_active} = Bit 0, \texttt{jtag\_check1\_active} = Bit 1 (überspringen des +Schreibens der Register)\\ +REMOVE\_SENSOR & 10 & 41 & Wenn gestoppt (\texttt{m26cs\_stopped} = 1), wird der im Datenregister +eingestellte Sensor als nicht in der Kette befindlich markiert. Bit 31 = 1: Sensor wird entfernt, +Bit 31 = 0: Sensor wird hinzugefügt.\\ +SET\_CSOPTIONS &65& 42 & Setze Optionen (\texttt{m26csoptions}): bit 0 $\to$ Überspringe +\textbf{BYPASSREG\_TESTCHAIN}.\\ +RAM\_BASEADDR & 121 & 43 & Wählt einen Speicherbereich mit 256 32-bit Worten aus \textbf{ram1a} +aus. Diese Adresse entspricht der RAM-Adresse ohne die 8 niederwertigsten Bits. \\ +\hline +SET\_BREAKPOINTS & 53 & 50 & Setzt \texttt{breakpoint\_active}, siehe VHDL-Code +\texttt{jtag\_cmd\_m26c.vhd} für Stellen, an denen die State-Machine angehalten wird.\\ +COPY\_TO\_STATUS2 &63& 51 & Das Kopieren von \textbf{ram3a} in \textbf{ram3b} wird nach Beenden des +Durchlaufs aus Abb. \ref{fig:m26controller_simple} durchgeführt.\\ +COPY\_RAM1B1C\_SINGLE\-\_TRIGGER &64& 52 & Setze den Trigger für das Kopieren von \textbf{ram1b} +nach +\textbf{ram1c} (siehe Abschnitt MA:\ref{MA-rams_description}) auf bit 0 $\to$ read error, 1 $\to$ +write error, 2 $\to$ data changed, 3 $\to$ next run.\\ +\end{tabular} \caption{Befehle für den JTAG-Chain-Controller, die per TrbNet geschickt werden können.} \label{table:trbnet_commands} \end{table} -\begin{table} -\renewcommand{\arraystretch}{1.4} -\begin{tabular}{p{5cm}lp{10cm}} -SET\_JTAG\_SAMPLE\_TIME1 &46 & Setzt den Zeitpunkt der ersten Abtastung des TDO\_IN-Signals (\texttt{jtag\_sample\_time1}).\\ -GET\_JTAG\_SAMPLE\_TIME1 &47 & Schreibt den Wert von \texttt{jtag\_sample\_time1} ins Datenregister.\\ -SET\_JTAG\_SAMPLE\_TIME2 &48 & Setzt den Zeitpunkt der zweiten Abtastung des TDO\_IN-Signals (\texttt{jtag\_sample\_time2}).\\ -GET\_JTAG\_SAMPLE\_TIME2 &49 & Schreibt den Wert von \texttt{jtag\_sample\_time2} ins Datenregister.\\ -SET\_JTAG\_SAMPLE\_TIME3 &4a & Setzt den Zeitpunkt der dritten Abtastung des TDO\_IN-Signals (\texttt{jtag\_sample\_time3}).\\ -GET\_JTAG\_SAMPLE\_TIME3 &4b & Schreibt den Wert von \texttt{jtag\_sample\_time3} ins Datenregister.\\ -SET\_JTAG\_SET\_DATA\_TIME&4c & Setzt den Zeitpunkt für das Ändern der TMS und TDI-Ausgänge des FPGA (\texttt{set\_data\_time}).\\ -GET\_JTAG\_SET\_DATA\_TIME&4d& Schreibt den Wert von set\_data\_time ins Datenregister.\\ -GET\_RUN\_COUNT &50& Schreibt den Wert des Durchlauf-Zählers, \texttt{run\_counter}, in das Datenregister.\\ -START\_CHECK1 &60& Setzt \texttt{jtag\_check1\_active} auf 1. Damit wird das Schreiben der Register der Sensoren übersprungen.\\ -COPY\_TO\_STATUS2 &63& Das Kopieren von \textbf{ram3a} in \textbf{ram3b} wird nach Beenden des Durchlaufs aus Abb. \ref{fig:m26controller_simple} durchgeführt.\\ -COPY\_RAM1B1C\_SINGLE\-\_TRIGGER &64& Setze den Trigger für das Kopieren von \textbf{ram1b} nach \textbf{ram1c} (siehe Abschnitt MA:\ref{MA-rams_description}) auf bit 0 $\to$ read error, 1 $\to$ write error, 2 $\to$ data changed, 3 $\to$ next run.\\ -SET\_CSOPTIONS &65& Setze Optionen (\texttt{m26csoptions}): bit 0 $\to$ Überspringe \textbf{BYPASSREG\_TESTCHAIN}.\\ -GET\_CSOPTIONS &66& Schreibe \texttt{m26csoptions} in das Datenregister.\\ -SET\_DELAY\_EXPECTED\-\_VALUES &67& Setze die Zahl der TCK-Takte, um die das TDO-Signal des letzten Sensors verzögert eintrifft (\texttt{jtag\_delay\_expvalues}, Wertebereich 0 - 3).\\ -GET\_DELAY\_EXPECTED\-\_VALUES &68& Schreibe \texttt{jtag\_delay\_expvalues} in das Datenregister.\\ -GET\_ACTIVITY &69& Schreibt die Werte der folgenden internen Variablen ins Datenregister: bit 0 $\to$ jtag\_refresh\_active, bit 1 $\to$ jtag\_check1\_active.\\ -\end{tabular} -\caption{Fortsetzung von Tabelle \ref{table:trbnet_commands}.} -\label{table:trbnet_commands2} -\end{table} + \subsubsection{DEBUG-Adressen} Im DEBUG-Adressraum 0x140-0x15F liegen die in Tabelle \ref{table:debug_addrs} angegebenen Register. diff --git a/soft/toolbox/jtag_atomic/ui_generators.pl b/soft/toolbox/jtag_atomic/ui_generators.pl index 116d206..29a6bb3 100644 --- a/soft/toolbox/jtag_atomic/ui_generators.pl +++ b/soft/toolbox/jtag_atomic/ui_generators.pl @@ -46,7 +46,7 @@ sub generate_h_copy_ram1b1c { my ($chain, $fpga_addr, $cmd_base_addr) = @_; # return sub { init_msg( "read ram1b word " . $chain); - send_write_command($fpga_addr,$cmd_base_addr,0x00000008,0x00000064); #M26C_CMD_COPY_RAM1B1C_SINGLE_TRIGGER with unconditional trigger + send_write_command($fpga_addr,$cmd_base_addr,0x00000008,0x00000052); #M26C_CMD_COPY_RAM1B1C_SINGLE_TRIGGER with unconditional trigger # } } @@ -121,15 +121,15 @@ sub generate_h_set_timing_10mhz { my($chain, $fpga_addr, $command_base_addr) = @_; # return sub { init_msg("timing 10 MHz $chain."); - send_write_command($fpga_addr,$command_base_addr,0x00000000,0x00000009);#M26C_CMD_STOP - send_write_command($fpga_addr,$command_base_addr,0x0000000A,0x00000040);#M26C_CMD_SET_JTAG_CLOCK_CYCLE_LENGTH - send_write_command($fpga_addr,$command_base_addr,0x00000003,0x00000042);#M26C_CMD_SET_JTAG_CLOCK_TIME1 - send_write_command($fpga_addr,$command_base_addr,0x00000008,0x00000044);#M26C_CMD_SET_JTAG_CLOCK_TIME2 - send_write_command($fpga_addr,$command_base_addr,0x00000004,0x00000046);#M26C_CMD_SET_JTAG_SAMPLE_TIME1 - send_write_command($fpga_addr,$command_base_addr,0x00000004,0x00000048);#M26C_CMD_SET_JTAG_SAMPLE_TIME2 - send_write_command($fpga_addr,$command_base_addr,0x00000004,0x0000004a);#M26C_CMD_SET_JTAG_SAMPLE_TIME3 - send_write_command($fpga_addr,$command_base_addr,0x00000009,0x0000004c);#M26C_CMD_SET_JTAG_SET_DATA_TIME - send_write_command($fpga_addr,$command_base_addr,0x00000001,0x00000009);#M26C_CMD_START + send_write_command($fpga_addr,$command_base_addr,0x00000000,0x00000040);#M26C_CMD_STOP + send_write_command($fpga_addr,$command_base_addr,0x0000000A,0x00000001);#M26C_CMD_SET_JTAG_CLOCK_CYCLE_LENGTH + send_write_command($fpga_addr,$command_base_addr,0x00000003,0x00000002);#M26C_CMD_SET_JTAG_CLOCK_TIME1 + send_write_command($fpga_addr,$command_base_addr,0x00000008,0x00000003);#M26C_CMD_SET_JTAG_CLOCK_TIME2 + send_write_command($fpga_addr,$command_base_addr,0x00000004,0x00000004);#M26C_CMD_SET_JTAG_SAMPLE_TIME1 + send_write_command($fpga_addr,$command_base_addr,0x00000004,0x00000005);#M26C_CMD_SET_JTAG_SAMPLE_TIME2 + send_write_command($fpga_addr,$command_base_addr,0x00000004,0x00000006);#M26C_CMD_SET_JTAG_SAMPLE_TIME3 + send_write_command($fpga_addr,$command_base_addr,0x00000009,0x00000007);#M26C_CMD_SET_JTAG_SET_DATA_TIME + send_write_command($fpga_addr,$command_base_addr,0x00000001,0x00000040);#M26C_CMD_START # } } @@ -137,15 +137,15 @@ sub generate_h_set_timing_1mhz { my($chain, $fpga_addr, $command_base_addr) = @_; # return sub { init_msg("timing 1 MHz $chain."); - send_write_command($fpga_addr,$command_base_addr,0x00000000,0x00000009);#M26C_CMD_STOP - send_write_command($fpga_addr,$command_base_addr,0x00000064,0x00000040);#M26C_CMD_SET_JTAG_CLOCK_CYCLE_LENGTH - send_write_command($fpga_addr,$command_base_addr,0x00000031,0x00000042);#M26C_CMD_SET_JTAG_CLOCK_TIME1 - send_write_command($fpga_addr,$command_base_addr,0x00000062,0x00000044);#M26C_CMD_SET_JTAG_CLOCK_TIME2 - send_write_command($fpga_addr,$command_base_addr,0x00000030,0x00000046);#M26C_CMD_SET_JTAG_SAMPLE_TIME1 - send_write_command($fpga_addr,$command_base_addr,0x00000030,0x00000048);#M26C_CMD_SET_JTAG_SAMPLE_TIME2 - send_write_command($fpga_addr,$command_base_addr,0x00000030,0x0000004a);#M26C_CMD_SET_JTAG_SAMPLE_TIME3 - send_write_command($fpga_addr,$command_base_addr,0x00000063,0x0000004c);#M26C_CMD_SET_JTAG_SET_DATA_TIME - send_write_command($fpga_addr,$command_base_addr,0x00000001,0x00000009);#M26C_CMD_START + send_write_command($fpga_addr,$command_base_addr,0x00000000,0x00000040);#M26C_CMD_STOP + send_write_command($fpga_addr,$command_base_addr,0x00000064,0x00000001);#M26C_CMD_SET_JTAG_CLOCK_CYCLE_LENGTH + send_write_command($fpga_addr,$command_base_addr,0x00000031,0x00000002);#M26C_CMD_SET_JTAG_CLOCK_TIME1 + send_write_command($fpga_addr,$command_base_addr,0x00000062,0x00000003);#M26C_CMD_SET_JTAG_CLOCK_TIME2 + send_write_command($fpga_addr,$command_base_addr,0x00000030,0x00000004);#M26C_CMD_SET_JTAG_SAMPLE_TIME1 + send_write_command($fpga_addr,$command_base_addr,0x00000030,0x00000005);#M26C_CMD_SET_JTAG_SAMPLE_TIME2 + send_write_command($fpga_addr,$command_base_addr,0x00000030,0x00000006);#M26C_CMD_SET_JTAG_SAMPLE_TIME3 + send_write_command($fpga_addr,$command_base_addr,0x00000063,0x00000007);#M26C_CMD_SET_JTAG_SET_DATA_TIME + send_write_command($fpga_addr,$command_base_addr,0x00000001,0x00000040);#M26C_CMD_START # } } @@ -153,15 +153,15 @@ sub generate_h_set_timing_100khz { my($chain, $fpga_addr, $command_base_addr) = @_; # return sub { init_msg("timing 100 kHz $chain."); - send_write_command($fpga_addr,$command_base_addr,0x00000000,0x00000009);#M26C_CMD_STOP - send_write_command($fpga_addr,$command_base_addr,0x000003E8,0x00000040);#M26C_CMD_SET_JTAG_CLOCK_CYCLE_LENGTH - send_write_command($fpga_addr,$command_base_addr,0x000001CC,0x00000042);#M26C_CMD_SET_JTAG_CLOCK_TIME1 - send_write_command($fpga_addr,$command_base_addr,0x000003C0,0x00000044);#M26C_CMD_SET_JTAG_CLOCK_TIME2 - send_write_command($fpga_addr,$command_base_addr,0x000001F0,0x00000046);#M26C_CMD_SET_JTAG_SAMPLE_TIME1 - send_write_command($fpga_addr,$command_base_addr,0x000001F0,0x00000048);#M26C_CMD_SET_JTAG_SAMPLE_TIME2 - send_write_command($fpga_addr,$command_base_addr,0x000001F0,0x0000004a);#M26C_CMD_SET_JTAG_SAMPLE_TIME3 - send_write_command($fpga_addr,$command_base_addr,0x000003E7,0x0000004c);#M26C_CMD_SET_JTAG_SET_DATA_TIME - send_write_command($fpga_addr,$command_base_addr,0x00000001,0x00000009);#M26C_CMD_START + send_write_command($fpga_addr,$command_base_addr,0x00000000,0x00000040);#M26C_CMD_STOP + send_write_command($fpga_addr,$command_base_addr,0x000003E8,0x00000001);#M26C_CMD_SET_JTAG_CLOCK_CYCLE_LENGTH + send_write_command($fpga_addr,$command_base_addr,0x000001CC,0x00000002);#M26C_CMD_SET_JTAG_CLOCK_TIME1 + send_write_command($fpga_addr,$command_base_addr,0x000003C0,0x00000003);#M26C_CMD_SET_JTAG_CLOCK_TIME2 + send_write_command($fpga_addr,$command_base_addr,0x000001F0,0x00000004);#M26C_CMD_SET_JTAG_SAMPLE_TIME1 + send_write_command($fpga_addr,$command_base_addr,0x000001F0,0x00000005);#M26C_CMD_SET_JTAG_SAMPLE_TIME2 + send_write_command($fpga_addr,$command_base_addr,0x000001F0,0x00000006);#M26C_CMD_SET_JTAG_SAMPLE_TIME3 + send_write_command($fpga_addr,$command_base_addr,0x000003E7,0x00000007);#M26C_CMD_SET_JTAG_SET_DATA_TIME + send_write_command($fpga_addr,$command_base_addr,0x00000001,0x00000040);#M26C_CMD_START # } } @@ -170,7 +170,7 @@ sub generate_h_delay { my($chain, $fpga_addr, $command_base_addr, $delay) = @_; # return sub { init_msg("Delay $delay $chain."); - send_write_command($fpga_addr,$command_base_addr,$delay,0x00000067); + send_write_command($fpga_addr,$command_base_addr,$delay,0x00000008); # } } diff --git a/soft/toolbox/jtag_atomic/ui_writeram.pl b/soft/toolbox/jtag_atomic/ui_writeram.pl index c95bb83..4d85381 100755 --- a/soft/toolbox/jtag_atomic/ui_writeram.pl +++ b/soft/toolbox/jtag_atomic/ui_writeram.pl @@ -261,21 +261,21 @@ foreach my $chain (keys %allchains) { push(@{$memhash->{$setting_name}},$crc1_rn & 0xFFFFFFFF); } } - trb_register_write($fpga_addr ,$cmd_base_addr+0x9,0x0) or die trb_strerror(); + trb_register_write($fpga_addr ,$cmd_base_addr+0x40,0x0) or die trb_strerror(); # trb_register_write($fpga_addr ,$data_reg_addr,scalar @sensors) or die trb_strerror(); - trb_register_write($fpga_addr ,$cmd_base_addr+0x33,scalar @sensors) or die trb_strerror(); + trb_register_write($fpga_addr ,$cmd_base_addr+0x00,scalar @sensors) or die trb_strerror(); for(my $i=0;$i{$settingnames[$i]},scalar @{$memhash->{$settingnames[$i]}}) or die trb_strerror(); } - trb_register_write($fpga_addr, $cmd_base_addr+0x09, 0x1) or die trb_strerror(); + trb_register_write($fpga_addr, $cmd_base_addr+0x40, 0x1) or die trb_strerror(); if(not defined($opt_quiet)) { print "\n"; } print "done.\n"; diff --git a/vhdl/code/jtag_cmd_m26c.vhd b/vhdl/code/jtag_cmd_m26c.vhd index 2ae1ad8..bb40b66 100644 --- a/vhdl/code/jtag_cmd_m26c.vhd +++ b/vhdl/code/jtag_cmd_m26c.vhd @@ -246,15 +246,6 @@ signal bus2_ram_ack_in : std_logic; signal bus2_ram_nack_in : std_logic; signal ram1a_read_delay, ram1a_read_delay2 : std_logic; - -signal bus2_status_addr_out : std_logic_vector(15 downto 0); -signal bus2_status_data_out : std_logic_vector(31 downto 0); -signal bus2_status_read_enable_out : std_logic; -signal bus2_status_write_enable_out : std_logic; -signal bus2_status_data_in : std_logic_vector(31 downto 0); -signal bus2_status_ack_in : std_logic; -signal bus2_status_nack_in : std_logic; - signal bus2_status2_addr_out : std_logic_vector(15 downto 0); signal bus2_status2_data_out : std_logic_vector(31 downto 0); signal bus2_status2_read_enable_out : std_logic; @@ -271,16 +262,6 @@ signal status2_run_counter, status2_run_counter_next : unsigned(31 downto 0); signal status2_chain_status, status2_chain_status_next : std_logic_vector(3+MAX_NUMCHIPS_PLUS_ONE_LD-1 downto 0); signal status2_copy_finished: std_logic; - ---signal bus2_control_addr_out : std_logic_vector(4 downto 0); -signal bus2_control_addr_out : std_logic_vector(15 downto 0); -signal bus2_control_data_out : std_logic_vector(31 downto 0); -signal bus2_control_read_enable_out : std_logic; -signal bus2_control_write_enable_out : std_logic; -signal bus2_control_data_in : std_logic_vector(31 downto 0); -signal bus2_control_ack_in : std_logic; -signal bus2_control_nack_in : std_logic; - --signal bus2_debug_addr_out : std_logic_vector(4 downto 0); signal bus2_debug_addr_out : std_logic_vector(15 downto 0); signal bus2_debug_data_out : std_logic_vector(31 downto 0); @@ -572,9 +553,9 @@ REQUEST_RESET_OUT <= request_reset; the_bus_handler : trb_net16_regio_bus_handler generic map( - PORT_NUMBER => 6, - PORT_ADDRESSES => (0 => x"0000", 1 => x"0100", 2 => x"0120", 3 => x"0140", 4 => x"0160", 5 => x"0200", others => (others => '0')), - PORT_ADDR_MASK => (0 => 8, 1 => 5, 2 => 5, 3 => 5, 4 => 5, 5 => 8, others => 0) + PORT_NUMBER => 4, + PORT_ADDRESSES => (0 => x"0000", 1 => x"0140", 2 => x"0160", 3 => x"0200", others => (others => '0')), + PORT_ADDR_MASK => (0 => 8, 1 => 5, 2 => 5, 3 => 8, others => 0) ) port map( CLK => CLK_IN, @@ -591,66 +572,44 @@ the_bus_handler : trb_net16_regio_bus_handler DAT_NO_MORE_DATA_OUT => BUS_NO_MORE_DATA_OUT, -- don't disturb me now DAT_UNKNOWN_ADDR_OUT => BUS_UNKNOWN_ADDR_OUT, -- noone here to answer your request - --BUS_ADDR_OUT(0*16+15 downto 0*16+8) => open, BUS_ADDR_OUT(0*16+15 downto 0*16) => bus2_ram_addr_out, - --BUS_ADDR_OUT(1*16+15 downto 1*16+5) => open, - BUS_ADDR_OUT(1*16+15 downto 1*16) => bus2_status_addr_out, - --BUS_ADDR_OUT(2*16+15 downto 2*16+5) => open, - BUS_ADDR_OUT(2*16+15 downto 2*16) => bus2_control_addr_out, - --BUS_ADDR_OUT(3*16+15 downto 3*16+5) => open, - BUS_ADDR_OUT(3*16+15 downto 3*16) => bus2_debug_addr_out, - BUS_ADDR_OUT(4*16+15 downto 4*16) => bus2_status2_addr_out, - BUS_ADDR_OUT(5*16+15 downto 5*16) => bus_command_addr, + BUS_ADDR_OUT(1*16+15 downto 1*16) => bus2_debug_addr_out, + BUS_ADDR_OUT(2*16+15 downto 2*16) => bus2_status2_addr_out, + BUS_ADDR_OUT(3*16+15 downto 3*16) => bus_command_addr, BUS_DATA_OUT(0*32+31 downto 0*32) => bus2_ram_data_out, - BUS_DATA_OUT(1*32+31 downto 1*32) => bus2_status_data_out, - BUS_DATA_OUT(2*32+31 downto 2*32) => bus2_control_data_out, - BUS_DATA_OUT(3*32+31 downto 3*32) => bus2_debug_data_out, - BUS_DATA_OUT(4*32+31 downto 4*32) => bus2_status2_data_out, - BUS_DATA_OUT(5*32+31 downto 5*32) => bus_command_data_out, + BUS_DATA_OUT(1*32+31 downto 1*32) => bus2_debug_data_out, + BUS_DATA_OUT(2*32+31 downto 2*32) => bus2_status2_data_out, + BUS_DATA_OUT(3*32+31 downto 3*32) => bus_command_data_out, BUS_READ_ENABLE_OUT(0) => bus2_ram_read_enable_out, - BUS_READ_ENABLE_OUT(1) => bus2_status_read_enable_out, - BUS_READ_ENABLE_OUT(2) => bus2_control_read_enable_out, - BUS_READ_ENABLE_OUT(3) => bus2_debug_read_enable_out, - BUS_READ_ENABLE_OUT(4) => bus2_status2_read_enable_out, - BUS_READ_ENABLE_OUT(5) => bus_command_read, + BUS_READ_ENABLE_OUT(1) => bus2_debug_read_enable_out, + BUS_READ_ENABLE_OUT(2) => bus2_status2_read_enable_out, + BUS_READ_ENABLE_OUT(3) => bus_command_read, BUS_WRITE_ENABLE_OUT(0) => bus2_ram_write_enable_out, - BUS_WRITE_ENABLE_OUT(1) => bus2_status_write_enable_out, - BUS_WRITE_ENABLE_OUT(2) => bus2_control_write_enable_out, - BUS_WRITE_ENABLE_OUT(3) => bus2_debug_write_enable_out, - BUS_WRITE_ENABLE_OUT(4) => bus2_status2_write_enable_out, - BUS_WRITE_ENABLE_OUT(5) => bus_command_write, + BUS_WRITE_ENABLE_OUT(1) => bus2_debug_write_enable_out, + BUS_WRITE_ENABLE_OUT(2) => bus2_status2_write_enable_out, + BUS_WRITE_ENABLE_OUT(3) => bus_command_write, BUS_TIMEOUT_OUT => open, BUS_DATA_IN(0*32+31 downto 0*32) => bus2_ram_data_in, - BUS_DATA_IN(1*32+31 downto 1*32) => bus2_status_data_in, - BUS_DATA_IN(2*32+31 downto 2*32) => bus2_control_data_in, - BUS_DATA_IN(3*32+31 downto 3*32) => bus2_debug_data_in, - BUS_DATA_IN(4*32+31 downto 4*32) => bus2_status2_data_in, - BUS_DATA_IN(5*32+31 downto 5*32) => bus_command_data_in, + BUS_DATA_IN(1*32+31 downto 1*32) => bus2_debug_data_in, + BUS_DATA_IN(2*32+31 downto 2*32) => bus2_status2_data_in, + BUS_DATA_IN(3*32+31 downto 3*32) => bus_command_data_in, BUS_DATAREADY_IN(0) => bus2_ram_ack_in, - BUS_DATAREADY_IN(1) => bus2_status_ack_in, - BUS_DATAREADY_IN(2) => bus2_control_ack_in, - BUS_DATAREADY_IN(3) => bus2_debug_ack_in, - BUS_DATAREADY_IN(4) => bus2_status2_ack_in, - BUS_DATAREADY_IN(5) => bus_command_ack, + BUS_DATAREADY_IN(1) => bus2_debug_ack_in, + BUS_DATAREADY_IN(2) => bus2_status2_ack_in, + BUS_DATAREADY_IN(3) => bus_command_ack, BUS_WRITE_ACK_IN(0) => bus2_ram_ack_in, - BUS_WRITE_ACK_IN(1) => bus2_status_ack_in, - BUS_WRITE_ACK_IN(2) => bus2_control_ack_in, - BUS_WRITE_ACK_IN(3) => bus2_debug_ack_in, - BUS_WRITE_ACK_IN(4) => bus2_status2_ack_in, - BUS_WRITE_ACK_IN(5) => bus_command_ack, + BUS_WRITE_ACK_IN(1) => bus2_debug_ack_in, + BUS_WRITE_ACK_IN(2) => bus2_status2_ack_in, + BUS_WRITE_ACK_IN(3) => bus_command_ack, BUS_NO_MORE_DATA_IN(0) => bus2_ram_nack_in, BUS_NO_MORE_DATA_IN(1) => '0', - BUS_NO_MORE_DATA_IN(2) => bus2_control_nack_in, + BUS_NO_MORE_DATA_IN(2) => '0', BUS_NO_MORE_DATA_IN(3) => '0', - BUS_NO_MORE_DATA_IN(4) => '0', - BUS_NO_MORE_DATA_IN(5) => '0', BUS_UNKNOWN_ADDR_IN(0) => '0', - BUS_UNKNOWN_ADDR_IN(1) => bus2_status_nack_in, - BUS_UNKNOWN_ADDR_IN(2) => bus_command_nack, - BUS_UNKNOWN_ADDR_IN(3) => bus2_debug_nack_in, - BUS_UNKNOWN_ADDR_IN(4) => bus2_status2_nack_in, - BUS_UNKNOWN_ADDR_IN(5) => bus_command_retry + BUS_UNKNOWN_ADDR_IN(1) => bus2_debug_nack_in, + BUS_UNKNOWN_ADDR_IN(2) => bus2_status2_nack_in, + BUS_UNKNOWN_ADDR_IN(3) => bus_command_retry ); @@ -2041,26 +2000,6 @@ BUS2_RAM_RW : process begin end if; end process; -BUS2_STATUS_R : process begin - wait until rising_edge(CLK_IN); - bus2_status_data_in <= (others => '0'); - bus2_status_ack_in <= '0'; - bus2_status_nack_in <= '0'; - - if(bus2_status_read_enable_out='1') then - if(bus2_status_addr_out(4 downto 0) = "00000") then - bus2_status_data_in(MAX_NUMCHIPS - 1 downto 0) <= crc_status_register(MAX_NUMCHIPS - 1 downto 0); - bus2_status_ack_in <= '1'; - else - bus2_status_nack_in <= '1'; - end if; - end if; - if(bus2_status_write_enable_out='1') then - bus2_status_nack_in <= '1'; - end if; -end process; - - BUS2_COMMAND_RW : process begin wait until rising_edge(CLK_IN); @@ -2080,8 +2019,6 @@ BUS2_COMMAND_RW : process begin bus_command_data_in(1) <= jtag_check1_active; when M26C_CMD_REMOVE_SENSOR => bus_command_data_in(MAX_NUMCHIPS -1 downto 0) <= removed_chips; - when M26C_CMD_INSERT_SENSOR => - bus_command_data_in(MAX_NUMCHIPS -1 downto 0) <= removed_chips; when M26C_CMD_SET_NUMCHIPS_CONFIGURED => bus_command_data_in(MAX_NUMCHIPS_LD-1 downto 0) <= std_logic_vector(numchips_configured); when M26C_CMD_GET_NUMCHIPS_ACTIVE => @@ -2108,6 +2045,10 @@ BUS2_COMMAND_RW : process begin bus_command_data_in(9 downto 0) <= std_logic_vector(jtag_set_data_time); when M26C_CMD_GET_RUN_COUNT => bus_command_data_in(31 downto 0) <= std_logic_vector(run_counter); + when M26C_CMD_GET_CRC_STATUS => + bus_command_data_in(MAX_NUMCHIPS - 1 downto 0) <= crc_status_register(MAX_NUMCHIPS - 1 downto 0); + when M26C_CMD_SET_RAMBASE => + bus_command_data_in(MAX_NUMCHIPS_LD-1 downto 0) <= ram1a_a1_base_addr; when M26C_CMD_SET_CSOPTIONS => bus_command_data_in(0 downto 0) <= m26csoptions(0 downto 0); -- bit 0 => skip bypassreg chaintest when M26C_CMD_SET_DELAY_EXPECTED_VALUES => @@ -2126,22 +2067,13 @@ BUS2_COMMAND_RW : process begin jtag_check1_active <= bus_command_data_out(1); when M26C_CMD_REMOVE_SENSOR => if m26cs_stopped = '1' then - if(unsigned(bus_command_data_out) - if m26cs_stopped = '1' then - if(unsigned(bus_command_data_out) numchips_configured <= unsigned(bus_command_data_out(MAX_NUMCHIPS_PLUS_ONE_LD-1 downto 0)); when M26C_CMD_SET_BREAKPOINTS => @@ -2163,6 +2095,8 @@ BUS2_COMMAND_RW : process begin jtag_set_data_time <= unsigned(bus_command_data_out(9 downto 0)); when M26C_CMD_COPY_TO_STATUS2 => jtag_status2_copy_request_strobe <= '1'; + when M26C_CMD_SET_RAMBASE => + ram1a_a1_base_addr <= bus_command_data_out(MAX_NUMCHIPS_LD-1 downto 0); when M26C_CMD_COPY_RAM1B1C_SINGLE_TRIGGER => ram1b1c_copy_trigger_strobe(3 downto 0) <= bus_command_data_out(3 downto 0); -- trigger on: bit 0 => read error, 1 => write error, 2 => data changed, 3=>next run @@ -2186,30 +2120,6 @@ end process; -BUS2_CONTROL_RW : process begin - wait until rising_edge(CLK_IN); - bus2_control_ack_in <= '0'; - bus2_control_nack_in <= '0'; - bus2_control_data_in <= (others => '0'); - - if(bus2_control_write_enable_out='1') then - if(bus2_control_addr_out(4 downto 0) = ADDR_CONTROL_RAM_BASEADDR) then - ram1a_a1_base_addr <= bus2_control_data_out(MAX_NUMCHIPS_LD-1 downto 0); - bus2_control_ack_in <= '1'; - else - bus2_control_nack_in <= '1'; - end if; - elsif(bus2_control_read_enable_out='1') then - if(bus2_control_addr_out(4 downto 0) = ADDR_CONTROL_RAM_BASEADDR) then - bus2_control_data_in(MAX_NUMCHIPS_LD-1 downto 0) <= ram1a_a1_base_addr; - bus2_control_ack_in <= '1'; - else - bus2_control_nack_in <= '1'; - end if; - end if; -end process; - - BUS2_DEBUG_R : process begin wait until rising_edge(CLK_IN); bus2_debug_ack_in <= '0'; @@ -2299,7 +2209,7 @@ BUS2_STATUS2_R : process begin -- bits i*32+31 downto i*32: bits 0: JTAG_ERROR, 1: WRITE_ERROR, 2: WRITE_ERROR2, 3: READ_ERROR, 4: READ_ERROR2, -- 5: DATA_CHANGED, 6: reserved, 7: reserved if(bus2_status2_addr_out(4) = '1') then -- ram3b - ram3b_a2_rel_addr <= bus2_status_addr_out(3 downto 0); + ram3b_a2_rel_addr <= bus2_status2_addr_out(3 downto 0); bus2_status2_read_ram3b <= '1'; else bus2_status2_ack_in <= '1'; diff --git a/vhdl/code/jtag_constants.vhd b/vhdl/code/jtag_constants.vhd index 96a8664..f27b318 100644 --- a/vhdl/code/jtag_constants.vhd +++ b/vhdl/code/jtag_constants.vhd @@ -13,53 +13,28 @@ constant CMD_UPDATE_IR : std_logic_vector (3 downto 0) := x"5"; constant CMD_UPDATE_DR : std_logic_vector (3 downto 0) := x"6"; constant CMD_RESET_JTAG : std_logic_vector (3 downto 0) := x"7"; constant CMD_READ_TDO : std_logic_vector (3 downto 0) := x"8"; --- constant M26C_CMD_NONE : std_logic_vector (7 downto 0) := x"00"; -constant M26C_CMD_START : std_logic_vector (7 downto 0) := x"09"; --- constant M26C_CMD_STOP : std_logic_vector (7 downto 0) := x"0A"; -constant M26C_CMD_REMOVE_SENSOR : std_logic_vector (7 downto 0) := x"10"; -constant M26C_CMD_INSERT_SENSOR : std_logic_vector (7 downto 0) := x"11"; --- constant M26C_CMD_GET_NUMCHIPS_CONFIGURED:std_logic_vector (7 downto 0) := x"12"; -constant M26C_CMD_GET_NUMCHIPS_ACTIVE : std_logic_vector (7 downto 0) := x"13"; -constant M26C_CMD_GET_TRIGGER_COUNT : std_logic_vector (7 downto 0) := x"14"; -constant M26C_CMD_GET_LAST_NOT_REMOVED : std_logic_vector (7 downto 0) := x"1c"; --- constant M26C_CMD_GET_REMOVED : std_logic_vector (7 downto 0) := x"1d"; --- constant M26C_CMD_GET_REMOVED32 : std_logic_vector (7 downto 0) := x"1e"; --- constant M26C_CMD_GET_WRITE_ERROR_COUNTER :std_logic_vector (7 downto 0) := x"20"; --- constant M26C_CMD_GET_WRITE_ERROR_OVER_THRESHOLD_COUNTER :std_logic_vector (7 downto 0) := x"21"; --- constant M26C_CMD_GET_WRITE_DATA_CHANGED_COUNTER :std_logic_vector (7 downto 0) := x"22"; --- constant M26C_CMD_GET_READ_ERROR_COUNTER :std_logic_vector (7 downto 0) := x"23"; --- constant M26C_CMD_GET_READ_ERROR_OVER_THRESHOLD_COUNTER :std_logic_vector (7 downto 0) := x"24"; --- constant M26C_CMD_GET_JTAG_M26_DEV_ID :std_logic_vector (7 downto 0) := x"30"; -constant M26C_CMD_SET_NUMCHIPS_CONFIGURED : std_logic_vector (7 downto 0) := x"33"; -constant M26C_CMD_SET_JTAG_CLOCK_CYCLE_LENGTH : std_logic_vector (7 downto 0) := x"40"; --- constant M26C_CMD_GET_JTAG_CLOCK_CYCLE_LENGTH : std_logic_vector (7 downto 0) := x"41"; -constant M26C_CMD_SET_JTAG_CLOCK_TIME1 : std_logic_vector (7 downto 0) := x"42"; --- constant M26C_CMD_GET_JTAG_CLOCK_TIME1 : std_logic_vector (7 downto 0) := x"43"; -constant M26C_CMD_SET_JTAG_CLOCK_TIME2 : std_logic_vector (7 downto 0) := x"44"; --- constant M26C_CMD_GET_JTAG_CLOCK_TIME2 : std_logic_vector (7 downto 0) := x"45"; -constant M26C_CMD_SET_JTAG_SAMPLE_TIME1 : std_logic_vector (7 downto 0) := x"46"; --- constant M26C_CMD_GET_JTAG_SAMPLE_TIME1 : std_logic_vector (7 downto 0) := x"47"; -constant M26C_CMD_SET_JTAG_SAMPLE_TIME2 : std_logic_vector (7 downto 0) := x"48"; --- constant M26C_CMD_GET_JTAG_SAMPLE_TIME2 : std_logic_vector (7 downto 0) := x"49"; -constant M26C_CMD_SET_JTAG_SAMPLE_TIME3 : std_logic_vector (7 downto 0) := x"4a"; --- constant M26C_CMD_GET_JTAG_SAMPLE_TIME3 : std_logic_vector (7 downto 0) := x"4b"; -constant M26C_CMD_SET_JTAG_SET_DATA_TIME : std_logic_vector (7 downto 0) := x"4c"; --- constant M26C_CMD_GET_JTAG_SET_DATA_TIME : std_logic_vector (7 downto 0) := x"4d"; -constant M26C_CMD_GET_RUN_COUNT : std_logic_vector(7 downto 0) := x"50"; --- constant M26C_CMD_GET_BREAKPOINTS : std_logic_vector(7 downto 0) := x"52"; -constant M26C_CMD_SET_BREAKPOINTS : std_logic_vector(7 downto 0) := x"53"; --- constant M26C_CMD_START_CHECK1 : std_logic_vector (7 downto 0) := x"60"; -constant M26C_CMD_COPY_TO_STATUS2 : std_logic_vector (7 downto 0) := x"63"; -constant M26C_CMD_COPY_RAM1B1C_SINGLE_TRIGGER : std_logic_vector (7 downto 0) := x"64"; -- trigger on: bit 0 => read error, 1 => write error, 2 => data changed --- constant M26C_CMD_COPY_RAM1B1C_SINGLE_TRIGGER_READ_ERROR : std_logic_vector (7 downto 0) := x"6a"; -- --- constant M26C_CMD_COPY_RAM1B1C_SINGLE_TRIGGER_WRITE_ERROR : std_logic_vector (7 downto 0) := x"6b"; -- --- constant M26C_CMD_COPY_RAM1B1C_SINGLE_TRIGGER_DATA_CHANGED : std_logic_vector (7 downto 0) := x"6c"; -- --- constant M26C_CMD_COPY_RAM1B1C_SINGLE_TRIGGER_NOW : std_logic_vector (7 downto 0) := x"6d"; -- -constant M26C_CMD_SET_CSOPTIONS : std_logic_vector (7 downto 0) := x"65"; -- bit 0 => skip BYPASS CHAINTEST --- constant M26C_CMD_GET_CSOPTIONS : std_logic_vector (7 downto 0) := x"66"; -- bit 0 => skip BYPASS CHAINTEST -constant M26C_CMD_SET_DELAY_EXPECTED_VALUES : std_logic_vector (7 downto 0) := x"67"; -- bits 1 downto 0 as unsigned number of TCK clocks expected values are delayed --- constant M26C_CMD_GET_DELAY_EXPECTED_VALUES : std_logic_vector (7 downto 0) := x"68"; --- constant M26C_CMD_GET_ACTIVITY : std_logic_vector (7 downto 0) := x"69"; -- bit 0 => jtag_refresh_active, bit 1 => jtag_check1_active. + +constant M26C_CMD_START : std_logic_vector (7 downto 0) := x"40"; +constant M26C_CMD_REMOVE_SENSOR : std_logic_vector (7 downto 0) := x"41"; +constant M26C_CMD_GET_NUMCHIPS_ACTIVE : std_logic_vector (7 downto 0) := x"11"; +constant M26C_CMD_GET_TRIGGER_COUNT : std_logic_vector (7 downto 0) := x"12"; +constant M26C_CMD_GET_LAST_NOT_REMOVED : std_logic_vector (7 downto 0) := x"13"; +constant M26C_CMD_GET_CRC_STATUS : std_logic_vector (7 downto 0) := x"14"; +constant M26C_CMD_SET_NUMCHIPS_CONFIGURED : std_logic_vector (7 downto 0) := x"00"; +constant M26C_CMD_SET_JTAG_CLOCK_CYCLE_LENGTH : std_logic_vector (7 downto 0) := x"01"; +constant M26C_CMD_SET_JTAG_CLOCK_TIME1 : std_logic_vector (7 downto 0) := x"02"; +constant M26C_CMD_SET_JTAG_CLOCK_TIME2 : std_logic_vector (7 downto 0) := x"03"; +constant M26C_CMD_SET_JTAG_SAMPLE_TIME1 : std_logic_vector (7 downto 0) := x"04"; +constant M26C_CMD_SET_JTAG_SAMPLE_TIME2 : std_logic_vector (7 downto 0) := x"05"; +constant M26C_CMD_SET_JTAG_SAMPLE_TIME3 : std_logic_vector (7 downto 0) := x"06"; +constant M26C_CMD_SET_JTAG_SET_DATA_TIME : std_logic_vector (7 downto 0) := x"07"; +constant M26C_CMD_GET_RUN_COUNT : std_logic_vector (7 downto 0) := x"10"; +constant M26C_CMD_SET_BREAKPOINTS : std_logic_vector (7 downto 0) := x"50"; +constant M26C_CMD_COPY_TO_STATUS2 : std_logic_vector (7 downto 0) := x"51"; +constant M26C_CMD_COPY_RAM1B1C_SINGLE_TRIGGER : std_logic_vector (7 downto 0) := x"52"; -- trigger on: bit 0 => read error, 1 => write error, 2 => data changed +constant M26C_CMD_SET_CSOPTIONS : std_logic_vector (7 downto 0) := x"42"; -- bit 0 => skip BYPASS CHAINTEST +constant M26C_CMD_SET_RAMBASE : std_logic_vector (7 downto 0) := x"43"; -- bit 0 => skip BYPASS CHAINTEST +constant M26C_CMD_SET_DELAY_EXPECTED_VALUES : std_logic_vector (7 downto 0) := x"08"; -- bits 1 downto 0 as unsigned number of TCK clocks expected values are delayed -- 0xb100 - 0xb2ff -- RAM: 0xb000 - 0xb0ff -- 2.43.0