From bc8080e5d350846232c2b7e191dad273fba0640f Mon Sep 17 00:00:00 2001 From: Adrian Weber Date: Wed, 4 May 2022 13:53:33 +0200 Subject: [PATCH] SERDES cores and entity for 240MHz connection of ECP5 (tested on TRB5sc) --- .../ecp5/240MHz/chan0_0/serdes_sync_0.lpc | 97 + .../ecp5/240MHz/chan0_0/serdes_sync_0.ngd | Bin 0 -> 389838 bytes .../ecp5/240MHz/chan0_0/serdes_sync_0.ngo | Bin 0 -> 201788 bytes .../ecp5/240MHz/chan0_0/serdes_sync_0.vhd | 435 ++++ .../ecp5/240MHz/chan0_0/serdes_sync_0_ngd.asd | 1 + .../ecp5/240MHz/chan0_1/serdes_sync_0.lpc | 97 + .../ecp5/240MHz/chan0_1/serdes_sync_0.ngd | Bin 0 -> 389838 bytes .../ecp5/240MHz/chan0_1/serdes_sync_0.ngo | Bin 0 -> 201788 bytes .../ecp5/240MHz/chan0_1/serdes_sync_0.vhd | 436 ++++ .../ecp5/240MHz/chan0_1/serdes_sync_0_ngd.asd | 1 + .../ecp5/240MHz/chan1_0/serdes_sync_2.lpc | 97 + .../ecp5/240MHz/chan1_0/serdes_sync_2.ngd | Bin 0 -> 389838 bytes .../ecp5/240MHz/chan1_0/serdes_sync_2.ngo | Bin 0 -> 201788 bytes .../ecp5/240MHz/chan1_0/serdes_sync_2.vhd | 435 ++++ .../ecp5/240MHz/chan1_0/serdes_sync_2_ngd.asd | 1 + media_interfaces/ecp5/240MHz/pcs2_240.vhd | 161 ++ media_interfaces/ecp5/240MHz/pcs_240.vhd | 161 ++ .../ecp5/240MHz/serdes_sync_0_softlogic.v | 2003 +++++++++++++++++ .../ecp5/240MHz/serdes_sync_2_softlogic.v | 2003 +++++++++++++++++ media_interfaces/med_ecp5_sfp_sync_240.vhd | 401 ++++ 20 files changed, 6329 insertions(+) create mode 100644 media_interfaces/ecp5/240MHz/chan0_0/serdes_sync_0.lpc create mode 100644 media_interfaces/ecp5/240MHz/chan0_0/serdes_sync_0.ngd create mode 100644 media_interfaces/ecp5/240MHz/chan0_0/serdes_sync_0.ngo create mode 100644 media_interfaces/ecp5/240MHz/chan0_0/serdes_sync_0.vhd create mode 100644 media_interfaces/ecp5/240MHz/chan0_0/serdes_sync_0_ngd.asd create mode 100644 media_interfaces/ecp5/240MHz/chan0_1/serdes_sync_0.lpc create mode 100644 media_interfaces/ecp5/240MHz/chan0_1/serdes_sync_0.ngd create mode 100644 media_interfaces/ecp5/240MHz/chan0_1/serdes_sync_0.ngo create mode 100644 media_interfaces/ecp5/240MHz/chan0_1/serdes_sync_0.vhd create mode 100644 media_interfaces/ecp5/240MHz/chan0_1/serdes_sync_0_ngd.asd create mode 100644 media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2.lpc create mode 100644 media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2.ngd create mode 100644 media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2.ngo create mode 100644 media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2.vhd create mode 100644 media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2_ngd.asd create mode 100644 media_interfaces/ecp5/240MHz/pcs2_240.vhd create mode 100644 media_interfaces/ecp5/240MHz/pcs_240.vhd create mode 100644 media_interfaces/ecp5/240MHz/serdes_sync_0_softlogic.v create mode 100644 media_interfaces/ecp5/240MHz/serdes_sync_2_softlogic.v create mode 100644 media_interfaces/med_ecp5_sfp_sync_240.vhd diff --git a/media_interfaces/ecp5/240MHz/chan0_0/serdes_sync_0.lpc b/media_interfaces/ecp5/240MHz/chan0_0/serdes_sync_0.lpc new file mode 100644 index 0000000..97d6c00 --- /dev/null +++ b/media_interfaces/ecp5/240MHz/chan0_0/serdes_sync_0.lpc @@ -0,0 +1,97 @@ +[Device] +Family=ecp5um +OperatingCondition=COM +Package=CABGA756 +PartName=LFE5UM-85F-8BG756C +PartType=LFE5UM-85F +SpeedGrade=8 +Status=P +[IP] +CoreName=PCS +CoreRevision=8.2 +CoreStatus=Demo +CoreType=LPM +Date=04/27/2022 +ModuleName=serdes_sync_0 +ParameterFileVersion=1.0 +SourceFormat=VHDL +Time=13:12:53 +VendorName=Lattice Semiconductor Corporation +[Parameters] +;ACHARA=0 00H +;ACHARB=0 00H +;ACHARM=0 00H +;RXMCAENABLE=Disabled +CDRLOLACTION=Full Recalibration +CDRLOLRANGE=3 +CDR_MAX_RATE=2.4 +CDR_MULT=10X +CDR_REF_RATE=240.0000 +CH_MODE=Rx and Tx +Destination=Synplicity +EDIF=1 +Expression=BusA(0 to 7) +IO=0 +IO_TYPE=G8B10B +LEQ=Disabled +LOOPBACK=Disabled +LOSPORT=Enabled +NUM_CHS=1 +Order=Big Endian [MSB:LSB] +PPORT_RX_RDY=Enabled +PPORT_TX_RDY=Enabled +PROTOCOL=G8B10B +PWAIT_RX_RDY=3000 +PWAIT_TX_RDY=3000 +RCSRC=Disabled +REFCLK_RATE=240.0000 +RSTSEQSEL=Enabled +RX8B10B=Enabled +RXCOMMAA=0010000011 +RXCOMMAB=0001111100 +RXCOMMAM=0011111111 +RXCOUPLING=AC +RXCTC=Disabled +RXCTCBYTEN=0 00H +RXCTCBYTEN1=0 00H +RXCTCBYTEN2=0 00H +RXCTCBYTEN3=0 00H +RXCTCMATCHPATTERN=M4-S4 +RXDIFFTERM=50 ohms +RXFIFO_ENABLE=Enabled +RXINVPOL=Non-invert +RXLDR=Off +RXLOSTHRESHOLD=0 +RXLSM=Enabled +RXSC=K28P157 +RXWA=Barrel Shift +RX_DATA_WIDTH=8/10-Bit +RX_FICLK_RATE=240.0000 +RX_LINE_RATE=2.4000 +RX_RATE_DIV=Full Rate +SCIPORT=Enabled +SOFTLOL=Enabled +TX8B10B=Enabled +TXAMPLITUDE=800 +TXDEPOST=Disabled +TXDEPRE=Disabled +TXDIFFTERM=50 ohms +TXFIFO_ENABLE=Enabled +TXINVPOL=Non-invert +TXLDR=Off +TXPLLLOLTHRESHOLD=1 +TXPLLMULT=10X +TX_DATA_WIDTH=8/10-Bit +TX_FICLK_RATE=240.0000 +TX_LINE_RATE=2.4000 +TX_MAX_RATE=2.4 +TX_RATE_DIV=Full Rate +VHDL=1 +Verilog=0 +[FilesGenerated] +serdes_sync_0.pp=pp +serdes_sync_0.sym=sym +serdes_sync_0.tft=tft +serdes_sync_0.txt=pcs_module +[SYSTEMPNR] +LN0=DCU0_CH0 diff --git a/media_interfaces/ecp5/240MHz/chan0_0/serdes_sync_0.ngd b/media_interfaces/ecp5/240MHz/chan0_0/serdes_sync_0.ngd new file mode 100644 index 0000000000000000000000000000000000000000..ec1c5665d17632c807c38ddd0aaa9251cb12d682 GIT binary patch literal 389838 zcma%E2Yg(`(Z4&J64IQ|A&?_9gTb8SPPLkCfh-9%TNt>LCEEzwvMkwP2q8`gy_w$O z=&4@_3Dp7&NV+?!siqh>z+gJ2clhQ%yZhd@JK^6iRae4+B>JGV@_|f_qeY1WFit>dCdr-#(LYvbte)FBhlQI%Yo{e*D-r( z=Yo!XWWu(wk=%L)e#>#e(c_}JD2PcA2Yh7WOV81v17+W&1#6%OsN{z zJbmwpXQuBgH-aU;=+hN`lrs$^63^s2_Dvg!4aXr`AL z<;~SKmC2T>hQ{i;TC(eMjoMV!Ftw_wVtQpHntgjR)Cjbu<(ZpxI^)Y4DyC7puz7Plvo{|bLS>Y7%_n`4YhTQ%LX{ov!FM@YL>K9+xNvqOQp$f;w0zJ z>6kYsIWE~rtd&w|OtNQLvTebFm*y+7Ie-AiNPSXu_naLT>-2O z_EWnEgS#}Opc3)!04g-Xaw7LJd1==qp))kQ4@zQ7yA~{JpHKbmUA%DVvbJO=clI6# zDc(5Ja*qb4+k3$$=T4-4^@b|%1&YXKcS}j&KFKLf_H-;t%GuG=OWt1EwjkM_EM<4C ziVJx{VXS)ClO=)$1!Pen1tsj&`{RTn1B{`V$O;}v6N?m-7;$j?oSsF=*$ZbS5Jde_ zx+J+|S#M9Wt-HH}s4GF`mbKwUpB|8Kqp>mE*!^jb%s4i&AFR+s1S3b$gKu?(UV-%lMF2%2xV-Q2+l#s7V-#(!CNVRln{o3{heBP{CFx61nS-7DhB*%gkifeulu7BJw292vBCfuWGB*x=`K4Uo0)DxjP zeK^4J3!$MWA>C+63z)G@Y9Bnq$f)- z9M6O-%_xy-pElHmZ9yz#X=0SBlcfmSin`_|LOttM9-tawz_Z0QHDY3{DWC^SB|IlU zMHP{j=Z4Y(b6zM80-``W${4Y>y;wvK)^0ZW#UiREQd4V(QUZ%VETW`jjEF0Oy;ejQ zhD>cHo-3kGYU4!kd=Y))G<|D}=p#pvWiJ)cB)2(0714wC*r6{MQH9;f%R?!FJ^3O^ z-~n#wl_DTAht#|>L|Y5XR8DB6><;$hsnG z5M=DSH;QOs5H!78Op}P4SBpVpLM2Ua4h7>h^hPlhkLR25h|26n%EP>u6Np+{UknxG zs`bTM)7OHxiUB!~z*%n>YZQs(?P6-EJ%YSbOb54wO#IeRC=u1~6l+h;X>Ron0C`<@ zk2ocI8hN)^!@>N2uNVpm;61b_mS=YmSq*%#p_rN=1#B2X7b*Ivm?GIdz=~-?7WlZB zo*)f;TuhCe#q9O33#e;bHaiI~eO5$~IGTP~Km$*Pa5j806pZKICqTLB zAjNb9spqp|ilo`B?(-r*@_HTGaR=}SG zegS!m7W98zfDicz#Q&j)e(uFr#Q?D2t0FBRz`rS?0EhfOabw~ zD%++pq9hsic;>z#&JUEW{yUIN*$#`17bFg%UcSEPQxj~i=skp!_!u) zMv+Hp+o-5E<-(18x{MxhcJA9c6>)oN6c{PjQ6IL>7sIJmw<)Sk^X z2N(1~wYgo2P{wEmgULmwzHI?0IGD<)ZS%!Yp;6lfI2Alvb9A!q+dicfDS#ebK*SYd zOi~L^-l_KeM)4+4iHxhmsH^q;CNGsvq^e#>-a(-?GSYD04jw%6f8UNi3Cx)tm4wzX ztNN{y&>2wmTb~3}#TCQoA4GOix(p6>u~^;vcJc}`8_DB$g`~mrimGjI-_Bl9wO8%i z-YZSJF4DYnAs(;neY7KGm2ylh%O`gZrKD$vQjdi+jj{?Fl*efOM-P0?E9UI5ks6wJ* zIQRCmgAm=@uRVy-(FNtn?7jR`lmfne0O^OYPhHCZ>FnVjUb8iU!*pOz4RodJw3SLWO})5T%p+lB9EA4<`u0 z{X9B@9J-%hl62O3#FRh#syt#PK`$nIL}gw&z#|yM)i{rwNRa#cIU+gi@6j1dpaVV3 zASoZ{QHv-(z(c1FU>k6dhb7YHWKT<4vAnO$BguTuaOECuFd+}}sU~B}e00QZxrY(V zm9c&%iA_mG)HDggPYf&OSf!5}3|^&At4NYnK0(ZdDLxqrBVtYU$pkSy)h8GP^)#QX z2&8E~!5~%l&55M&4Ylp@M%e2ies5Aku4Cl4vm8Z`P?!MIlx;F4gi zkA4mac@h8Hk;jTWsGQ>4if#&|Vbz!EgwVgXTM=xec&FnorM zMB_7TB)r|q#Nhn_JWlOvvVj=XnYK!pKGR0R_QPx>XciC}4`&=T&CedGMm(#8-fHM; z4aoFT0o~71_u>tQB$_y!)oG$!a+qaM(Zmr-mYaaZN9Y()<2X_W!G>7#oK+DTyCusy z+LpA9oUm_Vk7RdShd}>DqPtlo8tKjpuzJHszO2(Imh_a(?d4R}Ce;K&z3lon7me|p zZK3CY=0Y)5+ASzOeMRiFyJ$pDhl@s3bhv1g^!nzwa16&B7mgV)*G1!%hQ4_&9mP|A z-=S}ws|zx7U9xzhEToR|tDIHBA$3$CVaZQ9(qgPTUHVvr=+Q16Z*}z@?X)v%66l>S zeLU#%T|E<+?&8ORzQDzoGTp_G0ezv17Yh;NROfB_sO4#|w*y`Zlr8W`1J;qjojBuYai#4rKjdb*B4sGgw{5Im>q1VrfRx(-C= z89D(mc%~*Ix;p{=I#a{V;!ruupujJs0A7`j1P&1LV?n+;Zj;9`*+Gv1`5FgZvIp%z zmTw4^ggX!fV}D;NWbu}%zb~&*FlqYl(J=_@{(E!`rcnRAI)*jht79l?W6fuK%^>ku z^MxxSLGMEg;jjMtbj=jKvF1~~U@|P$+?(*};nt5|?qg90W6cY^Qi!JhRkHQu+(kX@ zbLjo(-tJim70mtjDak5bi-TGC_e@M$KA=9|@CGVBLJxV0v2OC*E@MO>a(u_eor-R%qJQv}{0 z+#lPsl=2)+^JI!3!wI6jOWq!d&Dcg-ZqRYsAl`OqlA%+G$s?)Oe~s{Pg!wJoFPFS- z(YR37vV#&)Wav?wqNF6U3bH>%Dqw7PJH6FTZ@t6P9n(s$B1$vkf#!e#-T!xsjTZ*a zm=r2nFrRfq`yWUVcq8e-~&VGh=7~;M^rS7ru>+jdtGI7LOXQUN|)svv;Pjh;O!QdouRlPw& zE3xLUCQD*x$AUTZBLebL^Op)JX&Cuv|3FG2!xYAb4djWAKoe+kggQbgr#UUMOrrNUBEveq5H>iABAb1UA;?2chd#elshA_mMtYu{Mbaylhp>E zKwD213IqsgsAsuGk<;UeJk#{wyrIqZMLlzphm|B3v>i962Mg*C9Mrv?^SbCqKOJ+H z%xR}o$kX;aq1ECDqn=2S_LwC_mMmCB^r%Ib7Ci+S^H+F1y~?6eWl^+$b>6`#%gKFD zx?H7&xX+|mHW(f3wELfeNKB4PcL)8htN%&hhHLAT_{|e70Y4Z15Ps8s@`HZIX8aOz zst+0$qwsgXq{yHxU+7#if)|BY%a?ggA;{2l!wQoqRlJsFGIy?vGzyM& z2^|Av>28f8hvFGXMTL|)fAl|{vWQqFp0<%xf#`qMM$&1e|5+PJ2bBIbHj)l0{cCI_ zX6JJjGCXYbKksGX9Mk{2rHaoo{m(g6tZ+Ec^}pcNg~Ln#3tlOlg8E6X_^q z^J(X0i!Bn{D>ju+Px(~DXo-su{jbTlbcxTg+xd zHlMRzvvqQ}r>-a@gi}q+SmNsO9qMF@_SL2Y+#Ry9n{U~>zK}FF;w|HR(kOk!TK4iv z(n{Gf#mk{nRZHV7zSihu)pA(e$Dz|z%XDJ6{i73B%Osz1^gJQfa!}qULnp14F53AacLi09>JphFQ6tc~n(AS;(1wm%ffT;xBNLm|9I6E9ShSA4R*Bu9b1S}3Q_Z> z5W=0Tw5eRl{E|%g&>20qRuW;{rrBF5Pf7dI8#jpQ8TZ-#(@7V&p zZoF?}IStGRk0I4uvKx&zH4%%faiG$y44a22ZmRZiwd&Y&MQ_IQqGC; zw8@3oY}z>md&w)x%d0|Z>m;l`XL~Kc>hr(l9&McNTUPoS606VsD|}MC`ds2`isq)w znHSglB-QHEdf^5iTa^Y|{C{v;EvU*JzizO?K_J6EtAmOJ7C9ET1$X%&vQzChV;X?tf zSp%^YNb()&*Vk>>y*w!sV>&i)veRQ zrg&v(tM@Z$j`LS}BC#^{`Jz}$x1pUiiDe<>u?dU9!dqPJNY%%$cIj9u{xsR8Bk37tsr%t;*pYxlfo=dDmO;j4MK_wOHFE7n36B?j?Q*%(pt z{Vt`5{E)nVgSyuqlJ|d|m!R;Cg#K?7jcO72ybfMI>;G0sDtS*99M>8i+#Ia0R2xeDGu<1V#ye55$1d5;47*HZUw@(kMC8dnf}V z@)lXWn=|k;M}Xg!8Q92{pn^SrMucDb8raxnV|>1M$uvR(!(1|r)4=dl#OMGSODCm) z;Vv5M&L%FJlK#NPE*Y=R3~b_R!7DKXo4WYm4Y+|#Jwhb&6Tggjg>7IXhs!0ZfuFd_ zVAy63TzXcj+sqN;H=722>d5gMZUaAc@i>SK{LH0eWf}Ons|U-#z|UPgR;Phq*>t>$ zg?YEuRfMz7z%N`nzxp=t3tLk!?*s;Z=@DQ}zqT1@8jJI9O7!g9YV{-@uMG6>qc+{MJ$+rrVA-l_%S8Z4D?Z48(0LPpG(!<$>JE z#?s0j9r&H3b_DNr4g5}utJnANybxo!v#o%q(9ROAUZk8QVq+H@EN18~78>KYtE8#+ z(AI8JT+#4O95=VCVqh&U#o+E{iVN8828>WUNfb&4ym;=e6QF0L6k!A+aioqwZ0(^V z5G{M?2t@RrIs!qqmxkbHGy{9-5Oj5v0l|>~rL=*)HJqrh;W^I0K|sfPmb53kmoC8X zROoYYuznoiw4&29)n5AFvB_R~#(BHk4FvTP8pl}kL$?r=mi3TI+XOxo43t8PWC=&^ zlh-I5M|M2&M5<!onEpDa}uKS*V#c-{Vz?O>}d=R|*H7=37?z z+MOO{8aj>$Gl6}Ot7 zB94l99%XUznw@sZQd!$%o25=&&G)5j77zTuDw~W!Z%yW1vTWnX(pXB+5YpV^Soxsg@?_HGola{7gJbJOAkxjTjs@fO1ow zc!&n=m9v)LEtdk?J8LcR4Hl6PFazULM5eQqdXWbTB>Bfn9$>8^u=$$$7DqM76tYQQ&$E{Pg3JMw&>3l*;>XKRe!ruDhUKAElTuPh@r7}d@)ntG+}8yMrXFSU z)azc-;tiybyP_ImJ{$fXco=YlB@7v>)XLYn=r#N5DU}KOg}PYZ5!){x5$y{&+w>8U*d|@K9LreC&sQie6)W&zn_f9{_suItXcAfa zN!%s#__TJe@^XaJTD$X#tJ)EamR~BDwJQdy83X$RkLezeiFiPYi2A-|5jIc<0E+pe zzDG^80|iohcW&T70TY{^WH(lrgMf-+AH*-5;gvF?PS$~PcZ99nWT5rdY1f1u-NB#_ z!+TWxHmZ6dHabw1CkCr;U(&N+tk`Fv-HMclLAz68jmZ;hxZIl2eOUmNTQ%(V$^c@; zAVOh45H0b6qcRW$k;AFd!X=XI!E%tfXS$)Ke7>rJ77q{2P65y9AS|3Fr0@%n;^gB8*E8uAi_2ORZ{}QG`*{yLKOfpZ+ zRGf%xzi6C#`jhSeCtn8Nq+X|pj;GRrAWgJb>t93d^e!iUSr8q(7nrF2Sw~F0Bjcd* zH`L`ftmU_w2HFINoGEATk!c;u(|k5CvG5D*WbkmJi1DIK-8LI!x2eMLWSr>S zV~Hl?Z(dwQV$!wCZml!RoV!oC1-)kV5r2#h0+!pTA) z9S+x~coXTe5UX8&DFj3}SZG>V%D*okSR8lAD9SB%(Xgt=MPsS$cFBl~9#;vLr(Tx~ ze=TyA!0x3kn!T{dLr0@aTs8Oz!@v>`9=0#_;9=!49y-iC#-j(WKi0+L(ZH+{F7X}f zks_gGt`P4Lmbq~8oBNz$NDf=87iMTk| zMj|nsYa@{cSJ*^^!g;nh661L`lEdkI8;XzL44m&^(N=cZ0-=d{aPHpKV2) zTxqG04?r&tz~WCQiPihh7MQmX7g$j7aQXrp%8U7h4iQY++C!Nb|DhJtRwuTZWUv7gr3teu5IfSopu$*A8u)$*0yV60k zbyqoLPKZ}IO1Q~u0q4-3gup)Z`T~;jlyHs1R%fj19j&}Vszz^c z1Oqmjy}>IToF8uVN(bkM8~xIx_a=vfp}N^ohm>)%gN0@P2&gg*@EZ3|Xy9G1pvptT z;Q^S2hJ^nL7;kfBw1@}Sf7M|~E+V!3%|UY{{>?#iCjKYLmI-37|1aoqI7rn0f(+Zk zX5$d>e_k+p{(k^FYT&`$^X9&8a@2414e}W&%u_UBmZ;2yx834qG9xHE*jpx-9@9x+g&v5 zyTc`e>gs?)?zF)o-QQ)SMZUkwL36^t+d*^2ztbUe7Qfq3!nwB3A#;R3X^}b2-ER?* zFVLI6Tl8L$LGOu2%ovmBkChIb7nFNkGQTNtuS;hWSH>f@dhXG^E}hq)`&>FZ=DxI} zhwWMA;(5JU<>Gnv_gi?*rkHCFSOQ>R>Obfa0RBM_9zosjp(FAJJoMnLqzB>=!%J)x zOZgZuYtYBQFb}3(RrHr7 z2@bG_JPfgmf5@YYW8h(*2uIT+mIwy!eh->M=3xtrPKZ}%9<@Y}haa`jh?U1I95VA` z77i)yaSO-!_i+oz*>|;tM69f~fJlQ+SUAqRPufJZ{e&gWsrV_Ih<2W`#nI3QsR$xi zFF5w{YcJ25Xt9v5v51@!|85dFX+3SxxUTYaI%3QgECXvynn=mdnbP9zt!FJHr=#aA zBFEzMmN+N0=Pe}1(+d`o!|xdjDW=2=HkF%t(NZ9G-Y?o%o(XGhESs>_#&W-2va#HU z5AqS+f#kjqQwBxG_lG7<9?mvcSe_&s1k@aV#QsMDZ89(cKKC%hIqwsnE|J5;8(JUv z0EmK*Jv#Bbkb#eV63AVj_#_bJpZXXm-w6{w^(h3KpIUzA7sg?L`CoYWLhnoIh&zuG zg7;b8#}f*@Eb7MR9)@`1@ynE}O>A(!^vQ6LedWqvsS4JyuY8gmA78tYvV8ZoPlhMZ zH?9m?!?1nh3W0@y`_?aoG5yvrmEc76onJ0k8wXoiI{2HUWIoc?;4g$y@wdx_H)tIm z{H0FjR5-W^NHIM}ipB7UlubuR2BUzRDV5dpNSa#COgFS=8QeH76#=&7;G2w!kUkB9W+nA!A%`FPyWH5xOimv!4aTG@prQI z$JlrPNz9)HOnQ4ldo)cifedcqD#T13{7Ie^8ZP2f_TXkN9-%h4nTzLO_-R1r_dif? z8T?tGL(t&lp8+=vvjQ}lrH99fz&+Am`AC+CLH~Km&*lD($SWo}L+`Kj$FBlmjVYhg zM+bjZSX#9GYauSCI{&O@@YhlXe+IsN(ZX)=#Uw<@=0RKLzz9{F`{a103~phzLTlyV z76PprrtRwBmL>`bV@HD|!f88GQm&#U96DQ?Jd{WWw=#5~KsUIR2?J_t6NT<>ZKAMs z9o)u*m0*@^W8sLjtp!7*Y-_<_$aWSE5x%`i;{{suZhKP$ugz@1Z)_1>mYMZiLx%T@ zgTJ)kvxNHJ7*JXQg#rsq7Vc;Od1E>lH(+9d@2=C3=+Wih=|oy_c*gBuYUF$uH)&X{ z2X``sdCYe*ajfrmCQbBjXH%G`^v)KLTiMklat_(S5@zXLEF#;zn?+>tU3H>d*9UjY zN01i6br!Q=cbkZ?8)XxDfgjw(C5s;g4USAjOx5@kmxCj1Jje7N4i;g!r;SbEM|XpJ z+Td_Ev4<;xnZB1x=6N~F)r5(-mrF(wXmD>2oyg@N$Ak}O@pFU0(He=j9VL#a47+_? z28Yi+`H1N~v0WWZxOh~=1`{rxL$k!CbKH!x=+f{pHW`Pb!O<2qDGD2drKyN*B>&!J zaIC{1En_UANX%pNrVf!|$Jtnp>j}V0Pje`Y2SE#8al{%db;v9}K4qbKUQ7U0DMk2C z)CmZmz4ICZfw`|v;IN*kQxNBqQko3r&mkcq52;2h<3=t002z)#oEYpO<5FDgqI1DE17>>GwbP8|WC+kuifn_>{lUun?;b^Q# zMS?L98>0#X!-{G^iiSspB#JhJzeo{vqi%YwRBm+!r+^X*D9LWP=|Co`Ny?2@=7Ccr zj*vk1oo*9h`t-ad4*%BLNEF2e zYi(!=>};^Hh}vlm6dtLwp*%0@94vZJ?_kk|LmevmQ156!CmL+3*!gg%H#&MqpwSjU zDQ&RHhKg+xy53|9s46m;&90nycZm%+)Dji*q}itO0k6f%!fIqJZ*2p>XJ0E z*!G|XJ2=h6i^n#Dhuc)KHEear-0fMWZrVt)?+cEB0aE8elY|Vp&=lkV=`vxQ;=2tR zKc5)vv1F0o7aO=FN6KOghmq>Ba4@geqQSmiOBS77YS6^Fu-l+<5H2xEux?2zqWgrC z`!NPhgw`>Js5s#+HF2CVjx}kVE{-*2Idv{GaU2)ROdLnoaRH9gBYlzuR-cuQXjaRO z{qX@*BvNks%(#iJbkk0`xOEh(D1X9)*CliRQX$&i_(ftjp5fM zu^9&jP$uDT0SsPZ!Z2|!i<9Iq@pW|isny`6CWL-+*m_5TAhd182h`=K*9I?#n54DO z$EJJy!H1xOmx3`|``S7+ktPytkHrVr33It1gwEya(3Nq5v2Wq>PR9m1C7q8VZqQz@iqQ$LQv2koMRi&8`y)*aYP zr0dg+3P|#oQG+)Lx|$Fe*PG)ERV1u$w;Cw13An+a@oUh7|1d>)?fR>U!ym64yxF8- zar~<(iq+?DCJ8Zoqe+4X|7Pkch3Efa(s;f6yD7@+;NLAA^1(kX9QyxH3kU1|W#M4U zzbqU~{yz(c{{NqegUSCkVe-(z-ukzN#?xA6++xZ=^DQoRRw-xr|Cr!}Q1Krd&&MGl zajPXE75&#Bi{<&hh8nRv|IftndUl6N6D8X_O=2mh`5P=Eie&#wN3>8E$B)}g950Z! znK)kc?lftlhj*AnaeTVV)X2`e%K~y|?zVuuw%=_bc^7cIPUJZltTQVuCEVOf9k0sg zeHN1UEPWP|SI&D(B>VFo3&_NKb)d3~)A4-{2Z`lAT?ylnFjhHOnlqU{ry?|1^X7ET zUNFBjDgJ*9J?vAT^N~O7qu9?xSwYvh@th>zOOs$s{@N2W@)>4J0#06-Y7gl|m?FZV zAHpgdvW0vYeZV0@$L+QbymK+Q%E4l~-|t|NwH|b+oPQonM=UFNzCPffIYailWVm&} zB_kJgn)tj2_;fw!xhD23#`wIOvk$kW4&cwaDA_jEhDF%DQNxK5SD(-gwy7 zAyUUf4w6}iUboFyWddkJKcb;47=-?Wg#k1l$TMws>=Nv3D;nNNk zv+#LG118}MHZ`cqykKid;BAk=7hM4)gcn^jX6;%R4O`Z_Xnwx&k_+c5|4SY`T7B7r z$2@=8gGaV_#e*ku-XV)JLEcd#Qox%QUZnTeTr|hq>n>eP_N<42+5T!g66Q3-VnRHm zS?AKlgnZq@;HkGR?PwBn?+uRxPo_6536TodJ8&{{y$$8r`;JXzAG~2xMM3SYc*N-G zEU~|O%SQ8je%l6%EcLbx78SO495g49cO5d@_ZrA*UB?`HH?0v6YVYd^ng}a-f!<_M zPv<;7uOt`ECDiAdn24c|G>Ry2ZO}FGBKv`k;nny(9fPd%z7E06`cOw8wm;AbnA#ue zBAlc)=oCJ9e5?y`N_o}5V8(xJP|)^Ax)kQ~Cpv*2mVaUh!M;xo3=I6tpa5bBLGc$F zM1tY zp>z}5?eGnRytr%5qIMLDGQ%ZODBV~hxy+e~N!~ctvXSKRESNKNELC<ms6*=Xr#{ltU4r^yW1_B}B4KYK zULpi`7UE+>vvF}ZR#>~EK#mm+{YLP{32V2PccLfT3*?M`R=6=P?*wu?fgB_Jv4i9Zl{*RESYhT)f+sBbon#41ekWO?cRR~F z;gwwka*Swvq~Mhb-nR0Nr`0Y}OtiME6cbkNDtV&u-Q=BUd^d>{Ht!+sN=1M65Xe$t z*zV#^0QZzEp>m`Y6As%`-U*vW$va`nC@Ckj>?L`^=)ELQ7&cn)N`xt+1+N6A#G0#- z!lFlYELyq*fBqwy83%TZ|LA8)vUl;qrOVnxSvgY@2ehjsAzCa|x9qfi(t;^^vA5)j zUhE_9L@yHZPV{1Tc_(xx(h+V#^rBSWl?XK@@-`vb7!w!Rgitg_aUmOP*>nWB3wjsw z-`QQ-tG>IJ8LPyEZ^q#^rsGI>vxFmz=Ln0I+hp+|Au~Qs4B<0;nsqYc*r(m%p+;tW zS|P~A6XUoMe1cbSYZ8vnWQhP21a2YLJasjRsA1xdW@RQoOOO_hZR@0OCd;n^QDP_^ zKc3#^$xKKSh??r8KjlFU%R3A<^=AU{lv3GF4G`20;o0(7bAz-F6gXj`6ry`6(;{u_ z?BYKl)7(5+>5zZ4CNn7xipXH&=zD6;o6?y{X+p4_Fl-Xt2rIgzhxd(xA~lS*H1K&Q zbASdZO)l*!QQp7?QIJ>XCu!Z(ojDUOYXi7Mme3B z`x*N65uwssW`BnpsHYDTX2v?21)Eq08my&V6RGqk{^SJPeSpR0j`t?{r7E7Ab*V_; zyudTP>LUyb+m=xqhvs!m&$4d*bT^*i!SuAr6phPALzy~Dlt0jj9@nRIF*PD)yY)U{ zl5H?#Re!M`Yj7kmz!;oHOD60C>adwcQ&4?DF4N={BY`H50NR;v(-(*@8SvQ`KP1W| zO_lTnP<+S&<<`t$j<{qBdD^S4ye%EkMocdGB-(6?2BU}_ZcEA|#b33^G<#XfaV-Va z!rmDLW#QFXwyf%^=nb7kGl$y>6a;@w1O=1KtU?H(1dzjvw*#*qR$L3LJ)$@SXTi)7 z#aqI=*qI}T&;xUh96}F4l7%3cKB+=&(1AabDkKSqw-u6vBijl|(z!h|yO1P0G`o-_ zJTk8UQ+&H6(^`Pd-|ESBrkQ2Fy zRWB+aE+H^&QBf^$YIkuZFmrx!2$U3UhKGD{Q3wXGr$964M^6E1B&FU0a+s#Q1>_K+ zOA5%rD@zKs!ptl!#DtfRDWn$tIJS@^4Elq?3?J0?%D+@bkmI}% z+8j+#4|M5+NNDJ|LRJv(ctZ(x{UTg>e2*_A2v`5UkR-(gw}R3?{WzrO=c8483cbW(nZ=CJgfJQN6t;*_D9L)4!-lqS4~kon6{ zD5Q{6h5{izPD@3CLHEA0!sCA0P^w_msY9wF(CGz0`XKXkJl)&mg1L)&=rBSlSo>pE zj6ch(SmF6l%NdL0d&S?VBx}y_Hqx_{f1yv`lkbr+ccxc4{W%wD1*tl7C{=_x%ZpJq zQbrB`qGsj{Z<8)oo$k*b0&n<>J$8;RFf#FeG%T zDI^@hZ~bO2wdBMfTE#QI%w?8TsFEY@GD}jhN$zr!A^l=JI=RAB%~c^RNmsgb;ZlCN zA#=Hx&F8Qyyp7VD%r78k?ubXi%*bn<2o~|Zv`pHTmPcWJoSeC`09Wh`#8P;5aSVPT zk-5soAYh1obt+;Srvga~(KR+(ozrQzA-;u^xyIJ4Alh1fRUtJ3g9pi(ba4znAigyo zF|9S*;@`t%ZcP^l;pubcKgB`(Soy#4;)*y@|64#2Kl{&Iobsy>k@3HH0WO>PzjOgE zyX&?>vUo8db9*5!yYaR{n(?eYb5|iQznYV|vml%8y0cKr?5#Tr3bWaF6%=O6?k>pY zZr$s!WnL50q|aq@`no+G@dlNc0e2VT^5+0ED;=e3a&v$C3P|&2=$-prq z9K#_rP_!wL@&=1yc$6OUHzlS9PytDf@mv8WztWg_xPV@M<0Rs5Qz6mrQU1)AV7deqRN z#We@QqXk4o2s~Cumc8;=Az5zsaf9o(9WU2rRvV2J0OGK_`p0O*e*I~$M!h(*syIR( ztez-Itt+R{8140(!V8z{MN>v&)&M3n&Y5L6PT~Vk(0ok4R6?6jLj!JmQm_ znP-2TTArmZ4xv^obx#h3;@P)mC=|E&)Q_`{!*9)x!}26}c8JzxdgUbY+z?o?!+vgv z*2Ta)KNN~n#PdV7$f@Fmp>%OiUl>Xk_wmJ{bn*0GI}}Q6X4VeXB!50B^RgF)(>lcw zE!pa{9vqop@d~)rk&99hZM{(GC9ICfx&BgoXc!+8^FshBDZJ{1sJ;}a_TP@Gp(Ig7StTzqD(TFe3!KX#q-f1xbAABlD)! zh6SOTWj3CQ1mjpD%TA?9#3g-&5>-1=^NrC`P{@W$Huzbx5RRI{yJ@|FCG?UFa=5Cr zy=}-Zku@U7z3gzPd_(m01|88E7{q>CpN?o9viSTn}O$P_r71F=op;(aWMdV`-vX_w|?Sp7yHCd{ZjDtr+z7T`E$P%(!=L|DfXJ5g&(A3 zKJ#nj^Zb_ucyQ^L1$3fspB3Ptb6*wEiQau(fQRmVRX`{D_e}vFhVR<~Iw@Ojm5*qX zLaYF#lquzwmk=>am_LOfDi%Mabzw{hk#f-HcLjK3_<``Z1-QsZh^O!3wq_(*s-bie z^LvLWnjOPQ7>@e7fO_!0FTfjv-96XgAD)GvnM`oUsJDVQ=NAMrXr zcm$P=rhN!n11735qV!H7m5u!vAkFpc=Bc4I0+t^dh8G;NTX^-+3L>9YQ9rX=7r=z) zDtbhd-P+q^5Q;Ro)fH9cO@!RC7!r#yP0s9A#To@DNN?F~ejF5j-e#z#g;pB)?6yN` zRS);G+x|GE2(;~nQW~sA+3kLuRz&vpLup0iY(JDfbow_#X+q5WW++YY^bSL5qHLMn zVJJ=L-HtlqPupw?k>d^Y`p;hf;-wCA-rQxkjtAmYI@FD=B|S?2|N z`-SqcKWziUN95U^^AS&Sb+K{_oZY3UZkQS*HL_$EUAue&E*3!2NBrFkzH=DL?yk2s z+C24*?Dr1T%;i7(aY*8)T&{(e)F70K<6%*&N9OswDGmdP9C9UoBw(yH_ z5iL8(lA{mOQGm?i2NBs(eo5}ic)uV!YrJ0$waM%RzZ`11*@=E0kK#nXPSh2%ll(mX zv3Pdh0!q2XeG5nmQwuOTH1{i@mL0HP0ZI1U{skntD+f7}oP78}ef9u9lamiS=|I0~ zj-CS@VKLIoJjg337xc*vQ=VRgRg)dXlFfE;ugVH);MNcDvqg$3^Q#wGsKU?0zHWbm zCC`bYgUvZ1D!mBLQKj4f%5N+3fihc}iiB%6?JB~vQnt!qDFZC^*rrbP0`;|fiWgz3 zB1Ppt0>($6s(eJVTA3EKhy!nSN&$>{1e!V|N*#f!QxR{QtS>m-@LNvVgMS>BSCNB@ zSpdDf;PCXQ9ttWJ7M|aS{5TVMy4U^b? zOQuez(VIoh3_qc%wZ1A6+wU?0M52csF!IETJx88czGvB}@;y&1+hdfx+hY$BXi5Pi zLZL(!)=NiY^GVZ?rj1@$&rb7#<=n#h!Cjq}_o>uSoPwthh2mUXJ5-B2XthJ>;^C?r zN*Cv>x}kJ&GOr&>msn=&hf>Dledv(N*sq6rm1!p)8qS6Ss?^~L5U-jg!ArpM+|*b= zlbW}3k!bX4(~Oepn!GqC&Uo$M-Zp#NR{}Ur1bHhG+ay*Wi9~*mP_Lv=7XeP8P9YvU z;Mx(y<7rSiYGlQzk>#V95zV&3rda!NZO8V~57eXCnYiD$qm6#qj`x|ettq-6ChqBL zy)7Si(|uIp@$2-K4QMu?;D_?D*6R}*P5x$mcp9{1va+H%SyNY$tgdZrqAFgj{Ll>{ zqqccEGaBhf`WtgoLZH5)F2WgfN9lL4awTF=~apy8}V)&dINuq z;_1J~9-}JvJh6g&GI7)Tkbhzsk>NDDFg&->O)ChsrO*1`&_Jj^CB|)ql3YX_YyGRcP3mTwRH!b?Zw*YDip-e+kvg5(8O`!{+ zBhV-fKebN(siglzV!xQ)twET}eFy?2cEG<<8bU=7Y~oOg#I_$te4`cB{_cO%MG=^x zne1`6F>%szTimj7#16WRNacZ}#f|Qq*!~xhy3ibv^lcBmT{c1kRg4ltr#eSg9dzkr z9Uz8F3cV9kT^awp@}%U#`CYj-60*5Y-l^mEoZ-^6% ztz&~Dv0;l=5k(osu~dQC7ybGeKtqNwSk0_RY~-`5Y1vUu0{j1aMFA;kI2@NBp)fq5 ziL!jMqEJ7i5ty7XI}-cd-u1+0C%|gjxS;Rb)4Kq|K+5DIJBa8TJ$bd#CXluHRT@F; zdm^z-w@edt6SzrV31TC2w-6nz2|DKpm{EK5Dq_GRU;6!q-wkO(;){gqE4(ofk+Y62@%Y(v0uRz=!FO`9*$`Cuspfp$-^SCl1lUvLc-C* z&!$_(BNs+ud)`M#VS;oQdGV9gL_qd2v3&dk=6Q7=rXeQ&|1h6 zId$Z-4{aa7EM!{1h^K6mDPRVsE?T2u4SW7=$Y=87H18ra+)hqq;piFRt+zq&+3B z@gQRkt|5dcoY{`N;GhmWM_%I;IX6XgR$VfdhjA{LM8L~2*?E$SAG2rYDb57?G8%Lq z1uj`Z-&NYK7uOfpR@G78X$Kx=UBrl{ZfzJ@aulth%-ZI&n?7gR3f< z_>)uF1qOv;@a-K}5N0iOH8zzsA&eH}g;aGD@z;NcyV7bzUnee8Qz}au#~`?}UAT`< zomgIyplDcs^K!Z^tuDtwa3NT+sp#2T!&7v<0TZYTS5cz!PLT+U1fiCKF1t`&W5Cum z*AsO3RJQ1?O~6_VuO??yS2j%}r4b@UuVIpK$_F1pV(k?8pe0Y2bT)08Szg_gtf)Dp zKG{^4+ek%U*yj7NBgDNRc{w{(^wliJ26xamx#KsJQrb&iHY<49s>TQnr4b1Yfp zrgJSEO^och77k0wiV)XWRU?dE5#q`!E9tvt(d>C4tN}Cbyb!{XfhNz_G1A=gEgZUa zfrW!lFR*Yh?LrHOu3l*2VB19@4(3ALMIo%JR&?s(5LI1E`8RuUh=CZKdr61^2@PIi zqR`JvO%zPMG(gehp~Vj`*kmsYaFU27F0+w{p38NlT+_3c+erBC3LS}tV9*sd5-zyX zMxr-Y>PVX8P9)mb58TDkzCnG}I*<8sYG3CB30) z3guNK_3JEYw6Y`>5i>^SA((wl+N7b=*I6`p{CY`in408$QTAFLM3GQlgCu)>Ji<*7 zj8hb!Gbj%?R2`bE7J_LhSX~|@&l@DEzEXj1R1}$fh3Jj(h|o^mJVfR7ns;@+TzFDGRL`_{IC=vu;HVHTWRf48WX-w8r&L`AgC2Csr)M-ln-vU@g zl9OLk-PEbv{eMdi{^|l?~(#DDw`>_3se3fH3cIry75m* zuEbhJTio(wQ#F-%D8G>Mze^?>L*xI_?rAfa{aZT1?gJmu^e^om;regw9&vC>Jj5gJ zZ_)UOom;hgektVtbOKuVPdpN|i1$OY|Irb!=~f*9BmS!sV8wrR5t#8m9RWLTQwT14 zAI3HB|IQ)sCUK zCQsW-^-En+N^NHz1bJ~~U2RiESpyQ>00^P93yEZ2jAP|=)_e}gOgK$;-KsH$5A zHf~~c1A_@I8Ir{r1yuLi+ z;gw3>vmTveq|RqNJmsKgy*h=RYrJxjXUfqYUK;Y8DTEN>*m%wq;MkzQ%Ek_P-jcy& zW1;5*A@V@7k@hhZC_KMj2t+9OgnM2Lz=Sdb=j8xREZTk~Yg({iuhq$7??%*@bZTRH z7=CMm26XDn0hM&)R4X0vicUwH+@qIlDixt*XJ3g&sEtet8qCUJ8YC}}O zT@=5>csmpd7(94y2NK)~YJy5sTrtbO6Ufvz)HT&r@aFi15KkF6Sye5%_l`zxOjeSQ zv`y-}A+xfoR(9iEO*?rnI8MA5($Rn%@%IDj^s=UkX;gI#1l|v{3)a|>^+6~_@l;(u zHCe%j)ei#^ZBUyUsu6@A1~N5`(ovbq*K!A~>_Z8bpp z)Ffe-@mZjaN@#S-4qW`1hOMpz^oszhCJQ!x8!Req3^;Rs9>@#yG)1@YOs8hifF;7J zFdW4D(&AO3?w$S8QdXJ7X8bD$i~VbJJ|ZWVGQSi%)vp{C*sy->sKAc+YX=Jr-#b|R zp`z^f4!9J1-yd8w?Fn-~(b1HcDHB2Ww>p^<6u?o3iob-G{mxO1zgv|3!9|nrauF9z z&dWtyGht3`C?HqpsS3rA;e6HAd6ow?x_uM%~k+@_W?j@jI%4i;|ziGzjL zH*=^o&biGT4H$}_I#{^;=MEN6VRFB4$(T>yIywOUsRKrU=YHmbf&Q6`#vuRFL8Ee# z`-Mw}>wf7dL0us?!bQU&BV05*@+%k3F44%eL7?Xom9zpj;gpv9m7@u^|5}s4-msE? z(470V1I95rx4DZ3RR>pPpO_#m!zUZT?0vNX|Nl( zh?Uz)Q`JazS~6Q1GUzvzXt~#0n}S?8%WZAI>#;CXU7p(B#y~TJPR_KX<+d?IYRI{G zW`IXq&5gu9IUnH+oS-TTnWws{5_1BsH8#|_1EoX>YBF*cK#IntgrX9;TqP@};z2Nu z&ACJ%f|{BzyNN>ko3xSvyvSALsW?ZQ8QKz&wrve5O2FFAIJaji6y>T> z9qk6VXq4MCAF`G@6CuywVP*>%LoJOmB#2Yb171^4yuA!5CTlJJh?;6l^ShKDX0B%U43~O_RP7_ zhD1$SZ51})!i*9_4%;TR1>(lWxT53}Av(qo6}uS<4;3AI8|(_wOq)|G2GKbYjvi}h z7N?hBL!BFI2y0yIz;fdPZWRT+D!Sw*1Y|lURW#L1rHV^#qE4)CuCJ_}iPX7wDk8Ti zgr&!bHB|tQH>gcjFm!yVph|DdgqAflwcy2r+$5b|+gvxLrjZIaxrsWtnvTQGJdG!X z$}8%qLQz{aR^azF@DjbRj-FD}M8_Oby`K)9(p1|(FIMFC)1kDlE3YhTsjEib-9La= zG->_WUl%BAs;sPOCGY_OnA}8;ZX^!KI+&bC14PsVbua-drofVe0xV*HP6!kEpp}~( zpg|8GAm=E+q`Rf%L!am(!$(5Q|l=X;r? zm52jk(=>YAHLb1&9w-l3;-NwC^dwiFipaS9dlDW0#pLbO$pGUA_V2Z0lsObs-@+oYD8;|m>TGz{Gk<4 zbJKL0u*R61rVHr#yjmAfg~D954%Z4Axq~%^tncM2LltU)IV2>_)JlH2no!&>>*c10 zG8#`*vA&5%(MEzhq+p>9GjP`^YCO9 zFjw!s7#9OTfW8 z!w+96P+I~;TAeO8Bf!dXb#6w0BWWFaSO6u4D&6H~>TpoGh?r~D!D0O`H&dqr9#r~r zt+pnv^yLoI$$I=R3RI~RGIr?6fXdY(RUpVU2lR%ZN|&1z5`$u4?(lp>Mr^~(pz@cS zrBSJ77QU2|J3Lfkln`@AXbf2_%pDP`Q8Vty5Ej%0b4P|acuAz`WJuHsce&Z20=;mT zONDUxbRyTLLAArs>dYe7V^!L)G|l?SVGCVwYRzrh5V7lvxuZjoumUWKg}Kgl`K^nw6At&%EtAT10)TFEiDFaT<$z+6{=WpQj5 zy8@uB4(1jG6r((s>kfnkU6xC_1Grfx%q_O?VL30iIFJ#lRHdfJ6447Of7E%D6;%~y zsZQrQ7*`LDamd(ZH91sN1A6iinT1q#Lq(z2!J;tIooIL4u33%JywqRy8))=`Xt zUhY^Iognfu7mfYdG8awMB@UJA4#zn<@HMa8aV{DiKi);7=f}Hfbp7`(8ol|wi-t>1 zaM5tdA55}3|Np^NLf{iku&TM7=z!tAKk8Hp8yU6BbsZ|2#DVoxi$(QTDEgD7s1ko; zF?WJP#lpDUqN@1*lcidZ?>}3-O1uM>`?IA<6besruo#IY4wb|0Bu53t=PwQz!}Avh z%b|IyOGXTy;^^S8I>kZrj6BUjW8_bB!RYtt4!8s{c)E*5&(Cntun3wB%-konawejpmI#U~qFv+8qpJ`yA>dQlApI9X>q zN0Sm2OjXG{$B=Q#hUaR6Nv#NZt|4ZYL|14sR8^$S6ZL9^A>fo6&$DGvggDQTAt#09 zvhxkRQ#d@|kQ6mNE=68o$dStMy{+5@nvh-6-i@Xb9QWz>5s7SpmD)P%GjzRL_ry-0YOA;xt$vQre( zE;ppKEuhGumm8vDSej^{uQ0`o(%uz@j8mVx(hxN(hF6-R;wg0Q`aput5Md3gF#zkO zw5tMe&~i{XzABKwM0HAoR|lfjJZ9%#9S9r6ziR>^SRqPx*96c?y>yp0p+V{Hh7fKR zusH*baq(hA= z-<@d<9v1HIifiPcaJMp`nkBlMQwnXA3hzoQvgnJb^W7a#t$N`PQ8~g6Pq*#&YosqFjHR?uX-dZUkG6n`mO$m~n|V zx*g=(iTl!v4b4sa(&q~3XZj;2?3>twcmruPTLug7Cs;It+TsAN8q3gw3|&T|6Zgd{ z5F|{W^wxw2(+0D*y^}t^tOFi_bS$x~Z4w)j!)>%AFeEvDWI~vcO#@gu8heZ^qsB`V zl(uzr^o$%QkQpG!Aki6ckL}T$e2Aq>LW7eXoxR=3IXyk9tsHC65rG*GIjr_$Lebc; zUxL3Aob|9oDxZp0dgm-qtvnnjsNPrlE=cZh0D05I zZ0^V!#w0p;NBc~iI24PC2?*e)1Z!DhE)01ZJkniK0^ZY-S5l6D=>*fqDEqI2$E8z<2=+ z>JlFgU&PJkbVH1_xE@B=K)slzn<#E@w-$HAN-*!GxZovN1?Q6J$jbQ0iulN#%Huon z509g{JWw(D^XZ-Vvj~`&FQtjR1*wAGmx1{yZukx?v;uE`eNuw%`apjrPFD${({(;g z*PEC>)+Pj~zmhhT$E5|Y#)(RnjT*U=`b{=0cr~raWN-j}4b)+Q3e}6(;{vRDCsn@z zatyzzrXJI8s=3#sJDn%`aA?`d^v3IHVz8Ga1Hb%_?}Q(9M{{q0$=cW)qP!U=%0}T& z$%z7tps2>y12l}kI6)%o0f@>$;9qm+-U5L1%5Df0w&KdcK@eJiHK~GqojFK3JCI=@PM4egkbS60+qrBDJdKL+E7kJV$*-gl&?cM z5voM{2Iyg`A*td!34{v?^R2p-iRW9$zz6UgTc|qwjY6u#OVZy1O)apy=>9w0Q`c}$ zJx2>`etQei=--x)P>Oz3Tt|k}&9GPa2EXQsZaFNPU73z%AB@w*dvSU3qPloUu|823 zAE}GC)x~G(Vx78pH!k$h8%k2)vQhXJ~{lP(@da=43FkAreID7d(TE?x%f zc4G0xZR+Aqx?nwbsEga;5_7$xTu+o&AbulJxUHU)#N0@%m(j!-#99MPFR@;v3wkIm zq;e^`c%Cr1w!FNUtuF3KOU%7=!BY3df&U=un$kC3ufI+EbeIs4Q^MS8a+5gKguL2 zdSypm9FoE@j3^lQY?>4n0S4WfP87a4L|xP=OdVkud?;P8J=Kabi!Rnc zyhE`n>4J@)pO@hIiZxHMj--p{U|33F+K9qUBo&2buCRFuT|5Ku6v8}%wyNmjDO{{f zl773La6sZtj+)J2QBxLRFI zO-Vg-g9~DDcMemSY3kxC!mt@hx)5fli*AK!SCoZ{vQSa>Qj}&znWrd~bOFuT)8j&O z_7u8cN`G2X`W5RzqA=?Lq991E2~w!IWL%>HC**G!QqnAhIKj_1$%EtBNLEFc$ z@2j|r>rH`{Ahh@geK^UUl!*?+b_qtxLhRd;*L)_wCoQa+)@|Ezp}I2 zJvQ&r7k6me2Uo+SPY#%AxVEBCR%ZSF*nH$r1UF;);LZVdE~!JNj}Z zDVKJ+2Z!jk^D++U?st9^Ab? zoQHO=N46#9wsF5lw$G*UOWn~mJFkeye=(X1pTwcBOQ-R>T6b;*`n5=O42 zGR{;wA=5=EUA9V!VkA_;lu9=>si=f4$~}V+9huUcE_3ZdhcDUaobYuS6{6z*thM&q zYc-nr{pa(Mv!8dp?^@6EzH9A0d+*shq8sm)eOAQ2Sr21gGj7OB&v~ab>_Xe`F*PH* z`(2E7cjoNZVa#d94ZjEFa4*2dbh&-Ys#(+Zw3 z-7{=Do)>S~_Okv>jLE!LdVbY*N^RPHuc3QBW_pl=OAd*4yv4A4qk6lUyxpa?jx{t` zyZa=>a=&q^$*{|P5@Z87Alg|Pw($|0wYwsqW5*f{yZ;bulF6{vhm5a|XlHwz59@aV zwrG2sL$Ep)!^T8B&WE*o#AH}oeSG{03$%T#;WXnsCh~Ue0y=h#!FQ+YGx3|B4SA%h49Y9*_|4cc#rS{tg9>vvv&(-p=9x{$bd3lVRfnI@ZAA zn5|!xn9y7}2r%2E!h~zv9E}|cOc&HaTdc^g@_MpHw{~~eX@jjyZRQ# z@UQsr@!1TU5^x|9&)^s=;=|fKYBKE6hy(F%C_Wh&A3Ck!2HY;i2EW3z3BJ!$G#J%eEjB*fzlw>f4>5dCiW5nHrfKY(QMcCL0VJ zct|{^2#!6$ z3jiiw?_e`T{=u#Q<*Y+50`xw5-&}u8+GDcOap+Rq(_188^RgXkH_>defZJFU?Ll&SIG;Nt5W&@Yl zb2c0}cC6uGoPfYihm{3%?s4YrP76qkk#X8Fh__>u1a^hr!8RmZtThvpwcD_PVcTjM zjH1Lga_p!GBg!yV2m?&k?r5~TGA6O!Hn6SzVvMxFaL;uc!&4iX#Z}Dpty$8M{SCuy zo>_hqx_gahTHWe;@H&FtyFBKkx0ZNHuD9H9Qn<-rk~XxdYKJLqX7`q#5T~ka#CZox zd0T_3#-kNR;;Aj%1#QCt`Gax66yHa-e188<+Omj86dukE@>>=m7bnCWjKAH5wq9d` z7Y_pd;^i-_f%9Pn&R*Rqx%Ot|%(#Zl!*Fd__xm|;tVQo`%TaO^Q&4jCe&V63egX0P zUixbS*num5BaGL(6@~T{Yy)iQ;N2df)JpuNb4?!?@*;!)HLioc8!?(vOXDn}H1;;( znS3Y0;!2D~rt}>F7M)?mxURp<^3-xD-en(#;#Vyae)!j>@&f+A+Wa3eb7C&(@AM3o9{H zjYxR*rE~5Q32!4E#4jRv!cUDz2QI?aPH}H}N8YZO2$fm4xGNKuO!(o{csW1wCPY7y zlFjplYFrths?p%zAANbtFOO65`G`orx4d(RK5GJ{SgO3`<$hg_E?ANNC{*KoFuyW@ zuJ~+bA!*CZJPRu^SB*NCwXa88_JL*RkTmj=;A)h!?C~I6jV+zgu8WUh#nf1pGdxE) zBHUu)4}P^AvN#uUpIvgWHr$V*nyg_8iifg5Wg$&c6t`J zdU?4NbdFQ%xVU)rl(+mzgQ_BVM8!yJJ3sT-a<0t+3efpo$(9ztT1Ofgz;VyTebZ*T9@D_}(Mxgs7^PPq3q%SNbURP5^Phu9k41^f>M$ zK=JDwN8Ip13}a&yZd?AgDcLd3Fx0sSjQPNV zGmN$4U1PUF=w4tR{!&o693FP>cFviP|Aof&DcL2*c;Rok;+J0^;G8yyy<2y|$yoq< zpupAMttVKV9uu9)y&EL)B7^|76~E7-80ZC2&)ua_EOwycE$?AS2R^+)*<0R|K}DxG zOF&7AV~%V&8ve&o@_OiXD8=qECcj+O$}N43?-SQ4_O+Q9Y#?d}jwFGF!&{@+{Dc`N z2#V#F@NjnEoMNB2gNYqD*NIJo;uI5CnTM%IvvIBI2jO)*CA(?6GEt8`kh`D#v~I15 z0VjLr`Eu>lV=u8>I~CX~$0bNyY_pcVAuey5%2 z8tXXgUSR(p_4vos-&lYKllyzsYMk9|U%lT(j9qMVackAn_L)?i!BDz_ecpyc|5 z#7MMcg<7shJ@&-Ds7KT>I`!Dg?=2t1M!=?*ldN7jZ~0)GVa0Yg|7Sg(^2}ds#c4>% z{$?S?K_Mo;tjE4#o#OC-UXQrd4+&-i(dw~x7$g2S#U4}7{!~!x8x|h29vQ{q0S9v^ zGM#QW8CHy9<$UAc^|;Z#qQ#yT@M=WKTjY^4#258AIF8|3a(%ottgnwlePVsAYqJU* ziYa+xh$`6^UrOGVr}SyS8BMH@9Nh9ZiuAU;0I8C@ZCMv#t_(Z09*6p5JGDOE>ZtJl zQIBVQzPJVf8dLI)IOB!C-Fh6BxIaS{V@>v1rawqZGEbSwy} z3_GMXyEp$u$y<@h!Ok#cvWEJ+<#!v>L9CBMW8U&n0frSz*8f?LjUKwNJ^W9khZR`w>%sZpf=@Nmp$28P#m6jjLwu}6vxD+ zgP_QC8f!DG7{$absvfuCfPwF?x0<+0S)`KXNT>(m5$_MgLjH)tzO0?x6A4bcpj}m9AzMI(( z^~+XLa)O_>{|dJOJjf#$|F1DhjNn_7BD8(CL5Z_?$jNbRMJdsb+a5*BJvco4*kD7M z8o*W<2Nkv?SNXVcY?pb1C^-#5_SjU(4k;-)BTC!%hG^AF9%N}qa%B9E;cCC=o23*fg8GL-YJg;38nXjOu@T!fbUVw8BzU_C5G%ReE? z&%EW+VQfWd)%(2VGcnr!mxmJl`Id#2e}ySApKm>fmVeoh)?iVVpymD$3dLkw&*!lf zr-avLTT9`0zz=?WYZ+P|uxMQ+-+B=(5BjK5#C#bo4}$GS2J?F*jIBJa%bQZob${8L zyvtfq@>K-++oZ&CJjm;qVqaq@1G(E99!x*%9EO-INDP_$I|oAC_9l||Pk>f!u$b+w zIJO`J*=%b?fU4F)K$F|viSY00t3KdI_O&z|9+?RdF6~Z@Ka(C53a46{V^=et4;X zQR~l&U&d1Ma}y>DUdnWjb#u_eFl4NCq5UdMST@qqHW+wf2Qb2}w}2Cw77l)&OA)p*QWw)?rSD4Dll zvf-ry-fjJ-PWT;4?haFxF9a`Tnq{0kWcoRj+zVd5I3*^kfMbkrnm1}j$=|{2ct2`G zndVtztuqEwavyjdZ&6}`GTm#P)Z*X+l>9SKRSkTUSgiV*YkXujJDQUF<1j_wrA+r( zb-p|DdP*JyuLgNaJgrRgj6L72o=VAoz^kE2iHPd!K4W+1Z+lP*^Sr8I4qnPM*SgBT zYCNTm2vF4t0eGo^cUj+#j;*3pZ68cA)P^!ejlXm6wx<-PQ&l4aHLn8RYg9Meyp~c& zgIA*{cq!B4*7Tnny+)}z;MLeeiHDVGw2@qJk&V+ZQJBJ%cuJY(Sno~W`2wZtn=sk% zvfNaTwwBD>g3~oV@H#OBFJ*e%xO3B_)s$)gUMI#W@f=$#QYU~)6F(&^zSSs%Eel(0 zc}O)z*Z=WR;#t1c1TFs)p~TB7%)eyPZItp`RCPuGUaGIRtsl=c&Y)DQFibH@e5QF>i%xhC8N4JwRc9KM zSgA~}THU?fo~6`fKA58LQUO!O;z4^CQ0j7gPH?D>>x4PIx3!AqGk z*4SQkpQqH7;B}UbzLe<=YtMqy@26Bd@H#t0i7I7ETlMYFkiM%-nBwTma7*A#W9q(( zn^Wp)KUJOMr^I$;${3qMzgJQ!2wvw{lz3m6V#c+-#=b(Sj^NeY2QSMFn6i3((W#13 zoxrPk1YXJ%vxc=Abr+>N$6(51geqX#I4g4$2Hzz@Rp*+Nh%2x6jG0?j)uz;S;B{_} z62F*kU)zisJH{Z(UBRnGfD#`muXn8fHa}|*r9$AnZbqpa!K41(iDAnJ9DUO;~rgw}V zGIzx&H4wZm@Wab;2fxK=8@lW)O5Flp7g!jf@>*ei-*+x%+aU0|(1)5=UYo7)e|MWj zsUa3j5lVchyxy{EFJ6+S)X*?Yc}o0OnX*=&BOga9hk=*hgqI4q!sx$cXEmj62QPmP zUdpuDICkh2`zbX7ye9|D)7sjN{@kC49>3RI};KukWMOeHh2Z zHYMsR(~zwNa{Zn>9I4~MDhG9SELQ=*Ca?9Z=$M5ze@m?G#) z1*~Q7U2@8glzIfbF3VHmRONM&eek-!0+gBzUYDDcsI9z?us>Sa3wzcn;B|S95~nGz z|JXB^pE{FLQ{z;1MSv2=DpM`<$}1K$qSW*#OfgC{RRK>jdrj$t^YAmltF=LiI?Bsy zZu3_xr_?O)Y8{1_GBvR`jQesBrJ~@~#)H08z$45K3w9k%smFaVg)u^9`j5G~>Nbl~ zb8VPxd^u63BhBS~M_{d-4_;S>P#dbRQ_O3wIKL;Qo&+zfmx=ny>nMA*J)%FQ7J^q> zKfc;2(<%0or%dQbsi#bsEJ`%vRr$1^s@nPR6~^$OM)B>f>Kp9cu-;(nS#;elg73_> zTYG2O=`EMnq11ECEl-tQc#!8kRMp<3Ra<$G7XsMIIcKEU6-ai*e$`eUavA)t3ec)` zeCtK{U4;qKhevq{egT6v47OS4FGsKyrHcM;=M^{G=hs?`>V6e&SL61Aex@8(ML)a8 z?=8CF!b%RNFjWjtrv7HdyA83hEVp2?X;p>6!pFi?Rfg|U+xS4#ibg!b?{NeB-l7tG=Yvdl-I)2)s0t{q?v< zKc>|CA()_DXE$JFcawg8=QT>LGO4PgNtLrL8L%>JcL;A9PN@$usE$yzuN$zkhdHMYPlqzphrt9s;nvVaSQkCG<*+Z50E7M5xg}|9@DYXH-I)|yUw=$KRf43RckW!lr zs=CgHzD$?baQo&?=UqjqWFDpn`ckIr%uA-e(T-9X@VYKfmG>&s2=m71ukd1D5U)3> z@*ew;^?+74bf7*yFyd6nCnQ*lhQ&%o=3C{^C4Ot+f@ZXfbrN_`GqArHJ%z%F+A z(BokGA`Vj+UdnX4{qEW}4JfrE3X@Hhs(veb*mo@(jpGg*yt;*`a+cw0INH2>?*Sah zd;?zH;#ApR4WqODYo_sKl-dbi-Tm;gT(42~>E8S?l&bN;WWh@fqnkOk^Z3q`+GSH! z4&tGsGl@OH@8H!dN|obOz`N}$Bg3wv)V>&1_4c4I z<#nC?-=VvMl=>?IQy3#uebuv<47j!)r4E2sZySB7fL+boPC5ZQq`$%IrVv%mP+lYL zYqs3f?u(0`jFZyx6**&3KWHbhl7 zn^d`gZ`F-rD~BzE+3Ne~vy%*}08MNihnD>URB@8n%3}+Q_(?Xi9q*?q9Nn#YkNY(U zV+)J8Y0AzkO#7e4m~iQaa2w#Eia|U`qd2y(Zcnh?hGWemM|}MzrB8$+18k}osZ6)o zzm*-^kP16{lmahDSZk~z5C)+5mBbG z_WAi!Z>MzA2&EffP$N{pTkZA3j_FNlBZM&ru!~TpaprN$hp(q}GlSCjCR;IF1-!-V zIpn^Lls*GjSsFuthm_Yq`=OH-UqR`!p;i-&@D61fVrJiZ=>Ph0<-p zl-dnm4=d9+`_HN}^wl;%sl66zLz#w}2R+A3p>%s3!u=jbmTh;0516kt?RG1rud*@S zaS*bK*XY%G%y1vATFbYv-%stw=pV5?$WI4!eK1d})>v$-V+>2JhZ0@5trH6P>o9Bv zvvo$xZ*x>JQFS-LjC5_$n$qQPtHuG>Al~rW2$t6{C9biV+jXe>?+onlxvi@Q>njGf zns42JmjA;c$~wLkienYU#QMo%e%;aXXACUCZ9M|mw|Q`4nA>{7Z(9y0T)3?lT7HV& z@8q`LXjv7b#7Mq%6IylXNJjM1tsd}}aTCL^?JGxr;UmTBb3vQU94I6p<+Y$dgR3+AMXmkhS zue6aalW*Bn^{pRg{CRI94Q!!s@NEGt?=&g(L5wPz@~yjUN>!i~ahwj@-3FyrM`7bz zqtG&LQpKr!>mCb-QGRT3ztQkpfh>GrxePWL!(O_eC#CO$+si(XQ>L`>#i!L%DLuwd zsaK%FT4ky<{tR!&Tp#D*cM7dquS^?^%g23lHM{?Z-%_;h03XMAgpVN~BMlEm{2<2h zW&nq_eCuI@Qg1~l@dn?Dpyi7>TDOJ!O|Wpq0=x(Et%*>`j?%g{d~1@4@KyZa=q4B zNAFA>LFt(uN?GvQpuE;v8}gZFDg9WEQcI#RDX))>m%_m>DLvbw)blw?Ao)&RBtEv* zc3x6W={aHC`{<)YQh8MxQ!e?a3#I1<@b$=mmolw2Ud^=XPU-m}O3eT-)UFJeFnr5f zHl_5F;5Ca4UYXVz{igqYJ*5|b*K7%4@RmV&6JHQ+lb5uj$}5Q+Z7_&TJYBQ2Isiih$R2 zWtwb#y89;|rC$cGiQqL;1$@+aXvf2YDg7#VO^RXg$~49B|9EwCO22O6YkGtdV^zSh z#_6xUhuV0uvD56Ek&`>6*g! zp9?yI@(S<@d#GZx^19nR?#_EZp!B;joL2Es#XZXFF7w`@o}rXp8KKlYHcZOvF1zo< zt!GmD1Ms>Rb7i#h3Y(cr&fG@n3h=r=LKW(JO2yrFi_kqUQ+hRcjm5kfrUDMN&$b4C zMCrH(XNcf6Oa;8n{9##oKc&~?C}l=*X2JL1gtz57N^cBN>b4wA%Bz9dzO3dAN+%6U z4Yv_cc{Q-Vt!cl4(rNIzBSwi6mFWa?MT>MFN@u}q0J2<1nQGfNeERywl+J-yH}E<} znT|Fa;=Nv!-eTe8B#u4@*m8uG1I;rYnhK$|g>iBchr~maX|O%;m4}GZpMn>5X_dFR z0V{8@Z~o?do6=R_)dz>f_!95TKCKR zp~~wP^ZVU1{gnPPPN^H>R5@IkhL}%|y6HJee+6D;QL0p_tQ=%7`n}QXl>QpLI#@8N zz6P7m1fIY&{}#MDh0&KX4YV6<7z{P412~z?cq!8$^W(?6)uHq*A5JEN*NtizJ?-+{ zFOH@3_uzFccy(7^JOha!nb~dUwibo)L=3H zK+9GhT-f2ZeQ0@>iK{o<_9t4N3*mLXiF z=Ue}v z=4UuLFXT2Y*2gg1)Ade7wj-NiX9w8L3lbY>@OHQr;SC0{KE!Pj+wbsE^7XjH%5Bze zc!-h<9RZ!YYw&wKNXzz9(zXyrm zT#nyAg!pYo{G|onBaX4foko3abiT6-?h480;0x07c5>Xp#6k!VH(^^avU`^hNMdU_ zcE68>b1;58Is03FpoF*yo87a7ju`GmsP#K6m*F($o+`!gXT|VUduj{#oJ^TUke4%* zL)_UB$7;J`&fs=-^zj>?#A_LLb_nnrpTzy`I|KNRob|~Y%sWTey-sW>8s7);%0b2SirABquzJMBbxWS!83#JH&JhN_*NBMjqwpR`@R-TuXQr{debDmxH9r%BTKNq0ttC8(rv1Y8dz-nhFWOO&?zQ}KRp53v3pv-1VhfZIvC)0p$Wd#^P=o7G%-9`EbK11YLO4rq*KqxFT#q3j*v-k ztG>o?Fo*A>&>$F)nBY4#K&iejI#%v)E9y{7wUpt}Zt*e`iDqVMRm9 zcV-S)$iW=GvwVauBqsRIwh0|EjORCQxb-~dU-`9wIDAt>@|VHqG|Duy3iFWd}4TRe&@ufx~EToGQTY6JpvLF^~`eK zJ?CH!-?@IOz9Awp!FL|o&5bdP^Zm+l`A+{A-~EQmcaLY}Pw+7)b5`Er>#WFB-3NL4 z13!A<$*8^w`NbR+>s576Z0$iE@y@Fs^Rt^0#eiIf^J6T803}0KtvA^c6AW3k_LLZB z$n5f?R^%u0gpL@_7$z<;|NT2A+j5D$|JoOO(e!l6oEs=i2e zq2mwA$d3p6D!>5rf4YnvcjE~b1}=E8sNcorhRf4Y1ZAiux(WZ^!E9R4bIJk>adp>{mgzvS3mv1};X!nD{= z)e9X^mhouhZ9!Z#lziEa=gGW-Ieb~pXEF!@ow<^H8oJ=;TljFkvlQRU!H)kL)jf2o zQGAD{wr+>dnUuL$?s(jZY9VWXd1QW{1>e8IlJ6pu-@ofj)D>vCKg2rM7(NrDYRfM` z$q*r{pN&gQOw{Kv@zth;M;H9=$n8b`-8WuIX-U6#?3+#WFhfdXRd)Ph6Y=|1)=krv(EG9t7m(Aj( zw!{Qqw#+UKJD8JEHnXj`#Kh9O6z$$-5YOm_9&ScAG_y-&^zxH_xgS1fQ>L}t)wmgb z9efX1GNZ49#X+}%UySg(r=5(x8De)&v(7b!kgNLj0){vnjW@)six zRwARXgsJ+?5NpRX`jw$}F8|8t|5QddJu~4r_?$zTwsPkpmyznbef-vLC!=BPOux2e z&E5s}n6X0g>g71B+L4pHn#o~yqqi8c494ygM?e9}jJ_VH>Tp0}BBN~H-X(Q$GWrIv zM?$PPdfj#3{~T76T2r}{OqH8Tv+{noT?v)vdPUp>gUwSHzOcy3()yFHM`9n zVI{W7V0p$bXdZ5P>{@=;J9=@9&d*EUL6C8rC7ZiAiGziOtpyK6Sv!2$JM8c0*FR>v zzn{NEsu8viqeko9=hZ`?b14%v-CeMw#yAhZ)80|z{y4wW-ce%$c1ci!|D2ktCt~ZX zfHS`8Nj`QLKKp2DU_Vto&H<$w@0nD6e_S-werz-M$1y3P#)O}EWHrVW0Ww9Vd)mWfrN_W#y zW4L{o+Bkm6x9=d(d6X%)+~ZECHr~(kp9^vR-Xo6n==?v9==|3){t-4Jw)i;{8sFTj z-_HwB%lsAITF*1CETUu7xPBaw4kGh7bx_R?mr8L}neQ$$e^{>Fmi!t+pq7-mE?mg< z5sUvk#L4w4zs_}2Ugw&^it&p>%jH^OQS~Eym~0BWdHwo{YuAXpuJSVuFlt;kx#9?} z_xq?i#oHCl>q5n~r_AeN=X_b_#PiYN`INaKP*~-gu;bbtbxN{&9k}8wpTm4>SfBGa zd#qLYCo%Rj8NuU7nPq%`uig|9pse!M*iEgIgq0fhr!OxSYHSTl2Q}xnxtLJnBdma* z#8|tcYCNf`yhq8*|F2fv4-n`A%5*miGrtXWeS{_XKVayY|01XJ{}NlZd}8Eo=GXYC zdK<%7auwGz{LM}iTputTqn7zEa?(L?T?@g!;7gFX%e<9ao zT%6rPfqI~BK)POH;4wpL{ykpF`}c2pf^M zdQFN@_0F&WHLlO>oM;HHUz*aWYWOFg#01wzpz_Zlh85-djN;n6B-iVQwc3n87g45f zu8`}G7XMkAGl#1&Pt|^Vk6-7yH_U#eCPwMz^!1KaoyvW znBclDMAdu43@gg@3EMqlxv?bI?aLapN1#@e>2DQs-5uw@taG^jWb0gU%|lzS4w~$T zc4Cw+*Ghw`cgF>&ah<*7`!0g(Pqs8Ft_K1V6I|C@RDIB7SW&J|DXzWbd<`G2hJV|= zeklT7Od0cEd!_w3{^LN0>t2t>wWd~7<62WY#(pcvMx@p7#xPaycLdP5{`B)TPYAAi znFC+AT&{>KF~N0Hj1Zk+MY%3kHGE@9uJ8BCPDY?hC^I-vkk^`82LFAd!}UO3=UUg+ zxz>jcM>(T(^O}kfLa<>TvJTJfb>J+)^*~-471z49#01xLoNDSbs5sZB-Mp@P$8wIW z4!Z}gd293q2y`iBhWZ??abaOUD3?HYq>Len4Hmv z{YDn~Zo_T_x{NZzU2__?lp02e{bZGmPg7&F zk7^qE1Sr*DCqlL|=+N5O^XY>p2{oEZ2escqU(!LSvBjnuBgC+xYOFL|HHON0a9B0o zjXzlnfi9=ah)`i|G`0AzZJpXU!KbUyT-1gbWzD&!t_H64Rvi;(9= z%?U0!w>Erj{{BU%(LCZfXlk5m${<3GPf;5!c)Ox%JfT*FfhB9>{Q+;VQ>Ir?<}SGc zy0y_f&woYis8KJftI^7@*T%(%)W#*S)v?5w-DB0NDAhF23s6_1UQ}YP8WZXtxk0GW z%I_F8H7<7L6KZ^JQq3j2T~RgORkbmwWNmz%f8YRmY)zR_(Zbqjh1xh4CuI7Y$Z}0% z9JlNb@r4~*SK7K7ZR2`vw1e%~h~VL>@r9piT3G_r)o2`&n5#zD#qTc=YFueMMoo>j zap@q`*n!cs^ zuBaL-wAv^+Qb23rCo#7+lIsSx5o&am4w@P_NX${AIzlxelLaZN#yd)lfhB9h>^1Nd1Zqne zT=$UIM8(O68e9`)ztVTqxET9QHB)Xnq&E75bv63JR^MRb)701%A_NqmuExc2iMeXr zY+i$(zT>-4jd)}+4n{OJ`h=x}m?^mFsHSg}VMWzgq0N+%wXwAeosK~5DD$wdP>ntw z{`3Jyjdo#OjX?puHU=M38$)0_jtx&&<9~jt>EnR98tq(it{P2N_r%jfm>Pou%;Qis z1{)6M)W%O9su>bsSWz`B+ug&OCDqu}pzeGGYEPMo{z5edp*D^S%i8E<>T2AP*VP!2 z(`#cSY{%Jbd|GY%oCCST)J7*$G}Ml}H(wVK-=}Ks$U8=@Hb&&6gQ$(XMKvRNyP|5m zt!l$8Ia8ir@by$gzlt)GLxpOLF!|FWoZ7e{ud6XBs;eJ4`hr zOabb(af2kx4(m__?0opTet(MEm=tv!G&Ls2WDubS?vSgIS7VEu5C1@^aa+mSh%WQ6 zqrm`WriKgEn2d@)-Y;vTuc50k3pTZi&c>EnMWYe+hzv1iSB>AXZcGjcP*|DSb-T#`xS@0}$wH%FKussxd3apYGw* z#^9W;#sXA>Qe$CMS7Q-u4fy!9neu0zYG&owpiuxKB;Ughb}?6tmi}Ywh}u{n>p)Y( zy$bB8u|G~Vi+H=DYP_e^xV5Aj(Z$&Y7-1P@X2lBCSZMI4mN;tQ_G4|Ivc%NYc>a*u zcmcMCrXcRt#zCwb3k?D4YK)9X%vEFd6_-sAYAi7wqo&650qG!S%0E~)Uf}JDs_}tR zV@OFg27c4`Afg8;GbdN5#uC&}GiIpo93zp~?v z4wRXfFI3~T2!G0qQybAkj=omJbv53>mRdL7^RP$Ih%vir)b@c~M1Xp2L?vOhiXIhs z3=imGYOIJm4w@S8NKDKW_Q0E(_gJ&y>&E+*yKW3FsmAWM1`E`YG7Ef#YP=KTPtS4G zSQOA_%4*ouy73XVlp66Ud$bPwsC9!qil^qCkN|Zx76l~c*2dTKD!K_ZR!av>jgKVe zs8J_QHSs75QnWVS)6^(AQ(De@>l*~>M46}jg=(y}`BQ-$HJ(3Y54+)z+Sq96YHWh7 zvCYP(sZlpdHLD#^SL6A-Xs8`;v^zU@p-^K(+%aluY_z0O?+1O${H`jg5XuM6DaI zTM~0?aA?YC0Xn=O&id0cGD%83$RH`xDKFn2$4=bYIBYJ1b z;LaWKJ;ixiC&q%>XoBMn4AVn3??!e0|IO*u@I{P0?n#VNo*rROghB`bYMFoXr8bWV zuJ1-2qsH~WIq4v{HVRSA7cqtv<@%w@{BSuB4$C#z>Eq83sGKq{{Ob(wrjWRsT5x68 z-PAhpEwF~ef-C5Ovx^kc~P!_ZeG8MiW?RM*Dt^aT*aLfHTVLhas4sO9^A!7q@B5c z6vgmORDc@SIzx761=lYE(%9RCC%bC`aU~}5+BixzKZY4rlj;_G!*abaWwCFU z*HY$XyRe3Ti1UYyIW_!kPUpH8%vD~0GugAp*oYL@R1>7+hqwSWu5TaxeU0GyZB7~$ z*S!IW39kPMQO$2A!-{fUt>){) zV2nLJjeRt(CmU3=$ED-0P4{J*b|4#pT(oU6)f zpORcZ?{d>u2y{JV-iQ=(Jz(%hzBzf_o7cGRs%2|jcO3~Gn%Znc8rPuE7UboMFA^&^$n+e>miHa?zRgXl_`x8z<)&evVF!u+Xq z4%dSLoon5Y&K2jN@en&UB8}_m5kd$7YFxM1x6Tt>4+f-BajhGYnBdwhPP@dZ=%QTb z+wRfX2$!pUn6;_(7qu=!^cyJij^X50E~LATMedr)uVA}sgO4HiK)X(e>C78p%bmTu z8so@i4;wO=yT__1Mg$a~b3BqdGfD_6F}KPSZU0!v)j%)OsMKiWVq6V&Pd0jF?TV_A zQdK@)s&Uuh)R^D2Ukw62n<4r;%JzNCYwjdKm!WkeWOR1HLy z@6#D;m%0PaSbhIT2-J--tIR?*n&$XZ6NMVPP5|T6ea>pP>zq8V4Mz=jWk#vd!p|P5 z$i}DDMvFY{YMK+ErUt@F%vIyHE^ogo)HsLd{E)r)E_KmHsL>KLrG=jbDXxa9jeAO} zaowzUV+hopGOOhZ=+2bp$Z<35w|Q;ss%PkGTx98Luq!i4jf-ves7N+GO^pjME1E|H zsH;)WkeI8+vT@`43pFmX9HUkn>Y|NM!*9{9i*44fs2b~)8ly|jlwP?$6A`EfW!6}Q zwb2SWJ|ia8XdKbixDqz^Xl_?qY$-Kxz8R0eWaHD+XceYi?xi&$a0)YJSL29isI8(y z%f35KsBtCF`JuJZR$|T(-X#IrB~C>bRb!)?DWgl)M#I#Xk6}1HDYGtKsK%9X{`5|# zHVmIq!&}zVP-=L~ZuBTMuw}DHdkT{7DteiZc3l}4pk5n>PhxIu+%f%UJog{>Pofvc z=+*}7OFD?!xZGwvGOVZ?8qh68x9ql%0ChDEbG@Z%XXs*48+Sw<2ThIf5gA0N(Iw(7d(dQ9Q8hAZ-FTp+ z8rBC7vq*g@vprI%#&{opnz5tCfSj&I1WL(0tha1}Usq!y{8|JMRafIWtQ+Hf0@T$Q zkdv5O8>#3YGld!vDW9gs1iy3;YIHTdWfOVeqH3g7ZHz0aM%6d1wj%n?l=<8$R3j4S zPic147>xX@ead8yuEu0rS7QqN&NIZA-I)@?x)F&BP*-DcL}IQQUoHA^xlm&=v#~`* zQ)9C2V9xPIcaOJhN}ORu)yQaSlw3D_=C$n5pdV$vj2EggIn19v?Wi#f`B!R8i|J}i zkLqg7fL}{CJiRu0<5V6pE9;xsK#`IKULdNV|-p$W0t9_p`0qG#r=o4W*GOVZ?aizxnC1=W0tMBNBKm#bV z(^II%tQ>z@xTD4dOIKsAPgi3ul=>9l6D{Q|8(HzL`Ok z$wvy+crMDH#_y;x&(zgen$y)-2Bnl5FT(Gl02`lH8@FTKcrGeHU5$CB#N67LGh`(H zEv&a}Y0fcfYAkc*6Kaewyk#%)z(v*Atkif=su4C1b6)iQwRaPG988%#mZOGzb6(l9 z0Dt8GKlg{Z>ErzQH5+f)D}J5-t9XtWA0+t8lSO!AffphE#kFsElk=Typ-&p+Sx**M zVw`Jwyy-1_mA5O(b(`Y)aLIX4arUK`BKi=@{4TFMy7OR37(dU?i!?!9gTG$EVZJ=9 zXa0?l&OGMF_1d@?v)q3s=V2Z!2}>gUWEtMrz`{z*&Ac!F))FxfmP-dM^KXQt16Knt zHuILn{0u9q#(ypMtmo8{YSj99A-g7hD`ozS7S4m^nBA?SQjMqM`~_-`8gD}zb=GL2Q^Oh~Q1?bEJypzpa_H$wk#{0~V zk55|_Mu)s*Z%5gn-8}|ZV>yF3=BiP5Srh&{LT}l7Imf7}u`(td#Hw(g;VpZg2QI3{ zf0Y`OO4dfp-CyoQ^xG(Vgr`sqTrptp{BYEG1@|i)@QX9z-m;2_uEvL^uEuKS7Z+oe zGX*aY@s_O&2vDz$S1gISYFs#RF25$odU1@J8Xua{L8yWET$im5Fs!H=pR1WNrKB1^ z)fsUL0u7_=kw&2!6?y(H6Gx3V4w)%y{JI)zeYzTJnV-eRr`5&-IgrZ>P*>xPxWrsF z4!%@#u9zun{EksmW35j*2sIwGyk%>7;G$}5QEE&sIa4MqUU@X452x%gfkHKKj-0)t z#ZhAg)>Ks+30qgAGOnw!p7}+@nBCfVIP5K3V+l}KV?|hEt{M?<1OyMaHl|?RNHVNo75y+L zF;|VXcKg{vjcmX%YHH*R=^)gY8uylMW>E3k*sf}0Ov&14xN|ET&IrmjunN`4#`wE} z95vQPbTzi-bv3r-bTvMKUu%PnPpggTQEyq6316Vb+K9wlHGcIs;rHBo%eLknqoxMG ze}@MVYRt5}WuNfCMQfu9dcVY6HQt{%>UU8apn=b!XSP0zIGD3;L<8Qk&rOCE zS3}K|M@r6=6LwZMN1!_?+r%zZ<1-(Bx09nr($>}ZGNh}q!>_CH74x&%__W%1+~+O( z%qKuyjil31J9{ys@ztLSHNFfv4q9#OaOD%VG1vB%eZ>P8RpSe##-kcM9W6|AtT@7|;(v@++!(BJ#W8L`D0d+NUQPEISPuU;V)i@B+ z)i~&9FDhf>Q!@oG-S(FK;TND(V-f1*GdI<)8mluK@xEMk&3S*=anRH_5RyTJ8c+MZ zWe0iS;%cbcm{D@3932nVNAyvYJJEQ($nqf!HXNe7|EGchlEWLQx(zE*0? zlxhsO5A&_<^wpEuKIISe7WLQzI-zu)NOU{EnLqA~O*GE(K zJbAaCd-mc$oIQ!z$$XGd`RyVy^GC;Z=Eqn%^E%L>U7n3kn+Fq+0CwL5IcY9OLgMB{!20>8=!FteUhbgXKd4u&sx*Eq}HQ5_y<5Oy+p7(eWP=Hd6 z7Xnz`d=hijXw&5JTiIjOz39a;YHHLENe8Y*a%RpOJdOu0s>ZpttHzF!YGj%vE=2VE zD0@-ixtw4@k8zgYi`{SsM)uU5$nwU5$qD3m9U|a#ctzLkjEJ0+edJ2!)Pz z$+>E5Ycr~YP@{npMZ0PkY{+6jXL%3rOQ@lSHp7alalTT6Ju!owS0ehh)` zr|c!M!d0O`6s)3>f33XEpWVUr6=o63k2i>G7kDuw`>0g`FOu{I8$<=DtqMnkmpv@F z*2+6ZE%S{d(m~9FS9x@X73F%Cng`#PGc8nU=Q!VKrxGuN6!KM+073F%q;`)PC zYR->pTF*kDv6O97xB>=G_48M7I#u2nc`b{}ET3-c%$q?KmE|*_L(tF0r_K3T*o%My zlvVy_%o`N9-?E~0t9Emf6&C)GG?m4}wk zyApxMQMR320p*$dV6zZ^&8U<4IzFBM*#VvZIR<;{C>xQM`4!;bEF?gU>ybx~8X>sW zaXj=HeRe=P2(IryuyYKC73F%N%KVQdx&CKMb}a%uK-qvi26VYL1-lLrnd>wBI#+fV zuFCa!tYw~!NaOk*W^_|YN9FY;-#u*v*E9UWL+2{)wNI*4@UCH&*H#hXp>u7WcQ7Zf z8!%tnmY7KVR`6SoN!aCP>$fV-hKF;1; z%toYf-DG%!tsPLy>*5g?;zhEI>t&8QI@fl#h$8cv%zK0F;|wdx^)khEZ%M8d{YL(Z zKocn2%_y9&*b7x%mzP}Im^#<1kx9k1EXLlq%toYf&3L@Qc9M?D>nqLb)fHUZI37CJ zt9cGp4QDZ5%VI2YajvR{_mt%7Z5eBcKocq3BVWk%YOuRLC%Lu{>0CP^lZtC6i@hb9 zjY#9V+42UjHU+5V_2Drm|0B4z4>?BdSfXQGI*9%2*049&$zoVhuC27Z8m0C*5C41) z+vhw&*?rA|yw1L&&SJr}EFe7e zyq5DEs=RK;eC-lt?TT`}RONMd$-Fl8ZJdullPKFKTFA8=>~4rkuATfk*Xxl<#kFgM zy^))JG_Ic+-e7q^fLdM~Y&vD0;M&RW7`41!Z%GG{*UvFuyG9sRlzo=IMy-bD`<$8kR`di7o z-ac_oZ3KFhvV%N@TyF%s?taO&yGQ5R2bok{`-a#%+u4Y;yzUHmgEvY#Dz6*wJjXe1 z>dqXP5E|D$JclZ;H9l{!Z-})k%C)WO?qB~Z$@QwWxBeG_rc!oDu8?aVuB%FitB&?d(%7{k;ZkG?G5%}*r9pt^}~VFL|$*qJ4THwJM%6aL|(tgd>s&ASW&K5 zs=WSLvWB;&s2&1MqwH-~A=iFjhdq%~!+mU>>n+Hn;yTDrRXt5MB8}_+;@)6CNk`@N zm=>S>DY*8rg@>NkTX+stUVp-T9pq>2igInE^182NUf;Z8>I(=oowB!w3%QzL*E1)% z_Rr~DZ$%~**P#Yg-56ja(zyO&dV{7dKpq4Pa6J6$zKfa*uKjb4QOoPC0qG#}`m5g? z9BMGEDAy|$*FQ^gjkTQ63V~)&c4VNCE2_Hc#+c-KOI+tV_7JY)z_k}2k;Zio=Iani zN9Fa(b(wa8>n(Bg%nDrNIyT^7&hhYXn6Kjuh85-7M%D1Xk~Q3AL)X~|G?TJnUm@2q z;MyxHx!xMnxjyLE^ZJmFs(P~l>3RJf^L5O>x&E+a>Sn?9)|l|nxjyK3Fo)~Dm^b*4 zk6}f*Uaq+QR+8)fAGfjj`WR(L*@axkBa^+ulIz$*xK2PORSi${pry@5q~-Omh&MPs zE{^6>^P$ z-Ay6M^+8L|>ttk7ah;q;%Qzd6#`SN^*NCK}YWTWkLstr}4_d-Q&+BBLgNeMRJ$`R+ za-LyD^V(YF^^cNyUAwsP2?#WsvST8JTql8DAHU=}A*yqohD<81(`>Y~*oYL@wAbSe zPI5pkud@bt2MVqeqQXPxI*mby;(Vt|ACdD0r`ZfE%C()!>pvyAKJasOIRZr~`#`9W z>r}Ao>yun3M|7?;kx9k%u^fAoA{&v$wKnGKR7pqW_3wvnSS7eljtCE(>r9?QmuqsC z&l`L!$J!O;ic{Clcl8aPk~Lh@>!?={Xbxo`G77oQjIg&qO0LtwI@c&NskqL;)wVr0 z8=!j4g!uTfh%@cEjY7V!q>#2HqU>s2n-^?#MjYqaZ$ z%Ms{t%0}{qT%%yuHzK({X6jt$<#ev|V`%AQM4oR-9~JWkqmquw>xPwqaf0h(CgXq{ zYFy{>9J+Z;9|NxQW2{|Ku0gkkH+V{NU0~N5k3e%N`$)Wy>pWcDJ?L_s6VkaZi0fPz zT2ytji8y**U&gXB&jGc()?fMUOM>g1knqsCE{Hprvo;+Y@&*@L3@ggDgUfaOKP79p zN1Z>XBhWm`PKg$BT>y4B=VV^z2XwBBkx5ms&2lQgMAQ!c-9>cWb!5&l_AE5TKUV+fLY+5_w(dcZ^yMTb6X-^OXzsT!djoxwchY z8{4I>Zaouy`vU}eg0eIH4p(`7KWKqnznF7HJNUFgRsCJ&FW5TsrE#74G7BwvF4Wbn z^l^DF0}flx8XS4BX zYBYozOG5&bYBY+ouo83C7(BD%heC~)9ZmIl@QUjo)Hu=O4Zg|)7gZyqYU9+BYP`^L z(6JcK0?IxfF074LJXAF>Bx~b^L)2Iv(A9Xu(A9_`?e$DSQeNLrH_3T}Y!9i|22k}YNKh%+Su~N4;vAE5oH&|3TxwSlhO?XQjKMP zU5)p!HtaA(1$%=lBf1*z!>>_DjM=RXBjgRfZAv0y-C$}g^GnQCqs{m`Duf#ES&mUt zV`W4-2sN4+-r)Nt!-}fWS*g*eq#D0;Drdj(dWy177#Vxs8r(>TUVnZq^t2E zlv3-)YWOwb6wKj00n=Yfl=(baUn3!PGOro7gq&Wq^r8Okp87phTd zP`b8T8!Pj=8k%|JTs4}{yZ1Yx#wOD- zYHB0{(m|+kk>L%d42Bg|<62c4Czq^^jnii~L!f6V`%;Y&Je^-Drh%V^dCmdTo4YNz7Ga)e9rv6>4OCj!{!1>&hq8xCD~sc;KRH zT(4^5+T=|3=Z3Eum=R9yxHA0#iC2OO0gPDWS;}Xig87owy3irbO9+tI{ zjOl88Y3OR~fKp109q`*15Io%4Xz%j|t84-4Y9wP4b8Dl^&b@s^ZG34sMoosK)LPrM^K4vja!WO&@zbyj0`&sIJBzm^Z36_F>+9 z9cJUx)aV%V26u-9=%|r=1w=maNz7HF`SOQ7qBee)@@Z=P;Y5~aRy*ar!F@b%Q8jw1 z+Bl=68u6aq%^1#7%C7Pis__TLq-x`{IHkVLNj3HdbTtkbx*7-JS8WNB?o45|@dv|r zk&7eq&QRmCxWrsFIt?2!QmC;%;21SE4j9rw)JA#C8$8IM;8XV;YyC`G|GnjW zYrBQXG92G6*j2Gr&xY}zuiltD|3;i;lwIw2#Hd9Z*BGoAb|B$b<1lcY#kThN*^TG4 zaV_849}~B)_qAc`FfPh&-}d0cPFrzzO0oN?cgQ^QAe3VFlkf41h9VU4o7eZp9E`sg zi~TJ>YFB&*Q^meF) zl)4N%9Krb74#N&!i}U3JLLP0M?^y7?+M%ZNML;$_jM?Ff`>YXGVoW-&0fIZ2cn=uY z;1643f-i3L?l9KnyMCeR^6kMAc{3I*m#?k(?l+#^k3cU`cAZ-KL`FLti|PGW*vV*z zIsr=U!WPeHhhxBgS3tZk+uNbG4_h%Iy|+Veu=mEr@Fd@)<@X|>GiJdzeJyfSCm=D- zH~C=Li{K6>_$D97vo0jY`6gGRU2mIVJindX{BAh$Uwre1o8JyAzrP+nFH?4dym;W| zx1Y_XrR3X>Ep8FX_vVN=dH4TFJM%a>=KTNnr`!=V>xe5#9FZ*1#C=3z`iLtX*C1qT zZCJ;Wc3Xr(ByKIvL_{TmxLaJ2nO%+a%-F6@YI=|sR|!Ipq>+#~f3NrFsQOF~9zS36 z2l>3}bG<&#&sAr)yVQf%g~JbX%I~!%UCKkQT7Rx!)HR(P2vPE-tEx>WD<8Ze8C}S0 z8sW%z!fyrh7m83C_e&S|nyxiTBfsr;gnrwH1&w?^jrcC>b3h$w9%J*$xrPzjgj;<0 z^tJLkCc%GNTJk-mz~-+qmBXuJtj2Owcr;?mwQS(y@QsWNk z-|862_Tc^D=Qn%s!GnG{9jP8?^X97C1|iXZ;3m(qxN>-E9WLNk z^x#u*OMx5;qE})ohu+O652NW)hdvrab5{f^4B+Gioriwn$KY*FEwL+YPr1wxb#X|6#=O5+YIM-+{wQF*ETHqpvaF{A0? z0>$G*Cqxd1^l_^zcj1 z5J{rjT)e{tNb?k%S1UA*(YT}gjl=!drs~1xfp#=TFOIWX%%s8_9L60X>JH<|4euO` zyE!kA@qU|V`2`b1d0O6Qj}0AmY!@{4S#6ccKD=UmWd1ap_iilQM9EdA52!7HC(&m& z1JP*K-LxRqm39|R+O%Op@4?5JwA~U_R;x@UTQr*bgx)Ai#%JBlED=#O9pfv?rUj|V zF-pC9!-Uertcwj;({UzgoM_byiC)>$FBnUrFSm}*B29tK`ykusw{IOEsS4+$zHqU$ zu83}(*H_g(fxfC9U!>1jQB^d)w-=12zI7o=zLlfVRDESc<(F2~t@ART?7_6E_6d|G zb`hf!M$_>{(zxG+k>6YF9(*Ie>z%&IBBXhS&0FX;`rXlHURSuv?2u>P8@}?pLrnL( z4ID@B^GMaX@g{b@<>ljTtC~vYqliwXE8WM{MK``H@s5Idhuf#ST zlOv7y=X9X>c5K#z@1n@>u%^9e4xY*8eNt~6u{)#Bo|0Gnd1n(Z_q)n({{(t>T&*(0 z<8*3Gg=-FR|E+1~f)J&{^1}sarqaYJ^9X9*KOwc>9 z$#a>eee&4(28!X}EZ+yqy}=QfuikP+Rh6gmR8ZR|FGNL+cD#eLN+VDDpX!&5nwWQv zho>Xrbc~O~+k?>4fjy~3o~~FkH4L-UG@1@`C>|eqM+eGbM?bsKp|)0TZ70t`nitu;Zyo7SR?%omq9@Yo zC>SLCFm#vViHq-ERF_{&j@=I$%lcO|Fl<)Qq ztxJt(&&m@ERD{w*pT&i&rlASaxZgpx^4m^(yY57_YYIOef9mE)GmFhzxv?xB@!r@8q};B~cG=wKjQS8*rk$4Q|TIc!DTzgYl-)#OnB>V>ERXNF%?kTiCJH8bQ)) zuyVl$^St69V@tq+VomLQOQc_lwYy(0fwJsWc>KbX^7g& z{51=WUGecO^Kb$p8MPOfw*XTOHLTIpj&16@;i=V(BJmk->0l6GveL2CrN{F>!F>ju&{LYHePEJ%$4aCad9i!S3R)pwB*U zDNmbNIOZUw=BYdfp2E+e$>DcK9zJ~$QFM6vxBB~CPvzI(X%ma$@_xB}pg6UE+@oK9 z5^-w1;@=gdd4>6#_SlcUg(V@{%e)DPBWyJeJHhY}^h@d_Msib^KK?_6N7VQ{`j#m~ zMGa5rHociw8t;jGUF)=^#(N_DTm6x1(G&4GAQVJ8cW8yw+JEXnjaGZv6Hoea_$Z`# zmHAsF8b{uH7;7)aRZn~ud(P!q6yF4Drg;mC-br=kcf)`l?53(jek*6ijHdUp zLX>{XH-YH8GNQ3vgbzfH7)7Z;Kn^LX6haiPPG?d`^KNN>zbhda+);~Zo@hxw*jJ3@z_ z73ij}B%0h9<8W4>9Dalz{82d5^v=^&Em6sE_QYMMDfUBOUth;``Qll zAOHJq8ltu_zkjY_2b$c{)~1`}7JwBROKzCejqU2vU0o_u>zueWjx2 z#?BT6kw!L4L+szRd1C7q0}!=?`MVSvCe!5FHjKGy=&hB9X-js@7WE% zP!<&m2jaQ5fh=S#CCJeLIlxky0C^r-qWkSuI7%=ZSltayHBn@o6e{`u*8pHE# z16c@Bb=3=8Xe4P}^+LEF7)b56MSP%Hbv!kwE~`BpjTOdnkh#=EpY{3`jizO`(s-Y(Tx=W3)e59>zn&TTt+>+fDFI#}IWrOV*Kg(? zd>`U_%s;Rm(R|fJ7ELRP%zG@0it*4Y^w&q@?DeSu9s3^c`nb|v(+>`9$&nA-Vcnqw zjB3-g$`T^FIoB>tP_{~=teCe+ma>zY*t%6tFB-^{H0}h=ARlIFY5P5L&e#Prkfy?X ztJpv;nE<(P!+q$FV6}#?mcf-itF5VKo2FHWxmGw9kMo)LgiTe6dMa(kk)aSJxpKQ} zAX}wza^?Sl+)7_+a<-|!+bWe-`o+&8B#-0q$Zz|dw({Ga?J*YV^Eh&2^KtdwHIeyT z=Hrr@`UN3z=`^`dT|MU~auLp>E4DsOxdp`MCt zl@|6?WG=O+r=kd@@t%rb-Zqjak`#rx`582>Eq?yP3wB6`;2zq45H+djj zgnW|+#x%akeFB~D(H5(%O*LucJH!^E#uwQtE#!;Lr55o;5lZ8J%kz9Ad9+0u_j_9q z^4-5jf2L2zNWQI04{SjipZSLs8vPzp&~76q4~D1BF*>PQ=t|g{&# zV(G$hGL;jea)=A5$Vh42A)Q^ z8@=)+amt8lNt$4YhhCCtOKQLEu-xhz$wfRZ@3SA8VV}L(Zgluj#PIRCEnUdGi}}a8 zjeYjq9DUJQIXnkF@mu(%YN48S`mHL}XWzB?-cb5|FF`k)=`SKmA8}5en-iiWTD~J; zB+qe`#{JSyRUvb!i9XABi|^V><9(KHL?_=%kVbw>XS+Q&w)Evs339XV$5Gq7!Te@z z;e5p;D}l>uxH=*?dbl!K+pMT;j>amI0{A_ls6c+0W8O?h`TYbNg?YB9vg)`VzJ!;&C?`bamnO^$U8M<-F~Ge* zwSfeAm1!hDRB7d6imQ^zPaI0iK|X2r1gY5^GjrJ?i24@uNA_4#t_bKCT9wT!FzR-W zDVvu&^xJaE=EVT{h_7t^5B;@0K(1PU_3#i!@(L*`o5uyv;v%BRn0fz#rOO?uNt=#s zBrmp8d2vn|L;!Yu$-Hq&y6dabhY)sa4GS8 zK1g{zjO3UCeUe_;#LWae=^|_RvFz*02l#SjYD$alc@ zQ&|sXto$@8@`gek~{y&S2OQTcNjlJz_&uJ&|WKJyzH?#k-=;{HLsZFG0%qa>{b<7!-k$hXx zk@L+p0UAJQzio`J1SS7tQGR5sbaJ?Gw5?}1&Nmvm6BoXG5YjAQ{#ikz!y;Tn=!&Lx z*Eo4~9#8JdRyC4y3UsxE3U6>&R5cf;&UD8#Su{mN*(WPwZ3Ed!?ROl~^^-XTiYNMH zxcIllF@JWSQ8kBm+!6G-zO^Dp!p8RB&ketlf5inFnu`zBBhZ_euX z1HOgBL$Xx3<`7rLlPz%}Du*{XMsl{JG~sX^XgABmzvGZ^Wxp*_TJG?W&|$}4dh}A- z3CNwz6MY`N2Wj4C{-vgLDCa;U`8iySgeT!}FGh&o5L*IzbxaaXZIGu6 zLsXT>Zv|gsK!!q;ek*$vjpSlSX~Hkw8BB)P*f~*F!MkF~mO#dn>pm_Yp&-(@-==U> zb}+c>PE@Zh){E5G={LQ=aZ7x}#+ zX!NV~=lralUqzaQ%)icV=+7x!)~fC4D!*%D7TU{^ep72E=;sZk-xR~KeNE+eJ@{?q zRnh#8aSdch^`rHLrUd3)4Zmv^A#qLk#V;8mbE%2`?BN&eP=wM1UtG*it;aRN*9`ey z-ovlfpLcJX@hmd>i1}DW8ZLs}4i|$1={K1N?LCT$Z{DFFFjRimw&`cdl;7U4@?U99 zjbHC#(@5T~>MZ@zg}Z!R`Vjjh55HBI%sWz(e(xz7sqprMNtnSXPv(dI;;i^G_hmhXj?jT~k39-r0zqOc|>0haEyMMRM?EC1pe$$NaMNt>%#28vLcz)Gj7&%2}%*3!AZa-O2u z-2T$UI7Iz~`M330ecU*!t!F8l4_K_WzNu_ZDX`iGF*RBz#SjXV%@<&^R-hV0y|?^{ zV#mWUMA4|1OPbA=k$k}=joZXkh#pVY zX*Q2NIW`he7cqZ=)kyPU5L+jt`7o^Xa+S@8z;9hg*>nR|>uoEWGXsX_yQl`5&9@vQ z`LHiUWpjZIjU=kR=(OecV@C3!ywn8E@`r(ubOWUcnt0+XIg@LG=C5>GZSGxb`Ji^B z|CIT6HO@uJ#~oaF4HV7Cz;DCQ<|8hvZDJ~$PusYjA{*LB7UJ~any8f;o8>C1`nV%R zWpkxzBp*}cETyfYeqF) zJqu|TGygud8&-RX)Fz;ItgZZRjE;MpCH9O!R|zAvab9V{FTR+I%%vvzt}LKk0;LJRcgh*6MiGUbbi|1?^jWOo_EH*ha$}v z%%9e{H%<+5=;vLO-(3pyg9^&;E+&1hUGd!sevfgK-{ZlzlBKF>e2cz;427utcIAxJ zE(N6tzY9q-r6&C@t{bVH8vKs3jMVV~#UsC^r+;Od(q`jzKmP7jME#Qa4;34S?VdKP z0j*eDQ+woDZ6`b`#dX2da9#+v`PA>*9JBPrpOJnE^JfH&HusCO+V-Zhxv#}) zJH(XDy%Goo%H~n9xnoh;92TQzZ$+)b-n)lor1p!;s>+?x-hq+Y*HW6Wxqs0}?Uj(4 zqUZzhZjhrPTOHca}hnE-+eBkU)hs>zcQz;LYg}BXIj#)dO|pr!L1~EGErb1 zhwCuQi^|hDho0FKRZu;YzFv$(LX@89Da6z`8Butm``*dpCmpi(p|xDA~e#d`+zDuT(%V;uuYMZ`Zyh3+w=#uh0pS!wTd!(Gjl zc4JS|-Xk1%^VPQ^%{R<%QM;PZ)0r3{1z9;GbsF%5w+&Kb61XKKtFL+*-F{4++1*nG zw+N7w>P0^P7@l+L1`49I+|z_;^bBzuJ!zxo?kk6_fi%mR|FW7bLQhxu+KuPbS>S{l z&$7#1Q>QoZsW^=jZZ)T_@`b24;Z`$}D(w$>stg0;YwDDiJe5v0dv-Z`WLu7&nHzt; z08v*k|5ddq39XEdX|F`499w(xA$3`nJ_sRdsPORaHJUVzLUg%nV+N8c?T=Z(XCY7! zr6nsZ#b24jwb3)|)YbJ}<^_U1oV>y}^7tL#=F2t;j`*f1ZMJBy-EovBAxe7gF zbY6&x%9RC5s}iOLgQa9{KG!G@MB~SP#EnMZ<69*8?Ua%_`>4%QpJ;^7`UcF7kUG ze1bDl<%ITrMC#@^U$I4hPWLO)dj?dM$S+^mJYK(WHK^d;N2**D5#_#v-j6`$Qj>gX zeZM)bH13z)MnFNNk>B?JnBi*h&!DkCFGCB+>E@!@9V3wDd*)Z&M!yq$@z|BvcTB+K z^G(S2cC^J;1;w|5sVG|gI^h2MfT|Mtr3bE36MP}6{!A<41d=#&(VuC0x?Q!4^g9-9 z5Z+8XKYN91I z;kQEfdhQ66Ci?R@q-}Rd<9yrP*xImpvd!AhlYCz--G5N&mWopwk6x6H+#KgqL|cuIX1s;;~N7mg{Gi&R^{a*vZ)6geypRN z$8^D;VwQN!sg{WO8b3A-W1mh+uvj3M2a6(|h&esrq0e6D$8a&w+oHfedoV{aA2FFX zxrk5X*%X>#F>gwYbu~vDb%J1O6wqP?&_A23NK7{kY!f69{D|D zQal6Z$SSMcfSxnMQW_a%-VQ#Q78*u3h$#AJ+dw=5WJCH>N%{Yyzwea4(|Ym~^FL54 zbV&cfte`09yO<05f$&sR$4EVZ5f0vheiGM0H2RO^b@~rw`Dv%5|GePM8_7t$7}M#` zf=%$2^j|{A4e8I0p$}o#Cg`^yq+a?6=@aP(v(!Q~pHiinN2jZItp$YmoqbXnFT1ej;2>P=_ ztvNt^Z&2PywMY&0UyJCErUCwcr9bo4lcporpPBzj&^Y!V#@MIP&gnP(5Es`^1pTRX zjecr2ct>OZ#cuRp%8M&4)Ko^Q(-P@QJXE!H`dv2XE$P33(6qc7`)^_f*$!(BHHMLT zD?nW&m~QkR&c*N-(Z_WfR9tBh{i$`SMf71p#VfhUgVTR8)C7IH#`99BMf5u@nO37; zwUs956X~u{6ZCKKjno@li|Fr;UiW6GH3vk0uQ-{G;m<&@{Z%s>`+tW1=i5K{5%TCciB#n_*o<5$S!*q_mj{#!Yneig^4*HDAOT%BJ_lVg85@}xPVIT2Bx`DfInrqO>Z zj8}3M{i=$`%`lIy+`SQM5&h+Pm7hpUtI!ISpB(!{`rE9EC+Oei8maHN7SZ3gZlqT7 z{77pKi2i|jBlUfp(hB;~*gxmb(EoAr;wEZC=6~%p((jTK1^wqizrU+y{ud<0c9STs za&`JE0-gR!Q(R2uV?VuSR->O@OQ)Y+JI8qo`e}Mu?4h`%pYBya=?O_c-8+Fid1Gkn zKGE;0Qwv37|4_?FJ)curH1=P}OHHG{%2n}7_?H;_D*~m7v7Z9fm8Q}{`miOnXzatB z(!|&YX;@U6pnrSLfKk#S`UfWr*i~9{K=hMw1Ez&WGk-Mp&!tuV|2p&U&{{qL*)!(j zxfgL(7q0u?N{WJho6m0o$g$svo)ND5>2-@5{q))~jefe9#cvmgv7hea>-0Alxrni! z-lEQVOZryof$bsI(;) zdC<%cD@qgeadQHOlorwNqsq|eZyuH*=o9HJLQT-W!!puaaxJ2tDH!Rk6I4bt_K(OL z>AnS_f&QF`e(ukV{Vir>4}(>M`M;PA^waA|ih}-fjN!kUYV5DTv50|ykV}Dx_Q_TG7{vsDizkk4aOZqz%nRgR-^F4ohfQvp0APw21z;mee%*{Yj#pC}(~m-9J#8 zpnq4^Nbgi6Eux=I7_h6f<^Xwk$M{Bipd&QUFGVx|xqpWK5sRML3E8j4I@icG(%({2 zt6GH$gFB%b7pjKc~|_Fsswwub|UEAje-ElJpOa>GTf`boxz2&Rfz?y3D)XRrFI1 zc-IyEw2it*2;J!KR;Lz<=;O9?+6-w$^!w*zJdOT=S(UcrA`eb~zk<@l%>OSCJV2%8 zmiYC9^q~}ukMojCX|d-|9~wZ?#y*j5Dk@FTpHwu`Ntd)}?4O=9(kVx2%>nZ8&dM6; zv@JBye; zDJ>fNM<4|+R~!3BI!fbXf38t9(nr}!6Z9wN4HzXYq+glh8tJU5wB`W$mOJA{dN@gl z2l|tP@O*z{(75NX{{PcI?eoL;L4IqoPVA!_=qD3`x1hgIT|A;mO=zU|^>zAL*-uI? z9Xk@cb?PUA{;-00m{ZU{-qPv+Q}iK8|BN{2Eyw;D$mO1_qJO53(h;J@Fw$pHo{1R# zqII9sN=i|#`(-=|n%<`_B5HenR9|Wu{cK*vE4j#+=XYe7R?x>|r0HP=6)&QHyd^b_ z{y!5+6Ei=NJ|nI)LEp_m<7I^O%Wo8n^qD@zBds|=UCK42J*yxz(0A>S{z-p^{@|NO zzK87BW}Q2r5Ply|4kmO|U#EXaou*Zo6tI(@o(j$MGb&Y%9LtJ6OdvvhA96ZA*d zb^1A|d5sni%^;`tq#O1pVo8BYj1Jw21yk1tUEsuC(R=WtBh8LaPf6^zV4cL+3PuE--~tb<~GtlA8Rd96f^&EK7Y?Zj{Vb%I{h(@PXDq%r+EnE* zMf6WEN=>6b#*v!1$H(bk7AQ^7ue+e-DlMXaZ9-}q{jqVS3Hn5OY+Y%B{zHM0zK+)~ zqW?w0NRKN}Jkpv2qQBHP(&O_&1N|w{*dOs{#{R%XpEe`=by?@$^+x)a7j^oh9r2=# z82dR}r$64*>5p}E`r|C|c7vGtZ}xTiw-k6t(!Vv&c}x1Y<(TJSvjhY0Ux3FMpdg(zhps2KvvM;ktjy zpBeiXUo&bPvhU40hggmDud{Xf|2D;oRf7IyF`a(CsMEjI)alH)`X1h&N>6l@7SVU(Qq$P zG%@o(o;T9dxRH>)$8tvc!92waXMS&uIGGk2(RahKKl0Cv{g(&sz8uZ4KI=RzXrzCy ztJA;P=I@|M`nOm*{pm5C{#0A1|DY+p1tezvM+-Xr$MQP;$8(&wq>nekym5}A|6~Gv zD6i;06-S=DF&gOK>rxBROfBg5LW|#QD=ngbizPLU{&bRf+LDX^^O=9D4T)=l{`xk^ zm`aQ2KgtQxm@c`9&#(48#tD)p=o9J3Wu?{3|0MDgZ>JGyTI86wcHKxnnV>RAYYs^3 zjkHh2g$DW$*dhIsd(iJPfAeaO?{>f1Q`xDd9ocWdI)^73=})tC`gg~~H=L*mjr84t zPX9?)r~kO@3)EmRR}{wt{b#cxUCE_m&n0+B&iv2EId4h-`8xBi&no&a_$VDAY78U& zVu5*=+cEq#(4S@rQPJPXLRCrP1S9%)2U64MKj}(MjD1e=Wsltu#UZg@TcO+afKZ-^Vx73rwX6`dcC1 zJ0u|<3K<%W{muUj{X-LPtcmf z^xrOsZ^a1ufu+;`(A4QKjB(zQ{=$HHxQZmt_&zGK%3mxs^MCBJ%BFd0j0XDi5<*n; z`-3lS zJS6FVkM5V2zOecLA%c6Q{;# z82bxzLR9p3GmZ3H38h72f4)qtpYJd6RlJf*El%{m2-6DsdlW&duHr@Xzj36d(O+&W zP0%OOD=ei6`mMH+{?;TdqQ7g_NPkBX*O~*W%kFh(_!nuQe^@m3`}~=izcX<&o^xTF zu+B^3jr6~a>-0bH`S;8u{h*-J|1PJ~Uyhj3*#9=qzlFG4c$i!S$>21ofpJ5L3zRpu)G|>MtE<{CtAJ<5K;43Yn9~7jfjs5R(DqhK@ z7AN}4!?c1vzQdLNHm~AE^nWs?rqQQw3s42s%ul3$4wNS7|2JWze<_j{(cddic9qr~ zP+j)R889t0q92X@&3lafx$gh>8Q;Ezy|+g8o3hR^b|d{21)cul9RGfur2lzVqo2V! zgLaSaXW191!C)jIj!{z?nKg?dU5SU*a(PIM{mj~63f_W#W*wV(=QxUfFN=BK<`n&P zP3GAWLbsWJMIna2Xy(UP@zRTPN{i@!o|RfeA0|}1l8Zd}+Wm8wmeVHC-1C0}!YeIx#`jHKP;5t)P}zbYTyiP2wu~w0uY)u7 z!D`Q+F>^ZoZL>Q4ehKF7T37V9i{pVNThZUH&b(1-=Fe=8Ja^AiV?<-0)A}VVL^<}$ z_hM{)6IWV9|C_qhH2S>?DqhJY`bY~aO5^ms^BvIgl@`%Ab5hgjZ<|#br$0Al8JT_w zr3w141x9AOIB606QUO|BY0UxZ;^m-i?+Xp|=bIt@YkScD15bC{_5BeWBl|wA^F|o% zc7H#!c3tomXM8^v#8=~}361nmd7b|DwoZRrjBn~Eg8p`n_`aMN`vc=T{edWUTPZs z?QIpWvr?2Smoj~c~ z*xx6PJmb_D4fOlDLX>0Q!?zewaixW0AJvzdMt=}VeAOtq_&*=}f3+cTP0+vE0vS_j z5&gjhscH20$SY0E{6u=soYDmS*Fk!(ENKz_%Y7rWcS32+0m}ET${Cq`;zFaDKcaut zpBektbR2v(vfqMr-t9Kh-`UdXn=$?sOtJ1~%s{8Vx2x0NGp5tutH{45DRzCC1G75) zA)*h-b^o9^=Pl_URA=6qfue8u;9Xbi{=o&*#izz-pue*vL`DBP)5w@4ae@(jGmx4_ ze{WZ6{M1Fz-;*Sb{`E!BDk?3ae_&Q>8vP*&r3v~(`k=Vd1pPAVcTkntiHAkj}2KsNBA${l1(0{1DTl|Z^lKvj1P9OINqdk8nW9jq{i}7#A%CVo#>-2}`boxhUId4h-m<01iI*R_W zag?4@^pCA0Pu>^}^bc~Vg`#yIUlYy@wv-mp-@}xeM*pxt#VfhUgU|dKOKE~WzEqnz zj3i!0L_eFCnnr(kPHBQZkv>{hTHWKD7c(-)Bq&})e?r{I92-|!bAYnEyXw&DLL>Ta zNdM{{GyfmB$JcMdXZg`+HPM>SX;3yR=wlX5#NeQWG^hd;%Cg>CC5p|`B zvG4mv=479=i2lU9kvXNHwB`V1RjRI$8JQOvCWJlhkp2?0ao6_;?(vyNENembeOc$z zK_mU61!1}COF7J2j6EPVp^-`3f?~T#p^>H_Tyi;68B@?d1#?EySGzu3xsUGhWG)mX zNctDK_)LMi$CtSnxf}=HV$Xkx&Aety(Z7`Pv}5?w=+n9Q(M2Ij`jw+lxs;={h<@6Z znnr)5sp6GfYH>0g97o6-sT7h{%A=N0`ij!yqdn};O*t1Ql2j(x{u-idKV|LPb@ z59wbWAWxGTBcjh~ooowHjeUGGHIp@!7SSJ05{+Mt{w2POS8^5oixNr`^yd^ntFE+& z{uoDU8vQG6r3v~(`YKClf_^P)WE_*UkiPdan)hmwxF+bo>Ou>IhDz@ig!GrVjbr~0 z&|f%hh5@T4>uhxz>0cbz>7Q5>-(nW@PjYqoSBv#qT<6bRi8(u>@8rd=V2PQ3ys6W_ zKBm*ZKH$71{TqtRJ1J1~Z*);Qd{bi>nVTHs8K=f*pntIx75xsh?ukVaQ9Iwyoa9PP zqknZy#Vg^c82eZHN)z<)D_j{Tue6B%cvET`{p(5MJxtIi($@z{6Z99DM&^bhX%YQ4 z$H?61Dy=y{9^U^D?3OfA@a^ly^1pN!M zI{ll(`u%#zB{tXRbow_Y#21eR{d`fUf4k^Ia_moVIB!Y+4x4!=7Zm+FE%2@@`gfVA ziv$y1>7|+assgnTt;u4~kKaejT#!>5Rb8yp7iOiV(Z4CK;+0&)<8#UNVOl{S-&)Sx zm{9Q|`uU>NH2SwA7hV^6wTDPgaFizKzk}X%hfP{Ue=dC7X(_EaKptMFYh>;+g+^oF z4(Zbu1^>@qZ@6OZ5$7ZOeysC#vyuJ{S)KlvxcF+fppW+{`1;+BDxtCk9+LDY#C7_2 z_~QHCg8n31r+=TtLz4bvlk=AJ?}zDOMMeMq0DTC3ff~ceOevx+d1{P?v42BWh>AYG z4xSNjMo^E4=!-Way3hP~7F61ji;Ow_32~(f`uMVV<_=$J5&cQF)HM3{SxOUQpGZ$O zl_u!FS2QyBlcdpq(}ET#tr`Df-rFXb78>X$?1=uK8T)G=J**e9-;Q<8%Qe!UP}k{? zEAa2K%U$32yiR{gR;PboL8pIzj(^`=p7F`&KY1e=ndyN}|Dhu1E$Kh(GVhSQqW_44 z(sPRb3>$f7sWBSpPpAt~(SJX0WX2Vg7SSJ{mzp;Ar^pP|(;YOI+!v-5^cUtpCa3a? z=uan!&+;V~|L5lh(*sCc6ZDDnLq(+t`XBg4=3$q#Xzag>c#k+rYYvcy7q~`dhAlME z-#rNF*Z<7e|KQ5EuSNFTv(7h*jr1qyb^5pxOyA*`^lwk-^dG6~^dHLV^dE`y@7YWG zPl~x-(tpa)=|63A-jaU7VqTN0=s#nk^n{{6Glo3l)EEu)C+CHz=r4*JnS54h5&hc} zQq$-^QdjXxE;8n0|DiCgpue~dGI13zqW>gPP-V0yyE0EXN)z;n^wYM|1pN;|wP2AJ z(f`;oGS8SwYYvdF_i12cW|D+>C}a;iq)*=({XftA@5DFX75VMJI^T^q(tkLi)1O!u zzfVC;Xk;e&I{jzzI{l~WI{iXH{E~&3`Ck-sy`(?O)akzjo8T?!zZ5Vph0#9Jrt)SN z!Mm>Lx45W_PmR$)|KWrX6@B~;MrLANX%T(#W<>Xy|CzjsS8|atr~g!#R?x?9Xk-cn z6)&Ryq9rwL=AUIMP0%OOFOkH1iJ<>c4q8B3ME{E-*;QI|fcV}r+sL%ILIeH5c1XYe zXXwY>nK=7lJF?D&b|d|#eVzV;dHxF|a_mpf>GWF?I{jIBo&M}B|E&@^_KSf?SArEI z^GZ>t|EkM*OZu-l%)?bfNq>%wK2%WjOBU*qr^aZY|FkbeMSn%y$UG<`>g)dWoQ$W9 z{g#AETXK;xr#~x9E9kE*fJ|1!i|7{vscH0IDJo6SC(^IFN)z-yv5d@X4rvknZ=lVw zmDU^({ci&!Q?i6c^n;K-{r1TJdF+q-*TeTA`~Ix+vqB^NmvTCNdY_^>P%}T?r_gqN zb9|lts|lU{YjytH#*+SmyiWg}oKFAUEaxrhzlU57i!1u?$5A?F0%{B+^FHM%A#~gG zzm%gE!kCtOe*BhC=E;Q8qOmXDjOaf0=lCjK$yM}U4byV^l~@9_>MCADe?eYq8vS>2 zN)z;n^t)N53HqPLjm&!q(jxlbJ1`hmT5~}3f40fA&_F*Cg!JjF%iZb!foFSu9yJ-6 zvYlAxGHh15-`{^NuG4?P=fAHc$Nq~2o&NidPX8S-zU9@P_gryI%={lGM7oko6hDdc zklgcsQs=xS{Y5_W4i6OlPYWnLq3C~>XWo&#F&f7HYjJ9!kUsrtQ|1LzS+Iu)E%82NPPlRgpKT&m&XM9BZle$dXA?V|c@XR7!zmR^# zK*M~>>qlC1fU+u|*Nx0)c}i;_*t({x=(id@X8zxKwx{BDf0ySUbFUtR{06Yj71>7m z?}~X@Uh6B0aVqJ*k`)x&O``ajDF~Nb&eRcKtEUEo5sP`xTk2Zh5?`mkw4l>p2BtfQ zPj=OF%(IG${#RM%4fYlNuM^DM6J??1-M-SCdABG;IrcfNVoqrh{a3P5)98O@s(2+A z8JF>${LCkg(!|(5BTt5u7SUhgOHHG{w4gLG_J1lGnPqvU3Ho0`tLI3I=r2X1eU(*O zbAY;3mRUyT>x9rie=|FzzgiFa9w}$pzxIu8&+ShC{gc-|5!nx9ojE3--y^ojH;PLRqK^y|>Rk5jym ze!0zrR##ecfO?i+N4Y=vLL>S?M8C((?~(HB^nJHG{mU*JdnB^|E32}4BmFuEuZ1i0 zg8oNEo&LuzCoJjzVC!RlrR)oW{`ZbJ#_11bac%4mMVYjF5cG!{KIbjy4_&RmynQW6 zf9UFY)Wwwahpv%hUXMGx_+5$&Z?tIU=d?a5QmfHw!0B_U;?0Qe^nb8bypl^TPIrw~ z7L_LGllHx%G(jI4Y)LJm4|7Tr^g$XHl_uza9W!8*w1~dv7_h6f<^Xl6U?&LELIeHH zBl>If82f)<=3g=M{@%!bXI5R?YNWr)72E{nD`f9H1_h?~rzbxX@_bH^Z^N zde5;>{o&Wge&fu)_>4`FC)MSnBQ^;_o^{XPZc zl8fOlqR+{!W(iT!_cqC5RwgML`}CVHnePIrY4q23r6y;7B8`!OKk7}KKIJ!by`s`M zefqr^*piw?f0KmL1brgCXyz1C;OeO&CM_6odx)eS(nw z8a?Tg^6T^)Xa1WzdL54J2eE3Ocq9GZAiPac&iq4Tu10?-b2wp1zmJ#+#C86mo5;Q( z=x=6=c|p+MTFmvlk&K~zeVzU`1M8zZR@(!H2Qsgr3v~( zdK+13HTGAbE@qzMMfA5tylrzzYYtFW<%gItv|mb~48VFsz~*!Y zM~@qQ79uBD)r_dqZ)MdT63p8MKg~Be%5btd#*V$us{PT_+s0YjL^l>=)t!9i zfl%AMCWQv%*xOr}tnE-cENq|Pl8sI{ABO_wp?kC?gYeKU!4~U}pUJ8NaR_hkv{})i zeS==Fx|gx)&T;0ghuR;k9kK@8xS?e)2h48L`_ZOG7 z{a}WLEprb%YrxoEkC)G{~_4J z)f24sI9^&)f|b9Hv9_Pgu(Yqusqfz~hEIW)sz+O_ya?5b@npwlSsB-+T2BZlljHKN z{JF#0elf#j%gz5z8hiw+9`CdAdwJIKi%Stta9P=Rk&KsiV!+DP97>ClePdquuMd7; z)e$x;zkzDeq`|7EBv|>aIBPwYmo_rT%JT!(j+&@SE_eL}UsYK3Zv|FSoyVpwXEdHPLH#43Er|i**~+a+*U`j zsI-OVVMD(Ej8)Ib<4gdZYpF}6o#o;e+EC>qZ3>+ou(F4i{5C3Wi8*h|aR#fNW3qB3 z%UZtY$UO$bmI9$;B~N{x2$t@rH<(_^kXgDtR zv+|R~jVHS%!OG8|N#I+Rw$xm5^qr5g>a{smo{1(wcSW-6ELMIt&stC7$;LUXj2nz? zsEJCp!kj(*w%u6u`WP!ufg8q?-QctG0}keyfHJu$jvo#~B~v_;n+mKv&0-xkKX!AS zl^;Zw=#(nUugtzX+Gws@kjZp7J&8xW&1L0>(RkG`V#}N6f8Kg#8LQ?4d`K9-@hB#% z3CMqf!%Bzn((XY1cVc6CGSB3$94p_2vQOv7?zUL@Zilt}$D~@`y^e&)=zPrPiz zr>sg3ijT{)(qJBO3JMtSv(gYAdLY5dH^f;9GdMDFEmpoUV5Olpg{C^Je6!6;86KL3 zbho&yv??s1^j)xW%|4hHaL>4WTaLA@WvjAFKL@w%{x7U6564;gx-2VwA07HCSQ)q1 zXVpgnRvC>B+bhhlZOvfhklGil`Y1j)kSicnc&PM^{mAz-(7PUYSmnGrYg;Eg)V4;@ zRlVdsR(%pLs$Y;`rEl%98eiK#IivSt)u(M%z8tiBg@@YKv@g1T#N({`3|iotJS(jX zGc0`_WQ;X#XVqun>slYa!b5GV2TeO4a4)Mq=d;RLKFSIYm6qCx^>@39RbOyfd5lJt!8I{gYK^!`G=<*0y$Z=okBN=gRS{+6rI)Kv~~K zhrY6>9zXp@R{gKZDyPMfDo~c&R<{?NJMk1&eYwsmrz694Iay3El`~A%)|<0@&0>|2 zd4%|}IVk!##M~juWE=ZG8=X0gRp%fROy6zWg+;gZ3D%hV{C2F`hFYBvXKjZ>sSXTY zFO1rNRXc1}IT0Cd9Ua=#zIFZco?zAgC0OO8JZsxlI~4T3`R@x^)k76Wpe%GuH6r)3 z7pHomt5keeIRzP-(V@-k&ORgOvFbdRRgR4#Lpw~hL2%lv&9Rs0EU?N@i?#KQ4sBwO zvLF3~Rl8vMaHKjoIyA^W?zuZhv+A4hbp(|a9opD_XVi`>SarUMTTeb~J19D|k9}3Y z$(yihtxGpw}}pIWRG@N ztjntJ!&eGD){4s7I~X3{8L5ICtL%?dJ4T1Lutz>|e88#;Emk?u#WpTFbU^UoTRV+p z)sNxppd6q?hc>roFCC56SOi}OqoIaGhYqwS^=iL@RX>HVLyD|z`{>Z-L1)Lc!&!B4 zkyV;vtgR^sE$b-;g zZggn>;Dl+zumFDxUwdTPoLBjHSXpFm_d&_)^U$gUtL$a6wkd9C?0$Fq7cQ_^brovA zcO5%hjj=MQG4Pc8O0Tw6toloxRd&d-wwIzq1@ozgr!8b%tjH?; zZPxM$<)Hf0J_qgiY;@>hbI+rX;ba(#Ux4g=_0JAnaU@h}`9)MZPWm(HNJhZKi zP?5E@L=}0;JnPuReyppX%WCH(SX*n9)IJLz5wm`CC`7C zfYmNUJH8l2e8Sz|`gk)NY;Lukj(d5-%OS?!W6Y8B=2 zxO@1eV{c|%m@#UX6j{q6UKl37+NBBB@+nVtAgo>LvbI@K{*Ss>&N$((tZPV?)&7lk zoE7Cb!yUiVntfQ8W#f>8ooJM5shj%w74(-w5;%lLeT!$0DXw;z&D!_kA5g|0)UxIKvZ4?Y0dqtLYrEONb!o&~I+cDtHpIo8r?(y=2Da|{~DcPVrvVqS?WFQ@Z#!w~aI?En7B(_!(cU6sJ@lOG$7 zbXQ@shIIhxjzLTZ+YZcw2px-Y>a9*_(&BUdds|{ zU;mF-*O_%zyU}88=<}+qH{4t1oQ7HV>>>^U_u?qk+vbKHn{3Lu&dIadO(uLrsph-= zSDx0yx<=bLlxJ<9M5$`#(}ONJpLLxFUpL2)VU()oF7|h+v91f?>*gFRM~CK{Lo%#uEPUl{)G#_Uz&`k~B4(89 z;4AO5w%wycJK3iXoR?!=LhldJCcRwyQ9K}O35%Ydr3J}xPvxs?r zowXjqk3EN&Q*49+%H;(UA)mDl;m2M?x(6&wZ2Z_P#C)KDV>~n)G0`@y`|+49i0S58 z>j6B}>arRxHnbkVWBv!}rsh~H`XNeq88N2@NXJ7(#GIC8t^4zIuOQ~MB5KW#y=Jo7 zg9+BU4-d^jx(8j>x-XAeLd@xL)_OP(wIk+qhqWHTLmh}Yy^au1_qxk!5815sFn;WR zNcWJ>TCwFuT|C5m*upU$vx1lp7g#GlEp zIU~nf(>%{N5OYR=5RdsLVm_K>tq1eaTP{LHq~jr6w5vUqV67>e>hd;XKIXDku@Ejm z%*W$M$B(^(n2$TG6*ClKzGos-M~LV0KGHp5v(~-&u@4aQ2_K6O4}FN3Pg<;XAdk5a zF`q23*1z&&AGxgdl*w9mBIeUM*1A0peTJA%2MFC)$wOZv<}(S_+K-2pBIYwLV%p?k8Dh?iqt^Ub-9^Y@t=sZr zUm@MhIzs%|H;DPH%~~DGseAZ{Jm?RNeftb%(taT5b?mH8q0&CrkA6toZv$Al@ zL#q&TRuQ@Im_H!qO9|GR;KzPM%$HoG zc!(h;`hDxJ6!Q%OFd(c)B$$R{M|1T6f~1wH$=bLan)`9KXIlvi6pwUB<`KeFW_Fz z03OePTsqW_ttRgFbo|}MKhCt->mT7>U&{lS)Ezy9QN5p6JgV`H4XnsH>c2JYUO zxVbcRtix}$ygtXJ(0M+;yYl+0JTx4k_3C&8hKDZ2-H8og@T7oZ-X5oWC^7sol^NZ) zp$vt{c$Rd-hO$)}WlVQ!jG@Do_WwwG?*J)^^#6al_0H_gna)evrZeMUKt(-AP?U|m zK^Ftw94ba+FoJ=bQ$fWvX2sNkIWdM)MAQ)&f}oDOv&)(r%!)V$f{OZkKlRkpRXgnN z_v4TK2m0Mr)%AW}^;GwCRL#>R8h7w$UzbxGp$j#%A=1d^3!et7+gu(*Ha{4?^X@3N zw^RBP!r0a3EopU;N87|+h_35sn>WMC7M|D~msQtww9N@wx~{{$(lMheM(*HS(kzP2 zzPJo~b08Yq+zWBKS#=-ew9UO?b6i%J(>C|XxPvDsP1#)3yUp)sZFnqf?&FmHQm(Xl zZBAWy(l)ON)wL#V^BRP}*t`Pk*2mygG^_qtrt47LtH|cQ=>D}iu|xxeE?>EWuL(sn zHm^x*&9Zrgl+!l*$6aiQ$|;*)^ltOybNZo8r=L^WDqd-GBKWNxaOmB^6BFv%n6`O) z-nMyN#@w#`4HURn1(qIq!W4h~Y( zEeuATn}>Mr;CmDi(u~b}GP;~)^NzS^Y;!;vG*hH0o6q%5^LOF5r(?_eIi>CWN}CTQ z)rCksXdf)9YmeIIgDKl)fMIF|?H@4Mmxa^=i{_!o!-tYAip|4v?%)TDq8XbHrnF|+ z3?v+S&>o&~tNxIqa?0j6z0*AGrY}xLclLKmJEkjb=JM*oskVt-HeD;#HnBUmXg<`% z=AI#4N9CSIG>^!;gL8Qn#pd7?G+#7hb4sW+%jQF6(U@j+!mXnHySDjl?=+LgZMy(A z`#YswvK2O~HczVyy==2;^O(A}%QmYv%i1<^WMa|W1vWoSQV%SeH8~dzvM4rdG4M7g zNe$%4CK}h8W%J2|Xl%1S?^e;Fn6~+K?>5J7<;=iV4sc4l=PGUX!7%+e&V6#L`lQsg zXl-+M@QZS@YICqPgLYt+u2XZbBAN{`G*M(xY&IsTS<#Hm-OE}tHn9oO*k-fuRt?OO zrUvb@-UsdHrGp=X%>$iMqF8COAB=s0VX6)fs`e?XYvrt{1MXF1 z^T@1Q)i1@O*gUG}qFK?5&3!Ujvuy5>6pd{T3EZj!jLolmw|VWXZ8~7{Ag8ofxzgsr zX?5XW+x#bnVkxO}v+AE|n`S?-H8h)(bRPisDzbTW=vEz^)?HOi((MCo75!?f9JK$G za+b}0WnE5BK|>R6RdbRwMf26(2kkz)^f?h*`KMFbH(qITP*L5epluFHsCyGM%|mjw z%_P_wn>7L5w?I9xa`X7KTQ#W2qR{+T4q8GqL-UZF)-0RJjA%^r-x;^6CLm4Oe7kp> zS9Lz*p*sgVrT&RZn?45Z8=ef>`m(y0LffqGGH4Gk+BVn8(tQ@x1Iy+KF}I3--;*dd zPfWU1^<~kF&H9YiESrZHMPr-8<8IYDS<;kEoKalu(A_$wJ+8dg5^Oo?ln(MMZElcO zH-c!I>toQ)Ll3DpZq@o-25m#awt2Bn_k~aoESo3$Zq){97R4rRn6Fwt6wTONU&>iF z8xp#l9<-+gZq>y;Y0Bn%z31k>yFKtDx^swAN~SAqw&c}~E81oYI{zAuiP+{*p*?6f z>@o$No1yzyxK~kbo*ueYEqNBj<_Hg(>S~vxpsJ%nUCy$(VNx`vd1l$IIyXZa)9l^m zK~pz=1~vycCF};e{z9o51DaEDYb?*SV`A#w8f|lQ*0wpsw`pFBlGDoEtH@?L;i5qn z#pb9SG?LUnHZ(_PwPx8IBH_?9&(642*Q&b8<_EnG+O~4t@yoJWqx$c3D8I@es5O&H>K-pn-`_rs=ITfDViVl zZgb}g?l}rA9p;p3%9S>+&!`)(v`w57pnI(}&1_)XoRG6=-kqfTu(($d&5HxK>iP_e zVsmT=O&8>b*=9D-py4_<@Y+jmnt8SC-TQqM=>T;IN>&v3? z6f_QmrzlO?{G@lAXDr|QV%R*~DK#Z3ZB9z48{4$ad-LjEHcj(hOgd)J-rmLL!y(=0 z#=VMcj?cJNlM=eCs!6&%&aJvPFPh2Cdws20HgB)6d1cY9dN`zVWOMeQaP|G>5_2x- zv!kAxfMQ2DrK9{xn~#Iay?S5nRz03lH{xlVkHMI+IVo?`JR966XQ&5OZeA5f6Vg>} z^Qs&*%LVO0`k>an_*^VwqKWta0_-Ua2VozlO;2$^c$ty&xXy%ku7 zrqEc`=^1qw=G<33S~??8cVW(ZNiB`QY3DaGcp4(4b!Q?5cjROEb7H@jQ;xT0s6iIF zNxHFg%)*l6d zZUFrqk6+vXs-tmg*iAGR#s2sXD%3coVMQH{wPje2Ks2sTI@P5t0AM>!-mPZ?V_Y<6OfrUeT`BRAlM4RTr(3){|GdhgE=gYw`IX z54|28t942z1r-(t)6w7MMX`8h+P3(TXIp$ZN%zQd=OT-D<8)ATyhm|joatHL?8p|+ zOlv!qMH)a9C>}gc>peJi_HvT69xdXck67$>On*7yiLq$1&MBRetQgaSU(Bi7d3j6^ zUgdFqqOZu$d0m*!E8B+W$0;<_Eo)2%$h8-9EXtTh?xI=Iq9FYG_R{ZE5U>@!(h9=7 zvQ*$PO_7|h%Jmq80jVem-Ey9P=f2a?*?OmRy6j?QC=Z^8RK5l2s%%%Ck+3yi#XF1GcO}Ojx2uaE&CI5J|m%5S{6&bRA7q~r*>7YM~g=oi`{ZwxXI=(!lLh# zMo6~mKDvWnDykc`HRo3Yn{!yS4KK>sh8LsWH34@un!E1JP=nHcnhu>->OW3`;E%>` z6ofC`L)T@_p;WMfuqY=LI0%!#d9f+R^wbZAW8imTpz&K1b2GFXj$jloTT-8gm2DqG&9?;Z%>Ku_UWk zTG99_EfqK#55(QUOI5iZqk$6vIvTh!zPD(s+IaqFh(@DRIyR?|7|a}{HD@`=8EvdlK zco;j3rvuV@jK+E<8o%o`8dq(yxD_32a!Ti8=<17-yq6!m0{7RB!u3_^x;wa(x1v#n zOV{+Qh3chSg^Sf@FIV+ak{&If{#i5PqZtqnV_2N`-B8{a=R=LGb+*(qIs(b**$Rk%D)PetfxEVjo+)!djJ zjk%#6jaOq19+}`iM`L43%0+`L+R<2?5-o~G=aMHL;%LmJbu^f)Xv__@rjG-jOt@9A zlGJlF)-hva?Ow;m2Hy{U65Bh{DP5ebjKa=Zw+biK@z>+nSkH`&-}O2+ zRwnLw1lv2xDdDV8#n>pN)l)1SjjE>;b~M5+(FnUlV=P548XM0BFt>U%&@30U z_9>s7H4~3uQ8YqYR}qa+iRJ)mD&~#Fs$7rJST~AB;n!ZHv2gfnH)4A&PU+H2Wi&!W zV;HX8t9hg9#iAXJB}qF|zVhr$S&Dh%gd+QhqA@K4bKOMaMP1N}#?+6V7|qdGlH^wG zO!>;wnjRa|F>fqY<$8{Wl_|YO<3oQk?Nf$0rSU;!G?wJlQ%5=)^Rjj{R(2U1E4z%1 zAM*4_68AZZ#!H@CwInA-qJ2u$ysT(ZrhJ>({c4WJN?KPjHdcmO)6saj>{k7dC#}b5 zY-kR;x;?Huf57LPU^7QMr7QC~2y$FmwGu<(6i>0jU~RV#EUGunTZ5o_vw)tq;?70K zl`~LjWtv3`_$`l}-N+W(#lM*v(XLeB9mUL~i`Gf&(c%W?_d~Z9pZW35H(>D?r*yT< z0g=V8it52IedzpyZ;kWnzn5)`TgNFF)Di1f;4F;uuZk>M7H{uNZp0RU@byZ|;@`_s zfh{6V)mz6&>(Sz2W=!ucvwyc<{}YUvh#ns6l&*_a;H>_8Mm_1qUaL1t*j{(CbGmwG zoPONU<6cHMXO&$v!J_5$G4GsuMv+y}OLzL0$6o2;}R~O0El#Y6oi3@;YJK{+;Y~ z?}YYcc^y>Nnx1uEE4tN3#C!FM`-0_n&HlZ5T{(JS0I&aYN)wZnUI&3|b2NqzO50o; zQuY{b%+Otw+{=jT8-ZIrD8r)V^)vsSdF=I|v|ed>ZAeK4=879As~a<<^~g18dF^!! ze|p8+Z^CQJDcu#X^y-(@gRGkC;a&3exGwoR6sMXu(nKP!Z|2-;Kf)B{>w3+{&u6cP z2jrp4QF!%n8LerqxPi2KXh2$zUJo((+NW2pGZzOAw)bzRbWd36^*D^o>WFJ&+~#^x zmwX)_(u2C(%gAe6#;ras&Z5P&^>3r`ZWJ6n%+ z>(%Rl#nYF;>oBJ@DOc(BB;;#dQFRkN8`>pbM|R2AQHY9P>2;y!R-dFr%j?uHrsKhT z@;Wr3eOX>dmbIq2c9z}hQSsirnlZd@uU$QZt$Xy+@A zvMjDyj~+nhUPfFOC*5i}>1K`L|I8Tu4ST&nN5}fzQ+*vZLi3d#!yh4EvmR+Zdi|&I zdQh)pcyY)27o+XrP6-z+`8RQNEOBjCJtwcZj_)#tZ^u$}Rit_%N)E_VkF4Y2PdvB! z+ANEf*Qe&bgAqhyc)X5|?e%uiwAW9OuM<@xJ$gOVsU7<>_Jn3a_8%-Rj#(Q<$PL{M?rN;Ef36^}3v1X^r8@fmGlz z{AJdyzAsN&&t47J1AFCq?icxy=*%fj>FI2xS3GbrzR^(nr zWB9AUt)84<(c*ejdjHqi>qJklw7fo%mkR9lYvk*ckhC7X4lrI1=+$c~J^WgDJ=G~a zo2vBs1oE{{l&|;sw%4g$^7VP-YeG|synd5(tDo?t>k-$d9=q!h_IjVJw7g=X#GlF6 z@8WLt^B!qEdL3xS@BzJ!;nvGezZGqt=9HdKRC;|5S-59LxZ-gxbAGpaM#APg6H(b) z_dN3Yedty{m!)n}*CVe-KQ(nT_Bti4S6W_YB%}g!U5!AO~S%~7K3Fn{> zr#q$Tu}X`t%04b;O!=ar;E|f(S}P@$9R=$Lnaw;*5}M*t2dYN!Ey^IKAbO*0aU`$BCBpH~X$0 zO^$F%uOuriF2q3X=gC0D&6e~w5>0VY(jKUbQ*>tub;PpxL&B|Im}SwTIO5JFOW5LT zx`u6WQBo=}MT*nn6lpzLyglgsGVIR_yy58BnNDeDSZNX0A?YPlnqp^{{mGYDGW(My zMS5o;cP<*JxEY{2y2xm9aqfZDpK~sD=D3D!@k`P4zH_IdTfL;%tHpb~=(p)TL2q|Y zyfF4ZbdTOhr!+fL>GjK`dIzKSx~Od9To&41SHzqJTT_Q@oI7H$eVJs@!uj88`<})) z7nSu&D=C+SQh{;qfHARxq@Ho!YrF-rg~z+SgWxoDlV9=%TTdQa0UFSvDQSUk%qyJ(XzPCKaTmkvWOP-O3UJAIjO)Fe@VM&owOb; zPX2#b+~&@YacR*x+bO+Sd9+uvSyH`mNn6|i#{tn*-PqvjyjKTF= zwr;x5gaereKr^!kJGx~$iE`I6BqD3Q-|N^h?=S8a_$iXBsu$h+|i z&Q!nLnuEK{Rmp(vI_6%=D90Wyc5l=q9-qr56-kMioQO}QrL z;I*REKQqel`ZNS6&Z0fa`;kOpFwqz}?Ab#(8dW)2N!i4sygCXWlOLaPYid-v9;5M^ z$@v+wm+Cee$E|#uu4IjNN?}}2;ZZcIJ@v*n9gSUZ*l%+FsKAa!OP6sl1moaIZCtL- z;`MQEO|>WH`06a>{4ROXqOoz*=(ljk5AvYqs6bYlXtZQR<7nWX{F))ET#wQC(TolG zfNJ+SzjEx2Wkh3)Q~FS5Ksi3CX~Dd9sxNs^m9+QS|L!u|pMY`ml+V44^5FMz7fndl zBdYA{9PuSc)T4SD%+RQFzY-ExnY;q?Ni^a;jU zmt(P-nxuMbpWd?#;rU(X{25*5w-K1%9!*k@%>352PKW`jMQfD5yG6}&>~%&Kk zr}u2513Tx(ARlnk3;wK}Uk@Yt^pHh6=Z9rQi^$hxYR~3qoS&DKW|WT(L}T)Jz@}!5 zD%WE)(6U?&d!^TCjPa8z(Cdqw(wD2vfTI)Yos2r?lL+O4^4b+2b$ zvcsjCysv#(IbV5B`hu*B)~Q^NURN5g@^Sd?bN+uQR0j<}kBoo1C`yZ1*85#`*myIb8#d zMtMB$*4$bYBWBNbU0SqglrOGY_zp*7a#qDT3iP>Rlg8Ljr@?;F9f9Z=yid~`Mk_;-5%ksH*M{8u)Ry1($D$IJh(rmUW2R2 z=khlB_Kcke9Vy%S!X!P{&3%r@Zx&#qk9uw^+vG#6GxNaWI6cYE zy^P3jj&8P>S+tIN&UofbysetZ-zF<9@}X#&{0PsjSsd@x>k31j9!cxj>zk`q?F6rv zIqhr73>b~_7ZU1K#@cH~(f0af7uHKM^m2jx1)U86>p-G&N!$2_nFF3{sq{bnU(o%5wh0I_RD>Hl&?Tk z4CR$Ry`+Kr9F6in1LPN4w2$x>1zgY~KXF1j&g7T-veJz56$#Ne8hDFC%}Q0S=V+Kw z{&JwncN>l0J@X5V^6^gldO>A0mgm)rs&&q{VsCAQ8G-}uKtZ3A(jhuhO<32~x z_-o9qS)LaoCg=a!kx2$fA|=XjZgnlwbJRS66W~whLsX8Rgq&MB`}SJv_BL1f=yCjaDlfLcZIa z_p9=K5se8>`{tE1VD0vC^(uLt^Gmwqe4j2kzlUd!g9LKERTGS&feQy{kUC92(oD`T ziL@vh8!kBPpB#-oNvU9>v4!>{9(*TbquZQs*l*0G=-^dO`<5~T zN*>hqLC%lDFMf10=GJbPuycO@E~BA8Mng&U$jbR`0~bw5*CVe_U$PxvX+n#7rIiQ! zXQTpqJr+UiACT6g*I$j-?|b!n?ju{wh1aW{_P?epa=vzlg!&wS_PR%xoF9ldM0>m1 zLyPo+Fz#iP^V`z^6+6@jkmKy_Z3iayVy=4>xrTK#TRSkPHGNEj_k^K!(t7mTX1vZ8 zuicLF&$4^Yf!AxC_N|hYIX^I|K6apUzJJ2b`TD$_^L}7EZ^%1%Q5yF-8s+~;y0rt7 zELx+yw*TtU2o7C3h@(-TmkMT-`+;ao{>ZFb+n~zz7>!>{&VMI4-|Z+*EI9BuMB`eg zeH)npqfzdI{8{pQzIIE`9_7cxZU4t2QlEs}%ZU7r8MoG7-Rqg}J5RFLEj_MblRqY| zHBBBb!mB-2HPWNkH;vcX;0I%0M?b|0R$sd|hU$4;Q8+@DmNim!JNullh zs-@mcFtkb@uUm1;)((3CSIvVv|qA{vVG)8ra#@UF*2Px{Gbw+a+ zB=1RS7VSK!Up*SjWW5Q}n`1?q!q*xLv(=ROCM*Kk1TWnaQ7+X+7q_&&KPwy?X6CX$nH`+~Bk)R`WVMtG>CSXZy3dcpZ;8nA!gF zEWN{&dSva{`XJ|riybrDkMDB{pS7wzOIBKYw()6hMb6<3PPw(0t8zViHKY7nueV>Y zJGJirBzkzG)4rF?_R;({KBhh*qrF~Kwz+1zjO!aPuD>f*cui#8+VP~RVH$CLAbvN# z4M!P$QCY9Fyk(t#q@KCHX}r!7t|P;4uD<)D|5=O9+~lGizyXJz!B0F+I$XlL~0o@h}tUVmzTd_jz&aTl$l zP+8HqE7Y1E<#>N@?cI6OdL;jb8RZ2b-)%HTZFc5zZ0}~Leg8ydH10w)&eMmUZtXGf zXnw&yn6k&gLrHtgKb)d>GIO7!*?zA8=DLZ-G0F%X;YQKe(Z3g8m!oJrnBrFKXgrkE znvTZ7pa$w`Z^j>9z0l7Uq#Y+aA%jC{~y+w zoPRb)Z=vR1M)TX==;nh(>3ZaK@=e44$X@Tv>XjDx|3wuz4-WC%+GlfAu1Bvw8Lwp_ z->ui7ne8UQ>#a`v!Cs};yM6VUC++p2E;;{l-u9Xg>BZvQ^T=yIr0dGWk_%d+{KLDa;v=dw%3satl~y$7 zXQcv118-ojU7*VK7>!jX8s%O``N4_t+o0FCJMD+b3>b~_`JVc2mnMIGmr+jVZOtfO zh#Suw>LmO}2aXB2TZhqOq7H+5_nMZC`}+Lyxo`qw%^SKeN|pJU{w&%7Z(c_Uc$=G!|iW zsFfqzbdK^(dj%0yUYVC z1A8?5kf9G{aL=PW_$Si!t0Ie**8#r_-iEz~Ila>Ix-yUo?6q>>t6K9=-l(ye{q4 zYyZ7a z@*m>XY+tu=Xyts}AM&>Ict|hAT8DTJ(OGuNtFIBT|Dg{Lw+{tN{Pw z1Bou06^$Bp+Nxn`06m=NXgMQhp+X)YEEM|ATJQ~E8VZC?Gr1qY#6 z;Itouy6WaC-7~lDz?k~@BHOGx0Jm43;)%@zaLe8CIMAOHkm9g@q&-K13ay<%~rfe4Vw^+ZQ`YE*br%CbJpwp zEXr~8~Oz^xHSCJ};^LCg1{|G}cqo{4fXmJt)Q> zB4@w-LV9&1b;ZJ34_tlYEQ(+9kqAU%zj(}}?$or_v|qgQvJO=6M|&~$dq>W#>lczn zeqWd$to{zu9}U($KS?}?Vw0TqR9f3yTff7!ZXm|wSiD-8hMHS9Ahfae#S&pmv zS~g_ex^44ZP}{_pMxjYKm><43iV#UT%?~evt-Cctbrruiz18_yHt(IVYbUyMpVK}( zS84MO%r|6=`Q3p*3uAhiPQ*Gg#=~^`7?#Rnb62dpIHVpJn{8z2j(|lyOqHcO;-c~F zLzX5=Ic*asi0iheVrH1C8EIElPSHFlwYp6@YoxRBu_JEYAGPmy+D|Q3+T0_fKFq3Z z_9?3mtZSQnaLDkdl-Rs8t-h762ko_Gnw+^;(Ik6R!mZmQ!=ko{Z??O2eafP7HsZY{ zb$z59+r+2X-MTx|x*XF~llHZ$uA+&f>D4~4V9}iLx07bT<^xXq8RbfwH{>0>OHF9r zkf3*o3C(|b>T9%`=FxfeHCk=+O62BPpL-=XTk)#2x*PJkt2#HQ=Ab1+W11~#x9(q_ z)-=r_Ww-9=yl86D!RL3~x+_&(MKjqA&Cd+YJ}bw60Gkgw?Q}bV+LuI=&ea)uorBoC zIaNb{&S_(Kw?W<2anab=F$uTs#;n$qG5Wv! znMtQQ?$%wJB%O@SdM%}%AELd-YhmTygMJI@@jCLEb$*BP4>|2;Cn`Z+j(%U56Nlq* z3gdEMrEa-(g zFXi-jr9H|u5*IyQu{Xko=#Tu;7u)F-yYM@EBHXDvbE3WFO5?Y&`KhN-{$Z#6yi6t0 zG5Gnqbxiz@4%D~cwckUbNJ>s;Fk%>o!YW+sAvWz%D5EsMC%U&0TY_ely=1q4k@FY*UTU`i+s1u&Hx!Muxuf&3zMNZ5Wei zf<-Y#W;YE*V`F4?a-r(XT$F?#A<+pPaPQZ}P{U{X)*7G`TvY|<`Zwph{w6R*rc z<1zfvv@!?(b6WA}J2oL2&!O0JVnd{n%@vAddO68h5zG;0p=b~BBnC9c? zzUOI8+r;}x>*gdxW1F=5$dkl02ZX)aym0J=tFYxKoc8g4CC%r-Xh2@uteXm(hb4vP zRM_0DC^nx=(fb;N=I=oBKLPc?vWb_6)ICp{W%J9di)KY*n_s7)d0Nvp@zRF6CsU%a zO&l-P|1L?I8nlP?K4=$jvMvsgoGDKGmFWtb_3Ob(v`4I87d9J`A z5t>u5x6J6VD7o2+{UaKrZqdxiG_ij~v!bz0yd$T6T`8w+;$1Rz)2JBL)%y!Ns-2?h zDw_v)Lz5m*(!0gw{o5v@cFt+PI$L40ZgNKDpX!raH>s@n>D-)zb;OU7$E|xfY2{|! zFCHBfaIYeplyj3aEQ(DcH>oTd+az+6q@1>i+{7kyIc<{;7k=?bQ#O;m+Z=t$&M1r9 z3fiyBRoJY@X$B(3HtU_VBE~lB5mp+|+U9*QjRCD^+8^UM+My2EgO(_vLrN5zpoC^c zW1AS^IEKqVHnm9}GHZ!MD4U?nT|9}Pkp5cZ!Ln1?0Zy3ez; zj;y@!V((Ut4DNpy#h!NBZ!T9_`3gfZ+RfK}0uGmE#mcuHeHw$dVofDv<*PD_V&%A; zi>jjiCM&JCX56}OJ*umy#DZ?91W}Gne8~A6-Fe1ozdc@QWkJ#U_)6VU9DHpNQCS=~ zotM!@Y%6&4Mco38N(LBS_<^cikmn)#zx?%1WvK7gEe@zGS($xz5FK9L1qWRZFMl$g z4%lM*St$QMr~S@ErKb;l`|$F$q&mFhq^TdCqBk(m<}5sPK>4B1qIjYM%GZ*j{Wd&5 z;DB;?it3W5!lB*F4XccmlOH*4V-$PVX}?E~l%u41E2}drh}KiG!^BaP&dla_bK+b1uzM zOW0kT4{z01C6HNZ>WYO95B8%$76sikMQT5>Ii@+b^tDmhcF z8-jHd$Glp1beijN$z!r=>9cui-LX0PaJ}={&AbGaiET2lsCJ1VMM2vAPdJ*uqBDKkzh z5gZbgBTHQO=(H|3w-9N^WJQ}xTIml-xBl3iXk^)GO9f<c zF!U9z7IXl1F!X51sxQFR9~o(eep85IRL-J5ET=VPgXy1;6^(|n(~5`L>&=NmLw}E= zE@#nCNPBY?eMP#jv^SUO<1vc*J(XtY(dk-Ee{6_Le`zkr z16SvHMr#)RGeRj>2q@w-Z;Z%`#`G`9y7gybEp3=BaeE^(TC?bq`i`|kwE^kYMGhK2z^V0!;Q=l-mlX730q)1CI| z7~1M2ZIt_GCM^2(N5}ZPsZ9R_&!&HA(x!izXVV{tde5hY{B|GrnEolATYrV7Dl>0g$$>F<%^Zy+=M5x!0T_OeZXBDk6T{vDXz=jlXo z>mLhj`j2O9`cGhc;H~LT$vd4Bl0rXM<|kZRLZbf^#@Cr11*3xgWmy(w=Ep;+^?Rg5 zGxSIJTC?ciUY2r&K!qIVkk%St=y-S|Noo&1Gc^E2DJB*(>^z-q<=@! zrav;y-!o_Wmx8G|>dO~x`mf|{`ZKcpxpxYcTR$^x)1T$r^b0A)ThpJ7u`nSg^ygqK zj7-bSKNn+RWJtlNpnpe_MWIi#?Z~)jhW@2Fty%Q*MJZPZDB?8pzar)IQ6C-wte=sU za)$oQwAL*8vwYE*K9Me@L}U7==iU0*sy##hZ|L)!Je4!_w~D*LWw-(M5@ zN9?!XP*{E0X@9+3Nq+`1jB=Qd`Nx4a8WDG4w&4o(rR3RM4N{u_*NMpj!R7v}lI@#FW-7 z`U^cNR|teYuD9cl_9UG9co?j{GZf9xe=Dywi~iezXiT3-zmpM->5s^|_3!3LGxYI< zUj2KaXk^%F!;@?<%^K)`XwhGjnSa!7`FqiP-f3@7R?_cG+w>nx&{I}I|COXo|EsJ` zf2poV0dwoWMt(0!2>mizp;1!^Xx%q)oBlUt#aq+=4rA}CtkD17=cmCa7;gPCjFYpA zG5l4~?@Y5O^zi^!{bLEy4EEl5d7?N^^ep$9*(f=l{ z%jsi&BK=KSG^Rf?<<@`ak!I-Q$+i0L)izA-<1`OUb2-re$jtojt_l5rk3DxRtiIy3 zzmu(`zZCgqa(`xwp0^SD3z@eHp6jnww&?q77p>g)f0v_YS2TV9_qtvofMI`~v_;=v z7lgr^>HF(}@YQ-}==$sD__?5#km_xKo}KH(@K-^9DOQsx^zkT9{Y;XSX6P@>YR%Ax z2`N_yP@d?+ifBw9kITT2Xc2wb(wd>Uldl*_kWkP>A&UElNUmNDaJxH^ZS3{8JvIb`+o(u zXy*6-hI7upmt%ha?|RNC1VnKw&!)e1O!3zAy#$u9r&nit{B2VFga`%0^|#H^GZZoW zb)}Ckvnccr@!a~iB;x8s75_q8O2ueISoHrAXwCfIhZWJ7{(+$Ix0Gmx{@;^Yv*>T- ziN^Ga^wuP)?K1tdldkV2NHg^DGz;vC#`OE;$TVw|`)1~UZ%uOlugARlXLM(#)Baha zl0I(IuyX(Fyn`naG<|>Vluf^HTrFw(eRVwwnCtIZq&v7J_xH+iy+T0i_RiY$_X!kl zO}}3eOQF!;4c7s57Ue#=uQiK)-?)@3 z1ZYFG*Eed9>Eotx7?N^^{$4q)S@ieLipKPb^gd|WrhjhS_4}#z41GN1;qOPq)QD&a zsV}(W9i~|WeH{MFxxOb?%l+4BJe-#5?o2OkMRPtnH4&ZZeg5}HrP2H>r+sOrlK!5^ zw`k_~fA7(~=|can%v;a=ho^1&NBF$N^am$+i9+T2Rk~h*m&!K%YUZu!*Mj#ozR<5D z-Wj1^kDgtVkKwN(_xDV(sB@ogdq>AbGxYx&*P2EDa0G%H#EI?z|I(Rb{|H|+rcc`7 zglL9-Rn(rTTUFNO^nRa6R~JR&+&?dL{aTW&+{gX?ex2F|jfj>IeQ6t=bN%`hmjnGi zVMKr9u<{pQv1j_NL09@q-}jzE^985<`(h>iBl65m9rOEt1DlJH!xTc-@0(HUH2tAP zE?Ed@-SIgt$@Kq)i(4CdlKZ&Z*c|ow|Mt}qpXv37fp9({`~4F@_}YZfKQW7?K7T<)^~`^+Z___7p>D+Gt33Ys zahv}6Wt;vOWIK54+`j;0;kvlczc9sLXKV>+d|i~K?-x=qtlTHk(H*P$OfPQW_4i70 zK_0lA(}#yzv*@3bmU4wa=%4G0#<`E1fBo|kq8a+<$F*kBKff#*&-_Gs3`sOP^?ClW z=-&mZy@-A*z9i{in4)rq{;LTx%^GO!k&1GE*EONPa+AJvwr7sh;iN0+pPRSo4-WW_ zIi`Pn(x!hEFKPN$>runGe@%=pZ1c>2jUF|HfGA#@x9MLODBhZWwumLn^?cNKLyW)S z*b-8`8x!=ELkdO({d4my%26Ng$@2#XqM6)3KB+Z}{#By!%&&6)YEPHbxsQwP{xu}2 z`Nhz`Ce)fm|JuB0OrJpPc49yO{ocK98D0 zK=tlV*z_NWE8d#^gGnrvh5kcmWpGkv{)aIVtGlCrb(SI&&HSzSo$RMbQktQEPN+4D z{=GRVR|rsE(VrBx$GML?%lye{DQD>4=WETPe}6(Wrcb0Fh>OPbFF_C$Tuu9{TDdfRgheNdSKIkF{5~E`Y&NAn-cmjXZU-NEg_AXH!<@! zWGNUGxj&gSi+*PrGj$-Ep?_6QYZm?IJt zW~oa0)6zEmYmj{h6ovjI=B?>}kh1BANv_BA7kPYxBhz0TQzbS1#i33A!@T0H>3>wl zQc>uCf>s7$KS057{ZD=Rt|A4ag8sBLi!$@$_DKJlNHg>&dAgiM|AUm&Ed*#-<$jnH zjp^goN`H|jnxVg#BpM|a{l%eZOrJ=9m=}%dj|*M@qcUlRKE812f8vQohMm^MNixkE z=;No2{NmefP4@fV@UPxL^YfjKzvL?EhdG=6WaRLGywHC>%M^L$U&c#%=3lNy4bxu% zQimnwn13bD^_u<p^3R|sgk^vm#vxM)nD zNaG1|{F$S^%X6;(lWNb<|HO0sRT(NrT1!an;d_xV%^K+Uwdk)&?*DJdJD;HW1y08{ z*oa3Rmh1ce^3bOL0x0el<^Bgni+;lfWwpfg8#XLjx!!$LV7LwRFiIb^K!KEBd@5I$hb&6&e+>#RsOZwMfXV$2 zin^Sk4--E*Pl4j`RYniYs zT1!auze$p5))V@JP&e#1sdoBpD#y4zmw_m}G# zT;+blw!Te&y96((+;7-E&Px<3w_*FTTH@Sq*rBMF`1gLpKXQtr}Z7Q(Ciff4`iRD+DM|XAkB(6Y-G*)RHvRgzO~1Zu)Ax(&Au~SP z)6kH!={II=`b|VQCiI&FEQLbh(tC`u^h>5q?qHGr8Z8llBS$wy#E5V^%cIeInhI7LDoOP;?ubQNyC& z`e5ib9I3Wp=s%iq8(OFsMhVe3x&QNOGyiX(-*5W$Xw@k?9sQG)^!=1gfBTF>4+rwh z->|=D(?5xss<62Y!?QO1lhgd9I_Lf=zD@tsgiZgnxZ-# zf!x1T1*n2P|G1BGzZH)hHf*1vsCC)zqx)L3=%196a)kip75(8^(Kz>?0j-nMq8a+9 z_*%1a|I~zNOrJ=f78i}_-xRnFrzc5^a=&#-%56A9ZNt!iI_5Tv2)G>0{3iETtqJ|q zw!{0t>YGkSGFwUi~kL(xp`*Jre5 z(Z5K@Sh;^uS?V%ED9>{TDTC`p=@= zC2AXn{AwN`wta3GfmYj{jv=cZ*uROad>p%T&h(qg439JY z;i6j7nf{4hR|p8nr&#w;UZ8)Lv!Q>U<$7H5i?mwO(7#0f0<(s`B!eaF&NOTo=2y2S~F#gFw!XFRHqr{O=Zyx^oNUDv!H(xl`8~jqxGT`S&_O1 z`e!-O4D`>lTC<>kkrs`ocLMySFB(I?7u#5pA3jrbd74@c-HT1?9m*jnVBY2oQ zHyWMLg5K!n6=sIsxE7XfOKRwiYh&s3vWDLHdn}!iq8M1v&qp?VmSoX}{-^*^UFU&N z^mI83`Y$P|TL=jH*%`7TS_B=2M2n!qmeve(m=lem128O##?U7PE{u|9pvU7b?26VB zqAzWK%(^hm8lb#|$kQLDk^xd$QHf)`>Yur7fH4A#5lxPf{0Pm3$jiKM4avKwA(hPKb zEv~U|AQ~BV+O|gBJyo9-^yO=o>E|{VCZW~0oQ`z568dh)$`0A5H^#CIPeE_Q3#`n6 zT;m}*UROY0-NpeZSqudFz%;L8=!g1Tk4qkwP)i#6pt!=Up&yPRaC=suAAuo|&I@$h zDAajYJcd6D`p|^ixLbln3pzS3S_B>4*O~?Wkerk&1ZcQ*NI+IZGtdX7MKhUxsIN5( z`e6yt7&-wS6c>%5KY(o6{4H>OijXUly=2E^GZih%{a*>@WUlBTkdjy~1?8yy$TK;PBZ zngxA`X!_{AK2KJpu7Q3`Tr>myn6k822xPm*7DZ#|1o*g|Xbkp+zPk!wmwkHU08f{*ppQ&yjiJ-+_pla~EMa(XreBRrKM#3NF>o8NNz#`*V)(P54=4jtmPH%- zKvdy@U^0D3UTYTgak@eIo&L|jZ5*GFx+c>vkBeq9{qnN3R|v2z`rQw6qA_#=d}U5F zhW<#(ZJZF2W}xqd?Ov_6L0SveI&J&q$uyS(^v~A}dSmfRy8QZ{(*Yb^Z@p+7A2gjAs{4=V|e_G+@?6WjZg6K&_CIx1YD0x<}zwY@6(@5Da;!B zQ&_sg7wAu8X>>xMKSN8{7O|9*@U8vhfTTqm`Y{{`lF%S_>|HN^aF}+pbG3YwIx14#&BXIS;({W9)68aN9KT$)wN6cVp`T~Mv`nbHB!gZ$4jN8y> zmU)Sx&nof~XF7fjSijR}XVsF1J}0d(Yv^+WEae6Iydrm_k<5q~^383WM|1HcX~Jks{Mv4sSoH4Oc%S*UP#0R? z)slw(J}|!&%JlvLFy9#n^hLmYS6niEaRN)382$`&1!-1_MS)J!?ByBJ6m(VY@hJEv z)89@?xk7-3Tdxbqif9JR0y2rVJD)%H}%ZCspS4bb=Q26}7Hnf_YP75ZkeeLg~~q0@1Dwi5a~$ioM*Z)WII z5)6+b=QhsFFg%7{W|Zm($Zh-vD4&eW^!_bSnghA-biG1At$d%dp)X4+%sSKQ?##Q& z0(}KqxjQA$S7Jcit&+ilK0X6TSqeAwN`_s1M}9$H=Gblj7!g#IlsKY|IJp%;=i^tW>s^rm$%JZ?_%5s=%oZrYij z$6!&J-n5=?L2p_gZK9-#joY+ATrF{?H*HW>m>GK0MxN7o4@#;7xu%WL$~`#^z3C4b zr!(*<1{U;-5x{RFz|?7;q6y zL}Tax42z;M^ygA8jFM)cPYqqz6|E&iU)rX5E=;oq===OO=#|s^lf$;Z7p*RKI_~!? zpf{}>I`gMTNAK?fC4uEk|Hfx{D$|>`Vw9ZeOn%Ony7@nHKyVPn5H??zXQq$degcw zhR4wN#_%wizE9qnKf5f@`vts?q3@gFdWC?X@0U_b8v6c8g;_)Ip9a`apdS!8os)cl zeqa$xd5VDr{d5Fo>kNxF^zY)L(RfwakM3*Df{q;}{%AK)2&ml2$n<^kkd$Vi_rqG& z4D@|7TCsOz!11-dPm1aGtjpRv}QrC@uge= zYn|5Pk=3As9WKZTac0{t}4>AXJ`!=IJuMcew9$HG)@?c=6~iC3XP}>$6wPG%NuJiMOdn1X)zvpq z5a8jVXbgQ0w3C%)pyN^Qrc)xV1^;u}<^^ukX6AH72J~jogMS+fcDLWrX3iM1KOSu^SEa(kr@Ps0ZHuQbtqEXj*daucA&4NBU zs#^%CTuqLw=yDeH3$mga=ohB7Wk0v(%%bGCIyu~?>r=drq2G|?dR+2GPc3Qa_azl(4gLPK)A^t$&>sk}lojX?7M;$A zCfk3pD5PfNTGvzitSY!>*4_&iN&rX>2F6n|X zgq{W1pL~Iin=Gt-`WOw5p+B49b%lVSKbN9!RZFH%<&u0Fw&{7stYYIfO^eykr-cf$ zhCV$GuxWw*A_l}mp+J8L1L9$yVqj(Z!3bcs%%Tnb#GGgb`k18FEa=Z>q+B5&==caW ztcYfyPfdzupg-?v&4NCSBx`ynz|%s}72cBw^pfW`y_giOB}5x-?J2kEr8H}R zK4{ILuR62e6=?Nyr{nc>CG_Wt4n8+6&@W^_dY_(+D_kmOZqsWR9_Hx%b-dPU(+K(- zda!V&7qeWi5YX_@H%;nH$4!%jSwnBb(!+UyUc%BN34z{0OK5>&8Xd^(iw2)7vS>rU zkR%Ge>N-#FSrus7-_odM?X|L$D+HwNQFfbNkJ>ZP-^fWh1HG8lng#vMv}l~^1lZg_ z$fK1oDPJw;^_Si z5U|dHuUR@qM1y8wyZS^`bVCWD+Fj* z{U-mIBsE|R^p8W)4D?U(TC<>k8i>Zw3Ginb(HQ#M&^}k1f&NbDHhmFk4E@-&+w^6g z>bmI8^!>Vl{u|D{U;plc0chq+r{nEJCG?NejEkX9&G8aLe?7(UC{f&|AN9IIKubSm zt#j{9t8^<2{b!%+amim2YDq)?HI8%dlIhK{BrumX^kygRbUv2S(3{-=OPXbr>GU({ z<8%yvl-1N}1~)Y)nt}d$N^2JMAER=Gfa>DhJFMt()`8rrXd4Fl&%U(Bb*V4EBt%ot zOY7#{reEWtG4%I57e+}l(BDtFuq#?ih`zLa5V|nU8ldmc4fNk|8ut3VKY9YKE^#`- zcqQ~zG3(rWQ_-`be*~~mrZ@jF&+7{4m)pEaV4Zt!-V`}{k*9B>Xx=Qv^|<8bNwvh# zo44>3X3q5HKVj){%q8kHZ1a}1loRNGPC1=VcrpB0nT~VsO{++&fL`=OGtfWEYRy1z z{$pOs6#}$D=iZw)!T-3unI8V7bMMWYW<-miH*c2Gn#uI$&6A=j=xqde3r{qLz6jc% zlxCnW#x}N$G=~0R;5Pp`MRirC@ATWCS024Tcid<9!Od4r$H(Og=*^qPt#j{9pKy-q zefm$H4SlE3hQ4#&I``hZOTgZ7M^gdX6A}i4Mz|xeM zK<^u3NhN~?{bB@W(>MjDYo>qVi)Ntz}|Z6zGGnl=B7p;k1;G;m?AObMMW&Bv`bk_f?^25p;B4YZml_ zi&Cx-5Okb-hZWHb^h2_u8R!GjTC<=J^hIOn1o+T|Xbk-`Xoo4yK>xyXn+HW&ONhR- zeVKBb57!!|cbsop?OC?hdOnb=%=Gh$7aomPzjivl$yGu>#Iw%5H*Z?zX_}$$%(yi4 zBlUnN1O)x4ymjuqxh1fn56Rfjk51XpkD+Xq>*>wMrk&0w69WCX084>DA6j%epNez* zqJ28fy*D4?v8bW*bX*qAK;PNdng#vHP|6hof{t_Vup*j)-h#DQkK+adeMm-Y7WAW2 zqA_#=d`wa_hQ0*av1!r_^rfELd|V(}ONec?eVuZfhZb1_^liI=-pf9{68cQ{^j*+u z+38r3t%Tl^u+F_V?;2-#3_Y1-cym0$JVLK41Zt^loqKOSv&i*0(?{mG9+ymK)soJ1 z+?zv~b*7&M%ui(m`q_lJEYQ!5Ih{|ZV)(N%9p~PgTM{f!h{_cL zG)(8-n@5y&IddQfL!uezBXe4_phx%X>C>9uQ-A4E-pd;W6|} z8Rfh}K+rD(%IMsC^SCtEW9Z{`JuZ29!iIiDTw&JGuSDKIT@>gOFd&{u3iPWmAfC~2 zjxrtR-kZ-%#qifEqI(8R+Aq_6h+F7~1z= zp3vnqbOL-uTr`IMJ+v#6q?t@#1|JjDHVpI?p4)s?z~zwXd#zcfU-#-hGttX$orP=p zmC(ll^T%@Ty?IF7hCU)=L!XG@fjzv2{zzz@dvAU;&+8caV*%GIz=7NRcu_6sOviy5 zVb;)d38!-^_Tqf>{$vVEd4c{^*6Dm+$25YDbMMXLC@vMyhe)8!$(iO68C}kTJ~5>= zWka2NZ+;{c%|L%NFPee=SfDj4(;qL2#?w0io3UqU5VN5dLWNmFpN*yG1A#sVOVi>4eJ(9!V)(PBcbt1~e$;2t zp58|$MKhUxnWr@i`YRbJR|wEBoqLBB(G2vNNzn}SS)SG`=mnA#V1`bB3!!M7>8qg4 zR+@qSbKo}5iL@5{&uPO~jhp9c4bXR6vrPZrCmWrGR=;-^{vlooeFpL{I``f@F0`Rv z9@x+qW^L%5Y3tm3^II4?Gz(CK+-95tQb+v-we(J0E$K{ur>rn*=Z+?t%@$dBJSIP{JGks~E!4?7n`!(*D-!#w{T$;-nUdPbC zNpU?c`E61yY3ScEW)1y&V4j{7=*xh4dQPA(&pDkhdNKT2&~fg)xie(ZhW=PWG?VGC zM8PKmyboTQmvV)GG@N^f710dzaz-=*{hO55Ea=}RMN`lf;O{)q82Z|t+x)#xnt{G{ z-fdo%5v?UeUrN7Ey3NaTtl_@*yK}LP@QLb~2=YAK1gritKl%@TyD4S1D03o3{xerr z%@gHSQC<~g4wM(3^=NDSsuTR@(}Z_D`m@4WxV7pH{=cz%3k*b9yz#7 zOWUWglyQ%2RO@z#VX5pMc~p_s?GQMfGg9u6$C9^&|43q~=pH!?_OJxB*g4F4ITdrT z+2c~q^a-iUw>R^^;o)2&tE$LC!--dVU41`Bo~vtA1SKi1v@K8mXQ69K7>`K z;VsS!Bk$Lmf?GLX3c|PuK96RKS+cG zd>2;fQT2Vpbq_53lQka-bIs4P`h(4=0nVM{KX`~W!_Q8%EO1yod>*9cs^8z)t?cqC zta+FXs{$BBR)wqk&peGa9}aUZv|*HK*f0Fy*aaSI9u9LY%&_`|S=Ha!clES1YaRh} zEwWhsfu>>qu-lxm$FSxjVXj4KR)3foby&D6vs0Wkk4&+a#W7ZYxLI|0xJTPRE^9st z=31O$^+%XhM}#XsT(mE1J{sm)9P;Wv>=+zhMbQ4EB(MG_87;xEk9=O!*%wR2E^BE_ z@S5(?F4U`B<3i;~0!G z4VOEkZ&?QN$H815r&!%eGirHwqIY2vYd#+4`Z#2D8_lSnor)=kEo99nz+B6ctnN26 zYP}O5I3#4v$tEp)pN0EAaQ36Dc`VG;9I(0{&8k*serf__I1c7&v02>@X0ETDww}Yk zXU(U;TrC-BXjZKb2h6?kI@Ww@lC`X~V3cY2bvSS0B{i)1G?;5;n$>+{R;_k+n6x8j z&8Nd$t75!nesr|`8``f*@tQ^}20QHEFl<%GYd(&NrST4H`6S6}Rz{U)*s$dDn$LZ) z?u?MNe45}js}o{rf(uI?uld#!OYmcEEuY1C&AL>y zYpu9gIxoRmzKrqOeWRY|d9ak?wc-O9)_i^(mO@^e@S`P{wS1N2wTEV-r7SG@tiH+- zOBXn-j3CVlCfTtU;Pxl!m1= zYuLjQ>n@J5mT%$jaP*7DU7UiY6l?gGTnb?+WDR|zrBsr&e3xVmdq(3@J}mjHVdrS+ zk_2n{KEWDxmrEWjd8}dkXz9{8YxyA#>pU^vr7kSFtf8k|mxZM)YuGJXy3AoMKRT?T zYg8#d+4?bnby>W3)8Ug(V->MU_`stYuvS#zpI{@?pt? z%4pry4r}=(&KkCj*1->OwEW_-h8?1H|Mg%g%NjaIOV`+}WxWIIqRMMRSPCGeXz5y) zwft(chF($SbrvjTSi^SFy6b$_vcX~v@o4FP4lJc%T~z7DSj%rQ7#FQ`Jy=RXWwh>k z8-{c ze_5!|Wp2bR*X6s@~0##;W4v4$?uy4yThO0kAP(Yo7hSPJ2IkxLcWx>0t+!0$68> z%%;cK%I-F67!a+S9>P)vDx-CexNKz)i#7C*mS$M6l!i*VgR`!a+ zQdBw1h9#FZ>@Al36{B@e#n{U2Y}T+>wC*VnmNKvu zt$W&LE4R1ciW#kYI)tS(Tot2r&$w*mP6^gvMN7{*u;jr~RQX(tt=u`z8u~=*p7UVI zh3jXu?s*%Qvan7rg>2<6@CrT8(YH6^i4KKpdzu-ONDWO<; z$$=$!9d6RYKAdXZ5Zw62w$V#aaE(XlX*4S5L5b^*J~luRcFDW8IX0;2Da~gVpdo z0C{W8t1nH>o9~?p&#>weSan5Oue!=#u>F+7c{O~axO!s9tFH<5DmU@mbthfItN-W2 zKUjTZQm>kn9x-n5%e;D$#j9`5@akK$dexnYE^}Hp@#;HZ)EzJ?ZRWZ+Xn6KI7;&$| ztEXgn^#f+qw8U;DKia%{DvX*6qaHDHdFj@7zn;UZJs9~Y%rz^a=XyFes%p_ zS3e0&pEGH^*gRlg2OQ z)oDhy>SHt4icF6mZake=udsRba*J25G^0L?cdJ?+8py%vI6Yw_w|%&6az zhy7H!FR$JJ&3^S+U43+XZGy-)!CA1xfn%?RJG_R$9nGQ9Qdf)DY?WaRhgxD?&k+8> zo1qb6Tutu`{IgjdL;y>>rs1E(>fVpmSwQwo!x0><+c(8)_65>kTh<(ye7Wqz!Mx^x zkk<_GdCkEw+_sv*v7u)@*p=4|f{us4$U{?l)#0h3-@#v4s5u;(9R?$h2=%I?!tqP1 zZ|5~f!3g-XnKea8y{atP>6KUZ;5DT%q6FruG;b+9=ev-+zHL@fRXpab;J9dapSi7h1cAhg?}!udC=9XrUeJzbWaJdndb1C zsj&J5U$1)EyKCl@T3!Rcskgqw#L0QDWXz5cJ_X&(!8!dgA;Wb~{tZq>>ZVgzp!DW4Iw6xaaHLYnje{8h00oK8tVco~k z(w_mZfjh1bAF$xauZ?Blp9}8~qNS}IUfU(h>K#w4>j_IevaD{YBbK&@rS0I{stm=_ z&K~?ru(wZ-*6oquwY!7a`_a-~@IGa)7`y|CD*O4owqKIf_llMVTD#gg(RN-T(%spvT-U#KMeAcIW2 zTt#1xX^Ea0)QJ}@@enUu;u%=<(j~qYFJIzWF!2H=9`FK|j-Fw+2({?z+L2cD3?DY% z?!-8JD0jL8pU;I1z6fDW*Q#Mp?HpCWONDrbj&I+%xyr4E9l!P)Q6XM$#IvZJVQr>o zm)j?}`-uwiq9vY16aZug?Qx?&r#3KRd!lZ(SILNAznVkv#7iy+)PiN zI;r_kQ6XM~vGP%CGnMSqK}X5N;DsD3?{GF#89QzI2j_|kaW|kU>*_*X`GJFZ#>(a# zyuYmUEUffR&-teED=StG++1bnq0?4fCM%mf ztUM^hl*sIz-kR<%m6Z#`Q{*{tbCvx1+kW|8R?hRVa=_*)S?bN6Sy}nEjg^VbRmLul zZ-2C`d@aPvVUG4366(DfDR;y(u;_+Ce9ddRTG2CHC&X<-^flZ%M9*U8 z=qpjzJEK^13lV({w-Mr5%pqqGRXAYVmukUb&gq6Q-u9EF>-$01hJ@#x-?M7KCUOMHruQ8QB z>TU13JpEy`&EmF0JmAVz*Jfrnm0Mjj<>qUn3b=Q{%5OJU+2!bs6%WYD*CS8S^PA1h z-uW8qhor20IiY)QuCmj0*X^)OR!(!UvUPKne6fF&s=POgmEUi!l705+B|poaciOtr z(RDS%#d(oHOz!Lhw|FijWJO&@tef@AC zC1JS}`zGeFpVlU0oc{{=+dgUDsh4+Z|0^zl0l%|FBW*TkS%7_FvCise!1;2`AddM9 zEhyi3y^j#s8gVFc{;?h`>fquDtl7mn4#QSgCu5z67A#AxHO;X{1|1Kj><`Y@!;d8l z$>KN$A`9NPq6r)W*@8Mxu+I~eiF*p(se6(znfU4>;>d)^nt$9_=T4lbEPlk)SdW zXA&&3Y(1KqE6Xz7&}1oo^g%w_S$3S|hU1Ss5T^f&wU%UAfp4A>_t!CSeWjUQ) zS(aNkS{OBW(6+VMrYy>`^}aN+c9!uyI?8hIjT7MA0Q;M@9%He_J(JjgSRS8aB+eAp zdR&NwCd=dWU}IUC!=&YuG>&a&*?k=Rgvw@*%X!b9`5R384{N;_EcXHheCRC4#1WY+ zPqZnMdwg_2n9A}5mojO2Zw6VeCHv>?j?VEHCXQSPmkfxfCc_%{&0+(R<=8YrV|h|Y znY0{3S!9Vd;#uS?E$>Vq&$Z-R@7Ph6qstEX9V{7Zy$k*-<^DD{AeQ%R#xm_=p)i-0 zX+66zYVgF70k1gfVJs(Qk+rit^09aadwleaJ+~H@WY#*_V~q#uro{4gI=j4Hw%(=- zjpeNY&TcGEp<`8+b1bZDXF1~jj`sNZixby~7YWvSKUf}|!3M-~Y8s(1l4s9DK4mh? z2eX8!Ebl->_W0TWVXh_nW{A^4mg(Pbdjl*xv(`t_tZ^`ohi85EcnPG&@=>2MX*tay zYzxQbY~3%{lJ9mwq65pHC;bD1co)|Cn!_50CUGKS`D6y6v3w$=OjDgVr{zb%o0sPq1+|vSSyz z2!)nBUF!67M)l(qsZ*-UC{qb8&tP5ts^S=YyXP_eKy_Qz`nks%M?2VsBp7`L5^oxsjSYmtx8Xf3x1Q(CJ-!jx8(@10re>J(C=^_fGM()uw@n9}+wiL5ZK`>*@-9?;s3 zwf<_e#&H35AzD9p2#r>2hA^e|OM)<^wLXQcFs;WfXUBrp_N?{qIBPuB!!AT?osZCH z{TLFav^Lp8ptS>Q+sa{$j@~$;^=E*sjn;ZZ=33U)IgL=%MHh{> zE*WHnY2DHJ@B2ZkH*4EA!y3=9v5V1a>ue!3TAQ+j$+X&fhJ-1tZ4pJcQTe^}i$ng9 z8CU)ZT063~oztxGthjEYU;5j6rVt9P=vvm+#ilHJk!tJh5~fslq)e&qHu9=m}>2|)x$w`7uE*fR%^UC zg`J6N|13hIx=orgsX8b@m{J`aCrqgh(RGEXHVq$M2de*KZ6o1Wy;K_z)j=MeP9Cc} zB`K4tM}&ka)e$~nO7%!xSD5OIqQp+1x+`ld_gLc<8SG3{N91Tp)jjoeB5A2wqPG*6 zQZ1!Ssg?yeNnxs!dY4=Ys=KkaQE;qI)Ln>bsfDf00Wcs=nN&TV)+yB!C{wCQ2geqs zI@#X#UQpegwViCU#{Xuq3sKGapiEt?2K!iOQave6m{J{^B21}{({+WZZab^^cu?Jg zwN1#f#{b2!Gf^F@nMw7?90i%`cpD4N0dR&zm{Ogf>k3mH`{u|+pxTGET?EJK4GHW_ zRL5r!8r3p~GO2ogmN1p-1p#47^+H`&nCkP(zJ4B5_hfC8eb#t$5<3&s3mk+-^#nbg zU{dv_G^tanH)jY_s=1$=<@drWUaj6AR&*k$TCDA!Bx}4Sg`J7&%{D@#I?kd@s@_HG zldw;ODLzZ`Z*P)w=?0ZB)<5VN&&>45?G9IiJ2M)oHq} zFxBE29~}d#d$G1B;;iv5-G!)5wTObc0$k`)CRJxTgeld>ZNil5EL~Ta>ixSmJprnF zvo`p_>Bf6>SVVQEh9=cXE>)1K&<riOy24Z!g&)2Ns{63E*8|pgpYBXl zpU=^fuU2V2ojI*$`$S8rzDAi+eI3gRxL*~gRhjod6R7UX+TMp_^&xFQR9{PCZ5Y+|R->ANjqaan6rihkO{a7~?W99oO^C?GR zs^ivQ`W~qEXKkOs0q~ffjl7Rq8WII{xu26JOlf@*5T>*~%^)jGtFh{j!$50))&{?` zrE!*xU5M7gBtnsbOe^QZ7o`Q?3seu2)@mDB{#xSg-OOcU9MBrT+J3ZI<5O|$LbSe0 zBQ#o{+Jq^s?-PWnw0=k-D@^Oi=LhTvS_iPU4UpC|A$B2J-}wlQ*4F`HN^8AMn9};y zK~|X7_;tsQ2CV~G+uv|(J)glYMC%u-pmyR9x(-y8)}}NG0rYzt|EKId~%bXOb4&g;L zaIDt(Xh2kt(9oo6#jy}odC?e)uqaht1gETeh&piq0E7R^M`5bzbomJ|{!m_YG90T7 zp=tt8>f4#1kVa@!_xC9i$0{#6DIiR#j?ECJRR6zzOz`%AA>xH_C@(r4j@3oj7@oE2 zSR1KP9h{;}s-Ef+rc_UJ2ve%3+sFz_b=9(+El@p-7fo%?H_jTD*w~q*I^IKws&KreD3ht46%wXY z&-MvZs^@sf3R8`rRQU|39?pxd4Ortc2fGl}vy%vo>i_+ifES&gCUr{n8kaDodaZ-3 zFx9`@U&P3Jq5gOGqT*{>C&4~2(g?Q1;Awn@$s!sBe6{b4vq%ZdZ)e*et_K-Dx zrn?YT^J5)G^_-lvr0T61RDe2_>TPMllYwA@xf)bQ@*?;}292w+2|VkI)&KoSix*w*VWrTQ zs`thTQ>ypoY?3?x?hCN4Fx5*I*0zD_QM_nsmNl-iunSSW*F|Vjos^_Zsy^rwrc@vD z2vey}b&(aO+Gl&~BT%(@(F~6@erIDBqWVw*p;5gZ5qSxioXSK}Bvqf%{qk28Z~02+T>Js37W1O#T-NxD zhqLK-qP*xy8=+8@T2teMDXnJ{gsJ25IbD~(mUti4C%K{mv`TnU29C=ODeO!VoT;Hn z@F@!mjp~cEPN}|>q;)dEOafV9s;_Uq>RM1OZ=xE zN_93Oa$L?15f-M}{j__&0o5{I^d=ma|L876^;PX}RA0o|$l3mSfDr1G>f8)r>Hv5{ z_bW{G=jksG0@ZR}^lpYVb;8E*tS|TG-L+AD*27A{WU6nwgelc`9Kw|9yEd}IRFBx2 z{R*lTyyydmHEm^K7oz%h7NJpn$)`-JzMmjWsm_ZNrc~$Yeub%S{B+nYpjyd`=Eqr6 zHyb+>)%QJwMs;?IGO1c05~fr?^a)d{4IZ+>R8QD=(Zirx#fujEtf{AiU5M(3NrXoA z4U00Vx-d^l1!cy%u|Cn7s^*CO%Dqu}J`Zya&)x5bfstpM&G^w_DgeldPE@3LwRSvSkR4;qr z`g=k3cwY2{%bIoxu?taM8AoVT7wJNy`dO0HDb>$&Hc6)Xh3;3F>de3Ic^Xtt;6>|g z)&$3be(39}dBk$O_Z?WBS0o zL2C>z?heQ00eat%1ULEES|?b{Qk2OAx2APUwVOxlqEo22yNj$a)j!|uv=LORd2uf| zE(dA@qgvc8fvvS_(eH7}r0O<$Fw`m4ZIiT4s`k?T3RCsF|9A?h*6`xqa9kde#?C}_ zn-HN^E#5juL8@+VkvgTi10r%h5Skrpz5{1e`-Y@WsqWttSh0Nh^Kx--%0LlxBV zws!)jGg{_5a6(_6u?Og}g=yU|`|xu>>l9vmaEdh*>n=oVf1fBQt^G2Dsk8=Kgek3q z5fylg`^Ll#RiJe$FNWXJ)KnVkHuz0!UVIQ$P+9{#EHr5i(%WRx8kEJl!qR$k<0=0E ztyB=MC(wh zptJ_*LZfxKPR67)Jivy9Y5g|oOqi8By!faDYdSuOU5M7czWZNp!IKFTx_wXQ5JR~TIQ-DQjk~v;SLrWt)m0NlvYs&Sz%hYtp4N;&>GK+ z%j2x+#4L6pT1Ptwjn>E{Vd_jQ^$Am2WgfD^w04_&g9}<`@Zw`)tf|JwE<~%8DyX!I zY%DZsRb~iNT2*Oeg=uyBbZ4;>C-CCqv#e>X?n1OGse;lfOW<@y>o|`vrFFcEtT3%f zS1(utT4(a&(IIO(#lbE_>o}^Qw5lvDG+LwdHi?}rukxc)SXY=<=cPx8H;iZT;+lXp zovsat)+nl=w2qJCbVjS%B}{46ILHdqs@u4JAJ96R7mxE<(|BwG&-hDmimR!D(i*J` zjn@DDp9G+35(^8{`abi!xPv%{7oV14O%np_LbS$eCU%azKvZWD8m&_u!cJz8NLF+tTd{&w@ zotMHcM9ZNHO6$}B3ys#9HepKZEDKp-S`+7#uLiC2dGXbtb)oJ|w9K9rDaf7pZy%>K zT36}8CatS2tSe0Gfr;WLhxi4&_&S$0r64(c=tF)YO-5;*nZYunbuBcK52JORZdjPs z$bq9S1FZ{r@eL`~bZLm4Nm|$V2*q?Vt*bVpb-hLFwwG-SAkZF7vE*GrvE0f3(>lTDyW@!vx|i$tvhrwCapUItSd~* zoi+P@(7J>dPf4(*>n!X-wC->bnzU|B5vI~ghlHsM#63Q;!nD>7nl5gdFXhD#Sggs_ z8%(s)R6(V6r-Rd(wC>Z%n6&QCU|nHahwb#owxD$xFP;`>O*i`3g=pR9AT(*+lO#-~ z^^i}PN^7cztT3%tm%eikXkE^WXT(_3q%?LRS`SeLmDc?>7MirC>tsw?kLZSlY0X_SwZ z(9o#%O<|!?eI`wqQhhe3PQDsEr~4JA>fRC#2i1wZIFn*cQ*>vd`Yakmms6SQEL~_+ zU$n6hm{NVoB21;4K~&%i*V>V#Z-DAmy!bV^xZI!CZS=S3ieJ>dO{$N@vCyc#k|j*3 zz8VmwRA=jcg{69A)!Dy->eamXjes>hq`Qz*Uv=noGSw#&l*wcDb&oKmI@cvkslMSL zD@^sy=^xJn)&KJ1cU{)>aDZKi>fAU&lj^e}Wm5I+BwO_Hh&x?f?c_x!M|3#eYlix;O^(-R4tji`QT zAvCIUvy@5Ig$`j#b&*Y&N_DY?tT5FVH@-6iRR70|m)NZ7=_Ga`stW^zM)e(!GO5}W zCrqg}XhorBwY`20 zRDtRZy!eYGYkE0@or!8oh|s7m(uIOa)z2(ar&K>jMBX@j9wIDEwdvjeHG%4lym)<_ zHO&sN3sL!uB`MCngifZ zn=qxi$s$ap`WK?;c7^=*kfZ^Z2iO`N5ZtX z@RF`@&94ivDY5JkM`$d&+muPmZYjc|EO|*6I#y*_8)99%EaTr>9qjS2jn4ziTY1S? zxG>GPumQ0=MRzrpr_kBu9xplB#lbquk{)!dvb@p4x^|XBS9bI}Pp1#M=q9kdjhFlv zj>|#2O zmgj{Cjpcbs%B1ClG+|pf$FIy_U9Khj_UL#A$K~n!EFJ-tck+_|xU6ZpgAIt~C0gBB zrfe)USx%&ND$Db=5!9(YetCdnb1nHUb#@1qP48}Nf#qGi9C6 zEbrqbLmk%iLmC?p%V8QC%fU%3L`z&2&%m}|d2AeO+gT2L%kRMQf{Gqp!18`xax7%I zHpB+R@&pf|u{=S~gqFPIaEsJ!!E$jH>vAo(a9ln$;^i{1e1Ml6kzq~dwvkwl%wShz zd1OeL+~dg*wt8;=t7favCars zWXVg8qIK#VuS{USc9tFAtGC`Tc~`J}h?m@$W=($t*nn70+KlDRJ{B6wGK;V+WVuN9 zYiHTxLpbQK%rA%6yPnq+!+;{XOaaEteOWuJ!-ZP6$iREky zp|N~5NtwJ}zLy|OS!Qg?q~%;h?LRfx>!4%@dwhQ8jP1elQC>0^_IO-3C6*sK*w$Fi z)|-P_VvTqP*74a>x^o6=+hy6YrAMVIeeGF4vobmb_$%L+ZAWfwX;0xA-jV; zKD%mzc&9s)m%!hG4|Yvp17i7E5}~pD)TK-wm!D(_Q^)0s92OmyrQ3yAmuty3UfI$0 zadBhJIev@b#%8e_nf8TJ8RGI(*5AIClO#%VmTm=&{*zoQx;u`O8@VttXLzSjpe`u z^1Lmte=~IjSU$^3zK1LiOJW0KS@KgFp|&i6yFK*~Oj-^I3ERSP$@L`dEIYn)<9B>; zF<3swOFO~YGu*?8h~@e$LSwn!qAap3`GszcJT6PtI;2kR@lF|J!g5Q0s&ewvf6oEA z=XuGd1PhKN9{8s!OMdmSnUVX$rcBChbO=*#8vb;V^rRRIO5!*X zu^gtM&aLz?8w&-Khu+W(Vd`RYh)U*e_1;RGpnumQ0gsiCnv zQqN>8kJMvnFlBjEf--4&yoaovWyc?@4IKIObzu22FFgT{%j0o8Jmbf`rDZ9k#*yE{kWAv-z9gQ++<0mwx86pjJ;rEJF{w8p|(qp|QN!CUslLa<#5&XW8)|C%t|5 z(Z7S`YrJ$doINMUaUx=QMGB#@yxgNq9+#Ii1aO_2JnS2mzJNn$n&=3r}h34EZ^Xz&5-5UJ~kkhu7<{PCCyE} zLN23h3un(eHcpbaymT&DULIfrV)<4a zp|O0^rA%7hLG#^$02SGljnF@uQalDmL0#fx%U zvkqa(@_UOic_sSQL)Ol+<3ELddHkL8!P4iY@GJL&>wIiLEH}mx8q3YR$0^;+JBreE zX);!2xor|zJIjvu_?n4piox=IUbd~zf*Z7uu`G*av8%Q$i&>P(EdR+dYVe?K>Bf-M zDa)QdvUZjo@9{mWhCB}K=JB#^V2|JIU;|>A`%y)m2jyj3`Bl9YlpJvxGd|5 zsQm~09a|o9-6P^7$~s;Of4)1oLpLCnQ)x!(9Dj(;uFmlX101a_OQ)nMlV{Is9Z)E7VqH7Sj<1({t=i#5uw2Z`YQgde9~%(MLmh-B%OPpXWR^n^MOU2$ z589R;oJ43WM+M08wk)r>a3-|-h?kAFS@3j-4T$CNI6`B2xJ{X~9GW0ZWqJH&EKk6) z_OCr1e`?UZZH2fJHS)3(GAwvrHz1aF7OAncEy|?jQE9@I@5 zuYPZM-;Q7z@Umkq7QA9(1CnKhhtOC~ic==Cqz_>vj!XJ5mXloM?JeKQc97*+!(TlR zESK=IQ{lLLEsYI`<>@Je#xiMBR+#0DK8|f?+3^qK%%6KzSFl{l%Wg`rU~UE*5X%V~ z8cWBibJLurJyl!Gon2;~$Wfuf2 zn3uu^#PVXzjHT-!EZp+yB#v!o+3|5%)_Ks?V7Y>qUG1}=K8+2irH01xa=kf)TV7$| zB<(Caeucbm&drTr+04tXfGp={umQ20xEafdx(+RQ*`<1RVCs4qI9Mo{ys8HQvb-(7 zX&KZLEL(Wlq$~>-<9K++PqWHy*H9SA_mHPR%hFS9M-C)h2by^4^d#xyKt^WWsWRZ^b&t*w+uss}TEk zcX*lnCg!kjz6%)prmF+ReD3IHYvAcqUiNH?1uJcA5R3I>3+gQ~`@<=O!cu&e%FAZJ zf8|54$3np#brq8LmzH3jL)e0PPq4>q%093Jo9R&IdxAag6E?p-VzWZRzF82locFWe zJPx+J>?sTDIPAmI9KoKpDXR?yd&V;?&%}l$J~$kPe#Xn*g6qu}X>33edpwEIB<2N_ zsl?)h&2O-vZRseVFqPO-dJ@r3CiX@@Jefnb>1l!enA)Z>9-TiM^h~ zWMXgRFqzmAn~YV7Ep-S}iG7^IWMa!SGl?zFVYQ)1Yz6#RK6t)oWl^H{3o!HxUbZo0 z!4C;+K#sAwIa?#o%2_Ea6e-HYp3V}c5?hf|SMLeSrL;~RW6N@wOl*Cc_LF0OrTtW5 z8ot3|7W)k~d_YAVx0_|?-Fz|fGFZv(Gf>s*|iB$oTVK$+NUDJ(RJ z&Cy1{RAPU4w5}eOc-gNZW%3yN-J&c?tbD5^Ve%L&?@H&DiIs1i!=huXyqjh^vGVR2 z?57he@0l-fDGrr{Id%7$zAM%sLHiXz!pOs}F29(KTY)O_dnOJ!bpD=k= zmT!$HdIgRWEAMV26m!VLcA;~q#QsI+P>JoD!(?K+X=W1JBY|_6#QJ0m%QLaQmrnl| zB=!|A9{~4o|5(_-Bv#%{Gn3eQT_~7L>{nW+66<3l6m|6;OjW+COPNe;cb_tuSYMkk zl~_MS=E`5*FGL7)$g{G%zmLo$w!cSNZ75s@xQ6AK*bz&IO@N_a^YS5Swxml68<50y z(_M9946F>AIA23!WmhcEu$Jik*W%3vs z}nF*#i1-Z#>)TY5~hx^ z!5PBTF?L9tGMU(*fHIlb;SOOcvEephDzPIh%4A|A5W(kQ>VB+zM2OIwl}Gx9<(XK? ztO4gjVy(QqJYY+<*Be6;J0y!;O=4Eg7Rto>rAVDh?8qcxDzV`i%4A|A=vZ|fE5@?u zn63B3F;N^M1Q(T9iBFkKtkgqh5-W2ls|`iJa>uYd6Z_%Al_$f{HeNm|#g>>uha`4H z8oQdr4o*@g6H6orQ;C&j2~&xc ziCr6~tTq&hU7Iy5&%_r0{pnH|`W-L7CB~K<;$Z`l*rgUilUQ{?nM~{~pD>l!wJu>Q zv8zMMWMbENl*za7;($iyDfTP>J8E2qY> zpGjwBUQj*37B(b|S6I=bhCuX|AM<|#~>_%84 zAHdWxHq9YSCHA0CnM`b|OPNf}ixZ|2^Rk4g#2(XIZ4#TA!8()J<7vujL$MvRQikQ3 z*tA)ff-bX0r zkcqvTBuphXTMLSGWMZ%Btrkos_F5Lln#AS=l+}j9@AZsfc_ucv|7y7Y@GLK10`HP) zU2H%Sdk%Wbhu9jK*rOh0GBM93OeOZZO_)k-wnv#vY>q>jOza~>(RH!j6I=LEh|na~ z=u;*WYx0np!~&Nxnb;D?usjp1-fPTsQ2Cjce**84PSv}CB=(w~$t3oSjWY=*6MNPo zOeGd%2~&wRCMlDN1#!w`Vkv(xD3ghWHeo8U zFD=4UVqYN=$D%qbzX}nW#J=_^tAz?)zS=V^&tvS)*n~e|=r6qdrwm(iUJ4tK#99J` zCb7k7%H%Qjksb_nDzViGT37E0%P(`r%EZ1-(mI*gw;^FFvG07sRAS$Il*wc42N#)1 z>_>;P+EBRsWE+-eV#gFMc>;#6=jFdA*^-ox4M<{Nr4X9LRwO8si8aRwQ;Gc;5T=f? z?{mh=#D2)qI+@rnE@3LM^$uYwv0rVu)HE2nfmifMvnAI$*nlMV zYX+f7?AsJ&GO_QHgvrDzSe!7G*xxy0qr@s=30fB=Rc4Wn$d|GFIM?Rahy)RAPOTgsH^#N>C;f+dGcTB(`^!vf5C%>=PK4 zXJTispL_uf{he0~gm+1|;dpq)d;W^8^-LzQO&P2dOeXeMnlP2vJ`Q0jvA#ZKGO@i~ z%H%ONAWoP{Yyfppi5(D-I(b%B9GF375<4hOS#2m18<;XI&&1BXVaPfd`UkHV2Je#6 zNo+t8+si|!6RX%t7wW_+x+X}SN^Bq^aotgg9pE4oOeS`aMVU-&aE36I*pM_~DzQUT zl*wakXcC!8?63r7wV_CCSlqBY6Pqw{&4DmmDph(VJfjh5k+HVVncm|Vh)+ukx9Z-Vj~lTsl<-bTMc8?F?LiI>r7&HKv``l z5<5C$Se}W!S@QkaF!WDeag5KFOxF{U#14ht@?jF&-=j<>HozrJC3dt;m`ZGR+XZxHWdAiNg9@CVvls@0Sw*5E2q5a~VnZxarxG(ChKRa)Pgqviq)wfcRfwYFP9~O2BZRRku~8|) zRAQs`RzsaS#!gINok?s=oU+#iW}fv z(wqb~AjjA#4nmVy(xOZzHVTnAvs7aL2@s00^`6+TSLjIulZjoKrFAl~YhA)rV%Ir@ zsl@(gQzjF0Eo3IK>k*lENfpkju>nc!$~Z!k*jS%3 znb^6_G+EDDh z+g-!*JjQlRUeN;*W4z*ic$YL!ZwyK7e;Mp*61yx#nM~~RBw;GC+v9{SsP}~BEjeRl zVz(t|olGq46Q&Zo2Rg`yNo$;4(Mimr?Gp4h@!AwqCbi9O*{CKG$oLuQV# zr(DWvL(%VP$FMvTyKKX`XF+0}dBrO!wq&`F4M<|s(+Evs=IxYVGO>FSq)sLFRF*K6 z*b_<0WMWUnDU*r4;1Q;du@_y!RAMhVl*z<0HZqgg%NAv|p-Ai%MEQSeJ^O(^@JU;z zF1+IHI9u|m7AJ{4nZULtv8h?gWMb0-!c<}}rwLPuy_hprCYH(2I+@t(Heo8UxfWq6 zu{RJ!uLd%)H$sFau{V9nYN3KxyyY2|=P~w7Y~E3j*jBt^IlN2y%E1OCv6ljbCb7rU zl*zE6sOHfvLpm0y?(d6PEK5l*zrk zI3Aw$J^u%KCX?8l04q&muV)BTiGA)8rjD^sLds-fpLvwY#B#o?q7rMRE-JCsEE%ir z$J(@?NolX8<504^%0uH{1j#KtbAV& zHpkdE7OkuIgk`HsnM`brO_@yW$AB=E*iRY4RAOt>l*wZ(n?hz1`#DKjZ75vUB@D~+ z7~5~#7<@j~sXMROWV0oIdf0#@*5)8IiLJ6IlZkzTh`dYs*+(eG)_cNotwotkEE`fL z6Z=hXwb%poo*4VP-fCbfu|M=y3nmlW7{`7lv5i^EYD3ZQ&%m%e6Pud+U<M2TBNhU6IL`ZjgL_DlZo|C5~dQ{F+rG0Y^OM7GO?Yq$V_582b9%@BC%aE zhUJ;q^ruUqd8ci8dIZ~4%PRmMEZqQokhOPES*7n?AZ*p41$GO?W< z%4A}DfS7#1yehFi0bwe!Ju{Ta#H=(jlUUyrWwoJ5Y_FtYoXtPUfj5k7{>dTybKn<& zpPT5!-g-0z|Kg)Y+|w6cP7mgl{VcZV(hQDc-C|7spWbZIMCd6WFl)ZAy=^6D-35D~ z7hBrLVGAGCEyy0&$yIHmV=OvmE4$m2$vx1+B24Xpz9C_15A11ECiehroO+mJc36Ti zwFeH56Q=gS;aSS$9vB`VGkf5O3}v;U*aIWdhQTG`<#??8yAJwhqGtXl=(UO9QrOU)@>!pZjW$^l`AY&^i^Cc;zsUGO0Qo*2o9c zDb+n(!jx*MgDihl-l>;&-|p8mgX;FYvdU$P`e(5VQ9Z&&XjBjLDU+%dNy3zBCFCF< zV(e+5*vzUpGNDTT%5KNMwyg32@y5K<4!rW1I9oI@jSYn5g7+=awIquWW|F`BqVjN; zGVzuTe#ro>lfMd~vce~I@)tH#K4>B1G0r}mX6cK<`A+Ud;o-)04?=BsUU^)GEw%L4 z!O7319b4Kzz-~YxiE$zPcj^8qq;PtGcK%0L_v|GDA&}l|(eN}|RHeI<1OGT1n~Uh> zE*edl+C?W?v`+4#F^Hm1LGst?bcgtn$4)!)%9BCsIK6p9Yjg&C8?8!@Fr}6A!MHkd zL~8OO_Q{qm)R}nYBsZRQyvvi#3waW$;;9v0{F&b;z`&=S`ad}yulo6#DV!ZJ`* zTIbq?DXsGyWdCpJPaSkD90sx8yz(NOEgI$Hxc`6B?|;+1S=h{7*djO)7oD%WlY{J> zKu@WU{WCVRnU`3!P9FQ0+Q?v2nbc5KUGZGz5w{K!| z)Ad6rHcA}J|F|$6=P8TtHpJ=RcL6oeek6e1wkunC2u-j=&QIq7Jy+?`k6ZnI^(X0a);yeosySl$^@CN1ww z5vD9}p>@)-YCAni-j=g(m~$mq?!hY`OtWQu;y4kpoaP}kmeUfHNz17|VaoDumoo8^ z#jD~Lvb-%Pw!C*4SoVRz0bABrPfjd72fG@}oZB09Tt4cOI%WB=-fVFcNXzYXzq~E` z&AR4Hu-ubZJ^^RXK1rO2SUzndG?q^Vl*ufgatKqFUY0Ux*(-xAZ_D1>HqQo2i&wso zVaxiZumQ2m_y~<Z_E0YogM(ozPxIChbjaA|t9t9fz?9|72`m&$W;vj6%NLgRx(Y1!;#CLuY}r8>Y)UK#1_+JiK!-AE zc~F)xX<4;nNSU-OP9w`Z%U3Qa-wG`E=2e4}Y*|7J5zC+iys-MAfA6_*Au9t)L2k4i|+=|Em} zSBfn=R>wgs?{TrKu{8JFW{;;mQm3-KO&dWSTH08bx8?a)-}O9L9>l92h_hwMI5r@b zQ!RwX@*z6AI(r_nNu9F1M{l;Vd`YvsEf2i>-acSCkXJowv1KPFa3W$kQ$u6u{{yyge79Rbbr7$*7y>;##4bWret=%pS+^Dn z;=?Xpb-s&*f<+&BR$br`CO==Py3i*~e!fz5QHZQC)zhCJF%eV;^UA+$wrsrKE#_mN zsta>erPldzoDNjg$3A~%2vZ;XY)T_5Ol$ST;WI&N2(NrE%a)z#VHcxS`TuZs9dJ%m zUpMcu_7)Yp;HcQJ1#H+yK@_&lF1woq1x5ud6oV)VwphT18pXc~wy}4Oz3T|B0?BI7 zEm2X2Vpog}Y~XiazZ;C3{pROSPEKw)bKafFBpE`d7f0#V#Doeovfi)|CuO~9E3SL8 z&P`u&8^}6{4#~jre7de;7Iw(%om}7xJLGRmwbKhbWI-5l(!m7<#7U_c9oIdnKc4?- zHAp>}4rvQW>$r%jj2v8$RHT+Qbi58U4z@ZtZe#$nI;2g>yADbWF10>EK8Es z2{~06IrxSqnJnSpLQe&1sY3!BCmmdp#&JUGQXSVlsUPKAR)Ew2bjXizXe4}98A)AY zE7C}v?_rJ~EY;S#a}H^p9H`1j>JOSTQUh0! z#?_Sp;)K+p&-jQFQine4DXx1`Mxb8{aYry0Nka{>Bx-d;zr|HT@>d@EHxSg2Qr7q@#)cJ~HuR>Dl z{6LXxH~Fj%U8pF2?OXmUPWyv5KMPWiphMe1>P5CLqg@?3ztg-4sVgiMs0UzZtD=z9 z)*WHE$G;K*rFK*59=T06 z2dPKVp(|ikFHh<+#?|0oq`sb0fkx{0Hsa&}{9qwY4!{Z>*S%RC=-qTbNIjYk{nI0@ zGdxupx%z!tkxUjJfT0Ugm=m-5yP}Y*zXysmuKwXGu6t5H{d3N%Al0UoTREilYF)*= zG7bH`ldD2j8r7WwL9%|xDbg(L9}eQA ztX>7giG{7)DXh5expn`s8?FRd$I{BZUN5QlI3)AK`i3&o@!?n@gyz5EaC_q*F9NH zCk$n>PN0>i!ED_fsBTEsNm{FMs~&UGtuekzHnPU*g58sK`_gCOs-edaS~&@3>)y1g zjAV^*6lr9Qh#*eNI;(&+{I5GQ3#_Yo)Enh_|jd$QuW zy?TSJDq5M!k=Dm7RRzhKuC*Fj({d`%xMf}@P3P41X(q-@___tP5Y`Fk~Ld%#;qIDiZpKB z=OIqYy5Cn^_hj`P)Uz+hs-=}_n5}2^&LLU%MO0}cYmS9D=~m~@%*2b&Q-O->o~-d_ zzX>zZ!=aTg!)(3aswzmt#!k-IMjfRpA#vRvoQ;J49Mv z*2W-NFQ!y!BkQRI;-sv(I@!pY=cu^u$y#_t3%d-grn&fgM%GJd z#7S9M4{_417GH7QlQsM7FgrmEqm>`QBEF$3BU#x_E{NB}xq)g2Ql+fCqR=9KWGS+H zvW{H--~y1jQnp2MH*S(yNDCAs)&s^A*+h& zxbC^N*XY`zAS*_zHg-sB)>4&?TU8;w5JuL@lnMk+%GxlAI4Nr*PjTIob?AUk*&@bi z)z&au?-f)PBx}R4BDJh4q63Yro@pG%N4{#SoZ`ACtLIp^31khYRTj+FqLiwFWc9Qa zX=H5_L7a4}cYrwQmZhj}%oe*r|Mc|4LqOJvv})ggw6^MQ=5vXv-U(Hk$r|Cp$IDe) z!T-f(r0%Vgjf4Ayahz~)Ume#y2d_S7<+~vDBwBTdMOr%?RT(+Bx2H%gYv_^`;-rHI z>0}lsWF4GPaov;kWB$$iK-LIabqpK^A6u#llC=|-AkJk~`}iu*xOH?AaZ;A;DXx53 zY+>hLT)|$DM$)Qkm$WV}s48e-j}9x6xgZwyU`6p4AO0d$H8ibABek;AE(r(O{Ssp9 zp41Ps?3eR;jG|R>a4^uSkkm>`l{QlQ`j`_^n>@tH#f~NsCtZ!{xbmg4d*J6i{$L|W zb!pY9a1<|#sLE)uqgp?cDjl>{pmFfz0C7^*sfy~xQOsl=_we-xfUJ{g)kHWnzSLEa ztSVcvMpjJgH?qb%I8H3=goNVCcZ<#7p47vpe0L{EJ&jg99gx;lIaL`+ zy)RItkvc(9=#>3bM3H8((_zF(SIu3!r3Z$M+t6pz@Tx87=lxo+6o4 z>7b&RRO#R=Nky_a;oz&D;>wrB7JETM!#I$22Ce!CW^lEysvrm9%T2klcH)GS_Aw`< zwj~iKrMA0>lTtfu#g#9WIoMj!e;tr|CaqfPleSQxsvrm3w5`U$+b}0(1(=hvmWEZq z?#Y^a?}(`&YaFfmAwt@Eq*WCp>(z)NjjZ+@;-swaT*OIP-zODUzAUz|duE@x0A!7) zReyv?+q$|6TG($3sC#A0Hv`b1X^8DAZ;6H z1xV^jA4!li*cQedms(A2#0ja@JuJitSF0;@T=`Pj48Cw%;Tw=TkydXOCT$!0sK-}7 zNm<(@5GUQ*Ru?Q^7MsCaj~WM8Ry`85dUuDkZQ-iQW?`$h(VU*a>P-rYG*Wl<5GSSf zNg_^4-OW>6_oS|W{)Rt+)Je2D0yDT(QdL1x`-By#rB;34i4!xppN-gO&8bg&_58T75XUx~-=wo5il)FRe)AU<7ee)}cwnNm++^itC=N*RIKZ1+pg7 z>f@56ZHI)af@JN6C5RWe>iu&n5Tr_31Jj6;vIgn6@@26Zyk_Vhi$T^ow7Nb)+Irin zGFsSyn$!zheb~Q9t@Ty1k?MGelTzz+T=`Pj!cH$#T>(T!&RM$C}6NknaMVYIa z>M?;Lnfu~Ac$%WR@ve?Z9bomn9i*N|t0#lhJ+uNObxcClHj7=W0~sfzPEuh=>ZCxC zM(Simbwes!>;tzZ=YrJpY4ycn($-h2LW?~~lSbC)lte-x^*_@q^ub_*|>G3j_aPR1EwAG2*{d7t8apZJ-}8w zhh)vroN?>If+CGusQ_`(t(z3p%|#q@%Sr5Y_X1iCKS$Gca9Eeow^LQ8I=LVYjVmn` zXr#jX7`Yj#cLs=)Qt$E=*F6V+?Q_|)AoW68{V2@ap%GOD9U6E3i`3z|oss&GtCEe> zhi$}3sgLNm?n$k_>Cx9g>P58rMVGXh+epx2Ka|7m#1VT(3UP7k1!DoEB{jv|d)4;K(8WxeSmPP*j>itC=N-ROp&fUHYsbqlz4 zjP8aO_RUVR#0X+90GZ({bIC+B*1yCXjj=tuDY(T&XJ~sY|e1QtComMH*Lsa1ke^uILmer2eSm zx+nFoyGG6esh890KO>~A#!}tTV*ij+q>&Y*5hrE+?jcUj;2%lFbx&5d|E2I5UXLqi zO@&9=oPw%?WG%%Kz=H~wtis&$eK=THiwhTFke+R z3tMBpb!6?t4E_;TfsErbShHz>I4N~AA8}G@SjRD`=vOal&h$(Cy{cnYE*k(UXV4n> zrm(F^7hozEyk)`nTs1wCA-RDf@wdOK+da(9g-5hz(*)wg->a(G%)#97fW?is6<4fs zwD`f2wf~OVlF?H(1(jFQngIpUHeBVypIYS+n$#+54o@kPaYE(c7UHDJ9sWh-7*E9& zt30)om&+e_$AQYLXw7LhX&Ygw0!U@Qup*7hh=)0$@{9!Hq{<@|#m_pz%Yy?&uBEb+ zmlyZlb`MZ_HLW=WymWOVq;eqkL#%ksvAUD-vLEKSN~MPV331|Y($(A@QGAig(mzLb zZ_j0OLFF~H##Pr1Ex8kw)e1Va$mYpM&G1%04O#dAYZaD^gkGmilFzvFE(?52&0;YxZ$S z+Zj1s)f_$hClzT_?pMH^P`MwDlPd2>pg8H}^*XmmW$6{SfBkrKPhVxK$QKx?iDleWovH<8LK zbycHsMp|_;Drew0>E(5JZ-mO)T~#W7!-Zdal6DD|v(EYRWl))-HB;c|nUd5M%v?^z zo#cw2@2Nnea*~U<(q7hXP*8EjUS8srP-!1=+Uub5Mq0a3h_p@3sRG8!+D$w~YAj8yh?P

F?Un)NxXRj1 z!-)G2m2;CSu1IC+WBeUw_OYOH7Oj~FE1uMijLMpKbXDzT%{w~Kta!7hx&tTXvUV#) znWLw+m#xUMRla`uq|-p<&9t^xfwWy7Q3a67w5v$1versrPIzfqh!ZMn-qi(}a&ac| zRao)SQ`$>s<9}RG`9E6oJgA)E=nCfOful=qT4l{kYpbkz$wF~b<d)H&v<@YBQ3As|`pJBxnsq8l>QsPWh zclH54g38&n=1-rr-IP-WjLMoXJVhFnJruaNb#tUL zn^RSd$`vl=*oxzg`BvJ?r8>G;W#E@kd1bKCj-c`mTC*rY+U|&$X6hKPS>`CxsBEz@ z*DaN`V_lWImdbDmmD?#;_3)m2-b1u8vS>xM|%BU+I8#rN7{HOUmccCw}q0_QW*i*v(h8_N1KR;5S(3 zk8~L~qJX(*z_?M4;mUMu{NT551IO;6wFebQ+fz_lZR%h2sf~JyGLAKN;_eAp_hImV zu^GosNh5ASv&Z7hTYKYJ=XajMvHf!>S2`BK^`v9{QYcRROBl8NHD??j(6PdGL`P$a9@ z?6KS#en@V>Nyo1AFeeC(%=u?J~w0OzsybOGepTuW6oj!jQsPB=ElMVxf3!$O=qD)WlsN1&Loe4t3y zLpb)4k2vYr#~$LOW1l23CmdUxR-AF{(*Sc(ctmSI4;!vb$NGIesXsXO5UpLAByDZB zDu5izcXCG@m5)bMAlpOX*jqXoIO*6ADIC}AF_kMi)f0~W=-@cvSONEtj{OuyaniA$ z3YZg){bDK3IQFaVVP@>Nq=_rjv2%C4{3LMfVd`uGN989eRRB4*B2c86v3ZI@XZ{YI ztQ~XA7j-PR*#pO%ikwPj9CyrFCyhDon6qxDdLnnd1d0=mIqN%^tMSu~k*$*hg5UoUs)ujJYUg%-P;kq;YJA zB<6%;JGz(?j`gw;CuYp)Z6QuNwv(b*UvWL=SP?}U$98rx7Y*3z?UFKFnT`!P;;bRy z*rU`r%qMMM>qf}29SW+daqLGQbNr}uDqO@#$NGg4Cugi*r+UJ%{y7{c96KP5IO*7d zDa1*~4)QQ3X6#^H&o~C(Jju<>n7IpxmV0$^&Co@?z_G`uDciJ#7W0aa4;tv8xp~saBOI&dd(i2iJ=7)CmpNIVNN(!ttj|JRbG!d zHM)m!4Bi{ct!&4pjlFk2aO`pFoCat9Uv+cj*a^O>Y8=~92Qp4L)-Q?Tq+=sG#Wj0u zuScd)oIH<>N?}eoX1>ma9&*M`c2Jyj?34)Rgkz_=iZhOl);)}4V|)`==23Zl_B-}h z7oMQbMGk5E%Tfi9W1YUU6IZa#0k#S>jvXH$PC9l@0&#N2&c%Bz9h-vpT3lT?=Y>(O zbnLtW;-q6!6@|`Y({w%K*afZ%G>%=EGF+LC-L>ZAf55RPsdKYW+DSqcK#ra3E7Hu^ z5D#-=#zxwRla5`BIqBF;3&lytu2U3$?G-b2U7$!aW7qqLlaAfsVNT3gDyKN(*iDM! zM`|=+^FAx0$g+>hLd7jJz_F*O^QcGK*Yi~Yl5>4&vmDJrF^hbnL+}=7eJp*@`ocJ*<0}8GFPtab?cfxf4%< zvt*Anbv{UucJs9#a_l};l&?R(f_0|oPR6lo(<;z7_OgXI>DViZ;`M}MuLO!Tj=kz5 zPCE9QhdAlj>q*QB$KKGKaqP`bTr^-Sx+tY`%bu|ld%yiMIQBGkzII4^PgfN{j=iGS zhV6}T?7B{zI4bY8RI+jGb6t?-HhWBEV29+!IN{h53v*(|mMV%lD;--JC=xj7*fJk; z!m;HA#Tm!Gv@jP9n3k^`!<9K>*DrtR4{+=m>ilDo_U+TE0CKF;{ejZ4`=FoL*j@|A zUQQuS9+kggPCB+Sf#RfNs~pS;$9|6>PCE8S7;)0EKP}7&$NtjwjAMT%Rh&60SEmhE zrell7_g@B%Jxl9)2Bdvwl@EWa&&QmVfnu3EV#Z$8ii~5Q=TMw*tZt(M;)G*$8{;_P zSluRR9LF82+cbqZ;aJ^f3B(D<>cS4@xMOvjCl#k1tJ^~N(2muaI}*x1D#w2IDHQMV z9Ie|YMB4Yz&5dJqo7k$macoHnb7IC;DvBRP{LEjsi!R7=n>{!Z>UNE&K*sT-vaU}U zbHcGc1;k0mcFQ47I<|WnbHcGb0>v4}_R>9!V|{HCSLTfEog92HIQBfPI|g1W_w`f( z)zERUqTIV|5$5h?6rm0Pl@-?9dd7la3vhz?^XGa0hYHu_Gdgla3u3#+-2M zC|hyHv7>blDaIY=7eJnp5lySjk4Egc(+_gXr38s2N^*y#?g z*X*$)`iuzTq+@4>F((`wXDiM)HeUBIj!p1PT$wW#ymQjE;MhyFZhDTi+bLB5&Dd$0 zGmae=DALSWZ4zllH-Zu3%>DB3F^du{s-bV#dZ=h?9DVnc;-q7@T9^}#-R3CHI5sZ9BdP$Jv75t+G>)AXU`{x8VG?oDu}56Q$r*dp#+-2MF$;6TvBwo+G7P(06DfubH=fobs*z}V~^xipmFTWPI1j1 zQ~4E+lSk#(X_PC^{NJPyCms7XfjH^dcMj%+W8WtgXB_)M_h4K!U|Lqd|HVej{S3C> zbKh?Xj=fIn*GrQ2kvUZWIrg=!NaNUJDa;ASau(v`jID7ICui&*8*_Zd>O&Uh_>9#P zMVX_ro&<^nEyA&S>SK;OR^LN&+OhhIP8@fveqF?sIb+{_zw@i$*c-IISA?{WPKWfS z-X+!jqe$F_+ePRv;Swqe9c z$F?nCPB^xm=8R+8cjBS})3Rek<(55Tm)&;qiQw3qwEjS!w4a$$1~-Ggz_fN3$GC712k?mahVXa2Wn z{V8G6KE+XukYfWiXB^wwSEN2F>-W~lz{welVNT9iJc4tDW5dIk6OIipAWk}VVh(ZA zv6Ir66ON4t6lWY8rF$61T-(Hzc^TNL3n)%Hc2y2>(y^=4m=iO0O`tgA*i7BS%-D6di7V5ww$L~S z9DAGAKkt%uBN90_!&6m_W5bh}6ONsQl}pE-P+{EJW{OTY*t!V(C@_S-*y#g9J?}!IpNq7Sh;lUV;jdcdu&}l zu`nkbTdXK_9$OqJ68cKVKJ^hN9sA6~oN(;(PVS6!S>Gip%zR&2zZ7;}Y_!~K{`LDj zk_5-zrSWPjc~nMU+0zHd=F?%}Bx%1pp$Z_! ze(vOsIP*8#D$qFgaT;;Lv0>|_5GRhxVe9KAESEbrYy$_!amR*j7(twHY}iI�kfS zZB)Pu3X0+eD8j6Y#|+XBwSrQ7EuL|V>=}kX&n0{j5+Suu=N$ijzBqM2L_5{ zxy>GP>>wX=!m)$l8L_cA;n*Qb#7V~nxQLUE9cp7vICfY2F$Sr#Fgn-EOq-O;8>Op8)uRB7YeF5a;(}@q;br0 zF(({5$U&TR?35(pq+_SLm=lhTwlODWY>b6C>DX9Bu{oBGjSUpZIN{i7nlp}_-iZ^A zooR*SM$3Jz-1xmg7lC6fbl7ES(*9ab6+n)is!8M6Az>BBIN?~8k2vYr`JHl`J+`h> z(DUAL!;b~W-lxOfg!9<^lq!H6OBEDp95Ww1 zni-pFqd4i<3rWOD$6j=a8MS>RT*lS_M8OL6?F&7P( zV{ar3SEgeVtPK0KCr5{Mgh~5*x&U(Q#hj{Y9J@eK{K{0!SSqYYh?9=B6c8sJd#_VH z;n@3W948#hr4T0_>+}tZoUu;dph(9)bX2Z!thEyt4VYu?h%3{v6ZY$|2pn5Phkfsp zc2g8N_P(vU8^>@k&JD2lr+9Qz_rB_+GymV6dI-l>J19;%wkCo&>DWJE%yGvWLbl?xV+}<2U|ci+ zEe+H&ab?aJIpwwq;Mj+>VSAUf2f8_O>~B?+&ji2bAC|Ke$y^kUEl(j%IM%Sak2rBu zHf-TxZl1-IZ<)j#cdVhOi#Xv}!&Wxpgkud`TbL7$ZKFBk*tVTG;n;Sr$}M}weyKTb zFL11tHuU#M`xgaOz&O^hrMA(`*q4q9G>-jcAx=8BXMi~A*j_&7gkya@%n8T#P9jb^ zwvUTA>DazD=7eMWY0fyderUFShAnWMbnIwdki|87Y@X~it|uHjCWSfS*s%%3Nyi2{h?9;DieOGScAVynV}m7IzUR9UE?APB?aALUG2ilRV5t1LoL>oZ-rJY|K@+^#sQ{Xv35c zXZ0LnPsJI>ChH!Iiv~=~xhkyu&tO-_4&4MC%hQJI;0ktiLRZkA!8S~E6=@th zR#E19tf9$KB*aO_E>9y)&e#NR_853h`%TW5p8%jMLK$Dk!Z%ItD@$$vLS|5ir3192}u=b9Gl}J zPCE8zfH~pVV?O4DV~=}?lQZ^24sp`4rxeBX$r(#W6lolL+QnQnU@P!U%5Y^mwqAO- z3Eb$=0K6gv3E3Q9DBDDCmfrPxH294=@s`_aO@M>up~!1HVcRJroKyR zn443aacsKo#5m#Dl^%+dj&-CECmqWtFgMR)d;O7vIpLVOoQ59Ku}{J%PR`gT18fPHsjc5x`#O`KTn#tG9B~3UvmvOwwN}+KSA5kQ#VI5mJd`_@n^~_`ld#J>gg(i8j@}{Cu@h58 z$=4Mw{1F`#A^Eq$jM@%UVsQeHmu zuPs5!XOL1TmU5&8Qj$u_@ex=|*;T3~9erFHJ<{qdWnP*_kLQ70N|mRieDzTBzg*e+ zGBO*ad`=sy{9-ACJoxn}Ur8C_fUtt-s*G#MGxIzwg>>u&+z^LxTuP%|rj#|yKZ5c- z0@~ORDV8$WheKXVsZ5akTvv2eQX1pn%3xoRLNiG5XtXlHI4)(lQ>K)wZwU~7O&O`36BiknbUx)|HDPrC3+kg}9E zj$F%?$`HxVv(*9(i_pf=mguTyWp|j1O2)BQ3^Cu0qhXYXMHt7WjPaF}E@tJpiATK; zQkK!iu~xAwH8yQLGo_@&b0CcGtUiKhyi+Wt-lvU|v=rAS`L`|6RWH!lAf?_Hq*|ao9U66Q#&Ic=%ayX_*t^aHDPPdW z$!kf0nQS~iP_B$kfs~Z!s-;YYw&LxHab>S0jgIAkT*@?kitA#5dMysW2~xhKjnlkh zS4QP%<0X!gG65#1nJF=+IC$Ep(NTOcjFi4GKX8Qv8<&zSl(|41SA3KNDPPgXOT)!d z##*#-dRj@D471XlU>(q`%z(KVYY9><(B1)!inkVA%9W`yr7U^l^MgUk*R=7+EgCMrCe98D{H?0a4JaohBjWmmMfECR&FXN zSCSEuf5$13GRp-ATtO-+`z2{K8DSika&x(^9DV+qAA^){Y2(dnxiU3D8)s`*W_TdQ zXC2T2%?4MdCIqRZ><_at!($wmGN)WuKA_77fRyiOj!X*DL-&rKZ zvuN}ZpS3k(8wqIix-jFolzW^qXXPk5>Nk+`J#DziG&(EE0=bk&%6&Q9@4Z#yLCO!b@e#LJ%1n~!p9cYu@vZG1gfEah%EW)|pKc|1)U-%7F$dVxsCAutzr zdxBI_=6W>xc$#rs%G=>Gr3~+XBUI|~6K#AuQY__x97xf#@@$AUz8gRTEM)*V@IX$G zO3H!|jXujLA!T8?uKaV^-S>f%pK0U5wOo1JCi#W7>=1o9K^xyovJS|VLt$1Pw*{%B zybrFtoM0SxWl_1V(umwhQ3Al2tn!1>YOLjuc5bDgh3J1*u&58eD0&8ONo3TdpfR z&Tnr3DXVDXx9MUj@4Fx+p>wsK2IsgY;K`JRfrf76=nsHppPoXkhx$fQ_ zo(Czv)5f3Hy3B5a1K{^pCWdTft@S!v^oVP<6|$XjNyKrZEXM@i}8g7T4U z%MKvr58C*9qS%$iuq1!GN(%h!c)rEyBn6(%fdjT6MIh-o)~3;KIme}}F8A8@lhg&U zQ$7Bqjeq5er7TU;CSofo1qY<~qN}=!YoacVF6E1%TmdQIN`Y%;QkvlN((*n@c)EVO zn?TB6kg}F5-@pRB7g17HrfAc;va4R8fk_(u2KEIV%uVZAG`cdyI4))Va>w?Qw}V|l z%HOnU{YbGZ@V6E7?|DkfYS_b#6QZk;QlQZv13}8brj5as)r=BSHc6G~N_fq|?}3!n zvt&+u3{)Q#l-cnLFOn|VY=&EOBdpL0a=8IuwWl)aBHstnkDLa%qwy9SJ!HK)a zKeTDPRFRYz4QSI&>TDYe!*ab}D3W4@D1-@8XeJ%Ur6>e5j!Ur$Wx6u+u5VYsKOzTn zuv4g5%7(!17E-Qk14mHKWgYYa!P5zFfN?-7DT6`YHfDi#_sW#g9-Fxf`}gcBo8roO(C(#r!WInh-w5WEwFup%iSub0O-?#jOUVD4g8u1P((0HjdbwC`F{wuS}j zr%wE_K4FqyWU~&)mHx08TL*$vuE2+O5X?9(<-kyxuDpHIQ*hu_^q@@#7N7WIy&|;f zkU+W8HwjYGqN{f0P*{v!kl5t$hVTm%OT;tt-0Z6H!O^2;Dw!3h9G7yuKE-u0D?`2xZvs-*rA^1Di;p09$Jqp*ONx)! zVn?P){zKLPyHW)XL;^u7SE^uEj!ZL-OQ|V$RvNGAxE7?WN1JNanw5idw5i@wQU+Nd zB_X(zsl4QSJecCnO!Y1%X@q+D?Vl5cfHSM7>x z(b&LrXDPKXD^9>TF6HEM&np+~-uNd-*^oA!yw-VTs70H`=vj%vthD;919D|7bHEa$ za>XgoSd`1-Qcf>-R;=Ys@SeG1BieNOTC?ILXw!H-Df>y1E)rF&0Na4 z<<81=ubemwq-;W)&RuI(MmV%-s;#7)nI!r4aFLX0%mJsflm?iUGn0(tQZ6X>ymI*R z-cvxzrnKpTwazQ2`n2hiw33o2fE3;VxsuG$*r`5iYhIZf!Kp-naa_t}dRDslP{XU5 zKOLlOMw>2MYgWz-L-T@?a$bZs&9GPpEroPMEpR|uB?Fsgzyh5YVS!xARkk{qyO6TM z=qV3^lrU|&$}K*a6R;%k@7~C>?M2|x%v_O_7_?0!1*xQ5XVch4KI6EQ>-8zF3n>i` z&RPjlHm6P3uXT!>0>=!zsh3hNPt&GZN!9_m5{J2%;tNtqnFR}Ud75!t%KzLlUFkJ( zyTd@r7PRSq$zoS7a!5X}$MzaH%gio_uJRN|I)+2ri=56<=D0L=jm0=F<@Q{eQU?C~ za2TX)Nt1}S=MQz_b%_E`ty%1JOQm)n9=t~?!~u~dq2T*@=$y7Js}hrmb3 z6+LOwGi$kWb(-Wq(xg<;4_@-O4B-<>hk6c8|ep?gA-W z(WaNz8rzfwQc`MG?sRC=>p9U?OBo5XlClJ;q`YC%*qsjJxGQg#JGRFUx^NLl*_t-N z2RohLlErRGk^ILYCFOpYm7=evNXICcm0S2?sPhVKY7WuZ{ahZG@=ij{N*9+-?R!pM z52S2Eo8CzkOSvPUO$$9G1%B=}|8YVXpkJ9YVH&$55Tpns9Vf&5JnAuyOUd|UO1W^) zX<&NAwzMg06-&7f4&R)1?tlXkK`JSyxHR^n%Q!BjBUz@D+``+B0x8== zQ>R!;+M`XM=&^-QyYrv;tOIgoG0a8U6Qq)Is!d~JzPXgeg)*ho9I@`#AY}(=8Y-6Z zLV-3dv6U-t!&zprG(gYFk~EFIP$-fT(Ae8HRQD@f(a=p>CTOf!y4`8uqmbnzN=%7q)O3sQPP)3wHS9xTbH zVdY9LN1MJ#iLUy*G6tl~%V8)522ysWQMgO0=*0hNf=1UVC@J5; z5%d`!0VHKz7aZV=VWgZMqOtF6EoHrO7wG8mpS%iEcA?Sr)|!=N@KNJNTFOu0%ICZT zlCm*KSr)LiNJ@gnelo6XQm&MvR{XjPNZA#dy2Y-13m;W)uFosKhd@eNbXBtw-2$Y1 zE3Hxsbb3HzaDsr1FVL3dO4;J)u?K>bJ~X=JTCNnpm2Dzwf!4qg6j-bSa%CHj#tMNT zm6S6a8e78`jZ4`!RpzYx>x1kLh?&k1MJE!Fc)hisid44VZn^# zQg*eJD_xvzTkKK$fs{RHbXU7bO1uIFbxA_GvN;@2dpN9vULewOrUwp4QU*r%09Q6o zXeoQ9m6R^dwgX=|ehx_4lScOn7fadDr_p`2E8B)aSkOrdjqYdB_=dh9l`G@IG`?+^ zaom;t%N^UMi+i$nhkMcJ{>5V(-y9aGe_FZH+a>v>on4{P13=2=tSdU0$0cdJx63## z<$#=WrHchxn!lf&SNcNLLa{5`c+lKeQu=r_I>2Tf&;pGs(D*iap!Y$`0e7`U9lwO{0evk8K?O$?cD}%_%8;;VdIQ?L$(YfVt>Z=q%+ZaH?;Paa_t# zg)&`9K64Q}g7%@&qt}|1J`wVf{^ldz4_rBxcR*6oaJ2M^be1yErVuQpkgxu9)&08+ zl4ZB&#J^Pf)hzer&;>g~^L^o_*d)8l)@=G5I*1o%PpH$mx`UQ+u&@8wAo_gA} z;8s_U-8;*2#HJgF`(hbs8XT{i{&$1b!NouSX+eYi;RaTp-4V;`h)p*b|?$1-+{~j9jr_l!Z0(j)V?Lj50!#C4lm=65!2CIYRRqKp{1_#jS zaQLQutR+jqAKIcCTal(gT<>aE4Gy+CHiiZV(&)(+yMI*GLJjJDMVbb#t+=iljJkKb z-J!ujGm1a+-o7X zt{R-*uq+J?4x!O=U=1z}t31@eER1O|SvT#f!8sSc$1d9k(C9Ru-Iw_<4aTPwX&Ouo z6xUUQ-w%v7L4!kS^wKc9+fwDBJ(%n&(lkg$6xUUQ$uqaS7aAN!qgN)`J(MaBHJF-E zq-ik2Q(RXK)~or|h6abjjf@3$XQawQ4KDE&X&Q*T9vRaM22`0OrE!3bbr%2OanGXE#2CIX{n)8l> z2197{J6MC?6RH+!u-I0lY4EM)x@vInoRjW^219A|rwF@8P1QmT#QkUN3DW>RbCcVD zH<;y)n=zkVRaDaGDv#ZHrt(mOZ**7FU}Z`LcGY0V??3(u8dTBf8i(Cart(mO9|J|2 z2CEg-<;m{j(WlLa2GulH0ei4XS|^%Q#Y#(&x`Dg{jPd`y2djexM|?RK8r0C(Mz9B4 zB~>l72Y+ijOoI&*DzK{tr@HS|LxWlx+uUb&bg4YkK;Eszo-hrLpX&gTkzo-hsi zCRJcp4R&(tMni)J8tV^ha9B#^p$5BpiZl)SIg0D5!6v6X{0TH@q_F`OyQ|4;dXdE) zOY8~L;1F8{cGY0U&;jgJ(L`fMrP#enDi7^JBv7PjAU>I3d{+$~{NNf0sfg0paUpgG zlB$Ioh`Wo}6Q;o+9oSWa6LF|MlyWblnILW4Ms z)%omhA(kUHy#@odZ)OjiK!?GOmHsefbr5@FdgF|iZl&I zM-M;ZAF>};w}@$chz9Z z+m|hc2BT^0Hiz9`qH3WA;@%PVglTZAj_ayHWc$^dLW40hc9+NQ8qtaRx=7v;!k#b< z?$ow*)!^0j+kb!tV`=OGSOfE31MR^rDb>|9xZhITe>YeiTp8YaH)wDgjXjoRcX+5g z)ZmUlk*0yTdxP;^HTZDTt76dLbhyo;!0y{nwNQim(uy<%0msrofhl~)8Iu{1$Ncow?5<*XmBQty^&`3Sg1VIAnhsAG%z0tbk*RV^N;u# z8jPc{W{cf9VK)7W_Ci6Cror2`;<{>Z(BBt-2Mxy4SSH2phfsNF4_vBqD%vE2Lt2(yTR(>G4h zie<{Lx#YLw>;{VcMLtl}mf%wjw@m)1|w|DPJ z&|oT!?_{%YyHzc;2b*ioG!Wlpcimu?d-les!xcisG#cM6&A!9d#f(MUc#1R)`e^RI z_h6QL;?RfJhXxnW_&yf1&~eRC?Xs|H_P_Q*ES;6fVj4{LDrzpX)^ zh$?0p^z#%)LzG6v4pm%4;|Hnl@%i&)efZI*<)`={7k+2Sq^t)?@k99Iii-iMyEE2G znwKt08X%G`q45DFlkzGD9O0b~6-jWD!l9*-;FpI~mP{IIGos>B8XuaJ_a^WNv`>wr zlAMD7$)rk~5fzuwcxB0?(J2G*n^52XncfQD(N+w#js5#jZCr!6)74Y>6c2H>#-QN$)r&M zdr)yBjk{K~x0DlWwE&Gef14Rt7AFZ8B+`%N|tBrtxtlJN=zwF>I3qJKkpx zD(2Amgi@WFsLNv5CX*&w>_NrtG(OQSArJmeJ&R$Rly_E=J*c>Y#?SIgC2btA7`Dlz zM8F7w^-BF3YNTfW|NMN_9FeU@>fy zoi6g(gNg@f{Nhllq^jb5y2Rp157GD~ZmFbUHj81K>~wj7J*aq?#;=H!N{Xjh4BKSV zbca2tc!b8Mr%ENc7K>qA*gmMHh>X5PBuIU4_8q?EiDd0~`vi^CpNJWu2BH^oXU?i)6XVVmr9 zYnnZ%c!9=mEtE=XW+8l&NwY<#7ioNU$xfNDN|IAPM|66L#^;pmluHyP-NCC=yiDVF zIHlyZJ4H!%@+uXt(D>l z)4MdDF4<`hpJ%}TG(0s5eWG)$wM~KxvM)Cmlaq9PI!o#nFzW z;};G>y#OUR>d0?Ai|IT`{e$)X^v`1em#pL_`5>ur8uf1dH6t%ZS{Z>91Q~$aMVEZ z8#o*_0`fU(BKZv?97O?n9K}d}qcBHtKuL~<11fNIBFS&;a&!`)97iKaeiNIck$}=1 zjUxF?Eso&t>1>+f=wy=LjDyfAfD#;@3Mk-cG|7h@j>Z7;IT}myn@2c04Uost=_J2J zn4>cQB{@11P=TXyB)_H0(Re^PjwX@6AEz zB0vcaF9sBFbP37t$y*VQE(7FobUDfI9Omc>KuM0K11fMdgXDK{Il2;1 zj-#tcepj2Ls{y4sx`yQYSR7poD8!RQNPd9L(aV6+9KAyF zhguxH3Mj?VYb1Xd2cg#iB{+NoP{7ffB!9TWkq^k{=q-{zBEr#JKpsc)NdCw$N6mne z9K8dmz|p%Tf0WD7d_Xyl7LxqYHb)siX^yfaZ(AI-07`N69?2iWLFj!z2@Z3B0*)4u z{IL#49{}<>`jF%YMmTB(!RINq(@+(I zz66x!=qr-1wK)13P>Q2(NZ#Qf^evzShu;ATIQpLC>%`MP0P;Dvg5>KX9Q_E$1SrYT&wvUX{X+5$E=Rut%5n4?$v4^@tpt?jXcfsfSseWiD8A<^e3PM zhkpSIIQpC9V-82F0r?!QA^CWOqkjN-48eCe`Qc%X2%scK6i|Vq9wdLF%TWcO97pSt z{7E)P>j6r0v_8p?usGTPP>Q1sNq!^)A+iym1Vk(PBt-1ekpJ)3hokd_U?9PLP2HVioGMOrqtIOME*A9;CfUy{&K*LspNo0}NcL?;g~*{K`%ajn!$|hsfTP1nc7dpO1j%NSoI8?a zTSUF1NcMe~b4Qcxq6kMe$v$s$bPUP9DC!+cvM&XkJC0;u5%mU>>}x*fjwjhSQXHK? zvcAjF5R#oM>J25?=NJ_tl_dL!s8>a@k2;*ICfUbbj%rBuNs&=YvS~(zh(oeZ+Z@%A z>@!J@>PhyY97j=-om1c_MzVMK9K}iYE(StmILUfGM<WH0kLH;!blNOLrvWM?Efnn1EwiFy-B_5zo4XOZkg zpQ8lHCKw2jNhEuAn4_~vc5=YcWRg8s)H{b{&r5RdT#^-E4U#D&J3(;gk*u5I&z?`R zr??zVCE3vtj;4|9SO!Am0+Kx~$I*o(dq%*~MI<{;^thO0M* zl5LD|bQ#HtdsWHhBpVNN?h29}9&j|BWaYVO2Fcd?oV$`_j}`TweMv^@w!O=}5d#KIP zERsFk;pk?PJ<{Unemmv%iwe ztv-+YjbvtvxZg?UcESBYGB?`%**{5UhS2;M$y}M^+}|W~b(o{oBy&x`(HfGO>2mZB z$z10#1i$H%xgo(3AsO){5v8Q%tO)0Nkd{Q6qYBb8sld@Xq-C;rc3qOWAj!G)NanH> zN9&W!|C3CD2Bs<>WAbc4N|Vio?++q~%ocEL@R|5zoRE*;w%`T#=n_ z@i@358=2w=uE-k2vv5V$l;a#+k;TFs!4+9tJi9e%8J^-CT#=n9;^2yGgm@ON$Qr`@ zS-2t_VsivnWR-%0E3zsP2Ulb@IsR;K(&7kiC(cz7=la|3r9=8i=Ib3kN zl9nSq&h;TJM<+PijkFwNbF@2YIo9WB57IJ-fe_u3v>a!1v=?bPjDZmCOIr33_4Xz$ z`+1z(hqOe}9PLY5`X@QskF*@?oiUQSA?U(NXw24gy`WUe};%V0+7$SBT4>D z5qA_Ik8?+p{J1bjHlQR&#{epDbS%k_cR3meD96ztlAmC6bR3{GM}tXzqQ%kifKnWt zK=NlX5TZi>B{&)iDB!4)PddG z%h51EIgT1g{v4a5MnGwfnn?a!i=!x@6h|?VpTaKuL~H22|ka6q29ja&#)797m%`{sNn$F@Vw>jV1XDEsjnD zl;Y@glD~+75IqA>f}=A51sshd`HLNn#sl&>nn3cGL^zrV$m8fNl23*?N&rf7Gzn0F zqq9l=QkSF2fN~t2^M6gboSkh4uktKi&b!$qIy46P7T1o{1 zBCR;qpeTzhkxhjGB0D2XWLRbUSc=LTDW#USHY(5?K?qP~Qwbu-ZlC+mOYVQa^UOW( z_f2M!$vJcKKB9+3sTU!idP(%~Fx3xAQT;`aFbH@9paeA#%2O|k9vPzsK^bbW=-1=a z5GYFx6+JpiB_W@BMf8|3H4I8o!$p@H1iTSYf*J|ssZpZG#;8}J4E37maS`fuC{2wP zJw8Z{fs#}?RG`L+PQ|HlP?j1m`qC@9mx6p|Z-|}{rY1lsYNF_g1_5spl%OU<@81*+O zLwz9n?FjXEC{1k>y*Nny14>f=gbLKZL@$X`A3|B`-=ddBsVwAEABkQTrap#J)F+~s z8w9-VP=eY4<*A*b{TTHhC_`z{DiVTUKylzK}l*iRG>Z+y(&)afwI(I(W|3W z9`dPuqTh{C`=JbVK=gYN>c3E$`dswdAoT^5qz*y_>X7Jlaq2LXrH+VRAEk~$KJ}&O z4PojlC`ElO`qH~n?--O|R)F%>nwjIYO7DX0hx9JmdPeVi+m5ILwwzFj?FLkyt>#0g?*E(d z)D^avPF1nJaH^^)X*1VVSDKDAs+y@NqpF*RFsg?-JfT#wx zftno?+Vb`pxJMETJ)z&T-DlS;s-Ku$hrCAAE*DfkwY&XPJF{qyU;UZr0}-k{l&0<%eK1OOfPCub zq7Q|s2cQ)7py1q!{d1jA@J`$sT0cEI1MIVh&zl73MO!SvQ>MPgYx#;KlAmU>F`$tcwe@~JQAE2M5yPWG?fs2Hc0h>lGO81fqFsoxj5Aq%2F?iJ|CrCf_$o< z=nG-0Ka`>dh`wkLPy?X^^)i&F28sSYMh%8C)DX!9BGgbQO(i8KLFyGKNezPv)Nsjp zacTsVrAA6lMX6DcPrWL+E5g)kP>On8a#aihYBZFf#z1+hTyj-o)L1A(jg#D!5o$b? zrc#ot7Np*QlGFsKKuwff^*A*N%2JahS0hSIfqZJJWRq0<{cVG2_&7vmcZ4C09R6t$=)LrCC{t4+35K zBv8ORQ*A-JK%itd3)+kE&eFrTBL0kJ>6c?Ub#|dSy~os;7l+8xpPt;0c(17xcjEog zT1OG*O}%$9_Ih`y9D*2K;60r?k!G3h;}D~lyLYO1S|;u6P>C2F*?ru&&h9P^5TmcU zf8IHLaLVq-5TiSKXv~REmj%rYqQ`lp@5GPH*;I}goyz6z>6RTg;+0WDU%|ndd-`Vc$Hfy?VLW~aH`Eh5+nnTq!V)WrI%@$+tfg?)~AVxRs zYUdI3RyJ6<6)}2Z*EtWBSGi@<6Nu5-`hL^n+^_d|@1&t2~6Tee-l7%}=b_vM{ScKe{TEn;+E9*Q_|i}EF9 zh|xodm;U0N?Ay{Mj~JbhCo{!Zo@r41AY$}0o{u~6p&=iAjQEVHA}q#U{qlylAwJ6@ zz~byyscc`w=n%y7xfnOTdf>~5(dSp<43Qz7bQ8qr)^nc?(mYyvJ!16SHA)qSNZE*E zClI4^?xuhfN1D#<8VG~}re4j7_x7B<1u?qVS~+(jeQJgVAVx3Roq6Z<4fj;wjY|j} zW%tFLxXq2js~|>SSbKN&hHJa8K#cCLhuzsN6SHlfBSjDD$Z0q1mC*Ub+iM%U9*?(8k?XC6b0-lZ~k_JoZ`@JtJ#1L^s!GvvD7wd*2A zAJKrQ6L0Q+{}ROL1{&%YW3Nr?78eksr)QKi+q-?%lU)#_GpF2{?S0ZYq!0&L2vhtK ztJ3zp5u;0Hdcm39bWmgS(?PF`pK;>7?YpEAqhsYA_mYcx%y=9z`c5{um)sQ7+YzIC zWJ{_zMDA`j1g|F{^nh$jJMjzg19;mNLMI1afG^*mH2!AqM#SjH*pYYQ`K^!Mff!vC zyWH7>m$W^O7`+kuqR#0Zqo&kDj1Gq{{9^1qRCO9&*F)%2_}m?`YxCf{5Tn}w?{bQF zvh{rJ9*EI1a4zn|`DqoM5H~U_^_{qJuLEllo~11O+?4xk7kGCvNv6#n1$s;axXx@VSrK4SOx9rfzf zt5;R8yJw4~a;34ZVR0S*+pRu+H(kt|T|KjE^=xW(`-YXNNL_vE=M_d*EY3tC>*>Bk z_sOo8;)CdQe$dn-NxC} zs^jYFThGh^-+klE+T&AeH_UEMZCq1V-!@4G&7YZFmzvp@lD(M$ab;b7?|xZQw0YZ1 zYU}3I>J8xjSp`V_mJJQ5%*u^hHf&C(bP(q zwPW?n#?*1^CnM8f`G0A_(#XxG)~sH2{OVLA+W9^3x_MhRETq1#Shp^LI~r#YNI}GtG29Ims*)>B(AO>TMidR z!3_7jfk=rnq_Nc$G>{*9FUlwdG-A?qOGrWNcVrwKZx}~XJA6G< z=~cu6H-Tl#+7!j2>=T>R_5H9z*1XvJ`;>_yg z6(W`sJMF3(R;=g7<0Wti_wj^s0xdp5lt9CIo^(A^)+C*dtzWSXmUo0TS#z3EyZv{h zm9#%K9OGt&-d8M#k3vceNvZ+v8TfEnk!DUfE+vx3hRqF)m}r<`sTB)hNLLX&suLbV zxD$zUtI|`-hq+8O6=0#h#FM(GJdF@}V0pn&pxK#PR9D|!OoQZjUj+yIa3G%z6hp6i z|LchHJX21A#)0pY9VwA=8`u>zJqJGR_CzxTgoA^H(9)YE!UlR;A|qbQ29l78M(-zm zLQ2c~YuV*J&sH2FMl|9KDNXp~?v_q;g0Zj7Bjm`yy~)EM;hN~`gB`zfrF1)JR3?VP zj+jP6j!rd_%i{eb!*%szFGXr{4UwkNIe6guWi3{)9$E=0+=sw4yn85^Ntgs{%62e= zqXp*lKUS>Hv=B|nHMjr4a&oXA&a!Y*du z(~;_QRzenkAzPCP7C$nF8r}wt?P*unLovb@NAuO0kZP8l2XZy{u@YDuf4qj02ASw# z_FvQ0bqbGqj@y9X6q*a;UlnIXw_xb`7pZs2R&cOSR6E!Q{cQD~fY4 z(U_iCsmTPBpDonn5K%Z@7pcL;Ifm?hbxtlA9&66cp-YZO+f2Czqs$I<_2c`8=3wJQ z+6$(tKE6Gl#b8;$?aC z_$qx^vF*4NeBXat6}oC)L}CBU8MNSA`sI<&nO|c!*V4wx17SGys8vH5ybrT(%++QQ zW=(YDYp@}ijr~1RgMkgA)(YV{xa7Q8c1kUEoFAZWq9a{H9i_8-vNafB6DW zsQ)L$Ik=?ZLzCg^j1g*ZVzFJ5gEQaIPr*|)3^-aR;^`VJXlVSRVs!?XlA;MQI#s3n z6t>5ZwS^i=Frgl)(g?{nK*8vjLct1%0f94mN)0;Z_2{M=1|ALi*)`~>3XI%hRd1hG zqS4C>)fuo)AHBAQfwWoQJ6B!40X-af!LGr8E{r^tufc%aFmOj;or$Yf;jy7f6 zFC2eWYg6>}!J?tf~<2|RUUcD z9D7e6l&`yz9KP%!@kQp2Wb5u-XV{3^-PQQnCn4aNqwH{cs9tqi;bZfPi$-cd#8m zKrZb&P!Y6-S=C2^2&C$OAOcj4ye}-<@br&QeG^Xy(x7hQUrL=vN^PzuD)}I#Oh$$o z`ADt`8JeHT1krfIisUizY_=*HnkUNXAhOz@PCN!G$AiagxMAYYfgNH&G&@`lw3uhn z$hJU>sdxgt4+j#}K5D|rN*;r-*i1Z{4KkGl(b36I7lO!Kv7zn2a0O(4PdQ~yemomQ z)2clazYdhf@-lugDW)0aF}RUTIwT!PA}`!;2a(_*-n&ec0wtMDgZg$ZP+B>0BvlNg za|}-&m<=Q%h$jy%1d>z~PaY1kzps2)r&I07#z-|flz*fYNK}D587^0+lk#LRofFUG z{>4CH73Y)l!+~@J`s9a#JFOyp;w?x9bQ&Q!S(gtashFI2IUGnw*o?Gf0!bW?6aNk- zI^pqpHc(i_$MB)9tKE|z&A#Dwx3dm~Jvebj&e7>mD6##b?BLqDl4AH*kwA)yVdsS6 znHao1rx<=7!U1k2BOeF{k$7h?abD4(IcBQ(oXCZ$5Ygc9s(=nc5~l3P_{As%o>lha zDqJVdsZ=3STO;8>B6OZw^i!NrJFQfO2%Y0!4f%;kQCN~Ewv_!Ol?*0kY(J6m>DGV_ zsK^~Y%JK_|*gP@gry!t*?MJ@Lb0fHbLHA3$)X z$i|XiO3jav$Fg1`9p{EOTV9ZNnW6(%MFL35!NXVQ0;rs8C-|9*SR9<5HPEd3X(&L( ziQ}K8t5GSqZURxx99OA`V)hMtN=l#lG-na1E?Z5h==gJ#2_lLPi0vg(?IKn7VtfJsZz}7>5o)7f341X* z@}6d3bkg3O_3~i^M|T#z7=~x$W`mDQ4L>j#Y5y~Nr3nJ-&ewT@m-;{YKRE-0jU#V` z3=js5X!~f6#0IJ|{HHG zPH~3VCoJ#9djeebxt=k1u8^{Oi^S(*=yUI0+&$+V1A31n`C;ZG{q*1#TuE`RQ3y(L zlMQ$iC3#Sq6lyTPY!bF~6b&cE-bV6qH8=Uuh{nX)qVHi-W>>CFZJk-QV)Kg0qmPYD zFNhqD_jLLz;NE4k?~R_Nw$Q?7JTeyE?cKj@fV13W?*T;lY$G7&y&|$=mMVL_BM~2r*y)`v`(Q**Z?fov5f!~#Gd`GNwRfRkIfkycDeq%P z5ci%|_Q4cheGim;xOlS6FEI4|As;{|q z_r@b8eR2`xdWUTveiY%WGd_F?;p@vj{20Qg0egpP@@T?){QQdvZ}hj@NcgcupPq$; zp9a{_&mHeQJntuvz{xp3PH%bj{Rp^6lT5CCca#DMi2J^~f(VGXzI%fR2pH~Xx#dK6C~3>4(~nDHX5SoJ`2n<3N*3DGX_Z0dPUg)X;Rxo1H_b0enK{s5$U_P z)nJk0H*g`%#*c-+DV>m zGhu_5=URW+KzT-u*#^q7rsHL@y^LHk z$+;ho7_2-G`zu})jF;vFB!xMG2k*!W&|SHX!phbAMcL3Q$&B%Dlo~V6@_JNJDrCf2 zUXPY41tUEGqs24{Uh0uR327*62wRgpRyNB_yu42RT3Ldnm8S93LlGfH7Sob9^{0Xc zAf~7OtcxI?ryj0o9Ejzq-|0FKcT;!j9PsMY-33hq)(+m7aRIT!9}P!MJyFzQ3}}or zQAb^m(q3Tjs;mh&68vP*gclL~yk?JRZ85>;hD`2-fZMl*O}GK@)ZIWGOK2UNx<9KS zG+(D4wlstyedASLR!H(vG_N<)ttHYK9cyE$cO_XYkT(Y9(!Y;l-JXB%ibZjgzOI%qoQAaO$au zx~Car;8dgZ$nJ8Mt=Uy47Ug)ZZ*A?s9T7@k5Fr5bKH!+eKC# z=uS&s@(tc7UZ=JAoU&WdsJ-Yu3U#%sZaruDlwo8>K36ng$v<*Nnh4@8`dKiZnb+=9 zm|7#}gmnbXx78Pc%qJNUUQ4$?KO8DIAf=Hyaz76CfK~j{_rvT?d$Oall>3 z;P6uFKTo;U8~7D{bYX{0jHG*J6)%%;>A)#z#fYo|rk0FilIK=hA*K@*1Ep1BI!TS_ zPNtP&n)9=c(rPiy2I(lR7}L>+p@CM7=)q~Ad$>-J>%{aiW_z@v44e#R(N*+7_4V`; zK)tUNk~?z-NFjNiZGaS#XPM#@lAjG3oQS^RYYd$tnrN7Ze_8PIyMZ=6W9p}ABu-D- z_#lvn|70{OlyFG$+w@u=c~d)lQ8=hoj{I9HK_rUS;Zq|)BnrvtZAF7bV>EpxK;6NU zH1@;cKx=6+9XZ<+;DQK6_VnjX5=TtdGFsq>IVEeL6o~`zFi?&d5!xzZwgC26$nX5_T8&Lu3PAQ;&{ z!}lyVGkz_Y}dj(Va~|WV03*qA~D)vAr17b%Six3L*FNHZQz)) z0b&l9WvNu2Z>A4t?H>p!#bbX+p!Sr!o z=f6XmFb7}fzbhIpB73!7|FHg>wYB&^`388Cif)efxcG(8!pnydOi20ePpT* z^qd{`;g~%==jVJdW>C+Aep9)o_`08+3V>WR>^U{#(*>XRy;ktSZqcwuq$GVAr=jb6 zB^)3`pT&q;V$V;~CY`GlJw>14lT@$h`JT@RULJbRf*P+kCuutMh-E`Jiz`+=SNio+ zrtdk=ub-xG&jS^oelAke&u$9w*VHyWBEB zxU=Ur6NJZl?y4A^s73aCJ8XcIMQ$z`Amxy66bz6u$QMj;n(!Cq3{INv_ooezQ^vz) zOOyh7PS2RU0IxP!dHd7zgNm0`Ou1W)#>B+^rKy3Z?Twb9frhTQ$Wst#r)O0I@@-Jx_<4 z-y|qt+G!0Ors;F{^HVPrw07b6>D}@!z@gOf4ghk7tB}sEL!Zf*Fdrt*^;tzbz|NVH z$xU0jsh9H_%t7;3T0?jvR$tZ-3eAr9Wi`Zk#yRy)ScAEM_*z;+D1N6@Hs#Jx%Ab0% ztnpCX4855HvWMuf+|;-2VFBA^X7kEaW($t2Z5P@?O4Z9yKkDj8MCfsO{I_{YQ$@YD zG15rO3cX&uZsXR}EL4nH64YvnBXwbb*yy=6#gT(am?7ReP4Ah;J;sAdfG~G@?})mm znKN`pMcumfx}m?=66AUvYLK$dHoZ^UAPAlBQ%V#+tNwM?$E*$?9gQHdRVzGMDspILCZsV^D=QYJFrNn&=lwWl@c_Rts}xIq zO!t90(!<&jJ%ppj%Wfkp9I&q5-ZiYWuG~C>ARAciG*7@H(LTO@M>>f8JFlcQ8nP!| zFgwd>O^|4v>3_MT^OFGsmwF&lPfi>-DWjX=wu+9Ad_S#gQ8WmR*+B$q<-n|;f>$XX z$a`SShjB88e|Q9CXszss{g=H5NEk6H)p8{rdUs0$n&(3F3l}F#*UAm5!%hdQw(%?k zc7cHW`3OK0)K%4_HVxY*!h&}l-g8IgYCCxUH>Y?t+f+$3{_Q-d^XR8HAmC_9HE_T$ zy^PwKCqI3_G3WWPx}S~k6D#q8MeJd~=%)TN4RqA&`ej=3n;$1E-C58n6v}N=HX!s9 zb*Z(pD~?--GgR9ov8f+*am*t^S}|jSiH=SI5%TaA96cSvJsiD`eUyeR!n8PcJ>Y|BJ&d18O3bKC zHBhVXQLW;KdMJ<)YfCQ(gPnq%91rnVtRQi0#uD=aDYOAj?4A!2YC!+vZw8adVgH1X zm}hEUQKIW!74g9h$f4cu&H8YHKLXUyf+XI3WYGuXP|E*9VeV-+4j$Sm>HSAquL#D*{OrB;D`N)OnL4 zLaFO@(+5!7wQI?%wt+vS=-wmb zh53!U?!$8i%E_<6P{F0B?v|{<%L#OUlb6%v!Defm!a`ySzLa5zZX*~O3MtCC6DE(Ma&-3xu) zML)a0SvL8}#kcuPq=|XCPdB;oGE*-mOejipa zeyRn}0?FcE=DnCWhIZdoG+<`_zl@0@@x~r60OiAhP>Tu*dXi|(`e8mY#MwMUAjL`a z?wqFqoFx(s#UM4*z>;tf1rARfkq)AuyFK+0PHOq8A#9O&c4;?c8b z!Q`bp{f18i@^nwahf*(lmirXb*0sCr|54IuEAdVK(acjzbkEu9n_^v?!S zSlNmIWfUNNJAy=bcfKh=gdfFqznb^YoELqqg46d zvKOOl))n?*oNA9VL6ZJ!*~>|3_638HGTw=4FCVAa@w@?&jRPhp8T9G0!AZ&0${Qdx zv?OhSlvoclIVr6^ZgS#r&z?n=)_(1ke|wuFy65OD6HyT2tSCaE`!N&6V0Zr)oLUTv zXUp#`dO_Oo_I$|Trn+q z9$NGu@*Rgy1N4~3wUmb}FG`tu*}roPdfP%oE_*x32n7GKQji29bD3P?ys8kL%l>W$ zw1HW@?9re$5W3^3Vt|DFMv;bPVqq`?K5=C7qEetdUVuUmCK}R#R8GdA5A|4qbn5({ zi+&OxT$jBNM49SX|0nJe8FMGxcpirn!yuaY1&_PBmP0Q{s z`siY>zU+6|01Ac7vPVEbAbCxvIW%#&Uxr%4s7`z;?Gqvrf@?D1Wzmn^VdGkB{& zsu1jdaot@ z>lr1i5uJzRKPW0HRBH?<8SvnbwWpau7|*`}Fg{%nGW2IfR&HFM5#LB5I^(xgG;Nxp ztZIBJA0Wq*XKZnrNvak2ajYflfv`gz`;-?#0vTJK_HfZNv9SY+9t^`e_W$x8h`2uP z<%0QR>n#r#ZCJ;)q`er%W9*KN^N7! zUW~SLV{(t`SO6&DZG-lrV~e~P?KH;z*Q<{5%-DjQr&;R#*g;;5^1|3Euf7HbH-|jJ zVvdEp(v&^M)_UPHJ`*{W#M3r^)(ynP$k z&zXXpmG*#Q0dagBf1&K<=cGFRs)^F%9Dlmt<>kQrzoHl9g?#)U0QLTeP0+lof%0i>{HeSF zb4n44U!T;WViFmqyPkU`<_{i1A&#e zDW?I5ocIsOuS$fbNBm7&N1!G4e;FNtnzl;{IzoE5X65KXti?_Si>n#Y+wq&UjzDO= zrbZ;$_QOIh5AR&tZ!c>I1zY>qvl>Dp*tWW;AryG+d#5#o@?$&K?WBb~nC+cfzc@bP zJ0PTNp5#I7xL;?Yh)XQbYfO~>V*mE=P;2pvERBgnJAQ-SHLiEX#wt1&g@^qqor{pp z-$#ha**>K*@OS?|G63i4Aidl>a@68DB(7q$8WH1~_;TJ*gCR-w3pMn@Dm`uyxj6Z4 zo0U5#f7FxQlr~VrM)J#H14QU1l4XGP?%&=5-{nR_^wUYnGc54An?-)okrXc(I6KH$ zO1R1G)FYh}QJDO*3DRyenJyZv=zMZ*$N=HPgJER(DnW$c^^qsm5F$CF_0(?I6dv9^1Mr4Xc;KY;pAmG1LZF4EEp(dfMh0X zptLnfZVwqK&BWvh5d)=#Ecsg#A26v9IDqzwbY36Xytv zc-y3H7`+bCzkkHXjychPkRK-QuwM&iTmOE3ZcKpwMFpR3nwKFTP#{q03 z8B-U~CHW+!Uu>+sGMEZ|?__;#JI_h_KWv*sUOD;?^y4(V{U7z?6b=2K&iV9kk+^?; z$VZ^D>|Yolz?u#VAh5&{0R);S{f7h)C@_*+yadW{7l%CNP=fq=-UCr~JUilnC<&fl z^gxuEPc3^ON{Xur9*A<^dLUY=rzH8jS3Rfcm%WnoqpGpX(<~}ZS^PIXF`lhYk;3^I zz858orPt&E@p8g11ako`IcX`7)~$$O24$xC9t?t~IekK}{UruBo@fPz;Y z?dg+uguQ&!;h&Ve82LrKz2de(woDnafKG*^+br+4ljoH^7^l#SJU->uosw5(J$#(w z&ayoi=d5*M55@^=bH;;lLSE_V1}|bu?{#FwM+FP%O^oD^D;{ay9VP$hRmt-s`48L6 z$+`8Vv=?N{dEA{ngLsUYyeH@7WIG=SdqG||ik27TUVba&1$o+hFYN^>CHGz*(Lr=^ z$jil&;!Rl^Q{@bd^wN8rMkFd?@pUNFf>wMdpA_d-O@(mtwM8#8Ir+7)7hA|_KlzTq zN+$fkV5MMtyWkP$cG|XQ8ANrE_K)yxB( zPx@IQ@zwoWG=7s1R$gI^ORtx*(p8x5z4f+79VSlOR36YS`mYrA?wa**!4U(;5f}ES z^jk*wgelq(cJJvIuM&F|0U&hbj~!uWeN=Z3njGjTGpE~KdO>X@S73IDu4T`Re5vRG zneo!R7lT_zF3EW??rr=JmJZ7AO$ROl2)nh`sW)+IT}Qe7feZ5{OmP`KEUdxYSoAPU zgDA&EKbFxEG}3WvUPsXB=*Mgg;Rx-xHLF9k2(>MU0NMp6<4kma9@q28eEtNiU6knE z#4J8?I|oeQa?-W@pl9rdA;x71jr%zEW>Lpdw38kCp%;UxV=@z{>Kn52*b5LuD+hJ@^l%~jkKLX3Kv>ttyGtI3zPKFwY1xAzT*o^q9;gxakBeHZ zV;PlP$N#tJ;iL6se6d%S7RB*qZ?m*0jyD(>Ix!wDd-ajYdl+rNapUhZ_{ip642-&V z9n@*wq;5Q(@k0Fb>-d|dAeAEq2~SPgLpPQ^1mgX(7vjTBBL(u{+PsH3B6L5NHc)LVv>c9i=t^cM8!1nZcNIOH!XY=5 zJRo^{ydmtxsHfwL@?MbKG=50I3(}5Ze3yt9WS#p|ydZgC{D6=bB=?Q)Zs?@cGIp&O zr2dS(;l;SL*8h^OlAS6OYUe$+))-STv#eepKjxM-nm2hdyR6R_O%(I1{nm(yVur;# z%O;AsZF|CiZJ;S{|HY?*W_bLkKHD%)?SEEG&6G;)H}gIibIN|!GGR&-_HRl)7~ZfS z$ogP((Y`(7gV9I(;;;`U0}BNojKH>1KD#M}w>??*al`J|Lw;^7fA^#{W;*!cu^~bs zcDbeD$`glsMquvF(;_A}1zxAzifP;w&eon~lbb@{8pxS2%{=QKpq@$14}aT# zW_E`+>uvXCO>TUhkd_KJy$;L$9(!5sH^th zEK@(1oa}o`KAb#eUlH-)Z2wJZA5M;Mdp+yJ+5WGDeK@(tIyvOSDIp9zn$vKOjub6o zzpNNA#ohQ1bt8$H*1+3LkQU!NLWVr8zCX1Mkd|(r0ldinred)2GG8j0D7E$bf{9XN z_xO0p*h1dmbt)I*-#66|iFSJfuUC9RnBjx(0qTUUa2?Xbz_WQDjEO(+lZ+3B?!jXs zKA29zgI!raPK}P|{5V=2^ehX)7=9vlc-YU60Uo#~>&MA>AIy_(;PsNp&U18dkFpP^ zDLwG0uebcL!u|vC*UQZtm7^`rdp^+l|4zw^QfQ6e8uo(XDcU1h z0~MQ*n@wh#+2ar84Q5V2<98QLn7M!L{g6bJt#%WO_V5XAQX*&4tr`kyQf zp$&okv@S&Jc>8Ep0unZ&LI4Wf0ydMvCV6z$e6Ar0Z>_5fWGP0PgVmc~O7Z*jp! zdYOYS@km+Y;kAZ?U-9rN^D{UuG9 z`2kDNgpVFA@|_UdN0NkLyJZDQ7`9hY+_7QPugE(#W%?bE$V_3%ysWrmozrg=#hviV ze=KpwrcA#k?}RB2WtqlGreBgIHf8z+P|(0)Hf8$7thf`V{6pTckEVYE5;(<3-Ynv6 zp%A+(%%sIaW!VyU!jivb#hqyUVcbx2!mh_GaVL84tD?9QgCLH08y1PXUx^@IB>eDe zc_++#0AkQ7#^9ccxDjr@Lo$ldx>M2w=g$j*QLPX~N8%5y2@8JGUV2M2F6n zcf!mQ1ZRV=Wpjl&8-y*}<(;tQOvx#1SugK|EfYl{Cu})R$_ZPB_w<6elg52ks*MP}Ta~C#yiqboxL#Zx+;^*^MtXoUv z*1N+{Tfc60J^%55EwlWSWCM8y@rNDoGVJW8^;@>Bpg$deed%XQ4uzKg|3uU+7M>f4 zHcxM5MM{3JkJ@uX2^rfU$V+HkZXq`{We%K9@FuAmi!Bq;u%5PBL>m= zV|8thM?9KkZ^>0%2E|oKf!-!Ez8XpX2`Ksvfi~W$IZ>eO0O7f^rzPqG|AyIXwhx!z z_rnUSt|}GVsQq0y?xlHSW?Ad9>%wCDOFJ*SYSc> zMUk2;IC-^So36=1C#1huW5G8E{2NW}XG4cOU$mbl5vCwPw*RPhhq%*s*H%MLZGWV8 zm*_0kzPg&hvJz5PZ+*b7VlfTPp`j{7I=g-Nv8$?RrjWIc&zg#9j#FZ? zR)&2f#b;sM|2Jv@>46Oei#h=q;deRepJK~ zZxE^kNfTV9GW0a@l22A|m9otInwC?N5zoQ&7IYmv^%FIfQ28+NVAkw~u7&c)!kV^n z`Va@ds;1D`-j)k)2R%s?d>+^1b(lq^Jm7Z|!csds>$YtDTttv$7#-Gboh}4fiSXGEHsBN2vC`M=@wr=Y0 z2#Q@$^uVI7!(~%H8cy#>x1AHJ!Gu3{gf$+Uxu>#0T-ekdjb>RNejJDFKBt;HK)XAl zE1}sVe9Rf-*X1fi8o6IsRfq_qc%`TlRW}XW$l+(?bw;;+Qu3UKRz(Q~=0A(N62*ue zk^Dm^&~>!O2a3gO5GKfUAe*a+Hg2)cm4cgNpNrw4?P3i-gee>(CsmypJNKqN-5ELbo#*j*hj3Ih2Zi zlZ(DESNnn$*`Q%?pP7RR@eqCQT%ACEh<>OthbGL_ z=)U1On6OMn_ps(*qTKm*ehwz`(_0TMrn=)p{ng`RjksJB%kcO zr+hVC*WnL6VqcGWq5Y)<=Kn=FsCAcLo|-6gGXMG6ApV&x{1q~OmwHB~>qS+DRv8TU zEGf^S3fU(cIQYsuK8JI%Lie2WU9=?~q*w_dC%Jz?B6e<|5iYOCU+jTjM>Oq6>gA@k zyY!)Cl3RaiyEbdeDI#1Z3rNveMq_f)ncp15M0`zIv zos8XR>11{`mN~gU=aS7gJRO!CddcQQzY47;lUNb)wqTTOrYl}D*Nlvk&9J8hI?T(G z&9ZQSu(QP#C7V)4=aIsgZnHTZm$L|!0u{X?$gLe7`{WL~uHFiTbaBFk)5QD2ujCD? z>Jh(&)Ap37Z3v5BZ*N$i#~4v)~u1DSRsYm{+q8xrbmA5t1W}90+km+c5VAb zp_)AWjI|ytJ}c1YiWPwKR}%CvvHe<;>}{SNdba<)8V~=@1GV0MR@rF9tA-wdwr?ra zpRB^eA33xSXKHHT4*jaOCH!7S`y;g-%I{UR|EP9r{1?EihIFt={En&hk!nVpml06z!f8m*<0xl|`VmPgbdmgfLqea(jWb(9CwwX~4C+CLDk#lq>KePOXC59h^rO>1~&v>%eIsfUN}mS7&vM2SMF zmI9suKP(5baO$Ne(T42EFUb&ul86ESE^9CucuX!W&hq;O_VMEZMDv_hwmv@X_h;5yH$ls?Zz8D zvTEL%m5}&fJ>~_oQL!ri&ye0)6-Jz;<4=^{1tZm~o-GD3xalWd7OvBr77k|8 zG87$!qI(o;@X^MN!mnekXGBy#wZA4Rk`DQ}wN%w-s_Z*3P>Vbc$sJmt2f-DVq7&Zp2+DC;TdBs}n@lQ3q%8Vzqe zQq4cADd2!er#@K@Q4he|ZVS7Lf&^6k#1YsT*n+<(^yy-dV)s~$PAtj=vbgmn9Ve6GwK|a(P;%6 z_LxX>hA5DU9h>#a2#PF&#-g4^l^jv2y>Ua9>ngzQNRUq05&L<_OA!{T*6y;aQK_}# ztRN~V9gle^P7LrmLf!9pE3b

cqIJ>P31EHq#f)A`9v4Gnl&(0OVukbxhM5Pfe? z&kYk$weM`MWh0#dJEyI{CWMjnT(eWJo%y;!4>voLrCK`ZG0O9`dP?K)g>aw>dK#iv z&9(OFY0BxrQuI*ctYV--m>WGMm_$!GF17-t$WzgqKvMM#%?|QFbYnh{M$c(B2aCZg z(I2G)rRcki=q<%y8g=`d`CuC5$?v3tY2=gZK?>>t^*nC{)5y-t%fU42-aTQHCb9?7 z*5pl^lRGXf2a*~nubc!@keOJHPqR&uXiX-M*cu<5T0}hqzY7tcpAHsYNc;ALUiT5h zD^xF}b%8kIdqLc5m*OC+OJy&We;k5~Fwxt?UXuE`4idXF8%(2!`;9@9DlsI9iwl8b zh>rO0L2=C#Tb4#zRF~k-w(=I7SgJ?M@yF8dLI)kM+TKNDVEaw(UFbuI z#t$e5F*+|G(l|uFqANR6Wj*l&fYC%)Qy?Gg5DWSGm5N5w-fm>4(AtFw)c#s|4kl*> zh(4aL!Aggym~GeOkXw!mi*s>x#oJ@U$fHZjH8`mU&f?PHjb{}GG6GyyL`=uHHHTK` zdHnv_IXFf8l=2r8-W4lyPsbjicg2dpjO`JbgB3v;d#^PI6D85Nb8|2u9Aop-b1>07 zdZRc86Xn-utT~uy!aqNkBPje|EYG0{-jD4&mnO=zu@B9qiWa_DI2+U;olwNzjh+F| zfrTKR&CWwVq^xTL)wlsEvUK#xOt2+U z{nC=oLayQ?eSBS3$CV$%Qcgx<6k7E$J5qX?MnHJ_FiY2UGLV+~0 zJ^I;TIj%8oDFn(q0G_6a@-52Jcd4SSlSx4~Eo5>+fATF9i0I;o0L*doRs&b$joI!}Gj)0onTlrDE5)atSCqE)2+o_tW7P6$#gp?7~M z26H)Y1jfz}1#`$yh8}r3i9Qjo!Rf4GmGm53{NQWZ5O3 zb8vC;d?z#q7r8w8YGw{D&b7}J=iuVJ`h0ETY?V$lqlCKH!GU~qsG-MP z&H+d~;MrOTQtD$S)#C9^um_a@?ZBM`S6AP(mAe*S+K}q#NDYsr5~)V)_?y-jsntX( z-qnY!+%zn2hsR=F!>M?($4(9Rr}`53!RGIUz!|pb@)3x!Y(wPR0Ay z=gM@qY6U*>ruQdXpQ8Ku;vPA6sM}Hv2~U{IFAn%aX)V~iImxTM;_N#PvDAE z*>0mtyKwzX8Vs)ukK64yc&8z||-1uD(=kWT-FNiv|YQ19Gsg1Z4ZyT7dA^ zUs1&B-xLwoSbN%zBmAQN!FV(|G7RD}5n_EQ{2U0%qLatwL7&%0e;cQNLmJo6frYgH zq>{Ks^&%+_v3>aF9}-W(MTySgCSk~ntNpkgK-fV z)Z|3ki;-y)AumP_nJ9QM>egi5i;-!QwihFpOjf)Y**2YZFfy0aO_d#}y-##%D(665 zeVE8i(-8+CF|u}f*aK06(vjQ9JE(zge|1$J-u!p*t5fFFXP9Q(G zT$2?LwV3Q50@LceK*&eK!ab{P1r4Qav;m_p~2dQx^BsW?}?+T(r9;=fbYdO~*&1^mtUHx0WAU0!h$Pg*{U&HQd$P z4#$$ICxj(C8m9m{zU1C7A$rEWr|4X-@zbO_$#UuRPD9g)xTm3`-q4R8Hmyy&0EOAw zkb6(zxwhcm)0{Xl@7~iJ08J;_8bCIkSkVA7O4OZYn`~8C z*t!zh*kPg_(Map5ArBh27tyYGb=HGoH#Hi^6m2~%=fS~k1KnYJ&_!{Z(5)pCCCz7e z+KXXhO|x3O#6$-MtXsT&c(Op!gp43d@OG%_Hx;1~d*~%}WDt>+v1B`4ee`sqX(MjA zpIv`%pzA$vbqR8AH*O zDLc$$MkeRp68U!-d7l{M9UJF|)^jYN^@;dM6fD0W{hJc~eZ%qSAf>ejBSHq9Mf%fs zSHCZKDA>TV*B&W)V7WnJ+TC>B!?xTJ=`EI?Dc(1Ug<-nq*2D^S#1327UuFwdHc4`@oUg8BQcv`-kbu~nL z?PS;R2puY#|K=Lv_+XLr!9OaFj6+*28-Bm5Pou?02GQ0o8Nb}36w{g?2%zDIr)gDa zemH<8JKFqM0IgBVy%X`bw@|kCW&o{G(q5_fs!QvLsNvB#UWP)(qdCnynO)dZCOJLJNYBNZpmqLhf5f4AMC|6M4!%gK~$Zz zBXdha*RIc}tr~$9#c2gSMMJTlWGq~)Q{7Yv;u{$UV%b3$(Q*VZ=??Of7|J~zPJ1vm zM5&ze)K?-7YkU+2$JwE+ovw!V&Qw<-ime<6#FdVgL2OXjL6^EJ0KxNMr3@SM=!iwJ zM6iz5q=&%DNl|Na5d_Y|gJ_fm`a3#US<9iW42>fV0R|NvxV7R4IRqYwI>#*TFPcW_ zhKoBbS33>agZ;z(aoWl@AL1yo<3p)L`>?ioY<^!xwZh8Ow!is07f-bJiT*Wl?TGuq zlSYI~(~QHK!~#4x))?=nEZHm$mK3DD(c$>gR3p494i(KSBC1tETI7<}xFkeJm))6) z)7i4+bf;@c42E!@9JobPCKH7AktVbIADuULXl#anVFx&*viEEA;@$Ck2*(hl?Fl8G)NG;5-? zIo%pIQQCIqqzAA{%9Wt$TnSM};s{@LKt5f=Db1qN4h5&^DA(U<2fDptHb%eu-n6Y? z!lYtb$cNIdc5BfGGk4ktbBneK(~Hqf+kxr>mPqjdZhPJblRrNb@xj#Oj+_rBKku-7 zFgbch*$0!SKU4C-WcO#XKA1XxO4tVj{cJ@;c?ig>rxv`Znx5jkB_k5tavF#i+MPh{ zl9Tz=v{#D?c~RzdwJ5;rVE{ro zm`*!QpR2euF;nQ7MbjAtA56n1j;VTwIC9bNC?NdU|`kd{9$t|A)>h(7l zG(MO2!Q_~;A|^~dJu_#b4Gdd8n7nvq*$0#6l7_a&25}1J738d}h7XRZSR5(4@DSFJ zj#x)mM}Mk)aIk+6+Qg$2;jy6TKHsn)=Qz>SP*ARBL%8 z&q?bPXd9yDJ=%EX$5RR-fLVDPg)`bm3 z0pk>hk{C87*vF7s&3P!%d5&~V;sKB5i+-%T!WBEqx>h`pk(JIbc=Tc8jpY;(hL*mN z)g?f&+3!i4Xlb2>faF7}-17V@BZv_?pbM;}R8#`k9ixVtY^U-|E}~FcUE)~xv718C z0q0|C<-CecPDcCMsl%c=_{D-w6Q;$J6cFdyI)(TDyl>>)$GJrhQR>QgKxaeAZaB}Q zP7E*&#CecW;~SUbi`^q_X`6Nyb(+4PVe$nLovcn*=jU{^BZj?tza5Kmt>S!3r?DsC zbZNauPV8Dz@lF&%sV>lTL0K0SClL0B=v}D_mG@-(6%^G`OAn<UaFY3Hq zBX**14B55iA3EGO(%+FBqI&lqinNvH4eVXg0XWHo{4a3YZ$hnim(H58?-Ay5GS&C+1Z9exS9izPZsprDoTS^Y-~OwK!D18=VTPO zTN$K^;SmLetAwrUmMte1HIiP>+vp*<^}G)|Z7W^EKh3|?8*%D+2UwbZz5Z~yMsVtR z7lE1L0S+4j$o0H0X_#Kmd$i*4I(0c!Cwtm8(xItZ-?ITURqNX=K<8pvL;G`poUEa? z%q#e9QFXxo1khB??*0Ipsu4a^@#|DI!q)=iRITr&Opu(YN#5&~b4q$I1jwk8-hNOg z$Ao<8)CXHu7d-^G)~Cui+!MXl*K)t5MD%6&t4{0Uw33JsOnOV7EUP#`8q16-|ZR4P`57lMHe*cf~ri$+Vy$41!}}_501HY z!5tc^>VhA!98Ogi%)8!l>w*ii4xd{W+}q*wmbTncAJi*@30H@=GI)8xQLZY37kMDJ zGT3Ihtg_H2DuZ2q<>c$uWBmfEGT8KArx9PB?+{navz2SUCF2<25NMy%l6NGu%HZx5 z#mz0q%IUD9!&6RcKGeZ^izqjB%?E3+ue^AT+k#t0{AZ=K^bV+(m zuz5V=6>%$!OIn#1P+zO*C7vAWYtf`ab$AX9EE$dxaQ95M} zuIWPCMNsIY{p-sa1?h#p*9!*9yZZ|Pin-&|2`|jMkSI&4+IPfxNtDVCU(P8$t(Zr+ z<;6waq`BT{-&-tf88!Ib3Q5zK%U)HoG}AJf4ZvmPSC&kaM&in> ziPAuPJ#3;JZP#a97_}8oP2-yy4Lbxi99LCb5!yIlqR{RrU+}>+rumQwH`0K7z377} zobzcP4D>47MENzLtAOf*2SX@sugd#ia@IE@KA2j(I_HDQiC0@bn7nv(*#}cNf1~7s z3C;RY>iRdsCQRtH6&LkZ$F3>p6uthIvvrEE5ctiaOHOHJ#cR?oZWd>+^{A93y=yF8 zV9+cOUYqxjMN#p(hz{cD?N;8d^^kn!zHeE&q*g%uR#_)_3!B%KTpCpYRWK;XOQl^Mf`Y(2nuCRqKRV?Yg0$OAd;v)b+Lp^i~M3FX}{0L#OC? zLt3Y}&zo-a;?DMsi&!^U9wCRwmjA4*6ZJyjZ5f^7EvjAT>63N<5(U0nv%08T6ud30 zL^pyxu)Aa628jn?I9(~FI~EWP5x|- zIGt}tVcIEGyaX8$A#Q1}-Lb(_+I!8*s!DrppSMBSWkDjm;NUp^7YiR8J^b*)@pjk` z(jX)w+m=KkNDr;NqR+;0**sGLg-a4nK?@nH<)=jj!x&X0d^D?pZjtbxI;0pQm8NyE<#6+hy>2y-&m6bLQAuni8-z+O47&Bd*8eGbC{D?6>c*TTAoRYU z!S$wie58@eySxqD6o*Z%za*P3MP09zH-VdC6q)yw0M^Iw-4Jem5ys6$B*y!}O)-cK z?vn<%SB%b!^wm{YUk82pb*~Myp1z~P%`fw~xd@fPR^EnhijrpDJ8p_XMaP3#(B~~V z76+D*;bZZUA3io)aP+Ye%#?vogG4lX4+6y5m22@wOf`m~=R+O_{LG^(J#|lA9@P5ABe6H=_yu)nUV~#}>ZAn`<{DZa78GgW z%r=+wK*l7kT05Ibt)7{Y!X09+HmL4Fc;(3&edP05p+UJOg%1r^u1=8}sbb(_c7SFX zw=uhVon+`NkdFGuwiU-jR^YoU-kj|c*|xeN0_P9?F-$E)wjH-9f_`>hVBvPR$hKo5 z#5{CT3Ag(}HWEP^YH!csc2~|@phlBKofkQdHFX|IhSWz^fpK{E3RAW%Tuf@(M~U=- z$hO6-CUH{{w@`!Im1txNcXjjmb`4}dT)^$2e9N+Qdqia0BF>fV&z1!%4=+Wrw-4F8#)wiX;LdZ+A-U0;M)z36Z_dW2Z2;i1bMoZW*4 zzC#V{N}McQS0DdI&OvyEhuGCNp!v*!yYVpY=8=!lXLJHGOG<#yo^(5q&!>URmrUdV zBpxMORvxFMJAz!|U3vrvHViyc9+s z^~A+9N#!lseW^_7h)+>djziU86A!xs4bgzC3X7J+U`Th%;9y(gA1F8+6bPWvNVHv( z5ipIUGZda7ZOckY!NN{Zqt1GiO;DHkR#~Y#IO_C6+Cd#6o@J!(gVbr4hXO@9tv@7G zP&7&`zPBYY8YA#&8Zkq5L;|TQ=rCSO!y1PYr?G-Pv8E!3@UZDS8tK@{j&7c8Jo@`r z9BtBPY&^KdZpKl?LvsPCpRX|4$%`$a0z)A(9gG|y#(BgDzpydV!htJfgEH^LUL>Pn zG5G@GoP5@09F1i6Lv)K)*SDiwBpskGK2nj$A?jj*x;Qc-Nek7* zl8i)J)J05PbQUBjsV)X85*bw&lj>qcM3UC1i<2@E$*7Ah>S9Mhl1@_>XI3P#Q(c^| zF1`|xq)XMsl^KbALtR{_F1}Ncq?^>mk1G%Kk5i;{G#ntNKR!fTCk(C#}QWw8gJSBDU2X*mSon$Vni@#YC`G>lAQC+-}m83V+ z#oI-J;58w6v4^_Yr%oatP#61K68ThCUNowUql*%0Ru?z|vJdg)g}lHEPy#tKSC6ms z=F_i($cr;Vw5bJhT8?(hxHuI^vV@Brc0EoTxY$|}7x)yDHof!dOHFz49*N*1OQ{DV zC={l%f(PFvOJp)zKh#W`$BMG8QA_e5B(Q-7X~3e>04@+M*s$XQ@gt-VQP}7MX|v=7 zqD~-)L%~DaZI=3Mii zZ25bUP7gqyk{xV$LR#4JXjV!+1kEgdza@Iwa<4S3<(I07+ttNSq40(R6#m$ft>0Xf z7dJ@@@ly?SNCD4xkmvd0hOE?lokFgWJ;jq}`h^cLUvAY;oS~jHi~88Dq+$gJ(kW z0+GqX)Ph(QBt)-35YGZ>gcG=R#Jq^Q1?V6RZp$G`&qpkY9H5Z>U@8ygZWVbkuOJ-N z{GWnQ*!-Hhcu7WY^9$I(wF`x)}$b#?J_Mk4=G7tci` z@>g~77pb}FamDk;is*9FBQn^UeqWGM4=U#0!Bp}OKGc?^`)sN@0J*zF)eBtQshYUM zmJ094hzor5TaSX^eEbIv|8CudxdZ=%J=nbZPsT#-Mh(Ec|E+JjFkgp)#!v8H@oyf| z^=tvy@(1x>7rU@Rd_Rlm*k;@qeEichCiXJP8u~_UKQ^54V_ymZo4PHpw>uN|^U=f3 z`l+H~tD}CLl?9fX4~qCl7(Jt|U;aB=$4)8w`RL)BDSKAQk6oSyHuVco#6N1|lngMV zzw{8ie(F~_4`0~NcX6Kh?z45iZ3RCcy{S+?bwBh;__D~bir7BBh#$K&pp6~n0KR}WcH{#10@~PN`S~shXk&XN zfG?np?fC${fHt<Zgy&>3rvsEynsrui2W|Owo^x zhe_WtmacD%ZD~;u+dP&fKC7re>c?1wummXLA7RVNge|os#InnBgz-yY3^N}%>ZfhR z#(bj{!sx%^AMq_O5;jrrU>U-exD*llzn{a3PKF6{bXFYtlGx?@0(syY^=Nruv_O-N* z9Umd=s<4i&hLovqO_}(<=iysp5q3wuPW~gC*W?KMhNs=t;HaOzGb`D6jBZZ@Gh!`A zwiwu|LI4&ZyDH@8TUiXiG67iFj~!PEz_I~Y#E-2g`>|AjeVYPgGXb(2D}H?&^8uJ0 zfYtf24TS(K9e{=W*!rR$%j5zu%a74p&-GJ3$l(9@Up?YwmfD!UD=ncq!Y;Il??(|G zn*~Sx^xb708!i%dUs}gTa92P5+pvy};--H3cX=Hf4ioku{ww}byI;!__IvzS{3Gnt z0%4DYb?j?o-}E2LI(BNBu*dOV@sDJ`Tqf+#_^H8NbF7}NQ z@l`T9c4nFQDtR5Fr*rjFuRw$NNBT~ORQ>eJptu;lA6q~5T1CfBD-++V_^ z@4WYSFYmrX-V3=*NQBx-g_KDWQY|T$q)Cy8w$cr0NkyThP*f&FRBTcyrT=rz>^Wy< z-W~t;=N+H(+W9`udCqsf=Q(F)XJ%(`4SI|3jiikSFgo=9N45FUHfm#3bD@4Z`t|Wh zDTucFtW4_G#R%p?{S@K{x)qy^9?<(tyB|70A9{}gbtQFQ(1>6Cn6>V>DDkVUTd@~> z1gk4qv2ilNPB*OBTwH@bXQ@`~A!Gr4&PI#5kap+NHX5i_>>-`_oo86FxiY~T=~nC^ zg(EA~8Xqlw)Q9iUHB3IC%DY4>@ZV9oKbxe#n@j9{0jR_uA&Mr*^0jYSXW(-!}l z3yp^#p-+1w1<^hl>m`^^wPHGL;|j@&Js2l`SLs$vr*%6^R_sAsgFan!EB0KRVArF? zTnHB}L9lGYijiM9K%ZRIifJ0b@(nBYoJ6o9)rx68g82l-83G@D;vbc8zy`$$Mn;nbixBK(^tNCU!4^fW*ewZyEwTCCq7f`+^BYS2-mv)%rG9Us z#azgC4E2$^? z4$$Wlo8Qd@>pfp(b;);#n`zzkmb&=1f)NoB*|tEU79s zQ>%*2FsfqH^{Uv6C}KCZzzF7ove4&)!j0_`3`6PZ%M{?o4zdl4VBH=wbb)z5`N!vh zQ^-pjKslZ;b;Oql+}@|o+31GrFF7AI@C7IVWH7Ozt;HV7|Dr=N^@GayNBIc-#aN^SJ34O#C?Rd}sQV#P8Y|C$To zutq1?JR8^562V@!VN~m~#j#XXnpUew-5wJeM0w?vC751$<+@1_UoZ0bsoM+DuMT8= z6swX#>#!vVU&-STUTYHsyE?)^g3a_H=8vPrTnI)vgllm>n#O$$mO@z@k_W$R|1bKV z23dGbkKZjt&d=2VG-F8Hg(|#_4U;*Qf%smI^WOUL6s%qsvJw%yqF~XtJ!qy;pVqU`S5MXK| zNQEOf=`M3_G@E4@otIMd2u8aQg<)N|H#V*DIRP}B1z88A^i8L>n1!Ts(0^`P(56k> zvgh!|OBQ2PFD8{?ZyNKNWRG>B-9JvN+Wq774lQ15gsx{pb}coP*sS}r&%;4_o($Qw zW0%c4N)#e%iO$7ZW>r){%*4Q{45u>&2+F4@|J)U5yw_FqJ1XB`&6z zt@|-}%Fk>XCr8q}iD75iy8oQ7=Zr&3eaNn-lZ_x=WD(tu+hIaxSJOU7g)<#f-Jfcf zVYK^k%h*!2``>MIT1T{=1KD2HrD=1ES;)+q7PPB&{}r3Rcp0NMfb0gSt97g0x__)( zwfo1aJFgpG6XTo<*_W_A81Md0sAnf%YV>DcqgzIQ_H`2N{_IvLEo5iuP>J`0X1Df{ zH8}~DbI2x}-A}>FIcS!XCJ1J=%DM)rN-%r(Undca3`;)xv3V17c7H{kqfescJjiYv zB^yD!$YSaKPC7*>m>T`5a0KV0AGe9DlZ9Yr_nY(xR<-*lp8owAXgD9TeTqxd<`$Fc zB&6B>=9VywPCRLw7PPB&|K{!Y?!gERA^S?y)wR5K_4Ua#xtoW6ew z#%V-$#^z3>##AUuO1Qa2hcql+DEi$?W;}94TJ(Fe-w#8FL(y+K79SM-rE$}-=*~7D z5(sPjiRR-N7XQYu$WS~K1u$b24>dkp(CT(59umbOL3WEMIu_rKP9t>4zR^q8MtUK9 zt{1W^sclRGPj+BlRT{+hkPN*$#Gn}WW-R0*#>>gcl~6n$LyniAcw&q=PKsc`|DPaR zQ~X2{iw4E?Aij78+GZd+D?)6~NcbrR#n02m=X&vz4#o3y-oX5L-A-9&AmoGM7voU; za)MODyYPw^qVr;ztSwGrLF9FrS9?QxZtg!dq4>1~78Q!uawUt`NduN`{1}Sgj$)CZ z_!AoOQ>_1~7xHh2l86Z(6n_?n;x9a8?F;frfxI?!bMWS+V%+Z+Z>OF+$R`7ezljh} zylbuan>b|m(8$`pD1-~p`v6`0QN~XR$o)D-YzJ}e=L8fVrnX;k?J%w#r&0cmK=HpG z$ldBePd~)5DB!P|AZxXvSS0YD7A0$S5kJiU|2ZV4zk!OMlHfm&#Ppvp_eRVtFi zu~QOCrfQJgi&ncP1{EVP(Df>@WhwZ{0KXg|YdJpr6bFA^f~@81SbQjwkE|8pU0v_t zS}(e>L(q1O4E}kv-h2r^#lXKnBAyEpSXA&YqHBwi_(=o*5*p=I^jw0TuTdNJx*vNz z@9qfOguD@nVWC_6;9rVPOJ(rCEt8OVU%3Bm46=+?TZYw^so;N)+TKIkduWSOTO4h1 zw5?G|JsfWSHF5B-jj{&(>mm<+@_ZA7B=CP61ONIYaom7GHfZ4A6yuJYqt_n3sTM*q z_!Ag)tCu)#lkgK(+b&e!p|)x<^)H0H;QvYo|F;q1h_}`Gzm0=`mx#DK(PLH&;axKL zze|9BuR$F5=~xi`QQ}SiZE_be>jOn1OFciHyw@qF#%^I|L+Et2>6c~-1K)`Y4~zJ2yy z2-L%1c$;y+8|7`C9a(jF(_aWl5I75iHsA~c=f=-{phFfy5iA}EG!zUQ#jeSnUW||m zf%7rwMS@`yPome|S3#gL25T%sps8Tk%y%^WhXw&j$Kr!POP$lWM62WL`U64;w}?aF zQo*oI5ke6x9td167WkO~1`5(3vKysa*g zo~z+=2y`|efOlvIuGhFJOPx4%A$ni$g}`+>1ad^c+}I~Dzav5z5Dy~)1#w=hP@i|^ zMUNt+Kp-E32E5!9NN%X}%{374V=%uD0$~p~l}g^XlDi>L62&4xpj(uiZitL(vUveQ z2zQM^pjS8*B7bAA4TIh#7!Hr+bg6k7LKy7MBn0ji3`ZCbZGYw;gcJzeV?bcE!fD*^ ztNryE!ys@U!uLfWpb3T#N`*gdLU>F93kH2i!I2#pC*h|U1jdt@DKH*wr*9hi=tg zLI`8b!WhrdwsjRhB_Z&lhdaJ#th>_F6ap_qu}BbjDM=zOh+&Z-@QRN+zNg$VDX%*O z7R9kB5QtO8tFTHOo!98x@x%D$wO0;8$OnNB(D~yiiMUq9Pe}-TF7)|A+MN92RS0ZO zU_tY?D39@F#5dv3Z3syaz=<`mL*u5e)%k~?--(bH0^4;6>`ZWzk!(~q@+LwU>|1OL z5A~REdyESQOlk#z-BBzU^aq8T_WO3VKDQYJ_8C|r5co+j{8<{QH}4OD9}`$GXi_lz zC2~QVf9^vFgB^-N;D})OoBqDqtPVn62>hx;;7>1a>(6A}A8M6A;3&dJeGvFZF#N~( z^@o)cAnB>qgXKL1%ly)zE5Xdj)5AH5`kb7!SG`B?MZuHgy2O9EGh(>B{+@dvANSWClNxp zDgJ+nVAx8(?UQjU5mF%75`(rC4BIAusQ<%I2)5C&_#oIpFzjF~kN~&qvM3e_f>#KJ zS4O_yd&`3m?1;fSMj&{##%Xj`TNEY}2zepc34>lM7+x0}wz1)52&oY4l7wKkU?}Ua zR;uSAq(CqWgXRl{`N?Br4^D(&9tO+vL9kdb^h*~`{q_$C7Dcg05DY~*jgrW7`4{v@ z2;pE1f{I|+RekEpakn7kg@67f@pXf)&q1&k2J7X6;7u~8(NCJ)@5l@YstGI@^k%_uV5CK~UVDTj2o8uraIj!_ zt2(m$^cN8FLU0fU9ZCj$a2VDfnuNe339=T6V8Q=~OT4kWJ-^OqdM^a;!f1EN5F8;) zBRyvtUtx#ci>7{MM+l*544NMH@V3TF!Say{ zAvi9I1Zki;Oe_i+`1Scf0s1STC#!Zh$2X(yjc7$XIPDb+-VVW9kxv@5qpMs_+ znw}Qqr+X%EUS1P|PsOmHd6qCeBR~4>2+V+)Xqt(pISJm@bFm$*TmFiW3c+WS5S%Ma zFT{LH+qXjqP0yq0B|(0Hbnny+=R1f3L2x0O7NY4@K|YrFGXI$i z5t1Re1kG1#3S279kCb7lQAo-1M%zV(Qy3LGbM)7BsIAruXD|eF`3h;BquA zM-!fCW;4;M*ny3=#}HB>_&%D~2-Anj>y%C{5kk`kXj-T7TA!%gB-<+s9d+r8=G%RS|B1~HoJ!(zGuH1sAEol16OS1B-1Qr#) zL6iMi@EiOFT@uIt<7928fh7XL-6F`IXz$H?zDG#If?qj9265acL2z#jfI)J0?%-_{fh4MX+G?PH}F! zT5mG>yL%8)Aas=hp)L__3VE8>`nVfHSmPQDS}IJ6vbg)snFygNjHVk@UaPzOz|n4R zK&V?1iw2= z4?=z75bB@crU9`da~uDJ5Z362?G5sA(_rsEp}%AZ-GVi4Q6Mx_;-+Dq_unbM0YXD! zSkNV+anl{@3-u2--;y=8jUq@{tG>*a?@CO?Wk}1K}btt(IE7&!cF6S`7`#_fzUVuO9Vm_ zW85?;vGU7Xu$_rAgmC@~J!Wvz(YWb3eZ%If>muZZ(6c&(UXZxy zMNj)vS7S!a#Ts*E2)*Rxrk9d8{NAQ3gyv(7`926Oig42_%8Iwn!5WJ^5Ly_8(5osp z#boV8|3C;WNn+6;^hTVUmKv8|xBMG~6bQX;KyAVXp<0Xvu9<4t9L^vfi)5`gtlnB)>ieg zCZm5x$P1w_bO?PVgxapWD7Ux{ArFMUj6&#}1h4gN@_3g!&p}9q(AP-_?ecKbF5||+ zw%HK+4r_cTL1?eaP5b04C%k$+gucfb-)j&$AcXqSR~G#RYwb6%L?Co9#%mpluKsE3 zRS3xt!gFh(!y@3Xu`VwjxfDXbV8CB6;2#pNb<{Jpe&ePP`aOmPYyKt3A2aUzX<7}0 zR0#cvHIIw7{*7;1AIB6ujy3*CKnW-~2bP>7;injs)YQmYEgycuvw^*IvUZvReQPUF zQqN1)>cz1rkh`ADoh4^sIWr0+b?I7ttamor8_+1{dhwGEB@GQmxuj9N&#eP?AQZuZ zRWFQ^AdMCLWI#zvA9uXO>mPU)I<|;oLGz_LH?`5;THU2SLOv*Ijpp{kbXolN`NO|N z2uYU9-Mw3MDrfSkPQ4Og$o}e%a>{ zD8b|3k_t5S_VBiP8^fAyod+eoqF5v-xk;FAGMeo9bTX8vXj0L1vmifExmFt#LdXLp z1JFEJm~K^e3_P5N5Sj*|X_z2?yJv2n;28)-u%P))iPN~tbISz{Zh(?IVpwD-xhKj^ z_eP2~SMEnhf|9$@JW81Ei?o?AXbVDU8i}Scg8Uex`0(qf`~y)eXnshT#!25d8PEkv z#-eGg1bG*!B=sIar|}qLVuY+s^5LgAluV{;kKx+nIOKk&5L*=2p1?8DQzC0qlMoIn zP(n{alst{dQ&A|HDM-$W-2S5X1%xChnGu8hK{Uv-=r}uyXOI&lh%Q0#Z3!rO*+XF-$$K%fwvv2GK>k=7Wt9OXtI+!k zFR^Wr@KX%({-X5^^xTP_HDqGj7004Ler;;|UV)N52IP&?h;5&NB?9@E$I03OY!A=6 z=69CJ+RrkS{De`msOO;slpMk{)>CPFhgB%SnX2T7OgxW}Pa2f`K|PNu_{o5hzaqqT zOvX=G_aBw49rxjEQp*bk+n0igU-Zr{5?r);rcQJzt-_UH%8XZ)gXL!0>X_HvewYRg7q$>YmL45 zNr!L~x^^+HHNmx}bgdb#HN~|SaZ<0Ph6NFNtuov?iJvsc%ZYHBg;K4d-@OLm_UPO$ z3i*%njmwWle#@;_0AXJgiv)S4US6x4Pww1r0J*6J!dGCeaXK^QPgK4;(Dx#8ZwnSQ zUqiRMOANwYWXPkMhqF`&Uyod}lZ2zJWAQ3n zU+LpPr&U0>H=25*=_cNd;eN5RmJI$FAr-=E62dnN)4*8w0r#v!2u%afG+3B!jXiL| z?9K?GX%L!*3Da${&t6~fB0^}wuioK1gz3&?&C?ssLkLX~G~MH6s)p}L-gwV}wh+Es z$Kr$VC}A3v9Bq7hA%sVwX(XB+5T*x`e{JmgD}?Vy)BR}Dc;|*6^8S$c^Lq$CsA2I! z_~96DYkcCi28Xf`k|8`U0pW>BZkm+X@pS)kgs|2`to4}2O^>U8jlJ+Qgb<#L?M)G; zsp^Nzz8;1UnxbfWN|>HjNB#8cLWIyX4NWtJX_o%ym$}&1Of=0v)3b5j)*SsG&uQZk zQXo9rfbjFeG*^G6N!ObZLX(cBdBQYb*TQYCK?qGRqUmK}TB!d#TLFa7v;a+ug=vYg z`Rl`r5JJ-{XnHNmX}lg8wBWMt2uTo*#UT8q#7%E`zTW!%1PCuhc&QBGcZF%0=g-JC z?B#dR^bVR<2<6|4UOj484nhboPe3>>Osf)`Ce3>SAvEEkYIu$4ln)b|w8c2ntkJM| zA-qN&G$qjVg~qpQi@v&e zt{)+UKi47rrNT{L`Nm)QegMMT3@i}{e=SVk_!e#K*bTxv(6j?hI}KjThg2p>YzAv7Hkrr$iDC>8A?{41J%MbjTK9`I;%-tZdh5kmO)1cZ;t-1N74&29G_ zhcNCV!gv%L{#RqBQjhvl&$2C~fR};M+0%q4WYYU4W*Gg-MF|e#&VLrA^S(1WnDOyjF`y(^uYZ zijV}Q&0N)oF7Eszn$Kr$1>peJ| zmu6v%>oJB*X8O_`#N{}Y=ElfckrztyB2ZdP*Zc;Q`f)8p>xIxOq(Ety>=R1yP_z^e zMN5?gS?h+SYZOYmOJuFPfd%d5I$5hoU{Rs8hmWlFB%hK{+DjvAy=DA__C9g4))(=< z8kF9okhOmJsh<~02hf-|EBMKP(t(uOE%@n{2$T+%iRZ2O=~n!I2<0*iKMjpS>1`^p z-HxAbm!R|x+WMU;eoA_9sX^|8qPw@=?`UKfXM(96VDC3PWGBcly5sBp8fK|qW*FUx z_FjysS;*_s!wdYuH#x*0e&9(RfZ&hC$w3Ii=;0s!XqFrTGK?Nq_Fft%$CeDE-@p3S zM(i|&U^VcDpzmTB7jLSE;34XVn0fxL_cA=l`NqrZ;s@xxknH4jf)PL31^8eVa`OVi zdMW4^Jfz|63o(Y#+a!9wCE;#A$*>+8iT5fVvhIsAtkNKu53z@2j-i$O&u%E_&m#vSGFKGwp;+& zePsK+z<2}Nnj{7;I;4?cWFca$(Fyh&{xuhZt(FM(7bQYaf-N-7{4c>)>F9^I95E1Z zHG5!!6TH=H-V!AU4)L~8?s^&hFNEw{V(AH{ww46t1XHRoj1o+#$1p;Wsmw5w;CMU1 zVG&L+l5i5d(|d{D|9!0A7_x8ko+QCZ5kiD4OhG7qB55KfW)hsi{iuR>MLEF{*t53V z(D50v2j*`$hA}RJ?7NMVBxomND)@|4mEc@2!zjVg9!_wK;v}fWZd-EZ$LQY#vPZ{V z2+s4dfTD{RYF5nb;>9+#OoFc^tbV3~Z$}wM2|gI-1RtrAgAe=%f_(_ILD zs-Xj21VM8Y5|CgfL36Y+%p{nwZJbH)i#YKi3ne&F43ft+r-Dz!k8S6+@Ua>5}c~g8yokkAO`Q#kq@EW z8gy$4*;A7l@Un|(^7^l-_bnHb@|vFHJv&=>3iqs$mAm&j%)WbU+nI9#%L`;8g zRGI#kYNcBje}MiiAvvQ5S+8c|bwXJkTKx5sVUa^ z7d}t}Jz7KdM`$RNi91>7tY^l~@tKgxVJWTw-g9cj78(RI58en)xGti8=0P6AUe?gB zSfn!L^{J2Z+89ak+L*j?S9=4iT?*Nsy78JXp#xn=dnT_12`iS4*Q;K_H4QKSq9m(J zc_kv8*XNAay4c*UO5{6zn}&HLVsmwVnUOR#OC zymm!7ukSV9KeK*6cQLwM2HCq3c3xbY_x@iFt~p})4I$;QPmHPkDQ&QS=EzTPL=GJw z8_%NH#yaERJiIr^Sox3R+AsK14nGPV4$7(iIT)|Mwm+GueUSa5iw=$>s&skk+86js z2@Fmb${{K9zBruZ96p%ZwJ!Qx4%ta9y$*OodMXT^%QE7CcdWCzl*197bNEBy9Q=o0 z8i{TlA^V8o!ePVzn-8u!;LY$h4u4`lrsn=*LWd_-9={0Pu7K=6WfuQWv4;X243p~LXaJD)(kipY_EiI-x!3!s|fH%E8*+4M4%>3~Iq ztux48#f8_g2#X{7@nrP+S7q_2Ugu(Grt+&H%dd5@^#hWf(Cr$K&vnT!{Cx(CQ!Tvk zM;$C4<<&^!S7VW1zj}uKjBZ^(Zsd|*_zMsgr+RpKB^HnJY9jKhsmQN)U-|k7x?Kx$ z6PNtL-REED6e)RzdDHg8o2)RZRnN-ayz&DYG2L$ zc)4ohg{y2lUMcdc?Vgd-&@CI}%iZ#;v%%t2k6zb%Sv;y&Cy`&*i2S=5X6Hu-SZ&{cicyPp@8Hcwr*7(0(it`K5^bdh(|cWWQYia@Z}uhDa<<_4MQI z_I~Up@~bSu^Zu`)FK)$V3qkJYmS1{= zd+q(hIXJEm7@jKMvt7U2;ZG6?cd}3pgMFOC5QB60?&;tv^az4HIFgzMnrsHwEM|h6}GXJ{CvtTB};IbiCZ>khJiN&cNUOT)j9_2Mr=DcRJF|{sM+^*S;=++Hnydy9D+`m(0ajJ*c?kI~# zdCd{y?0Fy8YtEjr61v?0@*KxF153e8X{W#a)dd*|wAI}L4>bMEp><;n^ zl8atR$z)}@z7aT?{5oRq$Ct#Muu$aJUq2OX!Dh=qe#tQ>aJ`P|)ywN|dq2J+^6OQR zU)$!_&%$QQL4L(0zk(j4T6y6QrCB_hU$2S$S}OAEiMjg&=vD#pYcBbPzp7?&swKbh zr`RkW<@Kh>uXjX#{dV}{dFWONGTxVzc02~p)>)kD;dQRPAD4;zdQarn&j*X1#%6nf zyv!xP@R#A$%j+V0KfW*WYqiYhiFeCCdl;MT2{PVym0quAYW4EE#NLk|B>6n?vB<9{ z%l~eP&E5#|8lMZVHhT5)y3F2>Yejy2D)MXZ>%%X@W_y9W&Mm(>8r93|YA=gN^L~TK zuY}02dGSqep<8c|H@M~3wJM8KJ$avP@5jv|zqW|{(tcQb7@O?_@@BXE%G0ZtSCPFR zw~GAwD#`Qy*RA(ofX(&=d7E2)g{11`rP%v%htBi`fpWZu!+quU=kig2khG|GmhsA4Gn=+vins9NiD(@7?n2 zW{JhAp1dDy@5dkFydQsxr}FDy{J}G8t;J^hgM1)n???8X6CeFx<(2N3+4WdH+$F~9 zQf+<~+8kjV*2TU{-uE}U4FLI&OOE5C-&h>34L*dzilyV_dMt0b>xlCDP4N0N!N*>B z_PaB%iJL+G!-W?2mhFAU zjsfv`8Y~Xi%W`KF!7}5e@pzQizY^n>b4rBsn!9Tp+2`B>IW@d4yv9YVm)C>@i${3n zoWkeOoH{b+HE&OpxDA4wnr?H|V={|VJ$g;y@hGo4ajw@HUe4>&HGR8avx6b0u4C-+ zete4OL-p{Q$>UL8XYe^Rr@q8_spWkeBd=Q_=Pb9mYL1Eybg3R*bJbKhdM+ZTzRvYJ zFUfh`s20^hw;_ygsRa`cv5K zZIIL4 zwo8uVGlW?ju1#>KVZ}1*v)vl2OSQ?DIIkj!_gOn%y}IajH{|5I&7u1w7Dw>aN2EMCn0D6irK=M_wFUeVc~)yHu6K#t#Q?=!ZK#^*p;+oQ*T_%tXhM)mry zyh3MbtS;qMqH$h|&*X)<^hD=Q52D+>kW&)3^J2M#Pn}|MGS&tkOU3F^4qZhqb&qlm zeRuWz2i-z}p~t4n#^=;OS4r{*B+pJfeyA;(aoAm_%2i#C@ z{Zm_htUtQl2RVHmW1Z(nCxykyoC7&_H5baEf0FAkQ0E*DUndct(U3F1=b}TY83g}k z&Y>IcN6KN4!Z{4_a1P&1ThSGx-w!$XEEn6k3a&%B!ANAzp=W~Cr5uLFIEUMX4(+DB zz6;$RfSjR87Y==WEKcSe`m3xi<#314VYtxY`b!cW&}|Il+~KCfEpZkna}GmdtS;qn zx6omP%KKv4tt$?on+7>|yXg?|vN)M@7|yDi`{7Yu-WQ|eoJ0H8Ezd^32O(#a;?fr* zbQUOc4x^*2F4f_I2l#zM{mF&7S6lEulK!#IQ0r5wg4IEROY4$~KO zc?{hif}DrEE*$V;Vrt2p!($OvmvVSS=rBp>kXQJ@SLiklavpKhVM>C<$(+MeaaNad zcvR@{xW@aUV8-Wr(CuN!ne3*+EQ!U*oWmS1t4ldVeY`KG8l1z_pS~cQIUaJN5tqK0 z8)I=Y=P+Mqbt#8wQO;qy%sJE@aQHKHdjxW(C0sZxVqyM=xq3;GRi+$fBsqs?gbp3n z>*Txi1jw1`bK&rY!s2AE!`m9GOF29%bkKzkZ`9ep7u_a8&a-YhEH_x3%sISII+`w& z!}CIi7j@njQ?6U)N1sWMGuKTA`~3}>9mA}ZOa>lvo}91nzIfTgIow~zl*5V$?~C^(&f%J`Pwj+F zL?LHI%%v}WkNwXa@I5uGF69tUa1I}6oWqCL{V@~Wra;arZ+Z^+QX3X0V;%4nJFG6{ z@S%@$SSxgRs!1<0M@@yC4JFft{zohnup6 zaG>WYO6vdb+LWB@W$`GlZzRs^J8`~g{;1Kt(QP{9>~!P^=hZO6;s{=i6)Tp}z6~F; z%IZ>H-z7P(y)xIUJket;y3K%`-G+-^m)f*3^*R||?Rh-PYj2$M`q9gI4b1(4^wUhp z`61@Q>v97f=u$m;U1g-gna2V@iX1wSIX`PIyt>%>?Bw+l=R&Do zNs;$Ql3cGLlMbGTyqd~u+g){Z~EyDZp&p7ARY3QPI^qUPif2c0J zf)N&|dU%!ccvP>yWX|h&>YN?+g83z<56D!dKs_WQ&g^3 z>*DpNVzYA~7sarhqv7*JuW0r1Qh7YWEB6$G^QzI6?!s#r&xh*CuRCIl80B?Fob#$L=C2+rZtjV0 z&qMB6Zu8eY5{pwkyhibOlvjN|f90Mh=C4ynJ-P>*oeQ}Q+~%(bcs^7QudzHH<#oQw z^|~;|^XvL}OL89d1;}mWHh(?Bw@>hzy)_%>S6pB zA-9R-l3#ctBeif|_|ifvmKm?9NmiHg!qWh$?_#+v#r*Z<9_0#jn+Lhgk}kZ`>Gd=V z{XZOk&6HSW%Bz*k9@vxHh3Q33y3-erUmMq#jZx8mKIFElnrLfq6UjS2SU@7&8l7Ng zX;y5tL@@h>rG?BP^*^b*N+*8eLJuXF72yPP5~&X51oM3Bqkl}s5HCS)wzrCc?gYiv z7}Bsw&`z2Vbdoj+imO1BV4;T-^!pqHv!9N9xvS&n7-|9J7V7BzE5C z=y(z#CFpo!Ati|KpJa6@!Jy6wDvE<(ZrA9}SwAg7|Cb@Rq-qXQ1@SrQEFkY<%XbM* z&~Z#o38o&HN$L|nO3-qgP6>9GIl&6UNwA0HRf8?D`a;O=(-qkZ#~H_E_qWYjgo~CB(KG#KngPliT&J2?j8KmZ@I&h zPJ;LNo>@7BTy$Fkxp(@~D_HG0IA3C%b#MdcUF_Yj(uN8Bh2Q9bMQi6CV2vhLUD$~rv-c8-`n8xFI4RR;CDY#O$Hf&DR zs|+ia8Nr>rE+zQ5mlK>CcPN;>*gJ5-S?6F|uS0HBO|PKkB!=kXixX7{I-dCFIuGK@ zUszpA@M+P-Gc^an+*$GKX5C7@jlBW6Psh^}Onb19ZeMJldRz%w?o6QspN((@pZ7Wm z&Q<Q|lfAm-pSV|)_*--6u5ZhMki zQ5I0-V2;m*{vx~*I7fNthj1yexB$#_`{N2@e)WGWRK<;uSJwbeV9ch3pT)|LV zi2^B%5_H@OwVOUfZQj1N6mM z^*zY_#wEY-okrH!R4;t9krgv}9ZFa+nqMV{RVzk$S&siGuiZXALiZ*diH5?}?9#0S zW2}VS-7blSFHf>IViG)R6UiiaOt$)&1dscy7$s==ZcGX87nAVMNhiTWzSHM7Y>F}7 zhuj~d>3bI6!)RH zq>HT!@uLdjyH^=b2R>eQ6eq#FQ{z9^9oiUOR->Z}L42ha3rHHFU0l3SvtmR+ zuv~y8el!Oy7eqNh%LP_Suy&Latmkobao*|jKMVF=ifw%Wd3Aj0yVya-Bq+Xhk%moz zi<4H;t_tF-6qz3-ct)HPtRHa_JSX3EGD^esuuwUE~)o}O3gg)>BZo@kDfdFDv4_bnZ& zsTaDiy5|0DTb!(uh4SiX@R4wJ)RAa;ofE5#tS8WQ9pqi*GF0(3dn6cHIKgmZuZfr# zB^bWghPjRe%QtyS5U-`O$u7IfT+%sl&YsT`*w!bIcb)E{U^838X3w_NsuFCSv|_FV zEk}x!U`~V+ER-Ay;t|0wzJ}zdRG&g#o=X>}+u=GMB}5erybyH~gutS>6{B5@?Djdjg86J5X8I7bJXVZP z7nTDC%AwTDMe63OD$=`S@BAIfY`_;Lx*V0H+vz)=DNIH3Z}+l|CuHb2@b8kXm?_eg z3^K<-g~SQos5uDc^)edl`KUEk*a&$&r1WW4-MtuCB{7Cn!QM$uuz!_t(93xAyc|4~ z_k0FQ>OdPqz^qC}xr>Z&1n`5_wTtHWU35kavrgo?yDOpq59qk?u67x+jvX zVcx}#(-^di{o>34RdA@r6}%%g4zl~nsmoQf;#-s{?J({p$h+M!An>|7{q~>s%XPZ% zuFV<06Mq-8{Pu(Qi6ynJ7c2I>!sKsDh45 z3hq&MtB!no|eG7*s&+1 zU5xL@WpydRaaxtVY~BR*QTU@0-M)alhusG6y$XxNc?HHKtQZvz-@}vREr-3Malv zQi4;xRfI!cb>ewvV%4qq7T{R=F&h-;hq!RTtG(9tsBpo-Hq7Le7qj}Ayu?><%Ig`u z3a`A`#_G2oC0`)6LEg-W3or3gOTp`_gta}&%aMGPSL$7R#Fx}Hd5LQYl-F~Ss=Qu^ zHeLBJ*{6O9dAiF8b-ZSVj!?&|RLn#x^jWzQUe<;4vK+QjUU=chdHO5wh3L9>u6hBh ze+7B-dhGQ z8_4^>Wo%e(IVZ}{vEeuaK^1hIfpG0&%jsfD@MAFvuXj$uc^eWNn|;^;34RNCpZL-% zXt|%36D%1_grW<C~g8Im`Sju#z<3wTg3s&4%N}c_=?9dYhQj0{SCXg>Xcw1=yKV)+3WGH)2#UMg1VQngjS~DO!4=%4IS67GpAwlr2IGDQd4`l; zLCa%@NF$VBaCy>7hIVmqjcUcHg264C6*CnS-;OE4Jz^B^*Bu10i${+i^)bfS1$p~q z7lKn{Ya=GXnK3J75}d1AF_YjMniVq%Huf@%68y=_6->q*1hI?5iP{sdbER9y&a z5(_94oRF|$Cc%X^%p|yhlM!7k&KppIN8~DdIOKI_lQVQ|Vh`jUG1Bu2TyKj_g$tBK ztMCex+x#f6Kp(~GX9_0{1u3t;^mM#7jT>cP)$bwim`jHS8miXVCND|1VpOldrIHmh zd0nnrG0MwwVTSO^uaQgw@aFb-S%^OC;-B)C?xHf$11*hrfMzhZ8dV`Fhe zixRw0;{+w=fdCSm^vdrOFvtPOzbN5C@UaMMg?Dl2skjw03C{6aF_Ykuq!lx}SX^GC z1e@^#f&5m^1A+Y3i7vhBT!t}zg#4B+2Lhp<32P&!g5wM;W)hsQTQQU1+nN4mdAaF+`gJU7Z>6O8f-US#7>c?B;~tbS(diEn6> z*X8kayk<>X{}NXH8S*=->3Icyw6#a|3LNoT+oQY!e@CsD$?H^GT~n_EG3G~kb(X5~ znxI@W_qNZ_?I7fLj=S*MY>RF3+Tmrfxn63eZqi*W2c1~x1!guUy$Gt z$iFF(zGwgAsZG{fvzb%-DPTXyraeBRrQP}(TOc5)@zl){3x$+I`7blilalx zSHTe%{)_&9K>m0a;i~&`MEL56jo_nkPB0otL-1fLaujkD@*nr6@7e0UpkujoMirdK zziH)9k2whD&qzMex$bNv_$TB~i=`)+ZnATn8zHHe_AU;`1WqSm%S{)QAf9_oosP(V z&f_Ggt4+M?ov zISIaG)c>UMG;HY@3C0qh zTIS0v^J7VqVE$_)8RsM*|8?^9dU71Ho*}(I+em$Q26ww}s9ALu_rXwqL;j+Ko%`vK zH4Ei}qT?~v7HNNGg7oySvJLZ9^_!loip`SJ)YT9R*pH897**>|*Q;VPqKK8=sd`ci z;WtA@EZhSv<^qUKC0xS2?N|h{z#51e}!YB zWI8%EOOW>M$AI)Iw?psDbhIBoW~8GEosEqIW=HJ zKAup=#+S8jh2f7w{`zG4d`zpO{kZ?+bv)u_+eo!Au&bsE$cVV5sA+DA#cp8N7Q{_>VeX-tfvv80ufh|3=L~NBj4plh)Dl!kEl;6q*Sg z2dhFKmXAku8%A`ry!eLBkB8%2$HPWOI^H^VN=9w-1lKNg&l+5EWfwpRGvy+#el|0jd_(Q*FZj zp_$O}jx;(pGCZk8=-ABShdQ1kv3x8zN6si8vsd4|19b!_I3t7k(Q)*Vi}$bvzXcF3V(ow4YBp zc^&QNqB7G_XeM;L-=a@yKNAc|RdwvH3Ji736Z2z!2J>SgpLntEFM4Z3HH)$7|q99Q{61vW2%#xM!rJv#y4kIj$XLl#iviN0}Bv z$A@KxaUH`Gu_bfi`x(Fee09OiGS~5D)v=#Ju}+}lFGnwLjXKtb0@a&dN9T4Y$aep3 zFizE@$9R5o9sP%stPP>#v53GhAMcKF9q&$Lq+_hzgB?-FI#3XC z$;Wg$25Rf9{c6!M;FYZLGSg9LCUl%qRmZ?7G1dmxF;LHoN5?hqlOERr`}Ok7bQGEi9iK|0V}nRl9UEJ;MIE2^avh)cWu#+ZZTJb) zu`U!ujSO_W)RsRdujAz^+eWH|k;#5WXeM-=nMTK!KGufF$MzO&QOEgWew?4#{CHsV zgiWYpJt%lSgZa^MZ^+5(SfH?N%v?vInb7fB9*@tDfzH@F<|1^=Q3ZxNz9sh8Z$&f8 z$74TzL5?3!hl1BKnIB7{tbM}$)599PSOxANUUHcor1w%4B{VlVI%=?iuOmJg(QOYL;0(3eAL$i__?M zpTRiuaUFh55*X_EN1X4k{~)T_&f}i&`poLt=QThby-;vCntoh6w;L6Nu(&>yv5wBV z8pd@7S>jX}2N%H5YOox3G4S=~!6D>(udt*A*V` z1AS4)v!M{;>2-8&cY?HUzl}Jfe00XsNW80z)aIC4;M#w`t{^lM`M5%6@wkp9m-=iN z)$wv{$y}(84OOmVLoFj6?>qRtA9bt`h4qse=$NIj_Nyl!i&(h-K}VsP&@nEvfI`PE zoHHNSCAo3ohxyn#%5`iV%SgxL50oxP9nXQn=4u8ymSS(3OZDhjVOZm3G_J!!Goj-e zjl~l>203S;;|+%JLmfNExsIKy%#SA=*L%xrFGL+1K;acG^P_VdCdfEcqpbbv(b4|n zjLdXgqglC_^W$2M2_1WJ&O*nVSylSu;=*DvKeGGn_<1wD*pC^Sc7KhgmP@CT?}g_= zq4U?{?0k4y9q))S0@b7AD9IWxGaZFyA|KalES}JDSj2|m8kF242@LbGhs5)-hwK>F zc(T?_M?5td4WGXRbvzFWZ^&eR9LxJQV;!CQf3501B{Lm`W~&*RQ8P92RpHiW|AF7u;vyAz~+ zO=3K&N5=^m)m&&!8Q6xS|J<)D2+c%3?nIT$h3jZ}0Xxsf;JB#p!+e}5=Eq6S7hqa$Hq|jrjmX?lUBzyD&tf= zI&Sb<<7K9!&`jufD8}Lm9pjv{$j5cOU8>_JVt)Lz%KUi3^F6mTShpT^ya)*&~j%*05}eM)9J3eAL$zoyY~6X(oz4F4gs?VvjD5cA^>=lpo$`*G()-&lq^ zHi5z|F7u;vyA!zGmM58KtfR9o(J|C5$+m}TVN})Db$?xY3woQ2(D5&1X)Zh;!~c41 zScJ4&${_Rm%#UJz{Lwi-p7{HWZ`@7oQOAp+aIee!=-h5(2#(*|Wwf7h#?wf={wmv` zjCBkP&A5)GptE>f$56Rp!>EpZeFDSdo?{x{&m7Yo`mh&!p9Hbd#MXg}GFZj`kl3XQrdjOz7CeVElxR6J;Alb)1qA z80y%L&yPjzGMgWt8Zl`s>ew8LT4gdn+J6Xr^5c5G&bEhYVc?wU*uS~&XM|=#$L11? zCv?OwE2%}~rlr_pr|62!G0#e$3yk# z_!Zm4|6zYEG!r^@NTcKWIBP`Y;});LFdv7B`Egig^JACMLsp=Ut)OU7CiA2HQ5z>e zKkhf!_E0Tw?Z3~DLNlS`6%val#`QO<4U1qKq3@Fd!zS;K@Ns>A)N%ZH;`8B$3jJ51 zj;*0+gg1kH{DrrlaXvcsA2YS8nq@Ywg=Rv>s}-RSj$vdAp@Xswiy&6oMHd+A_?XUh zd@SzN(fz*Wq9^3i0l8gK$4jATLL|M8&h1WA-R_L?(eb-!s-xrS_nGNgaf&u={b3&`jv)H%xXIo9vqj7ykzQYc70#^jm+s zi8?MZcs{=BapYrBOmou_FWpQDcq>rHwovr4OFsH{$*Jb)KEPE+hd!=4I`dn@ZFFE% zM+fGvqtHy~7>YB_LdTsx8y3NKOT~3~dfc-*%5_{FbLe=2>s&alTW>wu7jgagffH|&fNNgLa7Dk$QdR1&jlxWdUv(=Re){Q|TA4|I%4CMJ( zT9FVKZo=j`*KxDq(DB6MdV8~v2ceGbp=d)iy^i4;5^IZ&VQks?L~%MlI-ZE`s-rWW zRy7{YN5|9D-SbgsCi1bT$~g0U3`~#MFkFLxZt+7McO|)wyS+{wPdKh$X;}9q)bTPX z`X-)UN5_-kX+Aoh%uaQ5=;NxRGnX~o9tW1$xE7iT9ec|*&5}g31u+{&bS&+Q7IPuv zI_scLej-S2*UL((t*71n14`2Yihfq?($q*jmcc@sRPTCCoIX=!Toi5`r<-wl#ggkL zO>Ty9d{BCS9BwQ$@N;)4T%nPs$iwpsSLn-M9QGEJP4+<9qfsb}#+a#WO8j8Eu1ye< zq3nqSq;&ArtUtLQl+9D1>_r0#PFIXex?uQ-Qi=#;gF8Ek=6y{N1wGRMPV6Ui_p(*;0+Hz3IbGaZpU@ zi5|)?N~eVlE1>LMbbLpLqUW)bpbVe0P__aIKS}9-f+0VVp=_BcQ z?Khxo7j63>1~`allCJ&Y!%w&YpZj=gTa0*j*aT(2q0^Bll>Mxb_(w6oQLK8*OV*C* zSbU&-D5|ZM3I`1x24(-ou*i_ToX{#iC5lCY@|qE{RtrnbC@6S*H9kYIc&W$r=_fWPhpiYL9ylHM}kf<@r%85|m%36USl

lZv z=vvT+pW+}7^RjC4s7TIb#|A=qDLRF*YLP;!bu+MF)iSzPhHGUJkQ?z1kQ-J!q5KvvsWu49Em0`H zRU&Kn3fb~ou{FF>lPOQN-h zbY=c;XF~Z%1j=hVUeMHJZ`k<9;6I%D9ud!hVstooP%jKyDE}ye1uLwhK|aB?PjGD`7pVNR zWFXY17eZ*-h^9?CiN9IFPX;J8DdjCbDE}gkdpQrWeJw-zjs#Q;lX0!$HY~%U(Dh-$ zq~b0EDk3s;4UjFUxF>=IcT3;VwUHj^JueAaJ4uwRKjKh4HUXvB#U99RjKk*I7*xEj zl6tS}ko8v-N{=Pk_LLs?UZfTbgNipbEa+T^HvTTI;VfHvJV~nI?3yh_q2fKdwo-?R zl|HDznFejE&~p_|j&(@m6>C(e_#g=tAM-w_Sm*t_yk8@P6sY(Jx4fRh+E&hpU$*SW zJD}pz2o|jUnZ`^NiRg26Z{LrQ7b-U5jBzFpsGRNFyY=^csMw6{Y{u5Nd3dcaz3}&{ zo1tQB6pI8(eIGL^4UBHlX2YRkJGQkQ+xnIVtk{{@`{fH)BcwpZH^}f@gV$=PoIiH{ z(@?R?2NmDNp<=JhOcnc-Hsf3T02SXSu&AIk65DlQe8uRI8=zu;42ujEKZ~{wd3*ld zbpS%x)=$`0V{TF|_Dvl43lRb76C8Pf8Ch#3_=+2!Wa~^ z^0Hd_uSd0U+ZRBkgsL#KcR^{|PEP7+4}ud8NWkl~<`xG^zg>R9=CmD-iru;5v};}`d>fy!(Qn2l}a zi?#~X`(Hnl3zd0j%0u;UjwK%I)pfDGh4;q=;O(X3YzJ>&*;YT_f=2V62X7y2s}HvI zoI}*go*p_@dthDg_Q!zzv8}sgTX#G56^(5L-ofY^jBSmvh+17wDuE*-hkY`fVbCyM$`!$B_%Y)DvPt|U3stDKZP5oDovQGf-^T_-;0}8u_gsZTJ zQBq;40`DxOHxn4I=-$v^OL!3M{Fe{BbIl;$*DZCA zwqA!O2Y6qLfEUx+VrLWHH;m@rY-x!mtT8VN-WOloWt&F%%KYPy~xGmP&!259s3U43{HejJo!r)m%jB#Z4evfVJR7@hf zED!$r-rwLg&}E=&p_MhgCx|l!+HW@t?lcN%7C&5*s7_PFwP37watiXZDZrIHvK@Yg|1rYs%u76&kVPm{LmCMIY6xw zf#O4c7UOIzgrl-rKPpy6J92e{Gc{K3QMf4+J!pKc2FBz&1#Lq ziy9u>3Th*CHL~M|qk>H^{-p+}SIGD_!`*w?S!fF2Yz6fy$?@uNWvTX1J#Z$J665P+?~{R(c?$1osA|tsBOb2 zik(HgIe;_9=pW;45u<6Q+gfGwDh$aQp96TWYX4X=UMLWKD$0$(q?V!4F;~$~$g;r1%9SX-r z7{?L^sKpT|EY#VON5>g2=uS~d6@A_asCcm*+e6jL(4y7at7yWII9?XEU>!#7Z3FcV z+!sdq*;3yC&Q>UFMgF-#P zmY&3VPhym+5L*iBINPCc7)5!;4(ik}sL#^UvkWPq(j6dmI+mP{{+SV0Z&m>i{ z0o8Zxm%Ogzfx0+^vjfznW?Sm}ifiw}7NEWt#MuVw3R&v|`%49X{|V}HthF3#p^#$3 zt+LLBUl)P85^Jr*T5Al`^-=Ww+V9{tUE{~u0;)VAR@X=R9dzD|CI!^B2B@FvX06Yn zZ6{ZKk0v{)8^WNw6!=AJ8+8$`~<7@#H?{0`y-W^)m^2VcR z!nW{)Sluhz+86x1#qxD%!nS_Iwhmg%T0dEv+NXtINPDhygyK)5srb_{j63{6(hEIMds_)J%w(6^oMz74e6QJe#yonBkD zg|=58Kj8xH0;F&O*1Xv4^V+q6*P_3uXhI4XTS3E=pV&sxuJ<*a+V4`(t}}2(MS6uz zR~w(rb!a(gH$-v9nr)@)rig757g(dpM!P_Hq=o^pTd?p zVUSJ%&~7DPb`Z2|8)&!d%-6+-2>sn?sXH!p!=;|Il!Hq>ajC11m2^gMMoSM`DlkAR z@Z%DdEW}cU0nl;{Rx%3rdZihxeqiAOBdVNQk5TP{Ohr^r8}^s zPY10RMd=d)t&an=eme8rsUR{y>mOxH1F_@)9kfAywlp}1vkkPn!)$4YA7}hKG{Tnr zQJe#y4O7_CaP$qwzayxHkyvk}4Yd0x=4dNuqjb>5P|W+$cR%_bq?lu|-dLKqx&}P|K$=PV16#?x9oh`i>##sSvj-4&dF>v;S z_KJfoz3M|01?{ykTbhf$x#*im?ad1#!g_B|%mofa5zy$cp}mcz-wJ}ZkYX;xdJD1M zVv4!MhbRi#I~4O>^u3F|_bKN4VMGdO%YCf96#<;BpnYJlrIk2;7z8a$zE$X3g}&9~ z`v`q&(6^S_TWcWlgT`J51nm&n zukApDzKcR^>0%$ED3mt}v!%uc&VDGrG{Bac=s4S<{PGA}!qdZYJUuM88EmO3&Q}JZ z{3;(?x*B~~qpumoZ0*#>;TXeQ`E7rReBUtdk zbnVz>UeyDsK$1`)a~Qcik%I`k-tyGqI=I{Mkvbx?%SGgxLW9b=|15 zahN?dau2lZdiixUX0-wLvjO(Rhm~Rz*2WKkN3lFU=MfVc`3Ju70gte;klCXH>`4;+ zwDQ*uGxqQS*KEc}H-h^)JWER%dzwwek+G+nHWs_f?|QW_|7UB_2hJ=XhOyg%`*}Sv zw)mA=d@d9p`y(BL;RB&~+iGlyJqe1p&Bq1y2q@mRN@uo3R$(Jvor2YPbt?;&#V zylw*bOn(|)@7P5gw$7Xv8)5jwY@FAMaJ-H4+K__RmZ&Jlcy-;br{eX)*zX=eUhTmB zf+G#D?Fk$>uOAE%J9A#U!=f(f)eXt>cFG{mNdM>qzIFc8;1;r<3%S^Afil|GxmWEUD8Z%}*p4Vn|0{6SYGr8L!*IqAv0Jz?zEJu|+SOgS>77_c9|5FGoPck-WME<87Jo%JGZ3#A}r= z6|VyWF2Yh-ox#1*o`#pxF5*aDZehxNoWSS8qB8ONIGT#rOZy!dG!GIu5{zqO92r_@_OYo^un8|v59!?52fl~^;YX+kXKJ| z?+v8UYn~zENM3J7<87Js`-QrwOS}&HtMPLG5^n7}s|E7P0ryYo#;+v-5l8Y`dK%kt zxmCm?UWY8=*Ph%*V#$-{3AOu3=(a&qn;^kla39iB3s;(W5y4ON6E8MMI7}uH4YL)Y z3lPtS1RGr#VJ?X%M+AS1R1*$=d+%}2rR$Ja9=MPCQ}e*MQ zcww%Jn26VLhq&&|{v-rAdxjuSIds_&1qs+im&sa$%bnKpQ5#uw^z8oj;>YCU(t?*)IITsPo>C-Z0o41G&I`DrtYL9oqz$E<-`^ zKU#ew0}hx^BkB@|zv)IUyA^;<&)G2vhyJX5aQ~C8>G#d*nK!*ciEo-^^u+E$;MSPi zto2-Mx&RcgR_h#mYka7S>gLw)BzBIBwnmv;6{ZBse2URQC7xM-{7t0eMzb%Gj=2$J z-^y5tINH?onb=h9!uXTWi`E`^LWwuKlrtTPP2+KbE3qnJBT&DL3z;z;%#ISu5}mUZ z(JwI*%H;Lo%(gD%Rfw5V!8E+qnH#ufw$P{k1YY&SCa?4DCa-(%`r%LHRRqd;mNdM+ zF!#Hf;k7xMzzegvV*4>>bD6v%TMvJZyoxc~%b$kVH$f4nW_WE))aydSo9#Aez*S~(LVZ9Ff6L?`(o#irknj%Ap2m#%*uvx+!1 zqu24Mh(~%|rJKB($^KQ z6|)DEWD+AerWcCpjLv++^4}lQy>+oPpQ!1U*0`pRPHQ<9o7OOmQAt$7Y`UIVJ@cj| zZEE^@tGP99i1l7v{a=cv%|j05_;N%#4(FKaWy~S&ZaU+@(kWv-71JqsPel&PUVW+! za;O01hG-fcthShnnV->M!ltOpII#CaVjS@O5R=2@&3vp^R)W$dl7>SgGfw6@$R`bq z1Iq%9alkB4lfwt!EW}gytSV62hSG3oViW69O8gCXoL=T zMNAG)-FO$f8ovXSj!GI1t^V&iU>>fhOdM7kCWj9#CWqrzUw!1z89dH!wb5}p{ny1e4?Ax2b9~aX*hHeVKVOzm?JDIGaXnyaEt@y1DhQFbMkdI zPWA<*b2JTyu4bIfb?6z6=fJXyV;nHM*yQl#=KE$Lhkl?qB561{%{ZBJaGU&@4lGwW z#sPDcO%8trhPFozcY@L-l!imG87FfNWhQ^(FyAUTVCJ*QVZ_ApH;_YrP`U-va40w9 zWX_?NZi!9A;W>xkfO*s=ha5QLY2+{flpg*x9Qqg{PUal?heciDFa|eB?8)^>#pKYf zRj295VIU|uN*WG>%{ZBJ7^=r}U^(8RKOFX(9PIVmj6)8CK*_VG;V|5clR1a`O#Vy< z_MVZv{@vs_xCEOX42sK|x@mm9QLKX5bSyuC*_kcvjSzgBNz|pL=fvNH2!{^!$NG1H zk{?aebmHx+`3BPeZ<~&z&6;K}XUes92F5;yP2UZQJCeF-Os^NrGhXYsyKb!M+uPXU znx5l%^%OQe1eC&1>ZarF+?Y+r5jLJp`a88 zQ**!ij#uR`{~PeOgq!={IUqS{k89hZ2M zans%x{em`Yn!UCmo1Rkto(0(Sa8Syvshh^vJw$c0>HoF|;>#hTE^E5WEQe6O%Pb#P zK6_*IoXL0WLJs$WQV~t$Antv|-D+kWmf&^(kGwx_@=EHJZ(Ffks(Z3=x3ftSk5BNz zH!95@aiB*%cBVE0lwL{qOw22}xz)8v;*fqgl(*R#2lk?9tXJZTq9%t;jjFyt4kJOi zBk4Yb$zi@vXq--m^c>!din@$L*S_)$<;$qKeflkJ%pNV=2TJcW*Y@7G{31@xY@d0C zh)3FN2ncPuzHc>o_4UpB5qXUQrC(Ct75&D$P#1A(h8Lbr$0o*$y%QYUX82C9xy?$K zOdE>4MuXDdk=UQjZMH-gaWda#iTf0LojJwPypaRwwYv=o|>& zjZffk++lJ!9xyo!)sLNx9L9ojPrAOl)+XX)-gh4fiMmXO?#rWsL-!SSv)>e2TQMER zfigU)@0#0ZgI&a_nf-d4A>vWLS#K4*dahSYUR4V`SS%|5$_OQK`9 z%1j%X2Sgl}$?X#gncHX6`bP?p!+200^rzwQljHx+LFPyihkAyoL%!AAKARqY*+34D zf-){$-#t{r+s9|F6Y(kxn!G%*zKdh=E?bAL$ZG;94<+?ov)>#E$7^%?V{s`8!6)L? z*lzM_7%;cl@>4wm$m=ms@I{!^{pMIW7DnD7`>)Ua)P!-6eG^9PQ)B!lhn+3X$6{F% zL3uP?-#y{@zjJVls&wbrF;a5q6*c?K)?ZglK@O8Zc`T{#n%n0uMFgsu{n}|4@!0ms zJ{}O-WbZUgUN^t0H9%gEgEC1^+&+RAzSS(^)C@02K*S?nTXexonG)O2aJ=!p>_%_a z6QDd1N#rHQ8?{yfugu1qZozmC?8`;5expp4{pRX-hdYqNWKeLIOWAkTx>$fuOq;6mob5zs8o-Z_KgfDu;-ZF$esfo2X0M;uEREXOUPR`6P7CBEY+fS<^t- zkfeh-?lxCMoJ{&i+ItlE2|5vvcx?=rePmN?`(P&7WBy+^Bd=#c*%(gTJ|?g0&GoAp zUh+L4;`OE6W?vgzFWhDwcXYiAc|C_8-b=U5>bv;qJ~0u8Z-b^bTOB5cc14$- zi5#BCZw5vZwK4n6O?D9{^L}&C+}^}tTh!$6gWc>mrA@52BZujrY_}(JFt^Xmfp`x8 z?Ut*5blelWy35r-e;__jZ` zeX#EiDzV>!9A<*@W77C$YLo2~acZXTZnuhf#Or|EWqo>%tfLWj%Ot;Ot z1Vx;h;q{JP#3Nq6>gF~(teE}zl`*@=Ag|f@HBKYVHtU|iE3WXW zesfjdv)OsX3-~n_M;Z>fiddJ7_bL3Ii>ON+{*XGH)XjbBR>vN8pYcUd{z%fn>^Fs0 z5vNABk7r3h#ACb)Emo!t%OlS2js2Ou+gVsEvN?QUFYdv zItEkw2I6h2ZOqm`fj{NJ;s<8p%dOI}T-k^CKc9$K9ba``^^C`q)fXc#9SZAO((oD{ z6md*mNr_^_%UjooFGsxM@@ZLJ&TCablp|i}hC~j3q18whu7RU?%*@6}a1IpKPbb{S zun1@pOlp`2COzm0p3uCbrbP;$Gf1^};U=iP+4>JKDnuHr~-54ab+G4I1~Z z0`a=Cx?afZu@-e2A+J}VuxTJQuOtq92T0_=c_nh-ye0`+aeB#}f_SxXRMV@lRk+9B ztJsOaYxq&8>H`MfF`o#E;HF-2sdlDbNiW$GFRx8hjpNnZG!m~H{MC4&Ub*8RP>|PL zD70Hs>xCb$6v0hi_-V>`8`tZ}NW6{f71ZNxv>jEsqZ6-odNp2MC;GNqUwj#P{Rax$ zJ5uwCOSdw4#ic))da2LY<2f*1@e?b@dC38fcy+Rw14ecrdBAWOlcz5J4-$MG3Oj{U z6I4})XvicO_YQ+29OEaS3 zhrvZalVIEfdy`<&=P1%D826B#2WWwa9Be6gt!JN_y-~duZI_Y?D{q z>pjetmRH;>M8vDGdXFnC4L=%vtQ>j00fj|rdR(dvn#7g%ge0zMdEp1)g#N_Kt5nkq z_t2kTo>z*z7C@mln!2MUjS^fhbJNm@zhF6D# z_BgL>!xEd!evhAYjkj@LZX@2tdC8+1@fucLuk69r=EwVWLtY{LR9`y168jD3m8cEZ zD^VNHD^>rJBQo(CRb4OS^>*vw!;sfPD8%n*CEWHAr?N>pa9)Wza9)YqoAXNC-dwM~ z0ihxB8XKv$9lPZz2O`&RL0*fXaGXC4FWn*Hux&=X)Mvx-HpVM{68mGajq@sT2s`n5 z)Simhr!V^1t{Gv#ib2q%nQFEDb|5_P1VgK`E!=!Bl+`=8!ZoxK!Wc;A$}k&Wk>rj50s)& z_V-_fi_54Zf-|ajw89snQ+}?0J_dRh3e$eq=*^I5QwldviMM6e(c~#8@zMj;HYn}@ zO)k2WWskoHg>!7F^}=tE$8+F2rhI28^9?FbEr}PNc-7+1!SUOmNgEVDaI|V^&I3qr zDSkv$Pfai`3C$EP>D_A@Ig)xIjT}k6mG7bR%%c?%T&jp)+bLY?=LF@iUM>owWpWrg z&k7-!ZN$JV{5aEMUxU7{%|Pz&L*b%uBKLEk^Gv@5lkc`W;>E?jgBR3FE^LlmL^dQ>sV``SzM0Fi#!|$^S8(_Stj0=94HNoab z8-BK0+VCdFdY`G|`arUdi`k}6r(@x((>ZY{4l!#wgL)wMKjP* zCT-P>jxwPra~-8-Qpe>f`s3OZIvPfTj>jXWj>ls+cIEi^ulx0#Ex*7Gll1`<{$|NQ z#~~)qn$fYZRjg0uI!eu?jw^#Ap4_kZg%fPJ+JGOYmo^I&ooNUii_WrS)E}=oe&12l z@k1!8rDUL^TEjZZM3~HVl$uE$*Pu##k~+#{A+nKewi1>e$$tk&b&N>lCSvI9|)-8B@o&WEOVbQ-n8mV&h}cwYH3O z{Ql-=-bEe5P;`|)107}3N6qNiFd)`Da~-8-QpZp2BA(eF@i!R~Y^*=x4=zX>>e$h0 z>e$hqk&dhSt~(QTTm?mK0~zReRz$R4BRa+$_d3QU1=Ng=2hH`)Tt}&y)bUHZ)JN>s_E3V2bi669 z9O|f==Z{5dX6KJLTaJxG9oIlnaR%p)_$!QpQ_bl3zBN8xM%Od=YYGW<9c+L7){H0n zD1Vw!^IDd?Ln4PhX$=8_yT<;`X zW;#mEWPjWmP0$RN*l(>Q*!;}41OMj}={P!Qj*p{5N&EG`{JL^?PiWZD)=!|0A4Ac- zY0e*$){$Rd%V&HU>zMS=d`1A*C+;&Iq=ivk+w|Ah9YZlG=qA&22h&I1EtmaquT_*Y z`y>8Bo3!D6JxMopoD{C6BU3iz^)>1^s^L@TppI*yXuLJe_?V8MA=`edwQoMy5=9tetfrjGd2bqO|9ko_>7w4shObMSyrr9#k z(WFFu;PiD&ypfpMel0bVI{tzx@k#2~#3U{ICPXM`cH)QpTwJ`r>$e$XGk*dk5V(K<6%VzBz0_&LdRC37}s%`Jbzqf z&!|8CaObtxqmG|I(UNrMk12FadKQ2BIwn5#%B(+1&7_XUQuN2Rh7e8m$4jMmiq-=p6Pmc>@%El3wEM+AL69 z&pdxDt`|xGnj{kZ;+)>e#oj-m7#TTYK ze@xO0S+k$a7VX!Jj$3i9_(Xlmz&4V$U)udTPBW_Oz`FRecRU(m@w^a!0X)HmEwbPD zmNwL}W!TiQCEJVR_iKE(nr^>F*VxOmR-=yio2u8?(~OU)bd=X!HKSuk4aBA)DzzbFYdTm$yI?9zrhI?eOPVy7diKmPmuo+%$)`3>s08H&57 zJAX{kALBmEnz4>a`|If+XQXCQ#~aM;B6U0!l$v25Wjf+dQA!)?SZ=eNku0Cbnr?S4 zuCTOg*}5;v_azjUra5g)Ufl>bn{2aJx1~>`kSQP}eV}^XjJjcYyO5AT%GVxQ^2wAB zf0;AE=4bMCib@;GHz-<7zT&~`IFs@$2VGBWdEJKceFeq+{Hgb1&q7@^L92_zPD?(| ze?&NbMhY+X>P8TqD)a5W^KluF9i#U~n(@~uF@_$1|9sY@Hm_jn*f`8u?kdlKdQ28zdr z)AYW_e4>e(k?%nfu7>23Qc3x`o1CS58|ow4r=6Eg8yp+tT_=P`+=Wcv>Kh ze8WsCH6z~u!MKLxlTu0fa!k%rzCDRMH0`{H;%q42yi9vvtpS%^f%0vE;#VzcfiWjHreL2_= zpK3Q$NEfr-?d|2@}PWMp%{NGJ|P)d z%<+K}=!FuQ@2??PRc!``>WkwV{jL=!b4Uvm0m0OeCF?D1{z6PH@Scw73*VJVfA4_^R^O;WxlN`lSL+HD?Z zL;3bwGm>v`tK`zevF3E2&$(_m$L*rn~CE$M%=+X~9lhc0dV!2q)#(mz>WKVXM0YSDH^G zshw@fSqZkZ7j4NGd{`^_Du(a8i8=S_cU~!#l&=cK;ggiF(3)Vw5}@4?XG8feid5@; z|B~8Ja&hQu&kHPN=?5q|-;r9rG@b}w=EKdszCCX<&$(8Ajyz>mv1ogDY>B{#~AR!(ur9O20 zx4lnFCFL6&6m3ZPhM-`4A{#D&=8sAn%GbX7k@w%_+y2)3`0zAqCzRMjX?ou@Lo`t{ z^5KPLY@&F$bb9hhsib_v6Qx3tKpPnp?MnGZTcr)<>mI7M^Ztu`=-O#He;dlT3rZZe zH1drzL=!b5-v~!Sya29R(g2a!*e9iu^4*^(70EZ&FWQyz1<=DMI&_rUGL&zhe%E&> z-)<;zr#Wnvu`vNQf6WUHPO`Qoct*q7Aw8P6#I0P)yJ!S)~noU*BMc^1Xku z+tVoD9w_ORZtN>HL=!b5pVOWYFL1i@NvWiKPb5keWl~M?i*{x2d)nkez3<+P#y;G6 z{U04}j`IBoB}4pac3uarAfIYRzV_CHc!ATEPf8`_dnP2>kiBn4IKhTuf;QVBZP@#8 zOC;Z{|M#))r^nwKi}LM-lCkN=zDsSQiJFnmYOWf^3!JWeQYtCmi($c8$~VVIu#tSP z`lJozdnVJd@1L!|{)Y1HgOVrHjeT`Q3;h0Er26V+blw;DUBH@=Pf8`_n`;QtQoecN z1RKe>z#(lY-^-bfeV@+muma`V4<#?88T(2P+eH(!x~DDQ-atY;TsplYuarv4_qJ$4 zK0((O8p0^Yz9l|sL-`h2GTeFh*^aRn8xKIqf;3}a>2|AVqGsfiU#g>cfzy>wN+soc z*ASd#?|VO-V58o*A|P!j->T|kA3lvtch!MU+P-aY;Rh(+K`2>nq&ajfF`K9n`I64@ zPWz%wlTu0fRti<(dSCnp*<#OmG*bzkbatrIPZUN|cIv-#-p1RqVX4 zJS!}1D4)y7@X)d4=eJ%*`F?}ap6SNEI--UD(Q95Ql__6&ZHFLjj(z19`x0!d_mwv` zqz&ck70yt;vybL3MEQ1%^N3`l&^^{Wr^*)H$+uL8ilug3BHwcKEek`oO1=-!_kkTsuMDz!VGHE; z^g+cM2U95f4h*^``t6;cKLZu`RkDiJ=)$!Zu63cMd)^ua6>Eby+n{1Y*mQmB->tl| zA598Wd}2Vw#(?Si!hR%h{bV#*q2hBLDmKfuzO>)h4(Gp4nFAIrg(ksy;-;#g-OI+7u8%^_IQ*#q zFZOh(wA-Nah7fdSS0zw+V*qjWDqqLiO4Qp|U-e?iezu+~VsL8GH;) z4ye320+o2`6YB((oo!1Gt{8?UjM@on-cG-?%=&%h?Z%J6erH3aBaE{GmEEFdt?s%# z+-wS(0ytZtGDo(Rs~^0mcqy8&t)3C6bV*mfZ+KwoS7<_)6J3hm3|MHpXzY7O&}4y1 zd>mL=CMEV-$E_Z97%Dwcs4P~XvRq1B9@+Ixy$7LE3*n4Gs|+(>ugIeH+pu(%A7>2O zTe|uL3!2Poj3#vTM%SIXS*ySDUZZxep~()F{lZW=$Y;6+TSpuW4~5EsQJe!%ImBkV zhD4_MJ8p!^yF)lTpzni>W&paCQ8?&;Eq;BP0@s|iRgMFYzBN%89w>w0;rs5rxVb0VrIUwLTZ?y%vS+3I*#q4+WrX6z)G278Yf` z9dfaYXO~gTH+_&h*p8!ofcf6GK|yyM^W9Olw9o-L`)rVnJrI``Tc98(0@)h1w)_n3qc6mKcvLAkA7hqV z+0x1Y6kKS9?5l%pX_XE+qp+kJVM}XpUmk+%|1q`xu@CZJ3PI)CF!QZ5aP~vxCl0o> z!G{Rd_{_qVKDQyll6YXjr7v`x?NGUie4Ej?34LFZ4`0Ks{0e>FkndaceS^L&VOH-u z17|-}Zga4u?LI_NsKf&ZF6}_y4)hu1+lf8{eY?oF8-2Uc_hW#S+^gekhf2IRgi8l( zh(b_#kbFO(?j-w9` z1C=MpcM^Rk(RYe`f1&Rb`u?$Cy()_hQ3$HC0&EF%ob6Cmn|x>35TUOQ`Rbw%hx@9t z$X5@2XQA)xFspZtfwLc~>O0udc|Jr@sIrppeDs}Ylqwfat+0l0c`fjwadTnipLQr*6fGxGtakfKM2lCyF zz7FW?NWNRpcMJMDk?%J2bwXd~Fsqks;OvL0+Z}AFiw{v0s=AV|8~VDTuLt>hqOS+~ za>$p9zFhP<1FW7)$Jq{51s1mCwjl~Zl|sHk^cAA7n0zJZD@I=_`8??JppW*(D%FQ5 z3RPN|MJYFM_Cr;rgDu?=M1;QHQMT0A3RQh{sOsluOLwC0P8(DWu(PFs3L*ol28Gzt z;0Vq>sJfecL(q3O`i7Fvk3K*8hLLYL`i7zJ-YBa#(h5~0bf~(|&z5jZsv2d3s?p@T zAAMuc_W=1GMBjtx8%w?b`o^K}p%AP0a0F)`RE@W@rAHM+22@QT-(%=|41JTx_c;0{ zq3;RuO-A2j^s!6{sCp`hvkj_(QMNSI3RO?*Q1uM?rlD^d`ko~pj?Pujp>I0*W}t5d z`exZ#z1a#P1FBvKu_YX#t8j#_(#bameLDJHCf_UQdj);3k#8>gUPIr1qO9ILD^$I% zL)CmgTY4jivkj^iknb(@y@|fJ$rnOj2z?95w-|kk(6=PS>b(=e*#}kc+1b)k1(5+& z?~`vC`j(+@1^GTe-wO17NWPWmTZz6^epYXF5N8`yt%(IBJ zd>hdB3Hm-I-)HFi%m&$Y%|l~V#8$82Z*HjiLV>D{22_1%V<9((z{Sc@o@p0H)WY8S-LrrG8G6+XvbD`^UnqeSGh*4DJ1HH!6Xbh@#}DGUgA8S+e5z)|tFyeNnO} z9-d>$_qfqwmh_DJZUQgaAQX#9myq1njBMDu=|Ym*NC4L3>2i|WuJgz0(nTe=T`yXu zOG|FE+hXPD0+ZWr46wf#k1jL0?IvH$Mi-mh);<`s72?4mwkEe<=@V;G_xRXnc#C)m z7b{uP-qbO!WSth%A3DKfD(nwyyKtbXPF%?|u4{C|N?y|C5GCt{QnX%s`$ewzc*z`5 zvba?$p0zHV82y=->=s~uvGw9EdWx9f3gi!GCD^qw`^!q!x1}hVHCl1}!Ah_TY&K!Z z+t0G5C~0|W*s)ry1iMOSf3aj~BeP^_4^z)GUa9wCFIIwGaEg-S3=uP~WbGjjU-Jkr zInY#orz1tlTJKD3`x7sDr(MJ>j;1IHea5t^%S-n5i;|sfDN1II*|m8GFIi=l91u!T z(y}Y>+ikq07K+6z*=nwPVON{km);z8^T)j8WQG3XL+p>tm~kcREbRSSOnG*b1l7Wl z%gi;3D|yCeOTPP)#~g2#e9l~>xRSM-NB`K!O9mn$=7V;zMsX!;owclXOr-~{qU3AA z6eXeC_$z4OWLNvKlBKxg$M&PRm{~`UJY9#kKEmW_v!*C%xogRguDs+ue$jePh#?G& z7x3&a_Ak1G=eDW7n2j#vxouh`W~0k_ZhJluv(ZI8x6O#gY{g9-46!z5``BM>gD&tH zW*7PN7yI?2L57%Zj*b1ra&)oJFkbA7cy!6nZF7C>FP5VVf3o4lziDFtCw2>f{bl9K zbcUGiO&|NqY-)fZW_#Pl{xX}JT4T0_4q;RL3^Chc`itf8)QupX&*5nxPS~I5h5_Nq zC8n*+jQ6gM`B)q5a)nLo1_JxbY~?1kQl$i#VzW+3jh)EaySb zLss%yq31DfJ0E(U(8<;S&pdgJ3m|8(jckn|X97o!A?I;2SuTN`rvd~og`DZ!)&z29 zh6r8;IdgPEmqX60VM13x&V1gk4RYQLknKvyS?D9w6ms6P5xNR;KIP@EhMX_LWV;4( zzETJ^gPdobSVgT0+jj2%+mB=NFDzLCz75u7{j|$Z2T}xxk~`0J(K+ zWW*akXBmXrK<))mLN`M0MZ9iX$i2cxwwoaLDhHuMu1Qk$bE}@yFzYEp>B}7#6jNfkoz7l z*8_5w`N-B2azEg<9LNoGTQ1~&6ee#TxQ; zS`I=g`aqtY*X;{=?Hpw62YH=zLU%%*gM0f!UN?hm10XM#M;-`y`8Ki*g1kZ= zc`)Ra>SVhM@>E{;Zpf=N$TkG>dh@zNA@5Ef+5C_?ei0Q9P)nh5qbjh4)Hc7L*8#X*`9>F<90$*An%lo z&{N>F@VY^8*7lL@X>gv&>rMsdIR@FD0q6O=?lf>-Xd~OR;B3t6J_pXrd}MnboL5E( zO$X;S2B8_?Y{~1+1ZQg-*=B+BMqYO|INR%FdjXuc@VYO8v$H|Am%!PT*Tq}5J#A#0 z15Rg%(97U->x5nbXECq)Dmcpwvb_e*3SM_EIDI~{{Rf&^$K zpG=lFz&YGOZ~-{)!K^H~Sk zmVk2xNAH01g(%tH1?L=&-UH`cAK8|Ib3RA!gYzvOWf?dZTgkf|oUyTK1vr-n$o2s^ zSMn$yf|K1i!D%Hp*XxAB;QWlERp8vjqpSw!*Bq?@=XX9T_c1ts@Do}K&fVO*4xD>K zWLpo;19n0iz{QWQmQTTT4!3;b{pD+LwIP$`8*sHV2!0E$j=b&`a20U$9k_}D8CiFeHdPfQE09Sv7&=24m>>y--Yp70WC%A_5$h*Kb%1*Z3;CjGDXb)aq z1PJ{IuJL|Cd%-m^LTDejo+Mz|53Z+u1P_4gS%uI+aLou2`UzYw=!AX-*UJtobMC ze}F6EAaopDU+IKSfNKkH?IgIiN6GdlxOUnIodVa70YZO)>i}=i8t`ig`3&8bPglx4SA3}s`L;e|ILUkbjY=ux=$gdwHbSC7V&%I|senXvX z^&r0y1D33_A^%c?&^eHQHLrUvDF zAb$WymqGsBJj&&ee~*J|TmktbLWJ<~)o6~cg#58KvNeVLhdH_m@+WZb)sR0aOx|lC ze=$(x67pwrTPw(a%|>;vhx~W!gjz%XQU)wpH$eV!2O&G; ze-I(m2J%<=3Ec?!Uxf&@h5R45_a?~SrI4*1t@LRnb+tD z`GD93^xsIC_J7=&(v{FA&!XUP96Otx$&u!IQV<2<^+vSi&31!w!o z)&&ac2MBeCf=1ld0}3wH$<`AJF6Sr*3a;cR7YeSik~a?uS_B9=p`cZekP8azHbVJO z(3aZ@pr8XYS+d+vaEpVG0tL542^B(t!%nCO3c7M{85Aho=7j>)MqU*PDml`iz{l&B zLqT7TDxhEhN0m^(k4#xrP~hjbUQjT?PEmYNFq)$~px{A{dPBiOR`T|Ng7FbTeW73y zxAlX9$vWBYgn}SP{h?qQM+2Z>I!6PcV76hwDQgfE=o}4(f>$}Z3kqK6=x!)jz|jyW z2!$*-WetS_e*T>0hk~UJvfTp(%Q=@}P_UAt;ZX1qXLT`a5v*<61e#h zEbDP_x8}AdzEN!2kZlIIdj$y11b1&Mp;_SWYY>_Z z?*2T=3*a8aOqQ${!F^Yd&`aPRY9oZndG|yK&4JPSD^Hn5TRG0^m&e6 zgVI^N+<%}{50LkDD2?^2c~BbbSM#CtO$T}3fYOCJp#@O7BuwZ{C|$~JZ$aq_g=}v_ z=>`X(5R`5S5LyVO+jK&Up!5enp~X1KfhWU4HH@l zrALE=-iOlTJjyaC{nJji}Jz~itI+5nzz zHbS3*Cx-#{ZE{aun9%3oDF_nU2%aJyLBkX@Ki(zZ3a)T2%#^*(?=)t z6?pmw34INoLA>ra;2Gi|+qd9}^^GmyxtG`d4m_g_@@@ss1HA4w@QmYiw}a;qUiW+O zOo&ps9pHJ~O6UjhJZTUz!1FY(yAwRqc->v#neHI(Zt%>u6WRlwmw4SD!Sk{~w!Pq) z%j@m~&pcjtKmIIffV>C5vyj(4h@ZXTb$G8n|Q~S;ry!))1i+(D@`UcM{6p zv6AgiD0`oyQ;==r-oK#iLpyo@hO$-M`wx_@i834B_bOX!5X!=jaWh~6fU=D`p<4K% z>HwkI_^D?bp);UtD+3m&17+VEgz7@s&M=`fq3lN=p|haufP+vyDErwUbT*V7X21gH zK-p29(78}{JV2;El%28>IuE?;FP5`{x0XTZeDK!w6KVk7dK_H<*`4iVYY5)+LWC{^ z?*$P;7lHR;jxGl8rQF*HyjKLt+Zeo8adZiIn{)4_;JuF9nt=BPKb5--ylpwU9K0PA zvRwh*TX{Jfc(b|pO7QZr7@C5&Cogvuc%2+w4PG}dcMbl~07uQhTgJW3!CTHz3-I=` zQybTUw+}DZ61@ES1Fi$_U|y~jc!%K&U-*{>w+G19)GH5V{$>^P+@0g7+;3EN}~crqxd9R`4$2 zs1tbK3z6+M@UCD0?|_1LCHH27cQr>2@P5q8-45PQ!c?vcc)#}(>Iz+y05sP(N>qY%^v4B(SzP%pF-D#o7+f_eq7;Q_U2gluJ?Hsf9|s4cly z1=a2#uLf${Frjk%@EP}3fO;$UR)X3&O5Q3^yVwZz0<}9wK2UQEvfTlyD@>?2sESUg z52(eQL0?ckcCz&Y)yr*nf?6IXTYpgR2oV|pYF{g%fuP>06B-2SKtG|upbobYx(n2i z3Zc6}9nF9RhJZTGM`$Rh4|C)P^-*5#9#AI+$vX_xCv1d&2gR)99S!R1yxbW4QEP6yAJn(G?Ez31JE+`)puT4#G!|5T#RB6% zUCC_$P}eZ01s(!5HvT;f>V`1c9szZuL1;Xvo4M^#P`}a1HUZSF0YZ;~x`P1=OawJH z{!Ie)N1besgL=S5=m}7NVZZ{DL5&)Oo&@z60~VM9>T!e6Q=py-6AFT6(Fr{bTI~R# zsrcg$4nog>c6ON1G|a#`DZot7+WW{h z3$%_pq1m8y3J`h$v}_xp7eTu{O6Vofx^bj~){~<-pgDcyeHpX@-r6gm6$Z%mDrhA^ zLa%{VrW2YAn#Sw?2ec|?vcT)0`E)|_Khf{oC7 zpiR^XEd?#sci+bk*f5g?mVp)w6Iu@1GYKzq(c=mXGZMF@Qe+KURIm7vY>6AFX& z3fEv2Xmh!3HE8pAxiz4@5utJ)f%aCA(8r)H#7jY@0#*lH0xn zjo)>KuR#0GPTsFU+ZH194QM-fxo<(+X(ihh&~`@%eFxfJUT!OB2kd0q2HH>DwjH!z zgJk<2w8ILa9iaUdA@l=izw>eiXeaDs+X>pA+_np}zj?XcP@bibcMp`;;}ybA@nEUn=FJ*0lv6G=r6$cR0#deUP~eL4|@xRAPoyXp~AY^4PSr9s(y?I09_@=i8>oW0&a=nD2W z10frGd4bTC>|F&yn03!bvszcNHxbBoHGA=Z&^3VX84zm5UMnEfoV`Urs0DjzfY7z< z9RWfu*((8ru48Wi5NgE|{s~>r^7{$3X6gKdZeXeWgzPMhpHLf?!cXW%mcCD@Elb@e zbQ56OKB0CjWuH)cmab2z154E>bTdoSC)AOp=o7-Hs=OE1!l$Z(?PTl3(((!2#!~VL zb!O@Kgz(-RKO3&)U}^Yd!zZonIl_B$`C+nkWvTasy0Nr-LU_OKLMx#jEZv?^PnK#= zD2Jul6Ut>N_Js0SdOaa0U}`-f7fY)rl+RM?2^Fw(dO~iNN>4~(Y4n5&0aNG+6|wYr zLd7g~o=^!(nURPpB75g(u`=Y4C*ZU@7o~db9L*LVZ~3JE6WT?VV6Rmhw*MPL}RYs6R_}Cp3Vi zxf2@5Qrrm*V(IOK2D8+5LU*yWc0zXprnD0p!qV9Z4P~k9g#0XxozOijg`LnamcCAC zI7?k8bT3O=Cp3bktP>i^($xvw$5Pb^jbdr)ghsOzbwXoUdOD%|S!z0=2UuD"0b1",D_IB_PWDNB=>"0b1", + D_XGE_MODE=>"0b0",D_LOW_MARK=>"0d4",D_HIGH_MARK=>"0d12",D_BUS8BIT_SEL=>"0b0", + D_CDR_LOL_SET=>"0b11",D_TXPLL_PWDNB=>"0b1",D_BITCLK_LOCAL_EN=>"0b1", + D_BITCLK_ND_EN=>"0b0",D_BITCLK_FROM_ND_EN=>"0b0",D_SYNC_LOCAL_EN=>"0b1", + D_SYNC_ND_EN=>"0b0",CH0_UC_MODE=>"0b1",CH0_PCIE_MODE=>"0b0",CH0_RIO_MODE=>"0b0", + CH0_WA_MODE=>"0b0",CH0_INVERT_RX=>"0b0",CH0_INVERT_TX=>"0b0",CH0_PRBS_SELECTION=>"0b0", + CH0_GE_AN_ENABLE=>"0b0",CH0_PRBS_LOCK=>"0b0",CH0_PRBS_ENABLE=>"0b0", + CH0_ENABLE_CG_ALIGN=>"0b1",CH0_TX_GEAR_MODE=>"0b0",CH0_RX_GEAR_MODE=>"0b0", + CH0_PCS_DET_TIME_SEL=>"0b00",CH0_PCIE_EI_EN=>"0b0",CH0_TX_GEAR_BYPASS=>"0b0", + CH0_ENC_BYPASS=>"0b0",CH0_SB_BYPASS=>"0b0",CH0_RX_SB_BYPASS=>"0b0", + CH0_WA_BYPASS=>"0b0",CH0_DEC_BYPASS=>"0b0",CH0_CTC_BYPASS=>"0b1", + CH0_RX_GEAR_BYPASS=>"0b0",CH0_LSM_DISABLE=>"0b0",CH0_MATCH_2_ENABLE=>"0b0", + CH0_MATCH_4_ENABLE=>"0b1",CH0_MIN_IPG_CNT=>"0b11",CH0_CC_MATCH_1=>"0x1BC", + CH0_CC_MATCH_2=>"0x11C",CH0_CC_MATCH_3=>"0x11C",CH0_CC_MATCH_4=>"0x11C", + CH0_UDF_COMMA_MASK=>"0x0ff",CH0_UDF_COMMA_A=>"0x083",CH0_UDF_COMMA_B=>"0x07C", + CH0_RX_DCO_CK_DIV=>"0b000",CH0_RCV_DCC_EN=>"0b0",CH0_TPWDNB=>"0b1", + CH0_RATE_MODE_TX=>"0b0",CH0_RTERM_TX=>"0d19",CH0_TX_CM_SEL=>"0b00", + CH0_TDRV_PRE_EN=>"0b0",CH0_TDRV_SLICE0_SEL=>"0b00",CH0_TDRV_SLICE1_SEL=>"0b00", + CH0_TDRV_SLICE2_SEL=>"0b01",CH0_TDRV_SLICE3_SEL=>"0b01",CH0_TDRV_SLICE4_SEL=>"0b01", + CH0_TDRV_SLICE5_SEL=>"0b00",CH0_TDRV_SLICE0_CUR=>"0b000",CH0_TDRV_SLICE1_CUR=>"0b000", + CH0_TDRV_SLICE2_CUR=>"0b11",CH0_TDRV_SLICE3_CUR=>"0b11",CH0_TDRV_SLICE4_CUR=>"0b01", + CH0_TDRV_SLICE5_CUR=>"0b00",CH0_TDRV_DAT_SEL=>"0b00",CH0_TX_DIV11_SEL=>"0b0", + CH0_RPWDNB=>"0b1",CH0_RATE_MODE_RX=>"0b0",CH0_RX_DIV11_SEL=>"0b0", + CH0_SEL_SD_RX_CLK=>"0b1",CH0_FF_RX_H_CLK_EN=>"0b0",CH0_FF_RX_F_CLK_DIS=>"0b0", + CH0_FF_TX_H_CLK_EN=>"0b0",CH0_FF_TX_F_CLK_DIS=>"0b0",CH0_TDRV_POST_EN=>"0b0", + CH0_TX_POST_SIGN=>"0b0",CH0_TX_PRE_SIGN=>"0b0",CH0_REQ_LVL_SET=>"0b00", + CH0_REQ_EN=>"0b0",CH0_RTERM_RX=>"0d22",CH0_RXTERM_CM=>"0b11",CH0_PDEN_SEL=>"0b1", + CH0_RXIN_CM=>"0b11",CH0_LEQ_OFFSET_SEL=>"0b0",CH0_LEQ_OFFSET_TRIM=>"0b000", + CH0_RLOS_SEL=>"0b1",CH0_RX_LOS_LVL=>"0b000",CH0_RX_LOS_CEQ=>"0b11", + CH0_RX_LOS_HYST_EN=>"0b0",CH0_RX_LOS_EN=>"0b1",CH0_LDR_RX2CORE_SEL=>"0b0", + CH0_LDR_CORE2TX_SEL=>"0b0",D_TX_MAX_RATE=>"2.4",CH0_CDR_MAX_RATE=>"2.4", + CH0_TXAMPLITUDE=>"0d800",CH0_TXDEPRE=>"DISABLED",CH0_TXDEPOST=>"DISABLED", + CH0_PROTOCOL=>"G8B10B",D_ISETLOS=>"0d0",D_SETIRPOLY_AUX=>"0b10",D_SETICONST_AUX=>"0b01", + D_SETIRPOLY_CH=>"0b10",D_SETICONST_CH=>"0b10",D_REQ_ISET=>"0b001", + D_PD_ISET=>"0b00",D_DCO_CALIB_TIME_SEL=>"0b00",CH0_CDR_CNT4SEL=>"0b00", + CH0_CDR_CNT8SEL=>"0b00",CH0_DCOATDCFG=>"0b00",CH0_DCOATDDLY=>"0b00", + CH0_DCOBYPSATD=>"0b1",CH0_DCOCALDIV=>"0b000",CH0_DCOCTLGI=>"0b011", + CH0_DCODISBDAVOID=>"0b0",CH0_DCOFLTDAC=>"0b00",CH0_DCOFTNRG=>"0b001", + CH0_DCOIOSTUNE=>"0b010",CH0_DCOITUNE=>"0b00",CH0_DCOITUNE4LSB=>"0b010", + CH0_DCOIUPDNX2=>"0b1",CH0_DCONUOFLSB=>"0b100",CH0_DCOSCALEI=>"0b01", + CH0_DCOSTARTVAL=>"0b010",CH0_DCOSTEP=>"0b11",CH0_BAND_THRESHOLD=>"0d0", + CH0_AUTO_FACQ_EN=>"0b1",CH0_AUTO_CALIB_EN=>"0b1",CH0_CALIB_CK_MODE=>"0b0", + CH0_REG_BAND_OFFSET=>"0d0",CH0_REG_BAND_SEL=>"0d0",CH0_REG_IDAC_SEL=>"0d0", + CH0_REG_IDAC_EN=>"0b0",D_CMUSETISCL4VCO=>"0b000",D_CMUSETI4VCO=>"0b00", + D_CMUSETINITVCT=>"0b00",D_CMUSETZGM=>"0b000",D_CMUSETP2AGM=>"0b000", + D_CMUSETP1GM=>"0b000",D_CMUSETI4CPZ=>"0d3",D_CMUSETI4CPP=>"0d3",D_CMUSETICP4Z=>"0b101", + D_CMUSETICP4P=>"0b01",D_CMUSETBIASI=>"0b00",D_SETPLLRC=>"0d1",CH0_RX_RATE_SEL=>"0d10", + D_REFCK_MODE=>"0b001",D_TX_VCO_CK_DIV=>"0b000",D_PLL_LOL_SET=>"0b01", + D_RG_EN=>"0b0",D_RG_SET=>"0b00") + port map (CH0_HDINP=>hdinp,CH1_HDINP=>n106,CH0_HDINN=>hdinn,CH1_HDINN=>n106, + D_TXBIT_CLKP_FROM_ND=>n47,D_TXBIT_CLKN_FROM_ND=>n47,D_SYNC_ND=>n47,D_TXPLL_LOL_FROM_ND=>n47, + CH0_RX_REFCLK=>rxrefclk,CH1_RX_REFCLK=>n106,CH0_FF_RXI_CLK=>rx_pclk_c, + CH1_FF_RXI_CLK=>n105,CH0_FF_TXI_CLK=>tx_pclk_c,CH1_FF_TXI_CLK=>n105,CH0_FF_EBRD_CLK=>n48, + CH1_FF_EBRD_CLK=>n105,CH0_FF_TX_D_0=>txdata(0),CH1_FF_TX_D_0=>n106,CH0_FF_TX_D_1=>txdata(1), + CH1_FF_TX_D_1=>n106,CH0_FF_TX_D_2=>txdata(2),CH1_FF_TX_D_2=>n106,CH0_FF_TX_D_3=>txdata(3), + CH1_FF_TX_D_3=>n106,CH0_FF_TX_D_4=>txdata(4),CH1_FF_TX_D_4=>n106,CH0_FF_TX_D_5=>txdata(5), + CH1_FF_TX_D_5=>n106,CH0_FF_TX_D_6=>txdata(6),CH1_FF_TX_D_6=>n106,CH0_FF_TX_D_7=>txdata(7), + CH1_FF_TX_D_7=>n106,CH0_FF_TX_D_8=>tx_k(0),CH1_FF_TX_D_8=>n106,CH0_FF_TX_D_9=>tx_force_disp(0), + CH1_FF_TX_D_9=>n106,CH0_FF_TX_D_10=>tx_disp_sel(0),CH1_FF_TX_D_10=>n106, + CH0_FF_TX_D_11=>n47,CH1_FF_TX_D_11=>n106,CH0_FF_TX_D_12=>n106,CH1_FF_TX_D_12=>n106, + CH0_FF_TX_D_13=>n106,CH1_FF_TX_D_13=>n106,CH0_FF_TX_D_14=>n106,CH1_FF_TX_D_14=>n106, + CH0_FF_TX_D_15=>n106,CH1_FF_TX_D_15=>n106,CH0_FF_TX_D_16=>n106,CH1_FF_TX_D_16=>n106, + CH0_FF_TX_D_17=>n106,CH1_FF_TX_D_17=>n106,CH0_FF_TX_D_18=>n106,CH1_FF_TX_D_18=>n106, + CH0_FF_TX_D_19=>n106,CH1_FF_TX_D_19=>n106,CH0_FF_TX_D_20=>n106,CH1_FF_TX_D_20=>n106, + CH0_FF_TX_D_21=>n106,CH1_FF_TX_D_21=>n106,CH0_FF_TX_D_22=>n106,CH1_FF_TX_D_22=>n106, + CH0_FF_TX_D_23=>n47,CH1_FF_TX_D_23=>n106,CH0_FFC_EI_EN=>tx_idle_c,CH1_FFC_EI_EN=>n106, + CH0_FFC_PCIE_DET_EN=>n47,CH1_FFC_PCIE_DET_EN=>n106,CH0_FFC_PCIE_CT=>n47, + CH1_FFC_PCIE_CT=>n106,CH0_FFC_SB_INV_RX=>n106,CH1_FFC_SB_INV_RX=>n106, + CH0_FFC_ENABLE_CGALIGN=>n106,CH1_FFC_ENABLE_CGALIGN=>n106,CH0_FFC_SIGNAL_DETECT=>signal_detect_c, + CH1_FFC_SIGNAL_DETECT=>n106,CH0_FFC_FB_LOOPBACK=>n47,CH1_FFC_FB_LOOPBACK=>n106, + CH0_FFC_SB_PFIFO_LP=>n47,CH1_FFC_SB_PFIFO_LP=>n106,CH0_FFC_PFIFO_CLR=>n47, + CH1_FFC_PFIFO_CLR=>n106,CH0_FFC_RATE_MODE_RX=>n106,CH1_FFC_RATE_MODE_RX=>n106, + CH0_FFC_RATE_MODE_TX=>n106,CH1_FFC_RATE_MODE_TX=>n106,CH0_FFC_DIV11_MODE_RX=>n47, + CH1_FFC_DIV11_MODE_RX=>n106,CH0_FFC_DIV11_MODE_TX=>n47,CH1_FFC_DIV11_MODE_TX=>n106, + CH0_FFC_RX_GEAR_MODE=>n47,CH1_FFC_RX_GEAR_MODE=>n106,CH0_FFC_TX_GEAR_MODE=>n47, + CH1_FFC_TX_GEAR_MODE=>n106,CH0_FFC_LDR_CORE2TX_EN=>n106,CH1_FFC_LDR_CORE2TX_EN=>n106, + CH0_FFC_LANE_TX_RST=>rsl_tx_pcs_rst_c,CH1_FFC_LANE_TX_RST=>n106,CH0_FFC_LANE_RX_RST=>rsl_rx_pcs_rst_c, + CH1_FFC_LANE_RX_RST=>n106,CH0_FFC_RRST=>rsl_rx_serdes_rst_c,CH1_FFC_RRST=>n106, + CH0_FFC_TXPWDNB=>tx_pwrup_c,CH1_FFC_TXPWDNB=>n106,CH0_FFC_RXPWDNB=>rx_pwrup_c, + CH1_FFC_RXPWDNB=>n106,CH0_LDR_CORE2TX=>n106,CH1_LDR_CORE2TX=>n106,D_SCIWDATA0=>sci_wrdata(0), + D_SCIWDATA1=>sci_wrdata(1),D_SCIWDATA2=>sci_wrdata(2),D_SCIWDATA3=>sci_wrdata(3), + D_SCIWDATA4=>sci_wrdata(4),D_SCIWDATA5=>sci_wrdata(5),D_SCIWDATA6=>sci_wrdata(6), + D_SCIWDATA7=>sci_wrdata(7),D_SCIADDR0=>sci_addr(0),D_SCIADDR1=>sci_addr(1), + D_SCIADDR2=>sci_addr(2),D_SCIADDR3=>sci_addr(3),D_SCIADDR4=>sci_addr(4), + D_SCIADDR5=>sci_addr(5),D_SCIENAUX=>sci_en_dual,D_SCISELAUX=>sci_sel_dual, + CH0_SCIEN=>sci_en,CH1_SCIEN=>n106,CH0_SCISEL=>sci_sel,CH1_SCISEL=>n106, + D_SCIRD=>sci_rd,D_SCIWSTN=>sci_wrn,D_CYAWSTN=>cyawstn,D_FFC_SYNC_TOGGLE=>n106, + D_FFC_DUAL_RST=>rsl_rst_dual_c,D_FFC_MACRO_RST=>rsl_serdes_rst_dual_c, + D_FFC_MACROPDB=>serdes_pdb,D_FFC_TRST=>rsl_tx_serdes_rst_c,CH0_FFC_CDR_EN_BITSLIP=>n47, + CH1_FFC_CDR_EN_BITSLIP=>n106,D_SCAN_ENABLE=>n47,D_SCAN_IN_0=>n47,D_SCAN_IN_1=>n47, + D_SCAN_IN_2=>n47,D_SCAN_IN_3=>n47,D_SCAN_IN_4=>n47,D_SCAN_IN_5=>n47, + D_SCAN_IN_6=>n47,D_SCAN_IN_7=>n47,D_SCAN_MODE=>n47,D_SCAN_RESET=>n47, + D_CIN0=>n47,D_CIN1=>n47,D_CIN2=>n47,D_CIN3=>n47,D_CIN4=>n47,D_CIN5=>n47, + D_CIN6=>n47,D_CIN7=>n47,D_CIN8=>n47,D_CIN9=>n47,D_CIN10=>n47,D_CIN11=>n47, + CH0_HDOUTP=>hdoutp,CH1_HDOUTP=>n50,CH0_HDOUTN=>hdoutn,CH1_HDOUTN=>n51, + D_TXBIT_CLKP_TO_ND=>n1,D_TXBIT_CLKN_TO_ND=>n2,D_SYNC_PULSE2ND=>n3,D_TXPLL_LOL_TO_ND=>n4, + CH0_FF_RX_F_CLK=>n5,CH1_FF_RX_F_CLK=>n52,CH0_FF_RX_H_CLK=>n6,CH1_FF_RX_H_CLK=>n53, + CH0_FF_TX_F_CLK=>n7,CH1_FF_TX_F_CLK=>n54,CH0_FF_TX_H_CLK=>n8,CH1_FF_TX_H_CLK=>n55, + CH0_FF_RX_PCLK=>rx_pclk_c,CH1_FF_RX_PCLK=>n56,CH0_FF_TX_PCLK=>tx_pclk_c, + CH1_FF_TX_PCLK=>n57,CH0_FF_RX_D_0=>rxdata(0),CH1_FF_RX_D_0=>n58,CH0_FF_RX_D_1=>rxdata(1), + CH1_FF_RX_D_1=>n59,CH0_FF_RX_D_2=>rxdata(2),CH1_FF_RX_D_2=>n60,CH0_FF_RX_D_3=>rxdata(3), + CH1_FF_RX_D_3=>n61,CH0_FF_RX_D_4=>rxdata(4),CH1_FF_RX_D_4=>n62,CH0_FF_RX_D_5=>rxdata(5), + CH1_FF_RX_D_5=>n63,CH0_FF_RX_D_6=>rxdata(6),CH1_FF_RX_D_6=>n64,CH0_FF_RX_D_7=>rxdata(7), + CH1_FF_RX_D_7=>n65,CH0_FF_RX_D_8=>rx_k(0),CH1_FF_RX_D_8=>n66,CH0_FF_RX_D_9=>rx_disp_err(0), + CH1_FF_RX_D_9=>n67,CH0_FF_RX_D_10=>rx_cv_err(0),CH1_FF_RX_D_10=>n68,CH0_FF_RX_D_11=>n9, + CH1_FF_RX_D_11=>n69,CH0_FF_RX_D_12=>n70,CH1_FF_RX_D_12=>n71,CH0_FF_RX_D_13=>n72, + CH1_FF_RX_D_13=>n73,CH0_FF_RX_D_14=>n74,CH1_FF_RX_D_14=>n75,CH0_FF_RX_D_15=>n76, + CH1_FF_RX_D_15=>n77,CH0_FF_RX_D_16=>n78,CH1_FF_RX_D_16=>n79,CH0_FF_RX_D_17=>n80, + CH1_FF_RX_D_17=>n81,CH0_FF_RX_D_18=>n82,CH1_FF_RX_D_18=>n83,CH0_FF_RX_D_19=>n84, + CH1_FF_RX_D_19=>n85,CH0_FF_RX_D_20=>n86,CH1_FF_RX_D_20=>n87,CH0_FF_RX_D_21=>n88, + CH1_FF_RX_D_21=>n89,CH0_FF_RX_D_22=>n90,CH1_FF_RX_D_22=>n91,CH0_FF_RX_D_23=>n10, + CH1_FF_RX_D_23=>n92,CH0_FFS_PCIE_DONE=>n11,CH1_FFS_PCIE_DONE=>n93,CH0_FFS_PCIE_CON=>n12, + CH1_FFS_PCIE_CON=>n94,CH0_FFS_RLOS=>rx_los_low_s_c,CH1_FFS_RLOS=>n95, + CH0_FFS_LS_SYNC_STATUS=>lsm_status_s,CH1_FFS_LS_SYNC_STATUS=>n96,CH0_FFS_CC_UNDERRUN=>n13, + CH1_FFS_CC_UNDERRUN=>n97,CH0_FFS_CC_OVERRUN=>n14,CH1_FFS_CC_OVERRUN=>n98, + CH0_FFS_RXFBFIFO_ERROR=>n15,CH1_FFS_RXFBFIFO_ERROR=>n99,CH0_FFS_TXFBFIFO_ERROR=>n16, + CH1_FFS_TXFBFIFO_ERROR=>n100,CH0_FFS_RLOL=>rx_cdr_lol_s_c,CH1_FFS_RLOL=>n101, + CH0_FFS_SKP_ADDED=>n17,CH1_FFS_SKP_ADDED=>n102,CH0_FFS_SKP_DELETED=>n18, + CH1_FFS_SKP_DELETED=>n103,CH0_LDR_RX2CORE=>n104,CH1_LDR_RX2CORE=>n115, + D_SCIRDATA0=>sci_rddata(0),D_SCIRDATA1=>sci_rddata(1),D_SCIRDATA2=>sci_rddata(2), + D_SCIRDATA3=>sci_rddata(3),D_SCIRDATA4=>sci_rddata(4),D_SCIRDATA5=>sci_rddata(5), + D_SCIRDATA6=>sci_rddata(6),D_SCIRDATA7=>sci_rddata(7),D_SCIINT=>sci_int, + D_SCAN_OUT_0=>n19,D_SCAN_OUT_1=>n20,D_SCAN_OUT_2=>n21,D_SCAN_OUT_3=>n22, + D_SCAN_OUT_4=>n23,D_SCAN_OUT_5=>n24,D_SCAN_OUT_6=>n25,D_SCAN_OUT_7=>n26, + D_COUT0=>n27,D_COUT1=>n28,D_COUT2=>n29,D_COUT3=>n30,D_COUT4=>n31,D_COUT5=>n32, + D_COUT6=>n33,D_COUT7=>n34,D_COUT8=>n35,D_COUT9=>n36,D_COUT10=>n37,D_COUT11=>n38, + D_COUT12=>n39,D_COUT13=>n40,D_COUT14=>n41,D_COUT15=>n42,D_COUT16=>n43, + D_COUT17=>n44,D_COUT18=>n45,D_COUT19=>n46,D_REFCLKI=>pll_refclki,D_FFS_PLOL=>n49); + n48 <= '1' ; + n47 <= '0' ; + n1 <= 'Z' ; + n2 <= 'Z' ; + n3 <= 'Z' ; + n4 <= 'Z' ; + n5 <= 'Z' ; + n6 <= 'Z' ; + n7 <= 'Z' ; + n8 <= 'Z' ; + n9 <= 'Z' ; + n10 <= 'Z' ; + n11 <= 'Z' ; + n12 <= 'Z' ; + n13 <= 'Z' ; + n14 <= 'Z' ; + n15 <= 'Z' ; + n16 <= 'Z' ; + n17 <= 'Z' ; + n18 <= 'Z' ; + n19 <= 'Z' ; + n20 <= 'Z' ; + n21 <= 'Z' ; + n22 <= 'Z' ; + n23 <= 'Z' ; + n24 <= 'Z' ; + n25 <= 'Z' ; + n26 <= 'Z' ; + n27 <= 'Z' ; + n28 <= 'Z' ; + n29 <= 'Z' ; + n30 <= 'Z' ; + n31 <= 'Z' ; + n32 <= 'Z' ; + n33 <= 'Z' ; + n34 <= 'Z' ; + n35 <= 'Z' ; + n36 <= 'Z' ; + n37 <= 'Z' ; + n38 <= 'Z' ; + n39 <= 'Z' ; + n40 <= 'Z' ; + n41 <= 'Z' ; + n42 <= 'Z' ; + n43 <= 'Z' ; + n44 <= 'Z' ; + n45 <= 'Z' ; + n46 <= 'Z' ; + n49 <= 'Z' ; + n106 <= '0' ; + n105 <= '1' ; + n50 <= 'Z' ; + n51 <= 'Z' ; + n52 <= 'Z' ; + n53 <= 'Z' ; + n54 <= 'Z' ; + n55 <= 'Z' ; + n56 <= 'Z' ; + n57 <= 'Z' ; + n58 <= 'Z' ; + n59 <= 'Z' ; + n60 <= 'Z' ; + n61 <= 'Z' ; + n62 <= 'Z' ; + n63 <= 'Z' ; + n64 <= 'Z' ; + n65 <= 'Z' ; + n66 <= 'Z' ; + n67 <= 'Z' ; + n68 <= 'Z' ; + n69 <= 'Z' ; + n70 <= 'Z' ; + n71 <= 'Z' ; + n72 <= 'Z' ; + n73 <= 'Z' ; + n74 <= 'Z' ; + n75 <= 'Z' ; + n76 <= 'Z' ; + n77 <= 'Z' ; + n78 <= 'Z' ; + n79 <= 'Z' ; + n80 <= 'Z' ; + n81 <= 'Z' ; + n82 <= 'Z' ; + n83 <= 'Z' ; + n84 <= 'Z' ; + n85 <= 'Z' ; + n86 <= 'Z' ; + n87 <= 'Z' ; + n88 <= 'Z' ; + n89 <= 'Z' ; + n90 <= 'Z' ; + n91 <= 'Z' ; + n92 <= 'Z' ; + n93 <= 'Z' ; + n94 <= 'Z' ; + n95 <= 'Z' ; + n96 <= 'Z' ; + n97 <= 'Z' ; + n98 <= 'Z' ; + n99 <= 'Z' ; + n100 <= 'Z' ; + n101 <= 'Z' ; + n102 <= 'Z' ; + n103 <= 'Z' ; + n104 <= 'Z' ; + n115 <= 'Z' ; + rsl_inst: component serdes_sync_0rsl_core port map (rui_rst=>rsl_rst,rui_serdes_rst_dual_c=>serdes_rst_dual_c, + rui_rst_dual_c=>rst_dual_c,rui_rsl_disable=>rsl_disable,rui_tx_ref_clk=>pll_refclki, + rui_tx_serdes_rst_c=>tx_serdes_rst_c,rui_tx_pcs_rst_c(3)=>n125, + rui_tx_pcs_rst_c(2)=>n125,rui_tx_pcs_rst_c(1)=>n125,rui_tx_pcs_rst_c(0)=>tx_pcs_rst_c, + rdi_pll_lol=>pll_lol_c,rui_rx_ref_clk=>rxrefclk,rui_rx_serdes_rst_c(3)=>n125, + rui_rx_serdes_rst_c(2)=>n125,rui_rx_serdes_rst_c(1)=>n125,rui_rx_serdes_rst_c(0)=>rx_serdes_rst_c, + rui_rx_pcs_rst_c(3)=>n125,rui_rx_pcs_rst_c(2)=>n125,rui_rx_pcs_rst_c(1)=>n125, + rui_rx_pcs_rst_c(0)=>rx_pcs_rst_c,rdi_rx_los_low_s(3)=>n125,rdi_rx_los_low_s(2)=>n125, + rdi_rx_los_low_s(1)=>n125,rdi_rx_los_low_s(0)=>rx_los_low_s_c, + rdi_rx_cdr_lol_s(3)=>n125,rdi_rx_cdr_lol_s(2)=>n125,rdi_rx_cdr_lol_s(1)=>n125, + rdi_rx_cdr_lol_s(0)=>rx_cdr_lol_s_c,rdo_serdes_rst_dual_c=>rsl_serdes_rst_dual_c, + rdo_rst_dual_c=>rsl_rst_dual_c,ruo_tx_rdy=>rsl_tx_rdy,rdo_tx_serdes_rst_c=>rsl_tx_serdes_rst_c, + rdo_tx_pcs_rst_c(3)=>n116,rdo_tx_pcs_rst_c(2)=>n117,rdo_tx_pcs_rst_c(1)=>n118, + rdo_tx_pcs_rst_c(0)=>rsl_tx_pcs_rst_c,ruo_rx_rdy=>rsl_rx_rdy,rdo_rx_serdes_rst_c(3)=>n119, + rdo_rx_serdes_rst_c(2)=>n120,rdo_rx_serdes_rst_c(1)=>n121,rdo_rx_serdes_rst_c(0)=>rsl_rx_serdes_rst_c, + rdo_rx_pcs_rst_c(3)=>n122,rdo_rx_pcs_rst_c(2)=>n123,rdo_rx_pcs_rst_c(1)=>\_Z\, + rdo_rx_pcs_rst_c(0)=>rsl_rx_pcs_rst_c); + n114 <= '1' ; + n113 <= '0' ; + n125 <= '0' ; + n124 <= '1' ; + n116 <= 'Z' ; + n117 <= 'Z' ; + n118 <= 'Z' ; + n119 <= 'Z' ; + n120 <= 'Z' ; + n121 <= 'Z' ; + n122 <= 'Z' ; + n123 <= 'Z' ; + \_Z\ <= 'Z' ; + sll_inst: component serdes_sync_0sll_core port map (sli_rst=>sli_rst,sli_refclk=>pll_refclki, + sli_pclk=>tx_pclk_c,sli_div2_rate=>gnd,sli_div11_rate=>gnd,sli_gear_mode=>gnd, + sli_cpri_mode(2)=>gnd,sli_cpri_mode(1)=>gnd,sli_cpri_mode(0)=>gnd, + sli_pcie_mode=>gnd,slo_plol=>pll_lol_c); + n127 <= '1' ; + n126 <= '0' ; + gnd <= '0' ; + pwr <= '1' ; + +end architecture v1; + diff --git a/media_interfaces/ecp5/240MHz/chan0_0/serdes_sync_0_ngd.asd b/media_interfaces/ecp5/240MHz/chan0_0/serdes_sync_0_ngd.asd new file mode 100644 index 0000000..c265c78 --- /dev/null +++ b/media_interfaces/ecp5/240MHz/chan0_0/serdes_sync_0_ngd.asd @@ -0,0 +1 @@ +[ActiveSupport NGD] diff --git a/media_interfaces/ecp5/240MHz/chan0_1/serdes_sync_0.lpc b/media_interfaces/ecp5/240MHz/chan0_1/serdes_sync_0.lpc new file mode 100644 index 0000000..c8c1203 --- /dev/null +++ b/media_interfaces/ecp5/240MHz/chan0_1/serdes_sync_0.lpc @@ -0,0 +1,97 @@ +[Device] +Family=ecp5um +OperatingCondition=COM +Package=CABGA756 +PartName=LFE5UM-85F-8BG756C +PartType=LFE5UM-85F +SpeedGrade=8 +Status=P +[IP] +CoreName=PCS +CoreRevision=8.2 +CoreStatus=Demo +CoreType=LPM +Date=04/27/2022 +ModuleName=serdes_sync_0 +ParameterFileVersion=1.0 +SourceFormat=VHDL +Time=13:12:53 +VendorName=Lattice Semiconductor Corporation +[Parameters] +;ACHARA=0 00H +;ACHARB=0 00H +;ACHARM=0 00H +;RXMCAENABLE=Disabled +CDRLOLACTION=Full Recalibration +CDRLOLRANGE=3 +CDR_MAX_RATE=2.4 +CDR_MULT=10X +CDR_REF_RATE=240.0000 +CH_MODE=Rx and Tx +Destination=Synplicity +EDIF=1 +Expression=BusA(0 to 7) +IO=0 +IO_TYPE=G8B10B +LEQ=Disabled +LOOPBACK=Disabled +LOSPORT=Enabled +NUM_CHS=1 +Order=Big Endian [MSB:LSB] +PPORT_RX_RDY=Enabled +PPORT_TX_RDY=Enabled +PROTOCOL=G8B10B +PWAIT_RX_RDY=3000 +PWAIT_TX_RDY=3000 +RCSRC=Disabled +REFCLK_RATE=240.0000 +RSTSEQSEL=Enabled +RX8B10B=Enabled +RXCOMMAA=0010000011 +RXCOMMAB=0001111100 +RXCOMMAM=0011111111 +RXCOUPLING=AC +RXCTC=Disabled +RXCTCBYTEN=0 00H +RXCTCBYTEN1=0 00H +RXCTCBYTEN2=0 00H +RXCTCBYTEN3=0 00H +RXCTCMATCHPATTERN=M4-S4 +RXDIFFTERM=50 ohms +RXFIFO_ENABLE=Enabled +RXINVPOL=Non-invert +RXLDR=Off +RXLOSTHRESHOLD=0 +RXLSM=Enabled +RXSC=K28P157 +RXWA=Barrel Shift +RX_DATA_WIDTH=8/10-Bit +RX_FICLK_RATE=240.0000 +RX_LINE_RATE=2.4000 +RX_RATE_DIV=Full Rate +SCIPORT=Enabled +SOFTLOL=Enabled +TX8B10B=Enabled +TXAMPLITUDE=800 +TXDEPOST=Disabled +TXDEPRE=Disabled +TXDIFFTERM=50 ohms +TXFIFO_ENABLE=Enabled +TXINVPOL=Non-invert +TXLDR=Off +TXPLLLOLTHRESHOLD=1 +TXPLLMULT=10X +TX_DATA_WIDTH=8/10-Bit +TX_FICLK_RATE=240.0000 +TX_LINE_RATE=2.4000 +TX_MAX_RATE=2.4 +TX_RATE_DIV=Full Rate +VHDL=1 +Verilog=0 +[FilesGenerated] +serdes_sync_0.pp=pp +serdes_sync_0.sym=sym +serdes_sync_0.tft=tft +serdes_sync_0.txt=pcs_module +[SYSTEMPNR] +LN0=DCU0_CH1 diff --git a/media_interfaces/ecp5/240MHz/chan0_1/serdes_sync_0.ngd b/media_interfaces/ecp5/240MHz/chan0_1/serdes_sync_0.ngd new file mode 100644 index 0000000000000000000000000000000000000000..ec1c5665d17632c807c38ddd0aaa9251cb12d682 GIT binary patch literal 389838 zcma%E2Yg(`(Z4&J64IQ|A&?_9gTb8SPPLkCfh-9%TNt>LCEEzwvMkwP2q8`gy_w$O z=&4@_3Dp7&NV+?!siqh>z+gJ2clhQ%yZhd@JK^6iRae4+B>JGV@_|f_qeY1WFit>dCdr-#(LYvbte)FBhlQI%Yo{e*D-r( z=Yo!XWWu(wk=%L)e#>#e(c_}JD2PcA2Yh7WOV81v17+W&1#6%OsN{z zJbmwpXQuBgH-aU;=+hN`lrs$^63^s2_Dvg!4aXr`AL z<;~SKmC2T>hQ{i;TC(eMjoMV!Ftw_wVtQpHntgjR)Cjbu<(ZpxI^)Y4DyC7puz7Plvo{|bLS>Y7%_n`4YhTQ%LX{ov!FM@YL>K9+xNvqOQp$f;w0zJ z>6kYsIWE~rtd&w|OtNQLvTebFm*y+7Ie-AiNPSXu_naLT>-2O z_EWnEgS#}Opc3)!04g-Xaw7LJd1==qp))kQ4@zQ7yA~{JpHKbmUA%DVvbJO=clI6# zDc(5Ja*qb4+k3$$=T4-4^@b|%1&YXKcS}j&KFKLf_H-;t%GuG=OWt1EwjkM_EM<4C ziVJx{VXS)ClO=)$1!Pen1tsj&`{RTn1B{`V$O;}v6N?m-7;$j?oSsF=*$ZbS5Jde_ zx+J+|S#M9Wt-HH}s4GF`mbKwUpB|8Kqp>mE*!^jb%s4i&AFR+s1S3b$gKu?(UV-%lMF2%2xV-Q2+l#s7V-#(!CNVRln{o3{heBP{CFx61nS-7DhB*%gkifeulu7BJw292vBCfuWGB*x=`K4Uo0)DxjP zeK^4J3!$MWA>C+63z)G@Y9Bnq$f)- z9M6O-%_xy-pElHmZ9yz#X=0SBlcfmSin`_|LOttM9-tawz_Z0QHDY3{DWC^SB|IlU zMHP{j=Z4Y(b6zM80-``W${4Y>y;wvK)^0ZW#UiREQd4V(QUZ%VETW`jjEF0Oy;ejQ zhD>cHo-3kGYU4!kd=Y))G<|D}=p#pvWiJ)cB)2(0714wC*r6{MQH9;f%R?!FJ^3O^ z-~n#wl_DTAht#|>L|Y5XR8DB6><;$hsnG z5M=DSH;QOs5H!78Op}P4SBpVpLM2Ua4h7>h^hPlhkLR25h|26n%EP>u6Np+{UknxG zs`bTM)7OHxiUB!~z*%n>YZQs(?P6-EJ%YSbOb54wO#IeRC=u1~6l+h;X>Ron0C`<@ zk2ocI8hN)^!@>N2uNVpm;61b_mS=YmSq*%#p_rN=1#B2X7b*Ivm?GIdz=~-?7WlZB zo*)f;TuhCe#q9O33#e;bHaiI~eO5$~IGTP~Km$*Pa5j806pZKICqTLB zAjNb9spqp|ilo`B?(-r*@_HTGaR=}SG zegS!m7W98zfDicz#Q&j)e(uFr#Q?D2t0FBRz`rS?0EhfOabw~ zD%++pq9hsic;>z#&JUEW{yUIN*$#`17bFg%UcSEPQxj~i=skp!_!u) zMv+Hp+o-5E<-(18x{MxhcJA9c6>)oN6c{PjQ6IL>7sIJmw<)Sk^X z2N(1~wYgo2P{wEmgULmwzHI?0IGD<)ZS%!Yp;6lfI2Alvb9A!q+dicfDS#ebK*SYd zOi~L^-l_KeM)4+4iHxhmsH^q;CNGsvq^e#>-a(-?GSYD04jw%6f8UNi3Cx)tm4wzX ztNN{y&>2wmTb~3}#TCQoA4GOix(p6>u~^;vcJc}`8_DB$g`~mrimGjI-_Bl9wO8%i z-YZSJF4DYnAs(;neY7KGm2ylh%O`gZrKD$vQjdi+jj{?Fl*efOM-P0?E9UI5ks6wJ* zIQRCmgAm=@uRVy-(FNtn?7jR`lmfne0O^OYPhHCZ>FnVjUb8iU!*pOz4RodJw3SLWO})5T%p+lB9EA4<`u0 z{X9B@9J-%hl62O3#FRh#syt#PK`$nIL}gw&z#|yM)i{rwNRa#cIU+gi@6j1dpaVV3 zASoZ{QHv-(z(c1FU>k6dhb7YHWKT<4vAnO$BguTuaOECuFd+}}sU~B}e00QZxrY(V zm9c&%iA_mG)HDggPYf&OSf!5}3|^&At4NYnK0(ZdDLxqrBVtYU$pkSy)h8GP^)#QX z2&8E~!5~%l&55M&4Ylp@M%e2ies5Aku4Cl4vm8Z`P?!MIlx;F4gi zkA4mac@h8Hk;jTWsGQ>4if#&|Vbz!EgwVgXTM=xec&FnorM zMB_7TB)r|q#Nhn_JWlOvvVj=XnYK!pKGR0R_QPx>XciC}4`&=T&CedGMm(#8-fHM; z4aoFT0o~71_u>tQB$_y!)oG$!a+qaM(Zmr-mYaaZN9Y()<2X_W!G>7#oK+DTyCusy z+LpA9oUm_Vk7RdShd}>DqPtlo8tKjpuzJHszO2(Imh_a(?d4R}Ce;K&z3lon7me|p zZK3CY=0Y)5+ASzOeMRiFyJ$pDhl@s3bhv1g^!nzwa16&B7mgV)*G1!%hQ4_&9mP|A z-=S}ws|zx7U9xzhEToR|tDIHBA$3$CVaZQ9(qgPTUHVvr=+Q16Z*}z@?X)v%66l>S zeLU#%T|E<+?&8ORzQDzoGTp_G0ezv17Yh;NROfB_sO4#|w*y`Zlr8W`1J;qjojBuYai#4rKjdb*B4sGgw{5Im>q1VrfRx(-C= z89D(mc%~*Ix;p{=I#a{V;!ruupujJs0A7`j1P&1LV?n+;Zj;9`*+Gv1`5FgZvIp%z zmTw4^ggX!fV}D;NWbu}%zb~&*FlqYl(J=_@{(E!`rcnRAI)*jht79l?W6fuK%^>ku z^MxxSLGMEg;jjMtbj=jKvF1~~U@|P$+?(*};nt5|?qg90W6cY^Qi!JhRkHQu+(kX@ zbLjo(-tJim70mtjDak5bi-TGC_e@M$KA=9|@CGVBLJxV0v2OC*E@MO>a(u_eor-R%qJQv}{0 z+#lPsl=2)+^JI!3!wI6jOWq!d&Dcg-ZqRYsAl`OqlA%+G$s?)Oe~s{Pg!wJoFPFS- z(YR37vV#&)Wav?wqNF6U3bH>%Dqw7PJH6FTZ@t6P9n(s$B1$vkf#!e#-T!xsjTZ*a zm=r2nFrRfq`yWUVcq8e-~&VGh=7~;M^rS7ru>+jdtGI7LOXQUN|)svv;Pjh;O!QdouRlPw& zE3xLUCQD*x$AUTZBLebL^Op)JX&Cuv|3FG2!xYAb4djWAKoe+kggQbgr#UUMOrrNUBEveq5H>iABAb1UA;?2chd#elshA_mMtYu{Mbaylhp>E zKwD213IqsgsAsuGk<;UeJk#{wyrIqZMLlzphm|B3v>i962Mg*C9Mrv?^SbCqKOJ+H z%xR}o$kX;aq1ECDqn=2S_LwC_mMmCB^r%Ib7Ci+S^H+F1y~?6eWl^+$b>6`#%gKFD zx?H7&xX+|mHW(f3wELfeNKB4PcL)8htN%&hhHLAT_{|e70Y4Z15Ps8s@`HZIX8aOz zst+0$qwsgXq{yHxU+7#if)|BY%a?ggA;{2l!wQoqRlJsFGIy?vGzyM& z2^|Av>28f8hvFGXMTL|)fAl|{vWQqFp0<%xf#`qMM$&1e|5+PJ2bBIbHj)l0{cCI_ zX6JJjGCXYbKksGX9Mk{2rHaoo{m(g6tZ+Ec^}pcNg~Ln#3tlOlg8E6X_^q z^J(X0i!Bn{D>ju+Px(~DXo-su{jbTlbcxTg+xd zHlMRzvvqQ}r>-a@gi}q+SmNsO9qMF@_SL2Y+#Ry9n{U~>zK}FF;w|HR(kOk!TK4iv z(n{Gf#mk{nRZHV7zSihu)pA(e$Dz|z%XDJ6{i73B%Osz1^gJQfa!}qULnp14F53AacLi09>JphFQ6tc~n(AS;(1wm%ffT;xBNLm|9I6E9ShSA4R*Bu9b1S}3Q_Z> z5W=0Tw5eRl{E|%g&>20qRuW;{rrBF5Pf7dI8#jpQ8TZ-#(@7V&p zZoF?}IStGRk0I4uvKx&zH4%%faiG$y44a22ZmRZiwd&Y&MQ_IQqGC; zw8@3oY}z>md&w)x%d0|Z>m;l`XL~Kc>hr(l9&McNTUPoS606VsD|}MC`ds2`isq)w znHSglB-QHEdf^5iTa^Y|{C{v;EvU*JzizO?K_J6EtAmOJ7C9ET1$X%&vQzChV;X?tf zSp%^YNb()&*Vk>>y*w!sV>&i)veRQ zrg&v(tM@Z$j`LS}BC#^{`Jz}$x1pUiiDe<>u?dU9!dqPJNY%%$cIj9u{xsR8Bk37tsr%t;*pYxlfo=dDmO;j4MK_wOHFE7n36B?j?Q*%(pt z{Vt`5{E)nVgSyuqlJ|d|m!R;Cg#K?7jcO72ybfMI>;G0sDtS*99M>8i+#Ia0R2xeDGu<1V#ye55$1d5;47*HZUw@(kMC8dnf}V z@)lXWn=|k;M}Xg!8Q92{pn^SrMucDb8raxnV|>1M$uvR(!(1|r)4=dl#OMGSODCm) z;Vv5M&L%FJlK#NPE*Y=R3~b_R!7DKXo4WYm4Y+|#Jwhb&6Tggjg>7IXhs!0ZfuFd_ zVAy63TzXcj+sqN;H=722>d5gMZUaAc@i>SK{LH0eWf}Ons|U-#z|UPgR;Phq*>t>$ zg?YEuRfMz7z%N`nzxp=t3tLk!?*s;Z=@DQ}zqT1@8jJI9O7!g9YV{-@uMG6>qc+{MJ$+rrVA-l_%S8Z4D?Z48(0LPpG(!<$>JE z#?s0j9r&H3b_DNr4g5}utJnANybxo!v#o%q(9ROAUZk8QVq+H@EN18~78>KYtE8#+ z(AI8JT+#4O95=VCVqh&U#o+E{iVN8828>WUNfb&4ym;=e6QF0L6k!A+aioqwZ0(^V z5G{M?2t@RrIs!qqmxkbHGy{9-5Oj5v0l|>~rL=*)HJqrh;W^I0K|sfPmb53kmoC8X zROoYYuznoiw4&29)n5AFvB_R~#(BHk4FvTP8pl}kL$?r=mi3TI+XOxo43t8PWC=&^ zlh-I5M|M2&M5<!onEpDa}uKS*V#c-{Vz?O>}d=R|*H7=37?z z+MOO{8aj>$Gl6}Ot7 zB94l99%XUznw@sZQd!$%o25=&&G)5j77zTuDw~W!Z%yW1vTWnX(pXB+5YpV^Soxsg@?_HGola{7gJbJOAkxjTjs@fO1ow zc!&n=m9v)LEtdk?J8LcR4Hl6PFazULM5eQqdXWbTB>Bfn9$>8^u=$$$7DqM76tYQQ&$E{Pg3JMw&>3l*;>XKRe!ruDhUKAElTuPh@r7}d@)ntG+}8yMrXFSU z)azc-;tiybyP_ImJ{$fXco=YlB@7v>)XLYn=r#N5DU}KOg}PYZ5!){x5$y{&+w>8U*d|@K9LreC&sQie6)W&zn_f9{_suItXcAfa zN!%s#__TJe@^XaJTD$X#tJ)EamR~BDwJQdy83X$RkLezeiFiPYi2A-|5jIc<0E+pe zzDG^80|iohcW&T70TY{^WH(lrgMf-+AH*-5;gvF?PS$~PcZ99nWT5rdY1f1u-NB#_ z!+TWxHmZ6dHabw1CkCr;U(&N+tk`Fv-HMclLAz68jmZ;hxZIl2eOUmNTQ%(V$^c@; zAVOh45H0b6qcRW$k;AFd!X=XI!E%tfXS$)Ke7>rJ77q{2P65y9AS|3Fr0@%n;^gB8*E8uAi_2ORZ{}QG`*{yLKOfpZ+ zRGf%xzi6C#`jhSeCtn8Nq+X|pj;GRrAWgJb>t93d^e!iUSr8q(7nrF2Sw~F0Bjcd* zH`L`ftmU_w2HFINoGEATk!c;u(|k5CvG5D*WbkmJi1DIK-8LI!x2eMLWSr>S zV~Hl?Z(dwQV$!wCZml!RoV!oC1-)kV5r2#h0+!pTA) z9S+x~coXTe5UX8&DFj3}SZG>V%D*okSR8lAD9SB%(Xgt=MPsS$cFBl~9#;vLr(Tx~ ze=TyA!0x3kn!T{dLr0@aTs8Oz!@v>`9=0#_;9=!49y-iC#-j(WKi0+L(ZH+{F7X}f zks_gGt`P4Lmbq~8oBNz$NDf=87iMTk| zMj|nsYa@{cSJ*^^!g;nh661L`lEdkI8;XzL44m&^(N=cZ0-=d{aPHpKV2) zTxqG04?r&tz~WCQiPihh7MQmX7g$j7aQXrp%8U7h4iQY++C!Nb|DhJtRwuTZWUv7gr3teu5IfSopu$*A8u)$*0yV60k zbyqoLPKZ}IO1Q~u0q4-3gup)Z`T~;jlyHs1R%fj19j&}Vszz^c z1Oqmjy}>IToF8uVN(bkM8~xIx_a=vfp}N^ohm>)%gN0@P2&gg*@EZ3|Xy9G1pvptT z;Q^S2hJ^nL7;kfBw1@}Sf7M|~E+V!3%|UY{{>?#iCjKYLmI-37|1aoqI7rn0f(+Zk zX5$d>e_k+p{(k^FYT&`$^X9&8a@2414e}W&%u_UBmZ;2yx834qG9xHE*jpx-9@9x+g&v5 zyTc`e>gs?)?zF)o-QQ)SMZUkwL36^t+d*^2ztbUe7Qfq3!nwB3A#;R3X^}b2-ER?* zFVLI6Tl8L$LGOu2%ovmBkChIb7nFNkGQTNtuS;hWSH>f@dhXG^E}hq)`&>FZ=DxI} zhwWMA;(5JU<>Gnv_gi?*rkHCFSOQ>R>Obfa0RBM_9zosjp(FAJJoMnLqzB>=!%J)x zOZgZuYtYBQFb}3(RrHr7 z2@bG_JPfgmf5@YYW8h(*2uIT+mIwy!eh->M=3xtrPKZ}%9<@Y}haa`jh?U1I95VA` z77i)yaSO-!_i+oz*>|;tM69f~fJlQ+SUAqRPufJZ{e&gWsrV_Ih<2W`#nI3QsR$xi zFF5w{YcJ25Xt9v5v51@!|85dFX+3SxxUTYaI%3QgECXvynn=mdnbP9zt!FJHr=#aA zBFEzMmN+N0=Pe}1(+d`o!|xdjDW=2=HkF%t(NZ9G-Y?o%o(XGhESs>_#&W-2va#HU z5AqS+f#kjqQwBxG_lG7<9?mvcSe_&s1k@aV#QsMDZ89(cKKC%hIqwsnE|J5;8(JUv z0EmK*Jv#Bbkb#eV63AVj_#_bJpZXXm-w6{w^(h3KpIUzA7sg?L`CoYWLhnoIh&zuG zg7;b8#}f*@Eb7MR9)@`1@ynE}O>A(!^vQ6LedWqvsS4JyuY8gmA78tYvV8ZoPlhMZ zH?9m?!?1nh3W0@y`_?aoG5yvrmEc76onJ0k8wXoiI{2HUWIoc?;4g$y@wdx_H)tIm z{H0FjR5-W^NHIM}ipB7UlubuR2BUzRDV5dpNSa#COgFS=8QeH76#=&7;G2w!kUkB9W+nA!A%`FPyWH5xOimv!4aTG@prQI z$JlrPNz9)HOnQ4ldo)cifedcqD#T13{7Ie^8ZP2f_TXkN9-%h4nTzLO_-R1r_dif? z8T?tGL(t&lp8+=vvjQ}lrH99fz&+Am`AC+CLH~Km&*lD($SWo}L+`Kj$FBlmjVYhg zM+bjZSX#9GYauSCI{&O@@YhlXe+IsN(ZX)=#Uw<@=0RKLzz9{F`{a103~phzLTlyV z76PprrtRwBmL>`bV@HD|!f88GQm&#U96DQ?Jd{WWw=#5~KsUIR2?J_t6NT<>ZKAMs z9o)u*m0*@^W8sLjtp!7*Y-_<_$aWSE5x%`i;{{suZhKP$ugz@1Z)_1>mYMZiLx%T@ zgTJ)kvxNHJ7*JXQg#rsq7Vc;Od1E>lH(+9d@2=C3=+Wih=|oy_c*gBuYUF$uH)&X{ z2X``sdCYe*ajfrmCQbBjXH%G`^v)KLTiMklat_(S5@zXLEF#;zn?+>tU3H>d*9UjY zN01i6br!Q=cbkZ?8)XxDfgjw(C5s;g4USAjOx5@kmxCj1Jje7N4i;g!r;SbEM|XpJ z+Td_Ev4<;xnZB1x=6N~F)r5(-mrF(wXmD>2oyg@N$Ak}O@pFU0(He=j9VL#a47+_? z28Yi+`H1N~v0WWZxOh~=1`{rxL$k!CbKH!x=+f{pHW`Pb!O<2qDGD2drKyN*B>&!J zaIC{1En_UANX%pNrVf!|$Jtnp>j}V0Pje`Y2SE#8al{%db;v9}K4qbKUQ7U0DMk2C z)CmZmz4ICZfw`|v;IN*kQxNBqQko3r&mkcq52;2h<3=t002z)#oEYpO<5FDgqI1DE17>>GwbP8|WC+kuifn_>{lUun?;b^Q# zMS?L98>0#X!-{G^iiSspB#JhJzeo{vqi%YwRBm+!r+^X*D9LWP=|Co`Ny?2@=7Ccr zj*vk1oo*9h`t-ad4*%BLNEF2e zYi(!=>};^Hh}vlm6dtLwp*%0@94vZJ?_kk|LmevmQ156!CmL+3*!gg%H#&MqpwSjU zDQ&RHhKg+xy53|9s46m;&90nycZm%+)Dji*q}itO0k6f%!fIqJZ*2p>XJ0E z*!G|XJ2=h6i^n#Dhuc)KHEear-0fMWZrVt)?+cEB0aE8elY|Vp&=lkV=`vxQ;=2tR zKc5)vv1F0o7aO=FN6KOghmq>Ba4@geqQSmiOBS77YS6^Fu-l+<5H2xEux?2zqWgrC z`!NPhgw`>Js5s#+HF2CVjx}kVE{-*2Idv{GaU2)ROdLnoaRH9gBYlzuR-cuQXjaRO z{qX@*BvNks%(#iJbkk0`xOEh(D1X9)*CliRQX$&i_(ftjp5fM zu^9&jP$uDT0SsPZ!Z2|!i<9Iq@pW|isny`6CWL-+*m_5TAhd182h`=K*9I?#n54DO z$EJJy!H1xOmx3`|``S7+ktPytkHrVr33It1gwEya(3Nq5v2Wq>PR9m1C7q8VZqQz@iqQ$LQv2koMRi&8`y)*aYP zr0dg+3P|#oQG+)Lx|$Fe*PG)ERV1u$w;Cw13An+a@oUh7|1d>)?fR>U!ym64yxF8- zar~<(iq+?DCJ8Zoqe+4X|7Pkch3Efa(s;f6yD7@+;NLAA^1(kX9QyxH3kU1|W#M4U zzbqU~{yz(c{{NqegUSCkVe-(z-ukzN#?xA6++xZ=^DQoRRw-xr|Cr!}Q1Krd&&MGl zajPXE75&#Bi{<&hh8nRv|IftndUl6N6D8X_O=2mh`5P=Eie&#wN3>8E$B)}g950Z! znK)kc?lftlhj*AnaeTVV)X2`e%K~y|?zVuuw%=_bc^7cIPUJZltTQVuCEVOf9k0sg zeHN1UEPWP|SI&D(B>VFo3&_NKb)d3~)A4-{2Z`lAT?ylnFjhHOnlqU{ry?|1^X7ET zUNFBjDgJ*9J?vAT^N~O7qu9?xSwYvh@th>zOOs$s{@N2W@)>4J0#06-Y7gl|m?FZV zAHpgdvW0vYeZV0@$L+QbymK+Q%E4l~-|t|NwH|b+oPQonM=UFNzCPffIYailWVm&} zB_kJgn)tj2_;fw!xhD23#`wIOvk$kW4&cwaDA_jEhDF%DQNxK5SD(-gwy7 zAyUUf4w6}iUboFyWddkJKcb;47=-?Wg#k1l$TMws>=Nv3D;nNNk zv+#LG118}MHZ`cqykKid;BAk=7hM4)gcn^jX6;%R4O`Z_Xnwx&k_+c5|4SY`T7B7r z$2@=8gGaV_#e*ku-XV)JLEcd#Qox%QUZnTeTr|hq>n>eP_N<42+5T!g66Q3-VnRHm zS?AKlgnZq@;HkGR?PwBn?+uRxPo_6536TodJ8&{{y$$8r`;JXzAG~2xMM3SYc*N-G zEU~|O%SQ8je%l6%EcLbx78SO495g49cO5d@_ZrA*UB?`HH?0v6YVYd^ng}a-f!<_M zPv<;7uOt`ECDiAdn24c|G>Ry2ZO}FGBKv`k;nny(9fPd%z7E06`cOw8wm;AbnA#ue zBAlc)=oCJ9e5?y`N_o}5V8(xJP|)^Ax)kQ~Cpv*2mVaUh!M;xo3=I6tpa5bBLGc$F zM1tY zp>z}5?eGnRytr%5qIMLDGQ%ZODBV~hxy+e~N!~ctvXSKRESNKNELC<ms6*=Xr#{ltU4r^yW1_B}B4KYK zULpi`7UE+>vvF}ZR#>~EK#mm+{YLP{32V2PccLfT3*?M`R=6=P?*wu?fgB_Jv4i9Zl{*RESYhT)f+sBbon#41ekWO?cRR~F z;gwwka*Swvq~Mhb-nR0Nr`0Y}OtiME6cbkNDtV&u-Q=BUd^d>{Ht!+sN=1M65Xe$t z*zV#^0QZzEp>m`Y6As%`-U*vW$va`nC@Ckj>?L`^=)ELQ7&cn)N`xt+1+N6A#G0#- z!lFlYELyq*fBqwy83%TZ|LA8)vUl;qrOVnxSvgY@2ehjsAzCa|x9qfi(t;^^vA5)j zUhE_9L@yHZPV{1Tc_(xx(h+V#^rBSWl?XK@@-`vb7!w!Rgitg_aUmOP*>nWB3wjsw z-`QQ-tG>IJ8LPyEZ^q#^rsGI>vxFmz=Ln0I+hp+|Au~Qs4B<0;nsqYc*r(m%p+;tW zS|P~A6XUoMe1cbSYZ8vnWQhP21a2YLJasjRsA1xdW@RQoOOO_hZR@0OCd;n^QDP_^ zKc3#^$xKKSh??r8KjlFU%R3A<^=AU{lv3GF4G`20;o0(7bAz-F6gXj`6ry`6(;{u_ z?BYKl)7(5+>5zZ4CNn7xipXH&=zD6;o6?y{X+p4_Fl-Xt2rIgzhxd(xA~lS*H1K&Q zbASdZO)l*!QQp7?QIJ>XCu!Z(ojDUOYXi7Mme3B z`x*N65uwssW`BnpsHYDTX2v?21)Eq08my&V6RGqk{^SJPeSpR0j`t?{r7E7Ab*V_; zyudTP>LUyb+m=xqhvs!m&$4d*bT^*i!SuAr6phPALzy~Dlt0jj9@nRIF*PD)yY)U{ zl5H?#Re!M`Yj7kmz!;oHOD60C>adwcQ&4?DF4N={BY`H50NR;v(-(*@8SvQ`KP1W| zO_lTnP<+S&<<`t$j<{qBdD^S4ye%EkMocdGB-(6?2BU}_ZcEA|#b33^G<#XfaV-Va z!rmDLW#QFXwyf%^=nb7kGl$y>6a;@w1O=1KtU?H(1dzjvw*#*qR$L3LJ)$@SXTi)7 z#aqI=*qI}T&;xUh96}F4l7%3cKB+=&(1AabDkKSqw-u6vBijl|(z!h|yO1P0G`o-_ zJTk8UQ+&H6(^`Pd-|ESBrkQ2Fy zRWB+aE+H^&QBf^$YIkuZFmrx!2$U3UhKGD{Q3wXGr$964M^6E1B&FU0a+s#Q1>_K+ zOA5%rD@zKs!ptl!#DtfRDWn$tIJS@^4Elq?3?J0?%D+@bkmI}% z+8j+#4|M5+NNDJ|LRJv(ctZ(x{UTg>e2*_A2v`5UkR-(gw}R3?{WzrO=c8483cbW(nZ=CJgfJQN6t;*_D9L)4!-lqS4~kon6{ zD5Q{6h5{izPD@3CLHEA0!sCA0P^w_msY9wF(CGz0`XKXkJl)&mg1L)&=rBSlSo>pE zj6ch(SmF6l%NdL0d&S?VBx}y_Hqx_{f1yv`lkbr+ccxc4{W%wD1*tl7C{=_x%ZpJq zQbrB`qGsj{Z<8)oo$k*b0&n<>J$8;RFf#FeG%T zDI^@hZ~bO2wdBMfTE#QI%w?8TsFEY@GD}jhN$zr!A^l=JI=RAB%~c^RNmsgb;ZlCN zA#=Hx&F8Qyyp7VD%r78k?ubXi%*bn<2o~|Zv`pHTmPcWJoSeC`09Wh`#8P;5aSVPT zk-5soAYh1obt+;Srvga~(KR+(ozrQzA-;u^xyIJ4Alh1fRUtJ3g9pi(ba4znAigyo zF|9S*;@`t%ZcP^l;pubcKgB`(Soy#4;)*y@|64#2Kl{&Iobsy>k@3HH0WO>PzjOgE zyX&?>vUo8db9*5!yYaR{n(?eYb5|iQznYV|vml%8y0cKr?5#Tr3bWaF6%=O6?k>pY zZr$s!WnL50q|aq@`no+G@dlNc0e2VT^5+0ED;=e3a&v$C3P|&2=$-prq z9K#_rP_!wL@&=1yc$6OUHzlS9PytDf@mv8WztWg_xPV@M<0Rs5Qz6mrQU1)AV7deqRN z#We@QqXk4o2s~Cumc8;=Az5zsaf9o(9WU2rRvV2J0OGK_`p0O*e*I~$M!h(*syIR( ztez-Itt+R{8140(!V8z{MN>v&)&M3n&Y5L6PT~Vk(0ok4R6?6jLj!JmQm_ znP-2TTArmZ4xv^obx#h3;@P)mC=|E&)Q_`{!*9)x!}26}c8JzxdgUbY+z?o?!+vgv z*2Ta)KNN~n#PdV7$f@Fmp>%OiUl>Xk_wmJ{bn*0GI}}Q6X4VeXB!50B^RgF)(>lcw zE!pa{9vqop@d~)rk&99hZM{(GC9ICfx&BgoXc!+8^FshBDZJ{1sJ;}a_TP@Gp(Ig7StTzqD(TFe3!KX#q-f1xbAABlD)! zh6SOTWj3CQ1mjpD%TA?9#3g-&5>-1=^NrC`P{@W$Huzbx5RRI{yJ@|FCG?UFa=5Cr zy=}-Zku@U7z3gzPd_(m01|88E7{q>CpN?o9viSTn}O$P_r71F=op;(aWMdV`-vX_w|?Sp7yHCd{ZjDtr+z7T`E$P%(!=L|DfXJ5g&(A3 zKJ#nj^Zb_ucyQ^L1$3fspB3Ptb6*wEiQau(fQRmVRX`{D_e}vFhVR<~Iw@Ojm5*qX zLaYF#lquzwmk=>am_LOfDi%Mabzw{hk#f-HcLjK3_<``Z1-QsZh^O!3wq_(*s-bie z^LvLWnjOPQ7>@e7fO_!0FTfjv-96XgAD)GvnM`oUsJDVQ=NAMrXr zcm$P=rhN!n11735qV!H7m5u!vAkFpc=Bc4I0+t^dh8G;NTX^-+3L>9YQ9rX=7r=z) zDtbhd-P+q^5Q;Ro)fH9cO@!RC7!r#yP0s9A#To@DNN?F~ejF5j-e#z#g;pB)?6yN` zRS);G+x|GE2(;~nQW~sA+3kLuRz&vpLup0iY(JDfbow_#X+q5WW++YY^bSL5qHLMn zVJJ=L-HtlqPupw?k>d^Y`p;hf;-wCA-rQxkjtAmYI@FD=B|S?2|N z`-SqcKWziUN95U^^AS&Sb+K{_oZY3UZkQS*HL_$EUAue&E*3!2NBrFkzH=DL?yk2s z+C24*?Dr1T%;i7(aY*8)T&{(e)F70K<6%*&N9OswDGmdP9C9UoBw(yH_ z5iL8(lA{mOQGm?i2NBs(eo5}ic)uV!YrJ0$waM%RzZ`11*@=E0kK#nXPSh2%ll(mX zv3Pdh0!q2XeG5nmQwuOTH1{i@mL0HP0ZI1U{skntD+f7}oP78}ef9u9lamiS=|I0~ zj-CS@VKLIoJjg337xc*vQ=VRgRg)dXlFfE;ugVH);MNcDvqg$3^Q#wGsKU?0zHWbm zCC`bYgUvZ1D!mBLQKj4f%5N+3fihc}iiB%6?JB~vQnt!qDFZC^*rrbP0`;|fiWgz3 zB1Ppt0>($6s(eJVTA3EKhy!nSN&$>{1e!V|N*#f!QxR{QtS>m-@LNvVgMS>BSCNB@ zSpdDf;PCXQ9ttWJ7M|aS{5TVMy4U^b? zOQuez(VIoh3_qc%wZ1A6+wU?0M52csF!IETJx88czGvB}@;y&1+hdfx+hY$BXi5Pi zLZL(!)=NiY^GVZ?rj1@$&rb7#<=n#h!Cjq}_o>uSoPwthh2mUXJ5-B2XthJ>;^C?r zN*Cv>x}kJ&GOr&>msn=&hf>Dledv(N*sq6rm1!p)8qS6Ss?^~L5U-jg!ArpM+|*b= zlbW}3k!bX4(~Oepn!GqC&Uo$M-Zp#NR{}Ur1bHhG+ay*Wi9~*mP_Lv=7XeP8P9YvU z;Mx(y<7rSiYGlQzk>#V95zV&3rda!NZO8V~57eXCnYiD$qm6#qj`x|ettq-6ChqBL zy)7Si(|uIp@$2-K4QMu?;D_?D*6R}*P5x$mcp9{1va+H%SyNY$tgdZrqAFgj{Ll>{ zqqccEGaBhf`WtgoLZH5)F2WgfN9lL4awTF=~apy8}V)&dINuq z;_1J~9-}JvJh6g&GI7)Tkbhzsk>NDDFg&->O)ChsrO*1`&_Jj^CB|)ql3YX_YyGRcP3mTwRH!b?Zw*YDip-e+kvg5(8O`!{+ zBhV-fKebN(siglzV!xQ)twET}eFy?2cEG<<8bU=7Y~oOg#I_$te4`cB{_cO%MG=^x zne1`6F>%szTimj7#16WRNacZ}#f|Qq*!~xhy3ibv^lcBmT{c1kRg4ltr#eSg9dzkr z9Uz8F3cV9kT^awp@}%U#`CYj-60*5Y-l^mEoZ-^6% ztz&~Dv0;l=5k(osu~dQC7ybGeKtqNwSk0_RY~-`5Y1vUu0{j1aMFA;kI2@NBp)fq5 ziL!jMqEJ7i5ty7XI}-cd-u1+0C%|gjxS;Rb)4Kq|K+5DIJBa8TJ$bd#CXluHRT@F; zdm^z-w@edt6SzrV31TC2w-6nz2|DKpm{EK5Dq_GRU;6!q-wkO(;){gqE4(ofk+Y62@%Y(v0uRz=!FO`9*$`Cuspfp$-^SCl1lUvLc-C* z&!$_(BNs+ud)`M#VS;oQdGV9gL_qd2v3&dk=6Q7=rXeQ&|1h6 zId$Z-4{aa7EM!{1h^K6mDPRVsE?T2u4SW7=$Y=87H18ra+)hqq;piFRt+zq&+3B z@gQRkt|5dcoY{`N;GhmWM_%I;IX6XgR$VfdhjA{LM8L~2*?E$SAG2rYDb57?G8%Lq z1uj`Z-&NYK7uOfpR@G78X$Kx=UBrl{ZfzJ@aulth%-ZI&n?7gR3f< z_>)uF1qOv;@a-K}5N0iOH8zzsA&eH}g;aGD@z;NcyV7bzUnee8Qz}au#~`?}UAT`< zomgIyplDcs^K!Z^tuDtwa3NT+sp#2T!&7v<0TZYTS5cz!PLT+U1fiCKF1t`&W5Cum z*AsO3RJQ1?O~6_VuO??yS2j%}r4b@UuVIpK$_F1pV(k?8pe0Y2bT)08Szg_gtf)Dp zKG{^4+ek%U*yj7NBgDNRc{w{(^wliJ26xamx#KsJQrb&iHY<49s>TQnr4b1Yfp zrgJSEO^och77k0wiV)XWRU?dE5#q`!E9tvt(d>C4tN}Cbyb!{XfhNz_G1A=gEgZUa zfrW!lFR*Yh?LrHOu3l*2VB19@4(3ALMIo%JR&?s(5LI1E`8RuUh=CZKdr61^2@PIi zqR`JvO%zPMG(gehp~Vj`*kmsYaFU27F0+w{p38NlT+_3c+erBC3LS}tV9*sd5-zyX zMxr-Y>PVX8P9)mb58TDkzCnG}I*<8sYG3CB30) z3guNK_3JEYw6Y`>5i>^SA((wl+N7b=*I6`p{CY`in408$QTAFLM3GQlgCu)>Ji<*7 zj8hb!Gbj%?R2`bE7J_LhSX~|@&l@DEzEXj1R1}$fh3Jj(h|o^mJVfR7ns;@+TzFDGRL`_{IC=vu;HVHTWRf48WX-w8r&L`AgC2Csr)M-ln-vU@g zl9OLk-PEbv{eMdi{^|l?~(#DDw`>_3se3fH3cIry75m* zuEbhJTio(wQ#F-%D8G>Mze^?>L*xI_?rAfa{aZT1?gJmu^e^om;regw9&vC>Jj5gJ zZ_)UOom;hgektVtbOKuVPdpN|i1$OY|Irb!=~f*9BmS!sV8wrR5t#8m9RWLTQwT14 zAI3HB|IQ)sCUK zCQsW-^-En+N^NHz1bJ~~U2RiESpyQ>00^P93yEZ2jAP|=)_e}gOgK$;-KsH$5A zHf~~c1A_@I8Ir{r1yuLi+ z;gw3>vmTveq|RqNJmsKgy*h=RYrJxjXUfqYUK;Y8DTEN>*m%wq;MkzQ%Ek_P-jcy& zW1;5*A@V@7k@hhZC_KMj2t+9OgnM2Lz=Sdb=j8xREZTk~Yg({iuhq$7??%*@bZTRH z7=CMm26XDn0hM&)R4X0vicUwH+@qIlDixt*XJ3g&sEtet8qCUJ8YC}}O zT@=5>csmpd7(94y2NK)~YJy5sTrtbO6Ufvz)HT&r@aFi15KkF6Sye5%_l`zxOjeSQ zv`y-}A+xfoR(9iEO*?rnI8MA5($Rn%@%IDj^s=UkX;gI#1l|v{3)a|>^+6~_@l;(u zHCe%j)ei#^ZBUyUsu6@A1~N5`(ovbq*K!A~>_Z8bpp z)Ffe-@mZjaN@#S-4qW`1hOMpz^oszhCJQ!x8!Req3^;Rs9>@#yG)1@YOs8hifF;7J zFdW4D(&AO3?w$S8QdXJ7X8bD$i~VbJJ|ZWVGQSi%)vp{C*sy->sKAc+YX=Jr-#b|R zp`z^f4!9J1-yd8w?Fn-~(b1HcDHB2Ww>p^<6u?o3iob-G{mxO1zgv|3!9|nrauF9z z&dWtyGht3`C?HqpsS3rA;e6HAd6ow?x_uM%~k+@_W?j@jI%4i;|ziGzjL zH*=^o&biGT4H$}_I#{^;=MEN6VRFB4$(T>yIywOUsRKrU=YHmbf&Q6`#vuRFL8Ee# z`-Mw}>wf7dL0us?!bQU&BV05*@+%k3F44%eL7?Xom9zpj;gpv9m7@u^|5}s4-msE? z(470V1I95rx4DZ3RR>pPpO_#m!zUZT?0vNX|Nl( zh?Uz)Q`JazS~6Q1GUzvzXt~#0n}S?8%WZAI>#;CXU7p(B#y~TJPR_KX<+d?IYRI{G zW`IXq&5gu9IUnH+oS-TTnWws{5_1BsH8#|_1EoX>YBF*cK#IntgrX9;TqP@};z2Nu z&ACJ%f|{BzyNN>ko3xSvyvSALsW?ZQ8QKz&wrve5O2FFAIJaji6y>T> z9qk6VXq4MCAF`G@6CuywVP*>%LoJOmB#2Yb171^4yuA!5CTlJJh?;6l^ShKDX0B%U43~O_RP7_ zhD1$SZ51})!i*9_4%;TR1>(lWxT53}Av(qo6}uS<4;3AI8|(_wOq)|G2GKbYjvi}h z7N?hBL!BFI2y0yIz;fdPZWRT+D!Sw*1Y|lURW#L1rHV^#qE4)CuCJ_}iPX7wDk8Ti zgr&!bHB|tQH>gcjFm!yVph|DdgqAflwcy2r+$5b|+gvxLrjZIaxrsWtnvTQGJdG!X z$}8%qLQz{aR^azF@DjbRj-FD}M8_Oby`K)9(p1|(FIMFC)1kDlE3YhTsjEib-9La= zG->_WUl%BAs;sPOCGY_OnA}8;ZX^!KI+&bC14PsVbua-drofVe0xV*HP6!kEpp}~( zpg|8GAm=E+q`Rf%L!am(!$(5Q|l=X;r? zm52jk(=>YAHLb1&9w-l3;-NwC^dwiFipaS9dlDW0#pLbO$pGUA_V2Z0lsObs-@+oYD8;|m>TGz{Gk<4 zbJKL0u*R61rVHr#yjmAfg~D954%Z4Axq~%^tncM2LltU)IV2>_)JlH2no!&>>*c10 zG8#`*vA&5%(MEzhq+p>9GjP`^YCO9 zFjw!s7#9OTfW8 z!w+96P+I~;TAeO8Bf!dXb#6w0BWWFaSO6u4D&6H~>TpoGh?r~D!D0O`H&dqr9#r~r zt+pnv^yLoI$$I=R3RI~RGIr?6fXdY(RUpVU2lR%ZN|&1z5`$u4?(lp>Mr^~(pz@cS zrBSJ77QU2|J3Lfkln`@AXbf2_%pDP`Q8Vty5Ej%0b4P|acuAz`WJuHsce&Z20=;mT zONDUxbRyTLLAArs>dYe7V^!L)G|l?SVGCVwYRzrh5V7lvxuZjoumUWKg}Kgl`K^nw6At&%EtAT10)TFEiDFaT<$z+6{=WpQj5 zy8@uB4(1jG6r((s>kfnkU6xC_1Grfx%q_O?VL30iIFJ#lRHdfJ6447Of7E%D6;%~y zsZQrQ7*`LDamd(ZH91sN1A6iinT1q#Lq(z2!J;tIooIL4u33%JywqRy8))=`Xt zUhY^Iognfu7mfYdG8awMB@UJA4#zn<@HMa8aV{DiKi);7=f}Hfbp7`(8ol|wi-t>1 zaM5tdA55}3|Np^NLf{iku&TM7=z!tAKk8Hp8yU6BbsZ|2#DVoxi$(QTDEgD7s1ko; zF?WJP#lpDUqN@1*lcidZ?>}3-O1uM>`?IA<6besruo#IY4wb|0Bu53t=PwQz!}Avh z%b|IyOGXTy;^^S8I>kZrj6BUjW8_bB!RYtt4!8s{c)E*5&(Cntun3wB%-konawejpmI#U~qFv+8qpJ`yA>dQlApI9X>q zN0Sm2OjXG{$B=Q#hUaR6Nv#NZt|4ZYL|14sR8^$S6ZL9^A>fo6&$DGvggDQTAt#09 zvhxkRQ#d@|kQ6mNE=68o$dStMy{+5@nvh-6-i@Xb9QWz>5s7SpmD)P%GjzRL_ry-0YOA;xt$vQre( zE;ppKEuhGumm8vDSej^{uQ0`o(%uz@j8mVx(hxN(hF6-R;wg0Q`aput5Md3gF#zkO zw5tMe&~i{XzABKwM0HAoR|lfjJZ9%#9S9r6ziR>^SRqPx*96c?y>yp0p+V{Hh7fKR zusH*baq(hA= z-<@d<9v1HIifiPcaJMp`nkBlMQwnXA3hzoQvgnJb^W7a#t$N`PQ8~g6Pq*#&YosqFjHR?uX-dZUkG6n`mO$m~n|V zx*g=(iTl!v4b4sa(&q~3XZj;2?3>twcmruPTLug7Cs;It+TsAN8q3gw3|&T|6Zgd{ z5F|{W^wxw2(+0D*y^}t^tOFi_bS$x~Z4w)j!)>%AFeEvDWI~vcO#@gu8heZ^qsB`V zl(uzr^o$%QkQpG!Aki6ckL}T$e2Aq>LW7eXoxR=3IXyk9tsHC65rG*GIjr_$Lebc; zUxL3Aob|9oDxZp0dgm-qtvnnjsNPrlE=cZh0D05I zZ0^V!#w0p;NBc~iI24PC2?*e)1Z!DhE)01ZJkniK0^ZY-S5l6D=>*fqDEqI2$E8z<2=+ z>JlFgU&PJkbVH1_xE@B=K)slzn<#E@w-$HAN-*!GxZovN1?Q6J$jbQ0iulN#%Huon z509g{JWw(D^XZ-Vvj~`&FQtjR1*wAGmx1{yZukx?v;uE`eNuw%`apjrPFD${({(;g z*PEC>)+Pj~zmhhT$E5|Y#)(RnjT*U=`b{=0cr~raWN-j}4b)+Q3e}6(;{vRDCsn@z zatyzzrXJI8s=3#sJDn%`aA?`d^v3IHVz8Ga1Hb%_?}Q(9M{{q0$=cW)qP!U=%0}T& z$%z7tps2>y12l}kI6)%o0f@>$;9qm+-U5L1%5Df0w&KdcK@eJiHK~GqojFK3JCI=@PM4egkbS60+qrBDJdKL+E7kJV$*-gl&?cM z5voM{2Iyg`A*td!34{v?^R2p-iRW9$zz6UgTc|qwjY6u#OVZy1O)apy=>9w0Q`c}$ zJx2>`etQei=--x)P>Oz3Tt|k}&9GPa2EXQsZaFNPU73z%AB@w*dvSU3qPloUu|823 zAE}GC)x~G(Vx78pH!k$h8%k2)vQhXJ~{lP(@da=43FkAreID7d(TE?x%f zc4G0xZR+Aqx?nwbsEga;5_7$xTu+o&AbulJxUHU)#N0@%m(j!-#99MPFR@;v3wkIm zq;e^`c%Cr1w!FNUtuF3KOU%7=!BY3df&U=un$kC3ufI+EbeIs4Q^MS8a+5gKguL2 zdSypm9FoE@j3^lQY?>4n0S4WfP87a4L|xP=OdVkud?;P8J=Kabi!Rnc zyhE`n>4J@)pO@hIiZxHMj--p{U|33F+K9qUBo&2buCRFuT|5Ku6v8}%wyNmjDO{{f zl773La6sZtj+)J2QBxLRFI zO-Vg-g9~DDcMemSY3kxC!mt@hx)5fli*AK!SCoZ{vQSa>Qj}&znWrd~bOFuT)8j&O z_7u8cN`G2X`W5RzqA=?Lq991E2~w!IWL%>HC**G!QqnAhIKj_1$%EtBNLEFc$ z@2j|r>rH`{Ahh@geK^UUl!*?+b_qtxLhRd;*L)_wCoQa+)@|Ezp}I2 zJvQ&r7k6me2Uo+SPY#%AxVEBCR%ZSF*nH$r1UF;);LZVdE~!JNj}Z zDVKJ+2Z!jk^D++U?st9^Ab? zoQHO=N46#9wsF5lw$G*UOWn~mJFkeye=(X1pTwcBOQ-R>T6b;*`n5=O42 zGR{;wA=5=EUA9V!VkA_;lu9=>si=f4$~}V+9huUcE_3ZdhcDUaobYuS6{6z*thM&q zYc-nr{pa(Mv!8dp?^@6EzH9A0d+*shq8sm)eOAQ2Sr21gGj7OB&v~ab>_Xe`F*PH* z`(2E7cjoNZVa#d94ZjEFa4*2dbh&-Ys#(+Zw3 z-7{=Do)>S~_Okv>jLE!LdVbY*N^RPHuc3QBW_pl=OAd*4yv4A4qk6lUyxpa?jx{t` zyZa=>a=&q^$*{|P5@Z87Alg|Pw($|0wYwsqW5*f{yZ;bulF6{vhm5a|XlHwz59@aV zwrG2sL$Ep)!^T8B&WE*o#AH}oeSG{03$%T#;WXnsCh~Ue0y=h#!FQ+YGx3|B4SA%h49Y9*_|4cc#rS{tg9>vvv&(-p=9x{$bd3lVRfnI@ZAA zn5|!xn9y7}2r%2E!h~zv9E}|cOc&HaTdc^g@_MpHw{~~eX@jjyZRQ# z@UQsr@!1TU5^x|9&)^s=;=|fKYBKE6hy(F%C_Wh&A3Ck!2HY;i2EW3z3BJ!$G#J%eEjB*fzlw>f4>5dCiW5nHrfKY(QMcCL0VJ zct|{^2#!6$ z3jiiw?_e`T{=u#Q<*Y+50`xw5-&}u8+GDcOap+Rq(_188^RgXkH_>defZJFU?Ll&SIG;Nt5W&@Yl zb2c0}cC6uGoPfYihm{3%?s4YrP76qkk#X8Fh__>u1a^hr!8RmZtThvpwcD_PVcTjM zjH1Lga_p!GBg!yV2m?&k?r5~TGA6O!Hn6SzVvMxFaL;uc!&4iX#Z}Dpty$8M{SCuy zo>_hqx_gahTHWe;@H&FtyFBKkx0ZNHuD9H9Qn<-rk~XxdYKJLqX7`q#5T~ka#CZox zd0T_3#-kNR;;Aj%1#QCt`Gax66yHa-e188<+Omj86dukE@>>=m7bnCWjKAH5wq9d` z7Y_pd;^i-_f%9Pn&R*Rqx%Ot|%(#Zl!*Fd__xm|;tVQo`%TaO^Q&4jCe&V63egX0P zUixbS*num5BaGL(6@~T{Yy)iQ;N2df)JpuNb4?!?@*;!)HLioc8!?(vOXDn}H1;;( znS3Y0;!2D~rt}>F7M)?mxURp<^3-xD-en(#;#Vyae)!j>@&f+A+Wa3eb7C&(@AM3o9{H zjYxR*rE~5Q32!4E#4jRv!cUDz2QI?aPH}H}N8YZO2$fm4xGNKuO!(o{csW1wCPY7y zlFjplYFrths?p%zAANbtFOO65`G`orx4d(RK5GJ{SgO3`<$hg_E?ANNC{*KoFuyW@ zuJ~+bA!*CZJPRu^SB*NCwXa88_JL*RkTmj=;A)h!?C~I6jV+zgu8WUh#nf1pGdxE) zBHUu)4}P^AvN#uUpIvgWHr$V*nyg_8iifg5Wg$&c6t`J zdU?4NbdFQ%xVU)rl(+mzgQ_BVM8!yJJ3sT-a<0t+3efpo$(9ztT1Ofgz;VyTebZ*T9@D_}(Mxgs7^PPq3q%SNbURP5^Phu9k41^f>M$ zK=JDwN8Ip13}a&yZd?AgDcLd3Fx0sSjQPNV zGmN$4U1PUF=w4tR{!&o693FP>cFviP|Aof&DcL2*c;Rok;+J0^;G8yyy<2y|$yoq< zpupAMttVKV9uu9)y&EL)B7^|76~E7-80ZC2&)ua_EOwycE$?AS2R^+)*<0R|K}DxG zOF&7AV~%V&8ve&o@_OiXD8=qECcj+O$}N43?-SQ4_O+Q9Y#?d}jwFGF!&{@+{Dc`N z2#V#F@NjnEoMNB2gNYqD*NIJo;uI5CnTM%IvvIBI2jO)*CA(?6GEt8`kh`D#v~I15 z0VjLr`Eu>lV=u8>I~CX~$0bNyY_pcVAuey5%2 z8tXXgUSR(p_4vos-&lYKllyzsYMk9|U%lT(j9qMVackAn_L)?i!BDz_ecpyc|5 z#7MMcg<7shJ@&-Ds7KT>I`!Dg?=2t1M!=?*ldN7jZ~0)GVa0Yg|7Sg(^2}ds#c4>% z{$?S?K_Mo;tjE4#o#OC-UXQrd4+&-i(dw~x7$g2S#U4}7{!~!x8x|h29vQ{q0S9v^ zGM#QW8CHy9<$UAc^|;Z#qQ#yT@M=WKTjY^4#258AIF8|3a(%ottgnwlePVsAYqJU* ziYa+xh$`6^UrOGVr}SyS8BMH@9Nh9ZiuAU;0I8C@ZCMv#t_(Z09*6p5JGDOE>ZtJl zQIBVQzPJVf8dLI)IOB!C-Fh6BxIaS{V@>v1rawqZGEbSwy} z3_GMXyEp$u$y<@h!Ok#cvWEJ+<#!v>L9CBMW8U&n0frSz*8f?LjUKwNJ^W9khZR`w>%sZpf=@Nmp$28P#m6jjLwu}6vxD+ zgP_QC8f!DG7{$absvfuCfPwF?x0<+0S)`KXNT>(m5$_MgLjH)tzO0?x6A4bcpj}m9AzMI(( z^~+XLa)O_>{|dJOJjf#$|F1DhjNn_7BD8(CL5Z_?$jNbRMJdsb+a5*BJvco4*kD7M z8o*W<2Nkv?SNXVcY?pb1C^-#5_SjU(4k;-)BTC!%hG^AF9%N}qa%B9E;cCC=o23*fg8GL-YJg;38nXjOu@T!fbUVw8BzU_C5G%ReE? z&%EW+VQfWd)%(2VGcnr!mxmJl`Id#2e}ySApKm>fmVeoh)?iVVpymD$3dLkw&*!lf zr-avLTT9`0zz=?WYZ+P|uxMQ+-+B=(5BjK5#C#bo4}$GS2J?F*jIBJa%bQZob${8L zyvtfq@>K-++oZ&CJjm;qVqaq@1G(E99!x*%9EO-INDP_$I|oAC_9l||Pk>f!u$b+w zIJO`J*=%b?fU4F)K$F|viSY00t3KdI_O&z|9+?RdF6~Z@Ka(C53a46{V^=et4;X zQR~l&U&d1Ma}y>DUdnWjb#u_eFl4NCq5UdMST@qqHW+wf2Qb2}w}2Cw77l)&OA)p*QWw)?rSD4Dll zvf-ry-fjJ-PWT;4?haFxF9a`Tnq{0kWcoRj+zVd5I3*^kfMbkrnm1}j$=|{2ct2`G zndVtztuqEwavyjdZ&6}`GTm#P)Z*X+l>9SKRSkTUSgiV*YkXujJDQUF<1j_wrA+r( zb-p|DdP*JyuLgNaJgrRgj6L72o=VAoz^kE2iHPd!K4W+1Z+lP*^Sr8I4qnPM*SgBT zYCNTm2vF4t0eGo^cUj+#j;*3pZ68cA)P^!ejlXm6wx<-PQ&l4aHLn8RYg9Meyp~c& zgIA*{cq!B4*7Tnny+)}z;MLeeiHDVGw2@qJk&V+ZQJBJ%cuJY(Sno~W`2wZtn=sk% zvfNaTwwBD>g3~oV@H#OBFJ*e%xO3B_)s$)gUMI#W@f=$#QYU~)6F(&^zSSs%Eel(0 zc}O)z*Z=WR;#t1c1TFs)p~TB7%)eyPZItp`RCPuGUaGIRtsl=c&Y)DQFibH@e5QF>i%xhC8N4JwRc9KM zSgA~}THU?fo~6`fKA58LQUO!O;z4^CQ0j7gPH?D>>x4PIx3!AqGk z*4SQkpQqH7;B}UbzLe<=YtMqy@26Bd@H#t0i7I7ETlMYFkiM%-nBwTma7*A#W9q(( zn^Wp)KUJOMr^I$;${3qMzgJQ!2wvw{lz3m6V#c+-#=b(Sj^NeY2QSMFn6i3((W#13 zoxrPk1YXJ%vxc=Abr+>N$6(51geqX#I4g4$2Hzz@Rp*+Nh%2x6jG0?j)uz;S;B{_} z62F*kU)zisJH{Z(UBRnGfD#`muXn8fHa}|*r9$AnZbqpa!K41(iDAnJ9DUO;~rgw}V zGIzx&H4wZm@Wab;2fxK=8@lW)O5Flp7g!jf@>*ei-*+x%+aU0|(1)5=UYo7)e|MWj zsUa3j5lVchyxy{EFJ6+S)X*?Yc}o0OnX*=&BOga9hk=*hgqI4q!sx$cXEmj62QPmP zUdpuDICkh2`zbX7ye9|D)7sjN{@kC49>3RI};KukWMOeHh2Z zHYMsR(~zwNa{Zn>9I4~MDhG9SELQ=*Ca?9Z=$M5ze@m?G#) z1*~Q7U2@8glzIfbF3VHmRONM&eek-!0+gBzUYDDcsI9z?us>Sa3wzcn;B|S95~nGz z|JXB^pE{FLQ{z;1MSv2=DpM`<$}1K$qSW*#OfgC{RRK>jdrj$t^YAmltF=LiI?Bsy zZu3_xr_?O)Y8{1_GBvR`jQesBrJ~@~#)H08z$45K3w9k%smFaVg)u^9`j5G~>Nbl~ zb8VPxd^u63BhBS~M_{d-4_;S>P#dbRQ_O3wIKL;Qo&+zfmx=ny>nMA*J)%FQ7J^q> zKfc;2(<%0or%dQbsi#bsEJ`%vRr$1^s@nPR6~^$OM)B>f>Kp9cu-;(nS#;elg73_> zTYG2O=`EMnq11ECEl-tQc#!8kRMp<3Ra<$G7XsMIIcKEU6-ai*e$`eUavA)t3ec)` zeCtK{U4;qKhevq{egT6v47OS4FGsKyrHcM;=M^{G=hs?`>V6e&SL61Aex@8(ML)a8 z?=8CF!b%RNFjWjtrv7HdyA83hEVp2?X;p>6!pFi?Rfg|U+xS4#ibg!b?{NeB-l7tG=Yvdl-I)2)s0t{q?v< zKc>|CA()_DXE$JFcawg8=QT>LGO4PgNtLrL8L%>JcL;A9PN@$usE$yzuN$zkhdHMYPlqzphrt9s;nvVaSQkCG<*+Z50E7M5xg}|9@DYXH-I)|yUw=$KRf43RckW!lr zs=CgHzD$?baQo&?=UqjqWFDpn`ckIr%uA-e(T-9X@VYKfmG>&s2=m71ukd1D5U)3> z@*ew;^?+74bf7*yFyd6nCnQ*lhQ&%o=3C{^C4Ot+f@ZXfbrN_`GqArHJ%z%F+A z(BokGA`Vj+UdnX4{qEW}4JfrE3X@Hhs(veb*mo@(jpGg*yt;*`a+cw0INH2>?*Sah zd;?zH;#ApR4WqODYo_sKl-dbi-Tm;gT(42~>E8S?l&bN;WWh@fqnkOk^Z3q`+GSH! z4&tGsGl@OH@8H!dN|obOz`N}$Bg3wv)V>&1_4c4I z<#nC?-=VvMl=>?IQy3#uebuv<47j!)r4E2sZySB7fL+boPC5ZQq`$%IrVv%mP+lYL zYqs3f?u(0`jFZyx6**&3KWHbhl7 zn^d`gZ`F-rD~BzE+3Ne~vy%*}08MNihnD>URB@8n%3}+Q_(?Xi9q*?q9Nn#YkNY(U zV+)J8Y0AzkO#7e4m~iQaa2w#Eia|U`qd2y(Zcnh?hGWemM|}MzrB8$+18k}osZ6)o zzm*-^kP16{lmahDSZk~z5C)+5mBbG z_WAi!Z>MzA2&EffP$N{pTkZA3j_FNlBZM&ru!~TpaprN$hp(q}GlSCjCR;IF1-!-V zIpn^Lls*GjSsFuthm_Yq`=OH-UqR`!p;i-&@D61fVrJiZ=>Ph0<-p zl-dnm4=d9+`_HN}^wl;%sl66zLz#w}2R+A3p>%s3!u=jbmTh;0516kt?RG1rud*@S zaS*bK*XY%G%y1vATFbYv-%stw=pV5?$WI4!eK1d})>v$-V+>2JhZ0@5trH6P>o9Bv zvvo$xZ*x>JQFS-LjC5_$n$qQPtHuG>Al~rW2$t6{C9biV+jXe>?+onlxvi@Q>njGf zns42JmjA;c$~wLkienYU#QMo%e%;aXXACUCZ9M|mw|Q`4nA>{7Z(9y0T)3?lT7HV& z@8q`LXjv7b#7Mq%6IylXNJjM1tsd}}aTCL^?JGxr;UmTBb3vQU94I6p<+Y$dgR3+AMXmkhS zue6aalW*Bn^{pRg{CRI94Q!!s@NEGt?=&g(L5wPz@~yjUN>!i~ahwj@-3FyrM`7bz zqtG&LQpKr!>mCb-QGRT3ztQkpfh>GrxePWL!(O_eC#CO$+si(XQ>L`>#i!L%DLuwd zsaK%FT4ky<{tR!&Tp#D*cM7dquS^?^%g23lHM{?Z-%_;h03XMAgpVN~BMlEm{2<2h zW&nq_eCuI@Qg1~l@dn?Dpyi7>TDOJ!O|Wpq0=x(Et%*>`j?%g{d~1@4@KyZa=q4B zNAFA>LFt(uN?GvQpuE;v8}gZFDg9WEQcI#RDX))>m%_m>DLvbw)blw?Ao)&RBtEv* zc3x6W={aHC`{<)YQh8MxQ!e?a3#I1<@b$=mmolw2Ud^=XPU-m}O3eT-)UFJeFnr5f zHl_5F;5Ca4UYXVz{igqYJ*5|b*K7%4@RmV&6JHQ+lb5uj$}5Q+Z7_&TJYBQ2Isiih$R2 zWtwb#y89;|rC$cGiQqL;1$@+aXvf2YDg7#VO^RXg$~49B|9EwCO22O6YkGtdV^zSh z#_6xUhuV0uvD56Ek&`>6*g! zp9?yI@(S<@d#GZx^19nR?#_EZp!B;joL2Es#XZXFF7w`@o}rXp8KKlYHcZOvF1zo< zt!GmD1Ms>Rb7i#h3Y(cr&fG@n3h=r=LKW(JO2yrFi_kqUQ+hRcjm5kfrUDMN&$b4C zMCrH(XNcf6Oa;8n{9##oKc&~?C}l=*X2JL1gtz57N^cBN>b4wA%Bz9dzO3dAN+%6U z4Yv_cc{Q-Vt!cl4(rNIzBSwi6mFWa?MT>MFN@u}q0J2<1nQGfNeERywl+J-yH}E<} znT|Fa;=Nv!-eTe8B#u4@*m8uG1I;rYnhK$|g>iBchr~maX|O%;m4}GZpMn>5X_dFR z0V{8@Z~o?do6=R_)dz>f_!95TKCKR zp~~wP^ZVU1{gnPPPN^H>R5@IkhL}%|y6HJee+6D;QL0p_tQ=%7`n}QXl>QpLI#@8N zz6P7m1fIY&{}#MDh0&KX4YV6<7z{P412~z?cq!8$^W(?6)uHq*A5JEN*NtizJ?-+{ zFOH@3_uzFccy(7^JOha!nb~dUwibo)L=3H zK+9GhT-f2ZeQ0@>iK{o<_9t4N3*mLXiF z=Ue}v z=4UuLFXT2Y*2gg1)Ade7wj-NiX9w8L3lbY>@OHQr;SC0{KE!Pj+wbsE^7XjH%5Bze zc!-h<9RZ!YYw&wKNXzz9(zXyrm zT#nyAg!pYo{G|onBaX4foko3abiT6-?h480;0x07c5>Xp#6k!VH(^^avU`^hNMdU_ zcE68>b1;58Is03FpoF*yo87a7ju`GmsP#K6m*F($o+`!gXT|VUduj{#oJ^TUke4%* zL)_UB$7;J`&fs=-^zj>?#A_LLb_nnrpTzy`I|KNRob|~Y%sWTey-sW>8s7);%0b2SirABquzJMBbxWS!83#JH&JhN_*NBMjqwpR`@R-TuXQr{debDmxH9r%BTKNq0ttC8(rv1Y8dz-nhFWOO&?zQ}KRp53v3pv-1VhfZIvC)0p$Wd#^P=o7G%-9`EbK11YLO4rq*KqxFT#q3j*v-k ztG>o?Fo*A>&>$F)nBY4#K&iejI#%v)E9y{7wUpt}Zt*e`iDqVMRm9 zcV-S)$iW=GvwVauBqsRIwh0|EjORCQxb-~dU-`9wIDAt>@|VHqG|Duy3iFWd}4TRe&@ufx~EToGQTY6JpvLF^~`eK zJ?CH!-?@IOz9Awp!FL|o&5bdP^Zm+l`A+{A-~EQmcaLY}Pw+7)b5`Er>#WFB-3NL4 z13!A<$*8^w`NbR+>s576Z0$iE@y@Fs^Rt^0#eiIf^J6T803}0KtvA^c6AW3k_LLZB z$n5f?R^%u0gpL@_7$z<;|NT2A+j5D$|JoOO(e!l6oEs=i2e zq2mwA$d3p6D!>5rf4YnvcjE~b1}=E8sNcorhRf4Y1ZAiux(WZ^!E9R4bIJk>adp>{mgzvS3mv1};X!nD{= z)e9X^mhouhZ9!Z#lziEa=gGW-Ieb~pXEF!@ow<^H8oJ=;TljFkvlQRU!H)kL)jf2o zQGAD{wr+>dnUuL$?s(jZY9VWXd1QW{1>e8IlJ6pu-@ofj)D>vCKg2rM7(NrDYRfM` z$q*r{pN&gQOw{Kv@zth;M;H9=$n8b`-8WuIX-U6#?3+#WFhfdXRd)Ph6Y=|1)=krv(EG9t7m(Aj( zw!{Qqw#+UKJD8JEHnXj`#Kh9O6z$$-5YOm_9&ScAG_y-&^zxH_xgS1fQ>L}t)wmgb z9efX1GNZ49#X+}%UySg(r=5(x8De)&v(7b!kgNLj0){vnjW@)six zRwARXgsJ+?5NpRX`jw$}F8|8t|5QddJu~4r_?$zTwsPkpmyznbef-vLC!=BPOux2e z&E5s}n6X0g>g71B+L4pHn#o~yqqi8c494ygM?e9}jJ_VH>Tp0}BBN~H-X(Q$GWrIv zM?$PPdfj#3{~T76T2r}{OqH8Tv+{noT?v)vdPUp>gUwSHzOcy3()yFHM`9n zVI{W7V0p$bXdZ5P>{@=;J9=@9&d*EUL6C8rC7ZiAiGziOtpyK6Sv!2$JM8c0*FR>v zzn{NEsu8viqeko9=hZ`?b14%v-CeMw#yAhZ)80|z{y4wW-ce%$c1ci!|D2ktCt~ZX zfHS`8Nj`QLKKp2DU_Vto&H<$w@0nD6e_S-werz-M$1y3P#)O}EWHrVW0Ww9Vd)mWfrN_W#y zW4L{o+Bkm6x9=d(d6X%)+~ZECHr~(kp9^vR-Xo6n==?v9==|3){t-4Jw)i;{8sFTj z-_HwB%lsAITF*1CETUu7xPBaw4kGh7bx_R?mr8L}neQ$$e^{>Fmi!t+pq7-mE?mg< z5sUvk#L4w4zs_}2Ugw&^it&p>%jH^OQS~Eym~0BWdHwo{YuAXpuJSVuFlt;kx#9?} z_xq?i#oHCl>q5n~r_AeN=X_b_#PiYN`INaKP*~-gu;bbtbxN{&9k}8wpTm4>SfBGa zd#qLYCo%Rj8NuU7nPq%`uig|9pse!M*iEgIgq0fhr!OxSYHSTl2Q}xnxtLJnBdma* z#8|tcYCNf`yhq8*|F2fv4-n`A%5*miGrtXWeS{_XKVayY|01XJ{}NlZd}8Eo=GXYC zdK<%7auwGz{LM}iTputTqn7zEa?(L?T?@g!;7gFX%e<9ao zT%6rPfqI~BK)POH;4wpL{ykpF`}c2pf^M zdQFN@_0F&WHLlO>oM;HHUz*aWYWOFg#01wzpz_Zlh85-djN;n6B-iVQwc3n87g45f zu8`}G7XMkAGl#1&Pt|^Vk6-7yH_U#eCPwMz^!1KaoyvW znBclDMAdu43@gg@3EMqlxv?bI?aLapN1#@e>2DQs-5uw@taG^jWb0gU%|lzS4w~$T zc4Cw+*Ghw`cgF>&ah<*7`!0g(Pqs8Ft_K1V6I|C@RDIB7SW&J|DXzWbd<`G2hJV|= zeklT7Od0cEd!_w3{^LN0>t2t>wWd~7<62WY#(pcvMx@p7#xPaycLdP5{`B)TPYAAi znFC+AT&{>KF~N0Hj1Zk+MY%3kHGE@9uJ8BCPDY?hC^I-vkk^`82LFAd!}UO3=UUg+ zxz>jcM>(T(^O}kfLa<>TvJTJfb>J+)^*~-471z49#01xLoNDSbs5sZB-Mp@P$8wIW z4!Z}gd293q2y`iBhWZ??abaOUD3?HYq>Len4Hmv z{YDn~Zo_T_x{NZzU2__?lp02e{bZGmPg7&F zk7^qE1Sr*DCqlL|=+N5O^XY>p2{oEZ2escqU(!LSvBjnuBgC+xYOFL|HHON0a9B0o zjXzlnfi9=ah)`i|G`0AzZJpXU!KbUyT-1gbWzD&!t_H64Rvi;(9= z%?U0!w>Erj{{BU%(LCZfXlk5m${<3GPf;5!c)Ox%JfT*FfhB9>{Q+;VQ>Ir?<}SGc zy0y_f&woYis8KJftI^7@*T%(%)W#*S)v?5w-DB0NDAhF23s6_1UQ}YP8WZXtxk0GW z%I_F8H7<7L6KZ^JQq3j2T~RgORkbmwWNmz%f8YRmY)zR_(Zbqjh1xh4CuI7Y$Z}0% z9JlNb@r4~*SK7K7ZR2`vw1e%~h~VL>@r9piT3G_r)o2`&n5#zD#qTc=YFueMMoo>j zap@q`*n!cs^ zuBaL-wAv^+Qb23rCo#7+lIsSx5o&am4w@P_NX${AIzlxelLaZN#yd)lfhB9h>^1Nd1Zqne zT=$UIM8(O68e9`)ztVTqxET9QHB)Xnq&E75bv63JR^MRb)701%A_NqmuExc2iMeXr zY+i$(zT>-4jd)}+4n{OJ`h=x}m?^mFsHSg}VMWzgq0N+%wXwAeosK~5DD$wdP>ntw z{`3Jyjdo#OjX?puHU=M38$)0_jtx&&<9~jt>EnR98tq(it{P2N_r%jfm>Pou%;Qis z1{)6M)W%O9su>bsSWz`B+ug&OCDqu}pzeGGYEPMo{z5edp*D^S%i8E<>T2AP*VP!2 z(`#cSY{%Jbd|GY%oCCST)J7*$G}Ml}H(wVK-=}Ks$U8=@Hb&&6gQ$(XMKvRNyP|5m zt!l$8Ia8ir@by$gzlt)GLxpOLF!|FWoZ7e{ud6XBs;eJ4`hr zOabb(af2kx4(m__?0opTet(MEm=tv!G&Ls2WDubS?vSgIS7VEu5C1@^aa+mSh%WQ6 zqrm`WriKgEn2d@)-Y;vTuc50k3pTZi&c>EnMWYe+hzv1iSB>AXZcGjcP*|DSb-T#`xS@0}$wH%FKussxd3apYGw* z#^9W;#sXA>Qe$CMS7Q-u4fy!9neu0zYG&owpiuxKB;Ughb}?6tmi}Ywh}u{n>p)Y( zy$bB8u|G~Vi+H=DYP_e^xV5Aj(Z$&Y7-1P@X2lBCSZMI4mN;tQ_G4|Ivc%NYc>a*u zcmcMCrXcRt#zCwb3k?D4YK)9X%vEFd6_-sAYAi7wqo&650qG!S%0E~)Uf}JDs_}tR zV@OFg27c4`Afg8;GbdN5#uC&}GiIpo93zp~?v z4wRXfFI3~T2!G0qQybAkj=omJbv53>mRdL7^RP$Ih%vir)b@c~M1Xp2L?vOhiXIhs z3=imGYOIJm4w@S8NKDKW_Q0E(_gJ&y>&E+*yKW3FsmAWM1`E`YG7Ef#YP=KTPtS4G zSQOA_%4*ouy73XVlp66Ud$bPwsC9!qil^qCkN|Zx76l~c*2dTKD!K_ZR!av>jgKVe zs8J_QHSs75QnWVS)6^(AQ(De@>l*~>M46}jg=(y}`BQ-$HJ(3Y54+)z+Sq96YHWh7 zvCYP(sZlpdHLD#^SL6A-Xs8`;v^zU@p-^K(+%aluY_z0O?+1O${H`jg5XuM6DaI zTM~0?aA?YC0Xn=O&id0cGD%83$RH`xDKFn2$4=bYIBYJ1b z;LaWKJ;ixiC&q%>XoBMn4AVn3??!e0|IO*u@I{P0?n#VNo*rROghB`bYMFoXr8bWV zuJ1-2qsH~WIq4v{HVRSA7cqtv<@%w@{BSuB4$C#z>Eq83sGKq{{Ob(wrjWRsT5x68 z-PAhpEwF~ef-C5Ovx^kc~P!_ZeG8MiW?RM*Dt^aT*aLfHTVLhas4sO9^A!7q@B5c z6vgmORDc@SIzx761=lYE(%9RCC%bC`aU~}5+BixzKZY4rlj;_G!*abaWwCFU z*HY$XyRe3Ti1UYyIW_!kPUpH8%vD~0GugAp*oYL@R1>7+hqwSWu5TaxeU0GyZB7~$ z*S!IW39kPMQO$2A!-{fUt>){) zV2nLJjeRt(CmU3=$ED-0P4{J*b|4#pT(oU6)f zpORcZ?{d>u2y{JV-iQ=(Jz(%hzBzf_o7cGRs%2|jcO3~Gn%Znc8rPuE7UboMFA^&^$n+e>miHa?zRgXl_`x8z<)&evVF!u+Xq z4%dSLoon5Y&K2jN@en&UB8}_m5kd$7YFxM1x6Tt>4+f-BajhGYnBdwhPP@dZ=%QTb z+wRfX2$!pUn6;_(7qu=!^cyJij^X50E~LATMedr)uVA}sgO4HiK)X(e>C78p%bmTu z8so@i4;wO=yT__1Mg$a~b3BqdGfD_6F}KPSZU0!v)j%)OsMKiWVq6V&Pd0jF?TV_A zQdK@)s&Uuh)R^D2Ukw62n<4r;%JzNCYwjdKm!WkeWOR1HLy z@6#D;m%0PaSbhIT2-J--tIR?*n&$XZ6NMVPP5|T6ea>pP>zq8V4Mz=jWk#vd!p|P5 z$i}DDMvFY{YMK+ErUt@F%vIyHE^ogo)HsLd{E)r)E_KmHsL>KLrG=jbDXxa9jeAO} zaowzUV+hopGOOhZ=+2bp$Z<35w|Q;ss%PkGTx98Luq!i4jf-ves7N+GO^pjME1E|H zsH;)WkeI8+vT@`43pFmX9HUkn>Y|NM!*9{9i*44fs2b~)8ly|jlwP?$6A`EfW!6}Q zwb2SWJ|ia8XdKbixDqz^Xl_?qY$-Kxz8R0eWaHD+XceYi?xi&$a0)YJSL29isI8(y z%f35KsBtCF`JuJZR$|T(-X#IrB~C>bRb!)?DWgl)M#I#Xk6}1HDYGtKsK%9X{`5|# zHVmIq!&}zVP-=L~ZuBTMuw}DHdkT{7DteiZc3l}4pk5n>PhxIu+%f%UJog{>Pofvc z=+*}7OFD?!xZGwvGOVZ?8qh68x9ql%0ChDEbG@Z%XXs*48+Sw<2ThIf5gA0N(Iw(7d(dQ9Q8hAZ-FTp+ z8rBC7vq*g@vprI%#&{opnz5tCfSj&I1WL(0tha1}Usq!y{8|JMRafIWtQ+Hf0@T$Q zkdv5O8>#3YGld!vDW9gs1iy3;YIHTdWfOVeqH3g7ZHz0aM%6d1wj%n?l=<8$R3j4S zPic147>xX@ead8yuEu0rS7QqN&NIZA-I)@?x)F&BP*-DcL}IQQUoHA^xlm&=v#~`* zQ)9C2V9xPIcaOJhN}ORu)yQaSlw3D_=C$n5pdV$vj2EggIn19v?Wi#f`B!R8i|J}i zkLqg7fL}{CJiRu0<5V6pE9;xsK#`IKULdNV|-p$W0t9_p`0qG#r=o4W*GOVZ?aizxnC1=W0tMBNBKm#bV z(^II%tQ>z@xTD4dOIKsAPgi3ul=>9l6D{Q|8(HzL`Ok z$wvy+crMDH#_y;x&(zgen$y)-2Bnl5FT(Gl02`lH8@FTKcrGeHU5$CB#N67LGh`(H zEv&a}Y0fcfYAkc*6Kaewyk#%)z(v*Atkif=su4C1b6)iQwRaPG988%#mZOGzb6(l9 z0Dt8GKlg{Z>ErzQH5+f)D}J5-t9XtWA0+t8lSO!AffphE#kFsElk=Typ-&p+Sx**M zVw`Jwyy-1_mA5O(b(`Y)aLIX4arUK`BKi=@{4TFMy7OR37(dU?i!?!9gTG$EVZJ=9 zXa0?l&OGMF_1d@?v)q3s=V2Z!2}>gUWEtMrz`{z*&Ac!F))FxfmP-dM^KXQt16Knt zHuILn{0u9q#(ypMtmo8{YSj99A-g7hD`ozS7S4m^nBA?SQjMqM`~_-`8gD}zb=GL2Q^Oh~Q1?bEJypzpa_H$wk#{0~V zk55|_Mu)s*Z%5gn-8}|ZV>yF3=BiP5Srh&{LT}l7Imf7}u`(td#Hw(g;VpZg2QI3{ zf0Y`OO4dfp-CyoQ^xG(Vgr`sqTrptp{BYEG1@|i)@QX9z-m;2_uEvL^uEuKS7Z+oe zGX*aY@s_O&2vDz$S1gISYFs#RF25$odU1@J8Xua{L8yWET$im5Fs!H=pR1WNrKB1^ z)fsUL0u7_=kw&2!6?y(H6Gx3V4w)%y{JI)zeYzTJnV-eRr`5&-IgrZ>P*>xPxWrsF z4!%@#u9zun{EksmW35j*2sIwGyk%>7;G$}5QEE&sIa4MqUU@X452x%gfkHKKj-0)t z#ZhAg)>Ks+30qgAGOnw!p7}+@nBCfVIP5K3V+l}KV?|hEt{M?<1OyMaHl|?RNHVNo75y+L zF;|VXcKg{vjcmX%YHH*R=^)gY8uylMW>E3k*sf}0Ov&14xN|ET&IrmjunN`4#`wE} z95vQPbTzi-bv3r-bTvMKUu%PnPpggTQEyq6316Vb+K9wlHGcIs;rHBo%eLknqoxMG ze}@MVYRt5}WuNfCMQfu9dcVY6HQt{%>UU8apn=b!XSP0zIGD3;L<8Qk&rOCE zS3}K|M@r6=6LwZMN1!_?+r%zZ<1-(Bx09nr($>}ZGNh}q!>_CH74x&%__W%1+~+O( z%qKuyjil31J9{ys@ztLSHNFfv4q9#OaOD%VG1vB%eZ>P8RpSe##-kcM9W6|AtT@7|;(v@++!(BJ#W8L`D0d+NUQPEISPuU;V)i@B+ z)i~&9FDhf>Q!@oG-S(FK;TND(V-f1*GdI<)8mluK@xEMk&3S*=anRH_5RyTJ8c+MZ zWe0iS;%cbcm{D@3932nVNAyvYJJEQ($nqf!HXNe7|EGchlEWLQx(zE*0? zlxhsO5A&_<^wpEuKIISe7WLQzI-zu)NOU{EnLqA~O*GE(K zJbAaCd-mc$oIQ!z$$XGd`RyVy^GC;Z=Eqn%^E%L>U7n3kn+Fq+0CwL5IcY9OLgMB{!20>8=!FteUhbgXKd4u&sx*Eq}HQ5_y<5Oy+p7(eWP=Hd6 z7Xnz`d=hijXw&5JTiIjOz39a;YHHLENe8Y*a%RpOJdOu0s>ZpttHzF!YGj%vE=2VE zD0@-ixtw4@k8zgYi`{SsM)uU5$nwU5$qD3m9U|a#ctzLkjEJ0+edJ2!)Pz z$+>E5Ycr~YP@{npMZ0PkY{+6jXL%3rOQ@lSHp7alalTT6Ju!owS0ehh)` zr|c!M!d0O`6s)3>f33XEpWVUr6=o63k2i>G7kDuw`>0g`FOu{I8$<=DtqMnkmpv@F z*2+6ZE%S{d(m~9FS9x@X73F%Cng`#PGc8nU=Q!VKrxGuN6!KM+073F%q;`)PC zYR->pTF*kDv6O97xB>=G_48M7I#u2nc`b{}ET3-c%$q?KmE|*_L(tF0r_K3T*o%My zlvVy_%o`N9-?E~0t9Emf6&C)GG?m4}wk zyApxMQMR320p*$dV6zZ^&8U<4IzFBM*#VvZIR<;{C>xQM`4!;bEF?gU>ybx~8X>sW zaXj=HeRe=P2(IryuyYKC73F%N%KVQdx&CKMb}a%uK-qvi26VYL1-lLrnd>wBI#+fV zuFCa!tYw~!NaOk*W^_|YN9FY;-#u*v*E9UWL+2{)wNI*4@UCH&*H#hXp>u7WcQ7Zf z8!%tnmY7KVR`6SoN!aCP>$fV-hKF;1; z%toYf-DG%!tsPLy>*5g?;zhEI>t&8QI@fl#h$8cv%zK0F;|wdx^)khEZ%M8d{YL(Z zKocn2%_y9&*b7x%mzP}Im^#<1kx9k1EXLlq%toYf&3L@Qc9M?D>nqLb)fHUZI37CJ zt9cGp4QDZ5%VI2YajvR{_mt%7Z5eBcKocq3BVWk%YOuRLC%Lu{>0CP^lZtC6i@hb9 zjY#9V+42UjHU+5V_2Drm|0B4z4>?BdSfXQGI*9%2*049&$zoVhuC27Z8m0C*5C41) z+vhw&*?rA|yw1L&&SJr}EFe7e zyq5DEs=RK;eC-lt?TT`}RONMd$-Fl8ZJdullPKFKTFA8=>~4rkuATfk*Xxl<#kFgM zy^))JG_Ic+-e7q^fLdM~Y&vD0;M&RW7`41!Z%GG{*UvFuyG9sRlzo=IMy-bD`<$8kR`di7o z-ac_oZ3KFhvV%N@TyF%s?taO&yGQ5R2bok{`-a#%+u4Y;yzUHmgEvY#Dz6*wJjXe1 z>dqXP5E|D$JclZ;H9l{!Z-})k%C)WO?qB~Z$@QwWxBeG_rc!oDu8?aVuB%FitB&?d(%7{k;ZkG?G5%}*r9pt^}~VFL|$*qJ4THwJM%6aL|(tgd>s&ASW&K5 zs=WSLvWB;&s2&1MqwH-~A=iFjhdq%~!+mU>>n+Hn;yTDrRXt5MB8}_+;@)6CNk`@N zm=>S>DY*8rg@>NkTX+stUVp-T9pq>2igInE^182NUf;Z8>I(=oowB!w3%QzL*E1)% z_Rr~DZ$%~**P#Yg-56ja(zyO&dV{7dKpq4Pa6J6$zKfa*uKjb4QOoPC0qG#}`m5g? z9BMGEDAy|$*FQ^gjkTQ63V~)&c4VNCE2_Hc#+c-KOI+tV_7JY)z_k}2k;Zio=Iani zN9Fa(b(wa8>n(Bg%nDrNIyT^7&hhYXn6Kjuh85-7M%D1Xk~Q3AL)X~|G?TJnUm@2q z;MyxHx!xMnxjyLE^ZJmFs(P~l>3RJf^L5O>x&E+a>Sn?9)|l|nxjyK3Fo)~Dm^b*4 zk6}f*Uaq+QR+8)fAGfjj`WR(L*@axkBa^+ulIz$*xK2PORSi${pry@5q~-Omh&MPs zE{^6>^P$ z-Ay6M^+8L|>ttk7ah;q;%Qzd6#`SN^*NCK}YWTWkLstr}4_d-Q&+BBLgNeMRJ$`R+ za-LyD^V(YF^^cNyUAwsP2?#WsvST8JTql8DAHU=}A*yqohD<81(`>Y~*oYL@wAbSe zPI5pkud@bt2MVqeqQXPxI*mby;(Vt|ACdD0r`ZfE%C()!>pvyAKJasOIRZr~`#`9W z>r}Ao>yun3M|7?;kx9k%u^fAoA{&v$wKnGKR7pqW_3wvnSS7eljtCE(>r9?QmuqsC z&l`L!$J!O;ic{Clcl8aPk~Lh@>!?={Xbxo`G77oQjIg&qO0LtwI@c&NskqL;)wVr0 z8=!j4g!uTfh%@cEjY7V!q>#2HqU>s2n-^?#MjYqaZ$ z%Ms{t%0}{qT%%yuHzK({X6jt$<#ev|V`%AQM4oR-9~JWkqmquw>xPwqaf0h(CgXq{ zYFy{>9J+Z;9|NxQW2{|Ku0gkkH+V{NU0~N5k3e%N`$)Wy>pWcDJ?L_s6VkaZi0fPz zT2ytji8y**U&gXB&jGc()?fMUOM>g1knqsCE{Hprvo;+Y@&*@L3@ggDgUfaOKP79p zN1Z>XBhWm`PKg$BT>y4B=VV^z2XwBBkx5ms&2lQgMAQ!c-9>cWb!5&l_AE5TKUV+fLY+5_w(dcZ^yMTb6X-^OXzsT!djoxwchY z8{4I>Zaouy`vU}eg0eIH4p(`7KWKqnznF7HJNUFgRsCJ&FW5TsrE#74G7BwvF4Wbn z^l^DF0}flx8XS4BX zYBYozOG5&bYBY+ouo83C7(BD%heC~)9ZmIl@QUjo)Hu=O4Zg|)7gZyqYU9+BYP`^L z(6JcK0?IxfF074LJXAF>Bx~b^L)2Iv(A9Xu(A9_`?e$DSQeNLrH_3T}Y!9i|22k}YNKh%+Su~N4;vAE5oH&|3TxwSlhO?XQjKMP zU5)p!HtaA(1$%=lBf1*z!>>_DjM=RXBjgRfZAv0y-C$}g^GnQCqs{m`Duf#ES&mUt zV`W4-2sN4+-r)Nt!-}fWS*g*eq#D0;Drdj(dWy177#Vxs8r(>TUVnZq^t2E zlv3-)YWOwb6wKj00n=Yfl=(baUn3!PGOro7gq&Wq^r8Okp87phTd zP`b8T8!Pj=8k%|JTs4}{yZ1Yx#wOD- zYHB0{(m|+kk>L%d42Bg|<62c4Czq^^jnii~L!f6V`%;Y&Je^-Drh%V^dCmdTo4YNz7Ga)e9rv6>4OCj!{!1>&hq8xCD~sc;KRH zT(4^5+T=|3=Z3Eum=R9yxHA0#iC2OO0gPDWS;}Xig87owy3irbO9+tI{ zjOl88Y3OR~fKp109q`*15Io%4Xz%j|t84-4Y9wP4b8Dl^&b@s^ZG34sMoosK)LPrM^K4vja!WO&@zbyj0`&sIJBzm^Z36_F>+9 z9cJUx)aV%V26u-9=%|r=1w=maNz7HF`SOQ7qBee)@@Z=P;Y5~aRy*ar!F@b%Q8jw1 z+Bl=68u6aq%^1#7%C7Pis__TLq-x`{IHkVLNj3HdbTtkbx*7-JS8WNB?o45|@dv|r zk&7eq&QRmCxWrsFIt?2!QmC;%;21SE4j9rw)JA#C8$8IM;8XV;YyC`G|GnjW zYrBQXG92G6*j2Gr&xY}zuiltD|3;i;lwIw2#Hd9Z*BGoAb|B$b<1lcY#kThN*^TG4 zaV_849}~B)_qAc`FfPh&-}d0cPFrzzO0oN?cgQ^QAe3VFlkf41h9VU4o7eZp9E`sg zi~TJ>YFB&*Q^meF) zl)4N%9Krb74#N&!i}U3JLLP0M?^y7?+M%ZNML;$_jM?Ff`>YXGVoW-&0fIZ2cn=uY z;1643f-i3L?l9KnyMCeR^6kMAc{3I*m#?k(?l+#^k3cU`cAZ-KL`FLti|PGW*vV*z zIsr=U!WPeHhhxBgS3tZk+uNbG4_h%Iy|+Veu=mEr@Fd@)<@X|>GiJdzeJyfSCm=D- zH~C=Li{K6>_$D97vo0jY`6gGRU2mIVJindX{BAh$Uwre1o8JyAzrP+nFH?4dym;W| zx1Y_XrR3X>Ep8FX_vVN=dH4TFJM%a>=KTNnr`!=V>xe5#9FZ*1#C=3z`iLtX*C1qT zZCJ;Wc3Xr(ByKIvL_{TmxLaJ2nO%+a%-F6@YI=|sR|!Ipq>+#~f3NrFsQOF~9zS36 z2l>3}bG<&#&sAr)yVQf%g~JbX%I~!%UCKkQT7Rx!)HR(P2vPE-tEx>WD<8Ze8C}S0 z8sW%z!fyrh7m83C_e&S|nyxiTBfsr;gnrwH1&w?^jrcC>b3h$w9%J*$xrPzjgj;<0 z^tJLkCc%GNTJk-mz~-+qmBXuJtj2Owcr;?mwQS(y@QsWNk z-|862_Tc^D=Qn%s!GnG{9jP8?^X97C1|iXZ;3m(qxN>-E9WLNk z^x#u*OMx5;qE})ohu+O652NW)hdvrab5{f^4B+Gioriwn$KY*FEwL+YPr1wxb#X|6#=O5+YIM-+{wQF*ETHqpvaF{A0? z0>$G*Cqxd1^l_^zcj1 z5J{rjT)e{tNb?k%S1UA*(YT}gjl=!drs~1xfp#=TFOIWX%%s8_9L60X>JH<|4euO` zyE!kA@qU|V`2`b1d0O6Qj}0AmY!@{4S#6ccKD=UmWd1ap_iilQM9EdA52!7HC(&m& z1JP*K-LxRqm39|R+O%Op@4?5JwA~U_R;x@UTQr*bgx)Ai#%JBlED=#O9pfv?rUj|V zF-pC9!-Uertcwj;({UzgoM_byiC)>$FBnUrFSm}*B29tK`ykusw{IOEsS4+$zHqU$ zu83}(*H_g(fxfC9U!>1jQB^d)w-=12zI7o=zLlfVRDESc<(F2~t@ART?7_6E_6d|G zb`hf!M$_>{(zxG+k>6YF9(*Ie>z%&IBBXhS&0FX;`rXlHURSuv?2u>P8@}?pLrnL( z4ID@B^GMaX@g{b@<>ljTtC~vYqliwXE8WM{MK``H@s5Idhuf#ST zlOv7y=X9X>c5K#z@1n@>u%^9e4xY*8eNt~6u{)#Bo|0Gnd1n(Z_q)n({{(t>T&*(0 z<8*3Gg=-FR|E+1~f)J&{^1}sarqaYJ^9X9*KOwc>9 z$#a>eee&4(28!X}EZ+yqy}=QfuikP+Rh6gmR8ZR|FGNL+cD#eLN+VDDpX!&5nwWQv zho>Xrbc~O~+k?>4fjy~3o~~FkH4L-UG@1@`C>|eqM+eGbM?bsKp|)0TZ70t`nitu;Zyo7SR?%omq9@Yo zC>SLCFm#vViHq-ERF_{&j@=I$%lcO|Fl<)Qq ztxJt(&&m@ERD{w*pT&i&rlASaxZgpx^4m^(yY57_YYIOef9mE)GmFhzxv?xB@!r@8q};B~cG=wKjQS8*rk$4Q|TIc!DTzgYl-)#OnB>V>ERXNF%?kTiCJH8bQ)) zuyVl$^St69V@tq+VomLQOQc_lwYy(0fwJsWc>KbX^7g& z{51=WUGecO^Kb$p8MPOfw*XTOHLTIpj&16@;i=V(BJmk->0l6GveL2CrN{F>!F>ju&{LYHePEJ%$4aCad9i!S3R)pwB*U zDNmbNIOZUw=BYdfp2E+e$>DcK9zJ~$QFM6vxBB~CPvzI(X%ma$@_xB}pg6UE+@oK9 z5^-w1;@=gdd4>6#_SlcUg(V@{%e)DPBWyJeJHhY}^h@d_Msib^KK?_6N7VQ{`j#m~ zMGa5rHociw8t;jGUF)=^#(N_DTm6x1(G&4GAQVJ8cW8yw+JEXnjaGZv6Hoea_$Z`# zmHAsF8b{uH7;7)aRZn~ud(P!q6yF4Drg;mC-br=kcf)`l?53(jek*6ijHdUp zLX>{XH-YH8GNQ3vgbzfH7)7Z;Kn^LX6haiPPG?d`^KNN>zbhda+);~Zo@hxw*jJ3@z_ z73ij}B%0h9<8W4>9Dalz{82d5^v=^&Em6sE_QYMMDfUBOUth;``Qll zAOHJq8ltu_zkjY_2b$c{)~1`}7JwBROKzCejqU2vU0o_u>zueWjx2 z#?BT6kw!L4L+szRd1C7q0}!=?`MVSvCe!5FHjKGy=&hB9X-js@7WE% zP!<&m2jaQ5fh=S#CCJeLIlxky0C^r-qWkSuI7%=ZSltayHBn@o6e{`u*8pHE# z16c@Bb=3=8Xe4P}^+LEF7)b56MSP%Hbv!kwE~`BpjTOdnkh#=EpY{3`jizO`(s-Y(Tx=W3)e59>zn&TTt+>+fDFI#}IWrOV*Kg(? zd>`U_%s;Rm(R|fJ7ELRP%zG@0it*4Y^w&q@?DeSu9s3^c`nb|v(+>`9$&nA-Vcnqw zjB3-g$`T^FIoB>tP_{~=teCe+ma>zY*t%6tFB-^{H0}h=ARlIFY5P5L&e#Prkfy?X ztJpv;nE<(P!+q$FV6}#?mcf-itF5VKo2FHWxmGw9kMo)LgiTe6dMa(kk)aSJxpKQ} zAX}wza^?Sl+)7_+a<-|!+bWe-`o+&8B#-0q$Zz|dw({Ga?J*YV^Eh&2^KtdwHIeyT z=Hrr@`UN3z=`^`dT|MU~auLp>E4DsOxdp`MCt zl@|6?WG=O+r=kd@@t%rb-Zqjak`#rx`582>Eq?yP3wB6`;2zq45H+djj zgnW|+#x%akeFB~D(H5(%O*LucJH!^E#uwQtE#!;Lr55o;5lZ8J%kz9Ad9+0u_j_9q z^4-5jf2L2zNWQI04{SjipZSLs8vPzp&~76q4~D1BF*>PQ=t|g{&# zV(G$hGL;jea)=A5$Vh42A)Q^ z8@=)+amt8lNt$4YhhCCtOKQLEu-xhz$wfRZ@3SA8VV}L(Zgluj#PIRCEnUdGi}}a8 zjeYjq9DUJQIXnkF@mu(%YN48S`mHL}XWzB?-cb5|FF`k)=`SKmA8}5en-iiWTD~J; zB+qe`#{JSyRUvb!i9XABi|^V><9(KHL?_=%kVbw>XS+Q&w)Evs339XV$5Gq7!Te@z z;e5p;D}l>uxH=*?dbl!K+pMT;j>amI0{A_ls6c+0W8O?h`TYbNg?YB9vg)`VzJ!;&C?`bamnO^$U8M<-F~Ge* zwSfeAm1!hDRB7d6imQ^zPaI0iK|X2r1gY5^GjrJ?i24@uNA_4#t_bKCT9wT!FzR-W zDVvu&^xJaE=EVT{h_7t^5B;@0K(1PU_3#i!@(L*`o5uyv;v%BRn0fz#rOO?uNt=#s zBrmp8d2vn|L;!Yu$-Hq&y6dabhY)sa4GS8 zK1g{zjO3UCeUe_;#LWae=^|_RvFz*02l#SjYD$alc@ zQ&|sXto$@8@`gek~{y&S2OQTcNjlJz_&uJ&|WKJyzH?#k-=;{HLsZFG0%qa>{b<7!-k$hXx zk@L+p0UAJQzio`J1SS7tQGR5sbaJ?Gw5?}1&Nmvm6BoXG5YjAQ{#ikz!y;Tn=!&Lx z*Eo4~9#8JdRyC4y3UsxE3U6>&R5cf;&UD8#Su{mN*(WPwZ3Ed!?ROl~^^-XTiYNMH zxcIllF@JWSQ8kBm+!6G-zO^Dp!p8RB&ketlf5inFnu`zBBhZ_euX z1HOgBL$Xx3<`7rLlPz%}Du*{XMsl{JG~sX^XgABmzvGZ^Wxp*_TJG?W&|$}4dh}A- z3CNwz6MY`N2Wj4C{-vgLDCa;U`8iySgeT!}FGh&o5L*IzbxaaXZIGu6 zLsXT>Zv|gsK!!q;ek*$vjpSlSX~Hkw8BB)P*f~*F!MkF~mO#dn>pm_Yp&-(@-==U> zb}+c>PE@Zh){E5G={LQ=aZ7x}#+ zX!NV~=lralUqzaQ%)icV=+7x!)~fC4D!*%D7TU{^ep72E=;sZk-xR~KeNE+eJ@{?q zRnh#8aSdch^`rHLrUd3)4Zmv^A#qLk#V;8mbE%2`?BN&eP=wM1UtG*it;aRN*9`ey z-ovlfpLcJX@hmd>i1}DW8ZLs}4i|$1={K1N?LCT$Z{DFFFjRimw&`cdl;7U4@?U99 zjbHC#(@5T~>MZ@zg}Z!R`Vjjh55HBI%sWz(e(xz7sqprMNtnSXPv(dI;;i^G_hmhXj?jT~k39-r0zqOc|>0haEyMMRM?EC1pe$$NaMNt>%#28vLcz)Gj7&%2}%*3!AZa-O2u z-2T$UI7Iz~`M330ecU*!t!F8l4_K_WzNu_ZDX`iGF*RBz#SjXV%@<&^R-hV0y|?^{ zV#mWUMA4|1OPbA=k$k}=joZXkh#pVY zX*Q2NIW`he7cqZ=)kyPU5L+jt`7o^Xa+S@8z;9hg*>nR|>uoEWGXsX_yQl`5&9@vQ z`LHiUWpjZIjU=kR=(OecV@C3!ywn8E@`r(ubOWUcnt0+XIg@LG=C5>GZSGxb`Ji^B z|CIT6HO@uJ#~oaF4HV7Cz;DCQ<|8hvZDJ~$PusYjA{*LB7UJ~any8f;o8>C1`nV%R zWpkxzBp*}cETyfYeqF) zJqu|TGygud8&-RX)Fz;ItgZZRjE;MpCH9O!R|zAvab9V{FTR+I%%vvzt}LKk0;LJRcgh*6MiGUbbi|1?^jWOo_EH*ha$}v z%%9e{H%<+5=;vLO-(3pyg9^&;E+&1hUGd!sevfgK-{ZlzlBKF>e2cz;427utcIAxJ zE(N6tzY9q-r6&C@t{bVH8vKs3jMVV~#UsC^r+;Od(q`jzKmP7jME#Qa4;34S?VdKP z0j*eDQ+woDZ6`b`#dX2da9#+v`PA>*9JBPrpOJnE^JfH&HusCO+V-Zhxv#}) zJH(XDy%Goo%H~n9xnoh;92TQzZ$+)b-n)lor1p!;s>+?x-hq+Y*HW6Wxqs0}?Uj(4 zqUZzhZjhrPTOHca}hnE-+eBkU)hs>zcQz;LYg}BXIj#)dO|pr!L1~EGErb1 zhwCuQi^|hDho0FKRZu;YzFv$(LX@89Da6z`8Butm``*dpCmpi(p|xDA~e#d`+zDuT(%V;uuYMZ`Zyh3+w=#uh0pS!wTd!(Gjl zc4JS|-Xk1%^VPQ^%{R<%QM;PZ)0r3{1z9;GbsF%5w+&Kb61XKKtFL+*-F{4++1*nG zw+N7w>P0^P7@l+L1`49I+|z_;^bBzuJ!zxo?kk6_fi%mR|FW7bLQhxu+KuPbS>S{l z&$7#1Q>QoZsW^=jZZ)T_@`b24;Z`$}D(w$>stg0;YwDDiJe5v0dv-Z`WLu7&nHzt; z08v*k|5ddq39XEdX|F`499w(xA$3`nJ_sRdsPORaHJUVzLUg%nV+N8c?T=Z(XCY7! zr6nsZ#b24jwb3)|)YbJ}<^_U1oV>y}^7tL#=F2t;j`*f1ZMJBy-EovBAxe7gF zbY6&x%9RC5s}iOLgQa9{KG!G@MB~SP#EnMZ<69*8?Ua%_`>4%QpJ;^7`UcF7kUG ze1bDl<%ITrMC#@^U$I4hPWLO)dj?dM$S+^mJYK(WHK^d;N2**D5#_#v-j6`$Qj>gX zeZM)bH13z)MnFNNk>B?JnBi*h&!DkCFGCB+>E@!@9V3wDd*)Z&M!yq$@z|BvcTB+K z^G(S2cC^J;1;w|5sVG|gI^h2MfT|Mtr3bE36MP}6{!A<41d=#&(VuC0x?Q!4^g9-9 z5Z+8XKYN91I z;kQEfdhQ66Ci?R@q-}Rd<9yrP*xImpvd!AhlYCz--G5N&mWopwk6x6H+#KgqL|cuIX1s;;~N7mg{Gi&R^{a*vZ)6geypRN z$8^D;VwQN!sg{WO8b3A-W1mh+uvj3M2a6(|h&esrq0e6D$8a&w+oHfedoV{aA2FFX zxrk5X*%X>#F>gwYbu~vDb%J1O6wqP?&_A23NK7{kY!f69{D|D zQal6Z$SSMcfSxnMQW_a%-VQ#Q78*u3h$#AJ+dw=5WJCH>N%{Yyzwea4(|Ym~^FL54 zbV&cfte`09yO<05f$&sR$4EVZ5f0vheiGM0H2RO^b@~rw`Dv%5|GePM8_7t$7}M#` zf=%$2^j|{A4e8I0p$}o#Cg`^yq+a?6=@aP(v(!Q~pHiinN2jZItp$YmoqbXnFT1ej;2>P=_ ztvNt^Z&2PywMY&0UyJCErUCwcr9bo4lcporpPBzj&^Y!V#@MIP&gnP(5Es`^1pTRX zjecr2ct>OZ#cuRp%8M&4)Ko^Q(-P@QJXE!H`dv2XE$P33(6qc7`)^_f*$!(BHHMLT zD?nW&m~QkR&c*N-(Z_WfR9tBh{i$`SMf71p#VfhUgVTR8)C7IH#`99BMf5u@nO37; zwUs956X~u{6ZCKKjno@li|Fr;UiW6GH3vk0uQ-{G;m<&@{Z%s>`+tW1=i5K{5%TCciB#n_*o<5$S!*q_mj{#!Yneig^4*HDAOT%BJ_lVg85@}xPVIT2Bx`DfInrqO>Z zj8}3M{i=$`%`lIy+`SQM5&h+Pm7hpUtI!ISpB(!{`rE9EC+Oei8maHN7SZ3gZlqT7 z{77pKi2i|jBlUfp(hB;~*gxmb(EoAr;wEZC=6~%p((jTK1^wqizrU+y{ud<0c9STs za&`JE0-gR!Q(R2uV?VuSR->O@OQ)Y+JI8qo`e}Mu?4h`%pYBya=?O_c-8+Fid1Gkn zKGE;0Qwv37|4_?FJ)curH1=P}OHHG{%2n}7_?H;_D*~m7v7Z9fm8Q}{`miOnXzatB z(!|&YX;@U6pnrSLfKk#S`UfWr*i~9{K=hMw1Ez&WGk-Mp&!tuV|2p&U&{{qL*)!(j zxfgL(7q0u?N{WJho6m0o$g$svo)ND5>2-@5{q))~jefe9#cvmgv7hea>-0Alxrni! z-lEQVOZryof$bsI(;) zdC<%cD@qgeadQHOlorwNqsq|eZyuH*=o9HJLQT-W!!puaaxJ2tDH!Rk6I4bt_K(OL z>AnS_f&QF`e(ukV{Vir>4}(>M`M;PA^waA|ih}-fjN!kUYV5DTv50|ykV}Dx_Q_TG7{vsDizkk4aOZqz%nRgR-^F4ohfQvp0APw21z;mee%*{Yj#pC}(~m-9J#8 zpnq4^Nbgi6Eux=I7_h6f<^Xwk$M{Bipd&QUFGVx|xqpWK5sRML3E8j4I@icG(%({2 zt6GH$gFB%b7pjKc~|_Fsswwub|UEAje-ElJpOa>GTf`boxz2&Rfz?y3D)XRrFI1 zc-IyEw2it*2;J!KR;Lz<=;O9?+6-w$^!w*zJdOT=S(UcrA`eb~zk<@l%>OSCJV2%8 zmiYC9^q~}ukMojCX|d-|9~wZ?#y*j5Dk@FTpHwu`Ntd)}?4O=9(kVx2%>nZ8&dM6; zv@JBye; zDJ>fNM<4|+R~!3BI!fbXf38t9(nr}!6Z9wN4HzXYq+glh8tJU5wB`W$mOJA{dN@gl z2l|tP@O*z{(75NX{{PcI?eoL;L4IqoPVA!_=qD3`x1hgIT|A;mO=zU|^>zAL*-uI? z9Xk@cb?PUA{;-00m{ZU{-qPv+Q}iK8|BN{2Eyw;D$mO1_qJO53(h;J@Fw$pHo{1R# zqII9sN=i|#`(-=|n%<`_B5HenR9|Wu{cK*vE4j#+=XYe7R?x>|r0HP=6)&QHyd^b_ z{y!5+6Ei=NJ|nI)LEp_m<7I^O%Wo8n^qD@zBds|=UCK42J*yxz(0A>S{z-p^{@|NO zzK87BW}Q2r5Ply|4kmO|U#EXaou*Zo6tI(@o(j$MGb&Y%9LtJ6OdvvhA96ZA*d zb^1A|d5sni%^;`tq#O1pVo8BYj1Jw21yk1tUEsuC(R=WtBh8LaPf6^zV4cL+3PuE--~tb<~GtlA8Rd96f^&EK7Y?Zj{Vb%I{h(@PXDq%r+EnE* zMf6WEN=>6b#*v!1$H(bk7AQ^7ue+e-DlMXaZ9-}q{jqVS3Hn5OY+Y%B{zHM0zK+)~ zqW?w0NRKN}Jkpv2qQBHP(&O_&1N|w{*dOs{#{R%XpEe`=by?@$^+x)a7j^oh9r2=# z82dR}r$64*>5p}E`r|C|c7vGtZ}xTiw-k6t(!Vv&c}x1Y<(TJSvjhY0Ux3FMpdg(zhps2KvvM;ktjy zpBeiXUo&bPvhU40hggmDud{Xf|2D;oRf7IyF`a(CsMEjI)alH)`X1h&N>6l@7SVU(Qq$P zG%@o(o;T9dxRH>)$8tvc!92waXMS&uIGGk2(RahKKl0Cv{g(&sz8uZ4KI=RzXrzCy ztJA;P=I@|M`nOm*{pm5C{#0A1|DY+p1tezvM+-Xr$MQP;$8(&wq>nekym5}A|6~Gv zD6i;06-S=DF&gOK>rxBROfBg5LW|#QD=ngbizPLU{&bRf+LDX^^O=9D4T)=l{`xk^ zm`aQ2KgtQxm@c`9&#(48#tD)p=o9J3Wu?{3|0MDgZ>JGyTI86wcHKxnnV>RAYYs^3 zjkHh2g$DW$*dhIsd(iJPfAeaO?{>f1Q`xDd9ocWdI)^73=})tC`gg~~H=L*mjr84t zPX9?)r~kO@3)EmRR}{wt{b#cxUCE_m&n0+B&iv2EId4h-`8xBi&no&a_$VDAY78U& zVu5*=+cEq#(4S@rQPJPXLRCrP1S9%)2U64MKj}(MjD1e=Wsltu#UZg@TcO+afKZ-^Vx73rwX6`dcC1 zJ0u|<3K<%W{muUj{X-LPtcmf z^xrOsZ^a1ufu+;`(A4QKjB(zQ{=$HHxQZmt_&zGK%3mxs^MCBJ%BFd0j0XDi5<*n; z`-3lS zJS6FVkM5V2zOecLA%c6Q{;# z82bxzLR9p3GmZ3H38h72f4)qtpYJd6RlJf*El%{m2-6DsdlW&duHr@Xzj36d(O+&W zP0%OOD=ei6`mMH+{?;TdqQ7g_NPkBX*O~*W%kFh(_!nuQe^@m3`}~=izcX<&o^xTF zu+B^3jr6~a>-0bH`S;8u{h*-J|1PJ~Uyhj3*#9=qzlFG4c$i!S$>21ofpJ5L3zRpu)G|>MtE<{CtAJ<5K;43Yn9~7jfjs5R(DqhK@ z7AN}4!?c1vzQdLNHm~AE^nWs?rqQQw3s42s%ul3$4wNS7|2JWze<_j{(cddic9qr~ zP+j)R889t0q92X@&3lafx$gh>8Q;Ezy|+g8o3hR^b|d{21)cul9RGfur2lzVqo2V! zgLaSaXW191!C)jIj!{z?nKg?dU5SU*a(PIM{mj~63f_W#W*wV(=QxUfFN=BK<`n&P zP3GAWLbsWJMIna2Xy(UP@zRTPN{i@!o|RfeA0|}1l8Zd}+Wm8wmeVHC-1C0}!YeIx#`jHKP;5t)P}zbYTyiP2wu~w0uY)u7 z!D`Q+F>^ZoZL>Q4ehKF7T37V9i{pVNThZUH&b(1-=Fe=8Ja^AiV?<-0)A}VVL^<}$ z_hM{)6IWV9|C_qhH2S>?DqhJY`bY~aO5^ms^BvIgl@`%Ab5hgjZ<|#br$0Al8JT_w zr3w141x9AOIB606QUO|BY0UxZ;^m-i?+Xp|=bIt@YkScD15bC{_5BeWBl|wA^F|o% zc7H#!c3tomXM8^v#8=~}361nmd7b|DwoZRrjBn~Eg8p`n_`aMN`vc=T{edWUTPZs z?QIpWvr?2Smoj~c~ z*xx6PJmb_D4fOlDLX>0Q!?zewaixW0AJvzdMt=}VeAOtq_&*=}f3+cTP0+vE0vS_j z5&gjhscH20$SY0E{6u=soYDmS*Fk!(ENKz_%Y7rWcS32+0m}ET${Cq`;zFaDKcaut zpBektbR2v(vfqMr-t9Kh-`UdXn=$?sOtJ1~%s{8Vx2x0NGp5tutH{45DRzCC1G75) zA)*h-b^o9^=Pl_URA=6qfue8u;9Xbi{=o&*#izz-pue*vL`DBP)5w@4ae@(jGmx4_ ze{WZ6{M1Fz-;*Sb{`E!BDk?3ae_&Q>8vP*&r3v~(`k=Vd1pPAVcTkntiHAkj}2KsNBA${l1(0{1DTl|Z^lKvj1P9OINqdk8nW9jq{i}7#A%CVo#>-2}`boxhUId4h-m<01iI*R_W zag?4@^pCA0Pu>^}^bc~Vg`#yIUlYy@wv-mp-@}xeM*pxt#VfhUgU|dKOKE~WzEqnz zj3i!0L_eFCnnr(kPHBQZkv>{hTHWKD7c(-)Bq&})e?r{I92-|!bAYnEyXw&DLL>Ta zNdM{{GyfmB$JcMdXZg`+HPM>SX;3yR=wlX5#NeQWG^hd;%Cg>CC5p|`B zvG4mv=479=i2lU9kvXNHwB`V1RjRI$8JQOvCWJlhkp2?0ao6_;?(vyNENembeOc$z zK_mU61!1}COF7J2j6EPVp^-`3f?~T#p^>H_Tyi;68B@?d1#?EySGzu3xsUGhWG)mX zNctDK_)LMi$CtSnxf}=HV$Xkx&Aety(Z7`Pv}5?w=+n9Q(M2Ij`jw+lxs;={h<@6Z znnr)5sp6GfYH>0g97o6-sT7h{%A=N0`ij!yqdn};O*t1Ql2j(x{u-idKV|LPb@ z59wbWAWxGTBcjh~ooowHjeUGGHIp@!7SSJ05{+Mt{w2POS8^5oixNr`^yd^ntFE+& z{uoDU8vQG6r3v~(`YKClf_^P)WE_*UkiPdan)hmwxF+bo>Ou>IhDz@ig!GrVjbr~0 z&|f%hh5@T4>uhxz>0cbz>7Q5>-(nW@PjYqoSBv#qT<6bRi8(u>@8rd=V2PQ3ys6W_ zKBm*ZKH$71{TqtRJ1J1~Z*);Qd{bi>nVTHs8K=f*pntIx75xsh?ukVaQ9Iwyoa9PP zqknZy#Vg^c82eZHN)z<)D_j{Tue6B%cvET`{p(5MJxtIi($@z{6Z99DM&^bhX%YQ4 z$H?61Dy=y{9^U^D?3OfA@a^ly^1pN!M zI{ll(`u%#zB{tXRbow_Y#21eR{d`fUf4k^Ia_moVIB!Y+4x4!=7Zm+FE%2@@`gfVA ziv$y1>7|+assgnTt;u4~kKaejT#!>5Rb8yp7iOiV(Z4CK;+0&)<8#UNVOl{S-&)Sx zm{9Q|`uU>NH2SwA7hV^6wTDPgaFizKzk}X%hfP{Ue=dC7X(_EaKptMFYh>;+g+^oF z4(Zbu1^>@qZ@6OZ5$7ZOeysC#vyuJ{S)KlvxcF+fppW+{`1;+BDxtCk9+LDY#C7_2 z_~QHCg8n31r+=TtLz4bvlk=AJ?}zDOMMeMq0DTC3ff~ceOevx+d1{P?v42BWh>AYG z4xSNjMo^E4=!-Way3hP~7F61ji;Ow_32~(f`uMVV<_=$J5&cQF)HM3{SxOUQpGZ$O zl_u!FS2QyBlcdpq(}ET#tr`Df-rFXb78>X$?1=uK8T)G=J**e9-;Q<8%Qe!UP}k{? zEAa2K%U$32yiR{gR;PboL8pIzj(^`=p7F`&KY1e=ndyN}|Dhu1E$Kh(GVhSQqW_44 z(sPRb3>$f7sWBSpPpAt~(SJX0WX2Vg7SSJ{mzp;Ar^pP|(;YOI+!v-5^cUtpCa3a? z=uan!&+;V~|L5lh(*sCc6ZDDnLq(+t`XBg4=3$q#Xzag>c#k+rYYvcy7q~`dhAlME z-#rNF*Z<7e|KQ5EuSNFTv(7h*jr1qyb^5pxOyA*`^lwk-^dG6~^dHLV^dE`y@7YWG zPl~x-(tpa)=|63A-jaU7VqTN0=s#nk^n{{6Glo3l)EEu)C+CHz=r4*JnS54h5&hc} zQq$-^QdjXxE;8n0|DiCgpue~dGI13zqW>gPP-V0yyE0EXN)z;n^wYM|1pN;|wP2AJ z(f`;oGS8SwYYvdF_i12cW|D+>C}a;iq)*=({XftA@5DFX75VMJI^T^q(tkLi)1O!u zzfVC;Xk;e&I{jzzI{l~WI{iXH{E~&3`Ck-sy`(?O)akzjo8T?!zZ5Vph0#9Jrt)SN z!Mm>Lx45W_PmR$)|KWrX6@B~;MrLANX%T(#W<>Xy|CzjsS8|atr~g!#R?x?9Xk-cn z6)&Ryq9rwL=AUIMP0%OOFOkH1iJ<>c4q8B3ME{E-*;QI|fcV}r+sL%ILIeH5c1XYe zXXwY>nK=7lJF?D&b|d|#eVzV;dHxF|a_mpf>GWF?I{jIBo&M}B|E&@^_KSf?SArEI z^GZ>t|EkM*OZu-l%)?bfNq>%wK2%WjOBU*qr^aZY|FkbeMSn%y$UG<`>g)dWoQ$W9 z{g#AETXK;xr#~x9E9kE*fJ|1!i|7{vscH0IDJo6SC(^IFN)z-yv5d@X4rvknZ=lVw zmDU^({ci&!Q?i6c^n;K-{r1TJdF+q-*TeTA`~Ix+vqB^NmvTCNdY_^>P%}T?r_gqN zb9|lts|lU{YjytH#*+SmyiWg}oKFAUEaxrhzlU57i!1u?$5A?F0%{B+^FHM%A#~gG zzm%gE!kCtOe*BhC=E;Q8qOmXDjOaf0=lCjK$yM}U4byV^l~@9_>MCADe?eYq8vS>2 zN)z;n^t)N53HqPLjm&!q(jxlbJ1`hmT5~}3f40fA&_F*Cg!JjF%iZb!foFSu9yJ-6 zvYlAxGHh15-`{^NuG4?P=fAHc$Nq~2o&NidPX8S-zU9@P_gryI%={lGM7oko6hDdc zklgcsQs=xS{Y5_W4i6OlPYWnLq3C~>XWo&#F&f7HYjJ9!kUsrtQ|1LzS+Iu)E%82NPPlRgpKT&m&XM9BZle$dXA?V|c@XR7!zmR^# zK*M~>>qlC1fU+u|*Nx0)c}i;_*t({x=(id@X8zxKwx{BDf0ySUbFUtR{06Yj71>7m z?}~X@Uh6B0aVqJ*k`)x&O``ajDF~Nb&eRcKtEUEo5sP`xTk2Zh5?`mkw4l>p2BtfQ zPj=OF%(IG${#RM%4fYlNuM^DM6J??1-M-SCdABG;IrcfNVoqrh{a3P5)98O@s(2+A z8JF>${LCkg(!|(5BTt5u7SUhgOHHG{w4gLG_J1lGnPqvU3Ho0`tLI3I=r2X1eU(*O zbAY;3mRUyT>x9rie=|FzzgiFa9w}$pzxIu8&+ShC{gc-|5!nx9ojE3--y^ojH;PLRqK^y|>Rk5jym ze!0zrR##ecfO?i+N4Y=vLL>S?M8C((?~(HB^nJHG{mU*JdnB^|E32}4BmFuEuZ1i0 zg8oNEo&LuzCoJjzVC!RlrR)oW{`ZbJ#_11bac%4mMVYjF5cG!{KIbjy4_&RmynQW6 zf9UFY)Wwwahpv%hUXMGx_+5$&Z?tIU=d?a5QmfHw!0B_U;?0Qe^nb8bypl^TPIrw~ z7L_LGllHx%G(jI4Y)LJm4|7Tr^g$XHl_uza9W!8*w1~dv7_h6f<^Xl6U?&LELIeHH zBl>If82f)<=3g=M{@%!bXI5R?YNWr)72E{nD`f9H1_h?~rzbxX@_bH^Z^N zde5;>{o&Wge&fu)_>4`FC)MSnBQ^;_o^{XPZc zl8fOlqR+{!W(iT!_cqC5RwgML`}CVHnePIrY4q23r6y;7B8`!OKk7}KKIJ!by`s`M zefqr^*piw?f0KmL1brgCXyz1C;OeO&CM_6odx)eS(nw z8a?Tg^6T^)Xa1WzdL54J2eE3Ocq9GZAiPac&iq4Tu10?-b2wp1zmJ#+#C86mo5;Q( z=x=6=c|p+MTFmvlk&K~zeVzU`1M8zZR@(!H2Qsgr3v~( zdK+13HTGAbE@qzMMfA5tylrzzYYtFW<%gItv|mb~48VFsz~*!Y zM~@qQ79uBD)r_dqZ)MdT63p8MKg~Be%5btd#*V$us{PT_+s0YjL^l>=)t!9i zfl%AMCWQv%*xOr}tnE-cENq|Pl8sI{ABO_wp?kC?gYeKU!4~U}pUJ8NaR_hkv{})i zeS==Fx|gx)&T;0ghuR;k9kK@8xS?e)2h48L`_ZOG7 z{a}WLEprb%YrxoEkC)G{~_4J z)f24sI9^&)f|b9Hv9_Pgu(Yqusqfz~hEIW)sz+O_ya?5b@npwlSsB-+T2BZlljHKN z{JF#0elf#j%gz5z8hiw+9`CdAdwJIKi%Stta9P=Rk&KsiV!+DP97>ClePdquuMd7; z)e$x;zkzDeq`|7EBv|>aIBPwYmo_rT%JT!(j+&@SE_eL}UsYK3Zv|FSoyVpwXEdHPLH#43Er|i**~+a+*U`j zsI-OVVMD(Ej8)Ib<4gdZYpF}6o#o;e+EC>qZ3>+ou(F4i{5C3Wi8*h|aR#fNW3qB3 z%UZtY$UO$bmI9$;B~N{x2$t@rH<(_^kXgDtR zv+|R~jVHS%!OG8|N#I+Rw$xm5^qr5g>a{smo{1(wcSW-6ELMIt&stC7$;LUXj2nz? zsEJCp!kj(*w%u6u`WP!ufg8q?-QctG0}keyfHJu$jvo#~B~v_;n+mKv&0-xkKX!AS zl^;Zw=#(nUugtzX+Gws@kjZp7J&8xW&1L0>(RkG`V#}N6f8Kg#8LQ?4d`K9-@hB#% z3CMqf!%Bzn((XY1cVc6CGSB3$94p_2vQOv7?zUL@Zilt}$D~@`y^e&)=zPrPiz zr>sg3ijT{)(qJBO3JMtSv(gYAdLY5dH^f;9GdMDFEmpoUV5Olpg{C^Je6!6;86KL3 zbho&yv??s1^j)xW%|4hHaL>4WTaLA@WvjAFKL@w%{x7U6564;gx-2VwA07HCSQ)q1 zXVpgnRvC>B+bhhlZOvfhklGil`Y1j)kSicnc&PM^{mAz-(7PUYSmnGrYg;Eg)V4;@ zRlVdsR(%pLs$Y;`rEl%98eiK#IivSt)u(M%z8tiBg@@YKv@g1T#N({`3|iotJS(jX zGc0`_WQ;X#XVqun>slYa!b5GV2TeO4a4)Mq=d;RLKFSIYm6qCx^>@39RbOyfd5lJt!8I{gYK^!`G=<*0y$Z=okBN=gRS{+6rI)Kv~~K zhrY6>9zXp@R{gKZDyPMfDo~c&R<{?NJMk1&eYwsmrz694Iay3El`~A%)|<0@&0>|2 zd4%|}IVk!##M~juWE=ZG8=X0gRp%fROy6zWg+;gZ3D%hV{C2F`hFYBvXKjZ>sSXTY zFO1rNRXc1}IT0Cd9Ua=#zIFZco?zAgC0OO8JZsxlI~4T3`R@x^)k76Wpe%GuH6r)3 z7pHomt5keeIRzP-(V@-k&ORgOvFbdRRgR4#Lpw~hL2%lv&9Rs0EU?N@i?#KQ4sBwO zvLF3~Rl8vMaHKjoIyA^W?zuZhv+A4hbp(|a9opD_XVi`>SarUMTTeb~J19D|k9}3Y z$(yihtxGpw}}pIWRG@N ztjntJ!&eGD){4s7I~X3{8L5ICtL%?dJ4T1Lutz>|e88#;Emk?u#WpTFbU^UoTRV+p z)sNxppd6q?hc>roFCC56SOi}OqoIaGhYqwS^=iL@RX>HVLyD|z`{>Z-L1)Lc!&!B4 zkyV;vtgR^sE$b-;g zZggn>;Dl+zumFDxUwdTPoLBjHSXpFm_d&_)^U$gUtL$a6wkd9C?0$Fq7cQ_^brovA zcO5%hjj=MQG4Pc8O0Tw6toloxRd&d-wwIzq1@ozgr!8b%tjH?; zZPxM$<)Hf0J_qgiY;@>hbI+rX;ba(#Ux4g=_0JAnaU@h}`9)MZPWm(HNJhZKi zP?5E@L=}0;JnPuReyppX%WCH(SX*n9)IJLz5wm`CC`7C zfYmNUJH8l2e8Sz|`gk)NY;Lukj(d5-%OS?!W6Y8B=2 zxO@1eV{c|%m@#UX6j{q6UKl37+NBBB@+nVtAgo>LvbI@K{*Ss>&N$((tZPV?)&7lk zoE7Cb!yUiVntfQ8W#f>8ooJM5shj%w74(-w5;%lLeT!$0DXw;z&D!_kA5g|0)UxIKvZ4?Y0dqtLYrEONb!o&~I+cDtHpIo8r?(y=2Da|{~DcPVrvVqS?WFQ@Z#!w~aI?En7B(_!(cU6sJ@lOG$7 zbXQ@shIIhxjzLTZ+YZcw2px-Y>a9*_(&BUdds|{ zU;mF-*O_%zyU}88=<}+qH{4t1oQ7HV>>>^U_u?qk+vbKHn{3Lu&dIadO(uLrsph-= zSDx0yx<=bLlxJ<9M5$`#(}ONJpLLxFUpL2)VU()oF7|h+v91f?>*gFRM~CK{Lo%#uEPUl{)G#_Uz&`k~B4(89 z;4AO5w%wycJK3iXoR?!=LhldJCcRwyQ9K}O35%Ydr3J}xPvxs?r zowXjqk3EN&Q*49+%H;(UA)mDl;m2M?x(6&wZ2Z_P#C)KDV>~n)G0`@y`|+49i0S58 z>j6B}>arRxHnbkVWBv!}rsh~H`XNeq88N2@NXJ7(#GIC8t^4zIuOQ~MB5KW#y=Jo7 zg9+BU4-d^jx(8j>x-XAeLd@xL)_OP(wIk+qhqWHTLmh}Yy^au1_qxk!5815sFn;WR zNcWJ>TCwFuT|C5m*upU$vx1lp7g#GlEp zIU~nf(>%{N5OYR=5RdsLVm_K>tq1eaTP{LHq~jr6w5vUqV67>e>hd;XKIXDku@Ejm z%*W$M$B(^(n2$TG6*ClKzGos-M~LV0KGHp5v(~-&u@4aQ2_K6O4}FN3Pg<;XAdk5a zF`q23*1z&&AGxgdl*w9mBIeUM*1A0peTJA%2MFC)$wOZv<}(S_+K-2pBIYwLV%p?k8Dh?iqt^Ub-9^Y@t=sZr zUm@MhIzs%|H;DPH%~~DGseAZ{Jm?RNeftb%(taT5b?mH8q0&CrkA6toZv$Al@ zL#q&TRuQ@Im_H!qO9|GR;KzPM%$HoG zc!(h;`hDxJ6!Q%OFd(c)B$$R{M|1T6f~1wH$=bLan)`9KXIlvi6pwUB<`KeFW_Fz z03OePTsqW_ttRgFbo|}MKhCt->mT7>U&{lS)Ezy9QN5p6JgV`H4XnsH>c2JYUO zxVbcRtix}$ygtXJ(0M+;yYl+0JTx4k_3C&8hKDZ2-H8og@T7oZ-X5oWC^7sol^NZ) zp$vt{c$Rd-hO$)}WlVQ!jG@Do_WwwG?*J)^^#6al_0H_gna)evrZeMUKt(-AP?U|m zK^Ftw94ba+FoJ=bQ$fWvX2sNkIWdM)MAQ)&f}oDOv&)(r%!)V$f{OZkKlRkpRXgnN z_v4TK2m0Mr)%AW}^;GwCRL#>R8h7w$UzbxGp$j#%A=1d^3!et7+gu(*Ha{4?^X@3N zw^RBP!r0a3EopU;N87|+h_35sn>WMC7M|D~msQtww9N@wx~{{$(lMheM(*HS(kzP2 zzPJo~b08Yq+zWBKS#=-ew9UO?b6i%J(>C|XxPvDsP1#)3yUp)sZFnqf?&FmHQm(Xl zZBAWy(l)ON)wL#V^BRP}*t`Pk*2mygG^_qtrt47LtH|cQ=>D}iu|xxeE?>EWuL(sn zHm^x*&9Zrgl+!l*$6aiQ$|;*)^ltOybNZo8r=L^WDqd-GBKWNxaOmB^6BFv%n6`O) z-nMyN#@w#`4HURn1(qIq!W4h~Y( zEeuATn}>Mr;CmDi(u~b}GP;~)^NzS^Y;!;vG*hH0o6q%5^LOF5r(?_eIi>CWN}CTQ z)rCksXdf)9YmeIIgDKl)fMIF|?H@4Mmxa^=i{_!o!-tYAip|4v?%)TDq8XbHrnF|+ z3?v+S&>o&~tNxIqa?0j6z0*AGrY}xLclLKmJEkjb=JM*oskVt-HeD;#HnBUmXg<`% z=AI#4N9CSIG>^!;gL8Qn#pd7?G+#7hb4sW+%jQF6(U@j+!mXnHySDjl?=+LgZMy(A z`#YswvK2O~HczVyy==2;^O(A}%QmYv%i1<^WMa|W1vWoSQV%SeH8~dzvM4rdG4M7g zNe$%4CK}h8W%J2|Xl%1S?^e;Fn6~+K?>5J7<;=iV4sc4l=PGUX!7%+e&V6#L`lQsg zXl-+M@QZS@YICqPgLYt+u2XZbBAN{`G*M(xY&IsTS<#Hm-OE}tHn9oO*k-fuRt?OO zrUvb@-UsdHrGp=X%>$iMqF8COAB=s0VX6)fs`e?XYvrt{1MXF1 z^T@1Q)i1@O*gUG}qFK?5&3!Ujvuy5>6pd{T3EZj!jLolmw|VWXZ8~7{Ag8ofxzgsr zX?5XW+x#bnVkxO}v+AE|n`S?-H8h)(bRPisDzbTW=vEz^)?HOi((MCo75!?f9JK$G za+b}0WnE5BK|>R6RdbRwMf26(2kkz)^f?h*`KMFbH(qITP*L5epluFHsCyGM%|mjw z%_P_wn>7L5w?I9xa`X7KTQ#W2qR{+T4q8GqL-UZF)-0RJjA%^r-x;^6CLm4Oe7kp> zS9Lz*p*sgVrT&RZn?45Z8=ef>`m(y0LffqGGH4Gk+BVn8(tQ@x1Iy+KF}I3--;*dd zPfWU1^<~kF&H9YiESrZHMPr-8<8IYDS<;kEoKalu(A_$wJ+8dg5^Oo?ln(MMZElcO zH-c!I>toQ)Ll3DpZq@o-25m#awt2Bn_k~aoESo3$Zq){97R4rRn6Fwt6wTONU&>iF z8xp#l9<-+gZq>y;Y0Bn%z31k>yFKtDx^swAN~SAqw&c}~E81oYI{zAuiP+{*p*?6f z>@o$No1yzyxK~kbo*ueYEqNBj<_Hg(>S~vxpsJ%nUCy$(VNx`vd1l$IIyXZa)9l^m zK~pz=1~vycCF};e{z9o51DaEDYb?*SV`A#w8f|lQ*0wpsw`pFBlGDoEtH@?L;i5qn z#pb9SG?LUnHZ(_PwPx8IBH_?9&(642*Q&b8<_EnG+O~4t@yoJWqx$c3D8I@es5O&H>K-pn-`_rs=ITfDViVl zZgb}g?l}rA9p;p3%9S>+&!`)(v`w57pnI(}&1_)XoRG6=-kqfTu(($d&5HxK>iP_e zVsmT=O&8>b*=9D-py4_<@Y+jmnt8SC-TQqM=>T;IN>&v3? z6f_QmrzlO?{G@lAXDr|QV%R*~DK#Z3ZB9z48{4$ad-LjEHcj(hOgd)J-rmLL!y(=0 z#=VMcj?cJNlM=eCs!6&%&aJvPFPh2Cdws20HgB)6d1cY9dN`zVWOMeQaP|G>5_2x- zv!kAxfMQ2DrK9{xn~#Iay?S5nRz03lH{xlVkHMI+IVo?`JR966XQ&5OZeA5f6Vg>} z^Qs&*%LVO0`k>an_*^VwqKWta0_-Ua2VozlO;2$^c$ty&xXy%ku7 zrqEc`=^1qw=G<33S~??8cVW(ZNiB`QY3DaGcp4(4b!Q?5cjROEb7H@jQ;xT0s6iIF zNxHFg%)*l6d zZUFrqk6+vXs-tmg*iAGR#s2sXD%3coVMQH{wPje2Ks2sTI@P5t0AM>!-mPZ?V_Y<6OfrUeT`BRAlM4RTr(3){|GdhgE=gYw`IX z54|28t942z1r-(t)6w7MMX`8h+P3(TXIp$ZN%zQd=OT-D<8)ATyhm|joatHL?8p|+ zOlv!qMH)a9C>}gc>peJi_HvT69xdXck67$>On*7yiLq$1&MBRetQgaSU(Bi7d3j6^ zUgdFqqOZu$d0m*!E8B+W$0;<_Eo)2%$h8-9EXtTh?xI=Iq9FYG_R{ZE5U>@!(h9=7 zvQ*$PO_7|h%Jmq80jVem-Ey9P=f2a?*?OmRy6j?QC=Z^8RK5l2s%%%Ck+3yi#XF1GcO}Ojx2uaE&CI5J|m%5S{6&bRA7q~r*>7YM~g=oi`{ZwxXI=(!lLh# zMo6~mKDvWnDykc`HRo3Yn{!yS4KK>sh8LsWH34@un!E1JP=nHcnhu>->OW3`;E%>` z6ofC`L)T@_p;WMfuqY=LI0%!#d9f+R^wbZAW8imTpz&K1b2GFXj$jloTT-8gm2DqG&9?;Z%>Ku_UWk zTG99_EfqK#55(QUOI5iZqk$6vIvTh!zPD(s+IaqFh(@DRIyR?|7|a}{HD@`=8EvdlK zco;j3rvuV@jK+E<8o%o`8dq(yxD_32a!Ti8=<17-yq6!m0{7RB!u3_^x;wa(x1v#n zOV{+Qh3chSg^Sf@FIV+ak{&If{#i5PqZtqnV_2N`-B8{a=R=LGb+*(qIs(b**$Rk%D)PetfxEVjo+)!djJ zjk%#6jaOq19+}`iM`L43%0+`L+R<2?5-o~G=aMHL;%LmJbu^f)Xv__@rjG-jOt@9A zlGJlF)-hva?Ow;m2Hy{U65Bh{DP5ebjKa=Zw+biK@z>+nSkH`&-}O2+ zRwnLw1lv2xDdDV8#n>pN)l)1SjjE>;b~M5+(FnUlV=P548XM0BFt>U%&@30U z_9>s7H4~3uQ8YqYR}qa+iRJ)mD&~#Fs$7rJST~AB;n!ZHv2gfnH)4A&PU+H2Wi&!W zV;HX8t9hg9#iAXJB}qF|zVhr$S&Dh%gd+QhqA@K4bKOMaMP1N}#?+6V7|qdGlH^wG zO!>;wnjRa|F>fqY<$8{Wl_|YO<3oQk?Nf$0rSU;!G?wJlQ%5=)^Rjj{R(2U1E4z%1 zAM*4_68AZZ#!H@CwInA-qJ2u$ysT(ZrhJ>({c4WJN?KPjHdcmO)6saj>{k7dC#}b5 zY-kR;x;?Huf57LPU^7QMr7QC~2y$FmwGu<(6i>0jU~RV#EUGunTZ5o_vw)tq;?70K zl`~LjWtv3`_$`l}-N+W(#lM*v(XLeB9mUL~i`Gf&(c%W?_d~Z9pZW35H(>D?r*yT< z0g=V8it52IedzpyZ;kWnzn5)`TgNFF)Di1f;4F;uuZk>M7H{uNZp0RU@byZ|;@`_s zfh{6V)mz6&>(Sz2W=!ucvwyc<{}YUvh#ns6l&*_a;H>_8Mm_1qUaL1t*j{(CbGmwG zoPONU<6cHMXO&$v!J_5$G4GsuMv+y}OLzL0$6o2;}R~O0El#Y6oi3@;YJK{+;Y~ z?}YYcc^y>Nnx1uEE4tN3#C!FM`-0_n&HlZ5T{(JS0I&aYN)wZnUI&3|b2NqzO50o; zQuY{b%+Otw+{=jT8-ZIrD8r)V^)vsSdF=I|v|ed>ZAeK4=879As~a<<^~g18dF^!! ze|p8+Z^CQJDcu#X^y-(@gRGkC;a&3exGwoR6sMXu(nKP!Z|2-;Kf)B{>w3+{&u6cP z2jrp4QF!%n8LerqxPi2KXh2$zUJo((+NW2pGZzOAw)bzRbWd36^*D^o>WFJ&+~#^x zmwX)_(u2C(%gAe6#;ras&Z5P&^>3r`ZWJ6n%+ z>(%Rl#nYF;>oBJ@DOc(BB;;#dQFRkN8`>pbM|R2AQHY9P>2;y!R-dFr%j?uHrsKhT z@;Wr3eOX>dmbIq2c9z}hQSsirnlZd@uU$QZt$Xy+@A zvMjDyj~+nhUPfFOC*5i}>1K`L|I8Tu4ST&nN5}fzQ+*vZLi3d#!yh4EvmR+Zdi|&I zdQh)pcyY)27o+XrP6-z+`8RQNEOBjCJtwcZj_)#tZ^u$}Rit_%N)E_VkF4Y2PdvB! z+ANEf*Qe&bgAqhyc)X5|?e%uiwAW9OuM<@xJ$gOVsU7<>_Jn3a_8%-Rj#(Q<$PL{M?rN;Ef36^}3v1X^r8@fmGlz z{AJdyzAsN&&t47J1AFCq?icxy=*%fj>FI2xS3GbrzR^(nr zWB9AUt)84<(c*ejdjHqi>qJklw7fo%mkR9lYvk*ckhC7X4lrI1=+$c~J^WgDJ=G~a zo2vBs1oE{{l&|;sw%4g$^7VP-YeG|synd5(tDo?t>k-$d9=q!h_IjVJw7g=X#GlF6 z@8WLt^B!qEdL3xS@BzJ!;nvGezZGqt=9HdKRC;|5S-59LxZ-gxbAGpaM#APg6H(b) z_dN3Yedty{m!)n}*CVe-KQ(nT_Bti4S6W_YB%}g!U5!AO~S%~7K3Fn{> zr#q$Tu}X`t%04b;O!=ar;E|f(S}P@$9R=$Lnaw;*5}M*t2dYN!Ey^IKAbO*0aU`$BCBpH~X$0 zO^$F%uOuriF2q3X=gC0D&6e~w5>0VY(jKUbQ*>tub;PpxL&B|Im}SwTIO5JFOW5LT zx`u6WQBo=}MT*nn6lpzLyglgsGVIR_yy58BnNDeDSZNX0A?YPlnqp^{{mGYDGW(My zMS5o;cP<*JxEY{2y2xm9aqfZDpK~sD=D3D!@k`P4zH_IdTfL;%tHpb~=(p)TL2q|Y zyfF4ZbdTOhr!+fL>GjK`dIzKSx~Od9To&41SHzqJTT_Q@oI7H$eVJs@!uj88`<})) z7nSu&D=C+SQh{;qfHARxq@Ho!YrF-rg~z+SgWxoDlV9=%TTdQa0UFSvDQSUk%qyJ(XzPCKaTmkvWOP-O3UJAIjO)Fe@VM&owOb; zPX2#b+~&@YacR*x+bO+Sd9+uvSyH`mNn6|i#{tn*-PqvjyjKTF= zwr;x5gaereKr^!kJGx~$iE`I6BqD3Q-|N^h?=S8a_$iXBsu$h+|i z&Q!nLnuEK{Rmp(vI_6%=D90Wyc5l=q9-qr56-kMioQO}QrL z;I*REKQqel`ZNS6&Z0fa`;kOpFwqz}?Ab#(8dW)2N!i4sygCXWlOLaPYid-v9;5M^ z$@v+wm+Cee$E|#uu4IjNN?}}2;ZZcIJ@v*n9gSUZ*l%+FsKAa!OP6sl1moaIZCtL- z;`MQEO|>WH`06a>{4ROXqOoz*=(ljk5AvYqs6bYlXtZQR<7nWX{F))ET#wQC(TolG zfNJ+SzjEx2Wkh3)Q~FS5Ksi3CX~Dd9sxNs^m9+QS|L!u|pMY`ml+V44^5FMz7fndl zBdYA{9PuSc)T4SD%+RQFzY-ExnY;q?Ni^a;jU zmt(P-nxuMbpWd?#;rU(X{25*5w-K1%9!*k@%>352PKW`jMQfD5yG6}&>~%&Kk zr}u2513Tx(ARlnk3;wK}Uk@Yt^pHh6=Z9rQi^$hxYR~3qoS&DKW|WT(L}T)Jz@}!5 zD%WE)(6U?&d!^TCjPa8z(Cdqw(wD2vfTI)Yos2r?lL+O4^4b+2b$ zvcsjCysv#(IbV5B`hu*B)~Q^NURN5g@^Sd?bN+uQR0j<}kBoo1C`yZ1*85#`*myIb8#d zMtMB$*4$bYBWBNbU0SqglrOGY_zp*7a#qDT3iP>Rlg8Ljr@?;F9f9Z=yid~`Mk_;-5%ksH*M{8u)Ry1($D$IJh(rmUW2R2 z=khlB_Kcke9Vy%S!X!P{&3%r@Zx&#qk9uw^+vG#6GxNaWI6cYE zy^P3jj&8P>S+tIN&UofbysetZ-zF<9@}X#&{0PsjSsd@x>k31j9!cxj>zk`q?F6rv zIqhr73>b~_7ZU1K#@cH~(f0af7uHKM^m2jx1)U86>p-G&N!$2_nFF3{sq{bnU(o%5wh0I_RD>Hl&?Tk z4CR$Ry`+Kr9F6in1LPN4w2$x>1zgY~KXF1j&g7T-veJz56$#Ne8hDFC%}Q0S=V+Kw z{&JwncN>l0J@X5V^6^gldO>A0mgm)rs&&q{VsCAQ8G-}uKtZ3A(jhuhO<32~x z_-o9qS)LaoCg=a!kx2$fA|=XjZgnlwbJRS66W~whLsX8Rgq&MB`}SJv_BL1f=yCjaDlfLcZIa z_p9=K5se8>`{tE1VD0vC^(uLt^Gmwqe4j2kzlUd!g9LKERTGS&feQy{kUC92(oD`T ziL@vh8!kBPpB#-oNvU9>v4!>{9(*TbquZQs*l*0G=-^dO`<5~T zN*>hqLC%lDFMf10=GJbPuycO@E~BA8Mng&U$jbR`0~bw5*CVe_U$PxvX+n#7rIiQ! zXQTpqJr+UiACT6g*I$j-?|b!n?ju{wh1aW{_P?epa=vzlg!&wS_PR%xoF9ldM0>m1 zLyPo+Fz#iP^V`z^6+6@jkmKy_Z3iayVy=4>xrTK#TRSkPHGNEj_k^K!(t7mTX1vZ8 zuicLF&$4^Yf!AxC_N|hYIX^I|K6apUzJJ2b`TD$_^L}7EZ^%1%Q5yF-8s+~;y0rt7 zELx+yw*TtU2o7C3h@(-TmkMT-`+;ao{>ZFb+n~zz7>!>{&VMI4-|Z+*EI9BuMB`eg zeH)npqfzdI{8{pQzIIE`9_7cxZU4t2QlEs}%ZU7r8MoG7-Rqg}J5RFLEj_MblRqY| zHBBBb!mB-2HPWNkH;vcX;0I%0M?b|0R$sd|hU$4;Q8+@DmNim!JNullh zs-@mcFtkb@uUm1;)((3CSIvVv|qA{vVG)8ra#@UF*2Px{Gbw+a+ zB=1RS7VSK!Up*SjWW5Q}n`1?q!q*xLv(=ROCM*Kk1TWnaQ7+X+7q_&&KPwy?X6CX$nH`+~Bk)R`WVMtG>CSXZy3dcpZ;8nA!gF zEWN{&dSva{`XJ|riybrDkMDB{pS7wzOIBKYw()6hMb6<3PPw(0t8zViHKY7nueV>Y zJGJirBzkzG)4rF?_R;({KBhh*qrF~Kwz+1zjO!aPuD>f*cui#8+VP~RVH$CLAbvN# z4M!P$QCY9Fyk(t#q@KCHX}r!7t|P;4uD<)D|5=O9+~lGizyXJz!B0F+I$XlL~0o@h}tUVmzTd_jz&aTl$l zP+8HqE7Y1E<#>N@?cI6OdL;jb8RZ2b-)%HTZFc5zZ0}~Leg8ydH10w)&eMmUZtXGf zXnw&yn6k&gLrHtgKb)d>GIO7!*?zA8=DLZ-G0F%X;YQKe(Z3g8m!oJrnBrFKXgrkE znvTZ7pa$w`Z^j>9z0l7Uq#Y+aA%jC{~y+w zoPRb)Z=vR1M)TX==;nh(>3ZaK@=e44$X@Tv>XjDx|3wuz4-WC%+GlfAu1Bvw8Lwp_ z->ui7ne8UQ>#a`v!Cs};yM6VUC++p2E;;{l-u9Xg>BZvQ^T=yIr0dGWk_%d+{KLDa;v=dw%3satl~y$7 zXQcv118-ojU7*VK7>!jX8s%O``N4_t+o0FCJMD+b3>b~_`JVc2mnMIGmr+jVZOtfO zh#Suw>LmO}2aXB2TZhqOq7H+5_nMZC`}+Lyxo`qw%^SKeN|pJU{w&%7Z(c_Uc$=G!|iW zsFfqzbdK^(dj%0yUYVC z1A8?5kf9G{aL=PW_$Si!t0Ie**8#r_-iEz~Ila>Ix-yUo?6q>>t6K9=-l(ye{q4 zYyZ7a z@*m>XY+tu=Xyts}AM&>Ict|hAT8DTJ(OGuNtFIBT|Dg{Lw+{tN{Pw z1Bou06^$Bp+Nxn`06m=NXgMQhp+X)YEEM|ATJQ~E8VZC?Gr1qY#6 z;Itouy6WaC-7~lDz?k~@BHOGx0Jm43;)%@zaLe8CIMAOHkm9g@q&-K13ay<%~rfe4Vw^+ZQ`YE*br%CbJpwp zEXr~8~Oz^xHSCJ};^LCg1{|G}cqo{4fXmJt)Q> zB4@w-LV9&1b;ZJ34_tlYEQ(+9kqAU%zj(}}?$or_v|qgQvJO=6M|&~$dq>W#>lczn zeqWd$to{zu9}U($KS?}?Vw0TqR9f3yTff7!ZXm|wSiD-8hMHS9Ahfae#S&pmv zS~g_ex^44ZP}{_pMxjYKm><43iV#UT%?~evt-Cctbrruiz18_yHt(IVYbUyMpVK}( zS84MO%r|6=`Q3p*3uAhiPQ*Gg#=~^`7?#Rnb62dpIHVpJn{8z2j(|lyOqHcO;-c~F zLzX5=Ic*asi0iheVrH1C8EIElPSHFlwYp6@YoxRBu_JEYAGPmy+D|Q3+T0_fKFq3Z z_9?3mtZSQnaLDkdl-Rs8t-h762ko_Gnw+^;(Ik6R!mZmQ!=ko{Z??O2eafP7HsZY{ zb$z59+r+2X-MTx|x*XF~llHZ$uA+&f>D4~4V9}iLx07bT<^xXq8RbfwH{>0>OHF9r zkf3*o3C(|b>T9%`=FxfeHCk=+O62BPpL-=XTk)#2x*PJkt2#HQ=Ab1+W11~#x9(q_ z)-=r_Ww-9=yl86D!RL3~x+_&(MKjqA&Cd+YJ}bw60Gkgw?Q}bV+LuI=&ea)uorBoC zIaNb{&S_(Kw?W<2anab=F$uTs#;n$qG5Wv! znMtQQ?$%wJB%O@SdM%}%AELd-YhmTygMJI@@jCLEb$*BP4>|2;Cn`Z+j(%U56Nlq* z3gdEMrEa-(g zFXi-jr9H|u5*IyQu{Xko=#Tu;7u)F-yYM@EBHXDvbE3WFO5?Y&`KhN-{$Z#6yi6t0 zG5Gnqbxiz@4%D~cwckUbNJ>s;Fk%>o!YW+sAvWz%D5EsMC%U&0TY_ely=1q4k@FY*UTU`i+s1u&Hx!Muxuf&3zMNZ5Wei zf<-Y#W;YE*V`F4?a-r(XT$F?#A<+pPaPQZ}P{U{X)*7G`TvY|<`Zwph{w6R*rc z<1zfvv@!?(b6WA}J2oL2&!O0JVnd{n%@vAddO68h5zG;0p=b~BBnC9c? zzUOI8+r;}x>*gdxW1F=5$dkl02ZX)aym0J=tFYxKoc8g4CC%r-Xh2@uteXm(hb4vP zRM_0DC^nx=(fb;N=I=oBKLPc?vWb_6)ICp{W%J9di)KY*n_s7)d0Nvp@zRF6CsU%a zO&l-P|1L?I8nlP?K4=$jvMvsgoGDKGmFWtb_3Ob(v`4I87d9J`A z5t>u5x6J6VD7o2+{UaKrZqdxiG_ij~v!bz0yd$T6T`8w+;$1Rz)2JBL)%y!Ns-2?h zDw_v)Lz5m*(!0gw{o5v@cFt+PI$L40ZgNKDpX!raH>s@n>D-)zb;OU7$E|xfY2{|! zFCHBfaIYeplyj3aEQ(DcH>oTd+az+6q@1>i+{7kyIc<{;7k=?bQ#O;m+Z=t$&M1r9 z3fiyBRoJY@X$B(3HtU_VBE~lB5mp+|+U9*QjRCD^+8^UM+My2EgO(_vLrN5zpoC^c zW1AS^IEKqVHnm9}GHZ!MD4U?nT|9}Pkp5cZ!Ln1?0Zy3ez; zj;y@!V((Ut4DNpy#h!NBZ!T9_`3gfZ+RfK}0uGmE#mcuHeHw$dVofDv<*PD_V&%A; zi>jjiCM&JCX56}OJ*umy#DZ?91W}Gne8~A6-Fe1ozdc@QWkJ#U_)6VU9DHpNQCS=~ zotM!@Y%6&4Mco38N(LBS_<^cikmn)#zx?%1WvK7gEe@zGS($xz5FK9L1qWRZFMl$g z4%lM*St$QMr~S@ErKb;l`|$F$q&mFhq^TdCqBk(m<}5sPK>4B1qIjYM%GZ*j{Wd&5 z;DB;?it3W5!lB*F4XccmlOH*4V-$PVX}?E~l%u41E2}drh}KiG!^BaP&dla_bK+b1uzM zOW0kT4{z01C6HNZ>WYO95B8%$76sikMQT5>Ii@+b^tDmhcF z8-jHd$Glp1beijN$z!r=>9cui-LX0PaJ}={&AbGaiET2lsCJ1VMM2vAPdJ*uqBDKkzh z5gZbgBTHQO=(H|3w-9N^WJQ}xTIml-xBl3iXk^)GO9f<c zF!U9z7IXl1F!X51sxQFR9~o(eep85IRL-J5ET=VPgXy1;6^(|n(~5`L>&=NmLw}E= zE@#nCNPBY?eMP#jv^SUO<1vc*J(XtY(dk-Ee{6_Le`zkr z16SvHMr#)RGeRj>2q@w-Z;Z%`#`G`9y7gybEp3=BaeE^(TC?bq`i`|kwE^kYMGhK2z^V0!;Q=l-mlX730q)1CI| z7~1M2ZIt_GCM^2(N5}ZPsZ9R_&!&HA(x!izXVV{tde5hY{B|GrnEolATYrV7Dl>0g$$>F<%^Zy+=M5x!0T_OeZXBDk6T{vDXz=jlXo z>mLhj`j2O9`cGhc;H~LT$vd4Bl0rXM<|kZRLZbf^#@Cr11*3xgWmy(w=Ep;+^?Rg5 zGxSIJTC?ciUY2r&K!qIVkk%St=y-S|Noo&1Gc^E2DJB*(>^z-q<=@! zrav;y-!o_Wmx8G|>dO~x`mf|{`ZKcpxpxYcTR$^x)1T$r^b0A)ThpJ7u`nSg^ygqK zj7-bSKNn+RWJtlNpnpe_MWIi#?Z~)jhW@2Fty%Q*MJZPZDB?8pzar)IQ6C-wte=sU za)$oQwAL*8vwYE*K9Me@L}U7==iU0*sy##hZ|L)!Je4!_w~D*LWw-(M5@ zN9?!XP*{E0X@9+3Nq+`1jB=Qd`Nx4a8WDG4w&4o(rR3RM4N{u_*NMpj!R7v}lI@#FW-7 z`U^cNR|teYuD9cl_9UG9co?j{GZf9xe=Dywi~iezXiT3-zmpM->5s^|_3!3LGxYI< zUj2KaXk^%F!;@?<%^K)`XwhGjnSa!7`FqiP-f3@7R?_cG+w>nx&{I}I|COXo|EsJ` zf2poV0dwoWMt(0!2>mizp;1!^Xx%q)oBlUt#aq+=4rA}CtkD17=cmCa7;gPCjFYpA zG5l4~?@Y5O^zi^!{bLEy4EEl5d7?N^^ep$9*(f=l{ z%jsi&BK=KSG^Rf?<<@`ak!I-Q$+i0L)izA-<1`OUb2-re$jtojt_l5rk3DxRtiIy3 zzmu(`zZCgqa(`xwp0^SD3z@eHp6jnww&?q77p>g)f0v_YS2TV9_qtvofMI`~v_;=v z7lgr^>HF(}@YQ-}==$sD__?5#km_xKo}KH(@K-^9DOQsx^zkT9{Y;XSX6P@>YR%Ax z2`N_yP@d?+ifBw9kITT2Xc2wb(wd>Uldl*_kWkP>A&UElNUmNDaJxH^ZS3{8JvIb`+o(u zXy*6-hI7upmt%ha?|RNC1VnKw&!)e1O!3zAy#$u9r&nit{B2VFga`%0^|#H^GZZoW zb)}Ckvnccr@!a~iB;x8s75_q8O2ueISoHrAXwCfIhZWJ7{(+$Ix0Gmx{@;^Yv*>T- ziN^Ga^wuP)?K1tdldkV2NHg^DGz;vC#`OE;$TVw|`)1~UZ%uOlugARlXLM(#)Baha zl0I(IuyX(Fyn`naG<|>Vluf^HTrFw(eRVwwnCtIZq&v7J_xH+iy+T0i_RiY$_X!kl zO}}3eOQF!;4c7s57Ue#=uQiK)-?)@3 z1ZYFG*Eed9>Eotx7?N^^{$4q)S@ieLipKPb^gd|WrhjhS_4}#z41GN1;qOPq)QD&a zsV}(W9i~|WeH{MFxxOb?%l+4BJe-#5?o2OkMRPtnH4&ZZeg5}HrP2H>r+sOrlK!5^ zw`k_~fA7(~=|can%v;a=ho^1&NBF$N^am$+i9+T2Rk~h*m&!K%YUZu!*Mj#ozR<5D z-Wj1^kDgtVkKwN(_xDV(sB@ogdq>AbGxYx&*P2EDa0G%H#EI?z|I(Rb{|H|+rcc`7 zglL9-Rn(rTTUFNO^nRa6R~JR&+&?dL{aTW&+{gX?ex2F|jfj>IeQ6t=bN%`hmjnGi zVMKr9u<{pQv1j_NL09@q-}jzE^985<`(h>iBl65m9rOEt1DlJH!xTc-@0(HUH2tAP zE?Ed@-SIgt$@Kq)i(4CdlKZ&Z*c|ow|Mt}qpXv37fp9({`~4F@_}YZfKQW7?K7T<)^~`^+Z___7p>D+Gt33Ys zahv}6Wt;vOWIK54+`j;0;kvlczc9sLXKV>+d|i~K?-x=qtlTHk(H*P$OfPQW_4i70 zK_0lA(}#yzv*@3bmU4wa=%4G0#<`E1fBo|kq8a+<$F*kBKff#*&-_Gs3`sOP^?ClW z=-&mZy@-A*z9i{in4)rq{;LTx%^GO!k&1GE*EONPa+AJvwr7sh;iN0+pPRSo4-WW_ zIi`Pn(x!hEFKPN$>runGe@%=pZ1c>2jUF|HfGA#@x9MLODBhZWwumLn^?cNKLyW)S z*b-8`8x!=ELkdO({d4my%26Ng$@2#XqM6)3KB+Z}{#By!%&&6)YEPHbxsQwP{xu}2 z`Nhz`Ce)fm|JuB0OrJpPc49yO{ocK98D0 zK=tlV*z_NWE8d#^gGnrvh5kcmWpGkv{)aIVtGlCrb(SI&&HSzSo$RMbQktQEPN+4D z{=GRVR|rsE(VrBx$GML?%lye{DQD>4=WETPe}6(Wrcb0Fh>OPbFF_C$Tuu9{TDdfRgheNdSKIkF{5~E`Y&NAn-cmjXZU-NEg_AXH!<@! zWGNUGxj&gSi+*PrGj$-Ep?_6QYZm?IJt zW~oa0)6zEmYmj{h6ovjI=B?>}kh1BANv_BA7kPYxBhz0TQzbS1#i33A!@T0H>3>wl zQc>uCf>s7$KS057{ZD=Rt|A4ag8sBLi!$@$_DKJlNHg>&dAgiM|AUm&Ed*#-<$jnH zjp^goN`H|jnxVg#BpM|a{l%eZOrJ=9m=}%dj|*M@qcUlRKE812f8vQohMm^MNixkE z=;No2{NmefP4@fV@UPxL^YfjKzvL?EhdG=6WaRLGywHC>%M^L$U&c#%=3lNy4bxu% zQimnwn13bD^_u<p^3R|sgk^vm#vxM)nD zNaG1|{F$S^%X6;(lWNb<|HO0sRT(NrT1!an;d_xV%^K+Uwdk)&?*DJdJD;HW1y08{ z*oa3Rmh1ce^3bOL0x0el<^Bgni+;lfWwpfg8#XLjx!!$LV7LwRFiIb^K!KEBd@5I$hb&6&e+>#RsOZwMfXV$2 zin^Sk4--E*Pl4j`RYniYs zT1!auze$p5))V@JP&e#1sdoBpD#y4zmw_m}G# zT;+blw!Te&y96((+;7-E&Px<3w_*FTTH@Sq*rBMF`1gLpKXQtr}Z7Q(Ciff4`iRD+DM|XAkB(6Y-G*)RHvRgzO~1Zu)Ax(&Au~SP z)6kH!={II=`b|VQCiI&FEQLbh(tC`u^h>5q?qHGr8Z8llBS$wy#E5V^%cIeInhI7LDoOP;?ubQNyC& z`e5ib9I3Wp=s%iq8(OFsMhVe3x&QNOGyiX(-*5W$Xw@k?9sQG)^!=1gfBTF>4+rwh z->|=D(?5xss<62Y!?QO1lhgd9I_Lf=zD@tsgiZgnxZ-# zf!x1T1*n2P|G1BGzZH)hHf*1vsCC)zqx)L3=%196a)kip75(8^(Kz>?0j-nMq8a+9 z_*%1a|I~zNOrJ=f78i}_-xRnFrzc5^a=&#-%56A9ZNt!iI_5Tv2)G>0{3iETtqJ|q zw!{0t>YGkSGFwUi~kL(xp`*Jre5 z(Z5K@Sh;^uS?V%ED9>{TDTC`p=@= zC2AXn{AwN`wta3GfmYj{jv=cZ*uROad>p%T&h(qg439JY z;i6j7nf{4hR|p8nr&#w;UZ8)Lv!Q>U<$7H5i?mwO(7#0f0<(s`B!eaF&NOTo=2y2S~F#gFw!XFRHqr{O=Zyx^oNUDv!H(xl`8~jqxGT`S&_O1 z`e!-O4D`>lTC<>kkrs`ocLMySFB(I?7u#5pA3jrbd74@c-HT1?9m*jnVBY2oQ zHyWMLg5K!n6=sIsxE7XfOKRwiYh&s3vWDLHdn}!iq8M1v&qp?VmSoX}{-^*^UFU&N z^mI83`Y$P|TL=jH*%`7TS_B=2M2n!qmeve(m=lem128O##?U7PE{u|9pvU7b?26VB zqAzWK%(^hm8lb#|$kQLDk^xd$QHf)`>Yur7fH4A#5lxPf{0Pm3$jiKM4avKwA(hPKb zEv~U|AQ~BV+O|gBJyo9-^yO=o>E|{VCZW~0oQ`z568dh)$`0A5H^#CIPeE_Q3#`n6 zT;m}*UROY0-NpeZSqudFz%;L8=!g1Tk4qkwP)i#6pt!=Up&yPRaC=suAAuo|&I@$h zDAajYJcd6D`p|^ixLbln3pzS3S_B>4*O~?Wkerk&1ZcQ*NI+IZGtdX7MKhUxsIN5( z`e6yt7&-wS6c>%5KY(o6{4H>OijXUly=2E^GZih%{a*>@WUlBTkdjy~1?8yy$TK;PBZ zngxA`X!_{AK2KJpu7Q3`Tr>myn6k822xPm*7DZ#|1o*g|Xbkp+zPk!wmwkHU08f{*ppQ&yjiJ-+_pla~EMa(XreBRrKM#3NF>o8NNz#`*V)(P54=4jtmPH%- zKvdy@U^0D3UTYTgak@eIo&L|jZ5*GFx+c>vkBeq9{qnN3R|v2z`rQw6qA_#=d}U5F zhW<#(ZJZF2W}xqd?Ov_6L0SveI&J&q$uyS(^v~A}dSmfRy8QZ{(*Yb^Z@p+7A2gjAs{4=V|e_G+@?6WjZg6K&_CIx1YD0x<}zwY@6(@5Da;!B zQ&_sg7wAu8X>>xMKSN8{7O|9*@U8vhfTTqm`Y{{`lF%S_>|HN^aF}+pbG3YwIx14#&BXIS;({W9)68aN9KT$)wN6cVp`T~Mv`nbHB!gZ$4jN8y> zmU)Sx&nof~XF7fjSijR}XVsF1J}0d(Yv^+WEae6Iydrm_k<5q~^383WM|1HcX~Jks{Mv4sSoH4Oc%S*UP#0R? z)slw(J}|!&%JlvLFy9#n^hLmYS6niEaRN)382$`&1!-1_MS)J!?ByBJ6m(VY@hJEv z)89@?xk7-3Tdxbqif9JR0y2rVJD)%H}%ZCspS4bb=Q26}7Hnf_YP75ZkeeLg~~q0@1Dwi5a~$ioM*Z)WII z5)6+b=QhsFFg%7{W|Zm($Zh-vD4&eW^!_bSnghA-biG1At$d%dp)X4+%sSKQ?##Q& z0(}KqxjQA$S7Jcit&+ilK0X6TSqeAwN`_s1M}9$H=Gblj7!g#IlsKY|IJp%;=i^tW>s^rm$%JZ?_%5s=%oZrYij z$6!&J-n5=?L2p_gZK9-#joY+ATrF{?H*HW>m>GK0MxN7o4@#;7xu%WL$~`#^z3C4b zr!(*<1{U;-5x{RFz|?7;q6y zL}Tax42z;M^ygA8jFM)cPYqqz6|E&iU)rX5E=;oq===OO=#|s^lf$;Z7p*RKI_~!? zpf{}>I`gMTNAK?fC4uEk|Hfx{D$|>`Vw9ZeOn%Ony7@nHKyVPn5H??zXQq$degcw zhR4wN#_%wizE9qnKf5f@`vts?q3@gFdWC?X@0U_b8v6c8g;_)Ip9a`apdS!8os)cl zeqa$xd5VDr{d5Fo>kNxF^zY)L(RfwakM3*Df{q;}{%AK)2&ml2$n<^kkd$Vi_rqG& z4D@|7TCsOz!11-dPm1aGtjpRv}QrC@uge= zYn|5Pk=3As9WKZTac0{t}4>AXJ`!=IJuMcew9$HG)@?c=6~iC3XP}>$6wPG%NuJiMOdn1X)zvpq z5a8jVXbgQ0w3C%)pyN^Qrc)xV1^;u}<^^ukX6AH72J~jogMS+fcDLWrX3iM1KOSu^SEa(kr@Ps0ZHuQbtqEXj*daucA&4NBU zs#^%CTuqLw=yDeH3$mga=ohB7Wk0v(%%bGCIyu~?>r=drq2G|?dR+2GPc3Qa_azl(4gLPK)A^t$&>sk}lojX?7M;$A zCfk3pD5PfNTGvzitSY!>*4_&iN&rX>2F6n|X zgq{W1pL~Iin=Gt-`WOw5p+B49b%lVSKbN9!RZFH%<&u0Fw&{7stYYIfO^eykr-cf$ zhCV$GuxWw*A_l}mp+J8L1L9$yVqj(Z!3bcs%%Tnb#GGgb`k18FEa=Z>q+B5&==caW ztcYfyPfdzupg-?v&4NCSBx`ynz|%s}72cBw^pfW`y_giOB}5x-?J2kEr8H}R zK4{ILuR62e6=?Nyr{nc>CG_Wt4n8+6&@W^_dY_(+D_kmOZqsWR9_Hx%b-dPU(+K(- zda!V&7qeWi5YX_@H%;nH$4!%jSwnBb(!+UyUc%BN34z{0OK5>&8Xd^(iw2)7vS>rU zkR%Ge>N-#FSrus7-_odM?X|L$D+HwNQFfbNkJ>ZP-^fWh1HG8lng#vMv}l~^1lZg_ z$fK1oDPJw;^_Si z5U|dHuUR@qM1y8wyZS^`bVCWD+Fj* z{U-mIBsE|R^p8W)4D?U(TC<>k8i>Zw3Ginb(HQ#M&^}k1f&NbDHhmFk4E@-&+w^6g z>bmI8^!>Vl{u|D{U;plc0chq+r{nEJCG?NejEkX9&G8aLe?7(UC{f&|AN9IIKubSm zt#j{9t8^<2{b!%+amim2YDq)?HI8%dlIhK{BrumX^kygRbUv2S(3{-=OPXbr>GU({ z<8%yvl-1N}1~)Y)nt}d$N^2JMAER=Gfa>DhJFMt()`8rrXd4Fl&%U(Bb*V4EBt%ot zOY7#{reEWtG4%I57e+}l(BDtFuq#?ih`zLa5V|nU8ldmc4fNk|8ut3VKY9YKE^#`- zcqQ~zG3(rWQ_-`be*~~mrZ@jF&+7{4m)pEaV4Zt!-V`}{k*9B>Xx=Qv^|<8bNwvh# zo44>3X3q5HKVj){%q8kHZ1a}1loRNGPC1=VcrpB0nT~VsO{++&fL`=OGtfWEYRy1z z{$pOs6#}$D=iZw)!T-3unI8V7bMMWYW<-miH*c2Gn#uI$&6A=j=xqde3r{qLz6jc% zlxCnW#x}N$G=~0R;5Pp`MRirC@ATWCS024Tcid<9!Od4r$H(Og=*^qPt#j{9pKy-q zefm$H4SlE3hQ4#&I``hZOTgZ7M^gdX6A}i4Mz|xeM zK<^u3NhN~?{bB@W(>MjDYo>qVi)Ntz}|Z6zGGnl=B7p;k1;G;m?AObMMW&Bv`bk_f?^25p;B4YZml_ zi&Cx-5Okb-hZWHb^h2_u8R!GjTC<=J^hIOn1o+T|Xbk-`Xoo4yK>xyXn+HW&ONhR- zeVKBb57!!|cbsop?OC?hdOnb=%=Gh$7aomPzjivl$yGu>#Iw%5H*Z?zX_}$$%(yi4 zBlUnN1O)x4ymjuqxh1fn56Rfjk51XpkD+Xq>*>wMrk&0w69WCX084>DA6j%epNez* zqJ28fy*D4?v8bW*bX*qAK;PNdng#vHP|6hof{t_Vup*j)-h#DQkK+adeMm-Y7WAW2 zqA_#=d`wa_hQ0*av1!r_^rfELd|V(}ONec?eVuZfhZb1_^liI=-pf9{68cQ{^j*+u z+38r3t%Tl^u+F_V?;2-#3_Y1-cym0$JVLK41Zt^loqKOSv&i*0(?{mG9+ymK)soJ1 z+?zv~b*7&M%ui(m`q_lJEYQ!5Ih{|ZV)(N%9p~PgTM{f!h{_cL zG)(8-n@5y&IddQfL!uezBXe4_phx%X>C>9uQ-A4E-pd;W6|} z8Rfh}K+rD(%IMsC^SCtEW9Z{`JuZ29!iIiDTw&JGuSDKIT@>gOFd&{u3iPWmAfC~2 zjxrtR-kZ-%#qifEqI(8R+Aq_6h+F7~1z= zp3vnqbOL-uTr`IMJ+v#6q?t@#1|JjDHVpI?p4)s?z~zwXd#zcfU-#-hGttX$orP=p zmC(ll^T%@Ty?IF7hCU)=L!XG@fjzv2{zzz@dvAU;&+8caV*%GIz=7NRcu_6sOviy5 zVb;)d38!-^_Tqf>{$vVEd4c{^*6Dm+$25YDbMMXLC@vMyhe)8!$(iO68C}kTJ~5>= zWka2NZ+;{c%|L%NFPee=SfDj4(;qL2#?w0io3UqU5VN5dLWNmFpN*yG1A#sVOVi>4eJ(9!V)(PBcbt1~e$;2t zp58|$MKhUxnWr@i`YRbJR|wEBoqLBB(G2vNNzn}SS)SG`=mnA#V1`bB3!!M7>8qg4 zR+@qSbKo}5iL@5{&uPO~jhp9c4bXR6vrPZrCmWrGR=;-^{vlooeFpL{I``f@F0`Rv z9@x+qW^L%5Y3tm3^II4?Gz(CK+-95tQb+v-we(J0E$K{ur>rn*=Z+?t%@$dBJSIP{JGks~E!4?7n`!(*D-!#w{T$;-nUdPbC zNpU?c`E61yY3ScEW)1y&V4j{7=*xh4dQPA(&pDkhdNKT2&~fg)xie(ZhW=PWG?VGC zM8PKmyboTQmvV)GG@N^f710dzaz-=*{hO55Ea=}RMN`lf;O{)q82Z|t+x)#xnt{G{ z-fdo%5v?UeUrN7Ey3NaTtl_@*yK}LP@QLb~2=YAK1gritKl%@TyD4S1D03o3{xerr z%@gHSQC<~g4wM(3^=NDSsuTR@(}Z_D`m@4WxV7pH{=cz%3k*b9yz#7 zOWUWglyQ%2RO@z#VX5pMc~p_s?GQMfGg9u6$C9^&|43q~=pH!?_OJxB*g4F4ITdrT z+2c~q^a-iUw>R^^;o)2&tE$LC!--dVU41`Bo~vtA1SKi1v@K8mXQ69K7>`K z;VsS!Bk$Lmf?GLX3c|PuK96RKS+cG zd>2;fQT2Vpbq_53lQka-bIs4P`h(4=0nVM{KX`~W!_Q8%EO1yod>*9cs^8z)t?cqC zta+FXs{$BBR)wqk&peGa9}aUZv|*HK*f0Fy*aaSI9u9LY%&_`|S=Ha!clES1YaRh} zEwWhsfu>>qu-lxm$FSxjVXj4KR)3foby&D6vs0Wkk4&+a#W7ZYxLI|0xJTPRE^9st z=31O$^+%XhM}#XsT(mE1J{sm)9P;Wv>=+zhMbQ4EB(MG_87;xEk9=O!*%wR2E^BE_ z@S5(?F4U`B<3i;~0!G z4VOEkZ&?QN$H815r&!%eGirHwqIY2vYd#+4`Z#2D8_lSnor)=kEo99nz+B6ctnN26 zYP}O5I3#4v$tEp)pN0EAaQ36Dc`VG;9I(0{&8k*serf__I1c7&v02>@X0ETDww}Yk zXU(U;TrC-BXjZKb2h6?kI@Ww@lC`X~V3cY2bvSS0B{i)1G?;5;n$>+{R;_k+n6x8j z&8Nd$t75!nesr|`8``f*@tQ^}20QHEFl<%GYd(&NrST4H`6S6}Rz{U)*s$dDn$LZ) z?u?MNe45}js}o{rf(uI?uld#!OYmcEEuY1C&AL>y zYpu9gIxoRmzKrqOeWRY|d9ak?wc-O9)_i^(mO@^e@S`P{wS1N2wTEV-r7SG@tiH+- zOBXn-j3CVlCfTtU;Pxl!m1= zYuLjQ>n@J5mT%$jaP*7DU7UiY6l?gGTnb?+WDR|zrBsr&e3xVmdq(3@J}mjHVdrS+ zk_2n{KEWDxmrEWjd8}dkXz9{8YxyA#>pU^vr7kSFtf8k|mxZM)YuGJXy3AoMKRT?T zYg8#d+4?bnby>W3)8Ug(V->MU_`stYuvS#zpI{@?pt? z%4pry4r}=(&KkCj*1->OwEW_-h8?1H|Mg%g%NjaIOV`+}WxWIIqRMMRSPCGeXz5y) zwft(chF($SbrvjTSi^SFy6b$_vcX~v@o4FP4lJc%T~z7DSj%rQ7#FQ`Jy=RXWwh>k z8-{c ze_5!|Wp2bR*X6s@~0##;W4v4$?uy4yThO0kAP(Yo7hSPJ2IkxLcWx>0t+!0$68> z%%;cK%I-F67!a+S9>P)vDx-CexNKz)i#7C*mS$M6l!i*VgR`!a+ zQdBw1h9#FZ>@Al36{B@e#n{U2Y}T+>wC*VnmNKvu zt$W&LE4R1ciW#kYI)tS(Tot2r&$w*mP6^gvMN7{*u;jr~RQX(tt=u`z8u~=*p7UVI zh3jXu?s*%Qvan7rg>2<6@CrT8(YH6^i4KKpdzu-ONDWO<; z$$=$!9d6RYKAdXZ5Zw62w$V#aaE(XlX*4S5L5b^*J~luRcFDW8IX0;2Da~gVpdo z0C{W8t1nH>o9~?p&#>weSan5Oue!=#u>F+7c{O~axO!s9tFH<5DmU@mbthfItN-W2 zKUjTZQm>kn9x-n5%e;D$#j9`5@akK$dexnYE^}Hp@#;HZ)EzJ?ZRWZ+Xn6KI7;&$| ztEXgn^#f+qw8U;DKia%{DvX*6qaHDHdFj@7zn;UZJs9~Y%rz^a=XyFes%p_ zS3e0&pEGH^*gRlg2OQ z)oDhy>SHt4icF6mZake=udsRba*J25G^0L?cdJ?+8py%vI6Yw_w|%&6az zhy7H!FR$JJ&3^S+U43+XZGy-)!CA1xfn%?RJG_R$9nGQ9Qdf)DY?WaRhgxD?&k+8> zo1qb6Tutu`{IgjdL;y>>rs1E(>fVpmSwQwo!x0><+c(8)_65>kTh<(ye7Wqz!Mx^x zkk<_GdCkEw+_sv*v7u)@*p=4|f{us4$U{?l)#0h3-@#v4s5u;(9R?$h2=%I?!tqP1 zZ|5~f!3g-XnKea8y{atP>6KUZ;5DT%q6FruG;b+9=ev-+zHL@fRXpab;J9dapSi7h1cAhg?}!udC=9XrUeJzbWaJdndb1C zsj&J5U$1)EyKCl@T3!Rcskgqw#L0QDWXz5cJ_X&(!8!dgA;Wb~{tZq>>ZVgzp!DW4Iw6xaaHLYnje{8h00oK8tVco~k z(w_mZfjh1bAF$xauZ?Blp9}8~qNS}IUfU(h>K#w4>j_IevaD{YBbK&@rS0I{stm=_ z&K~?ru(wZ-*6oquwY!7a`_a-~@IGa)7`y|CD*O4owqKIf_llMVTD#gg(RN-T(%spvT-U#KMeAcIW2 zTt#1xX^Ea0)QJ}@@enUu;u%=<(j~qYFJIzWF!2H=9`FK|j-Fw+2({?z+L2cD3?DY% z?!-8JD0jL8pU;I1z6fDW*Q#Mp?HpCWONDrbj&I+%xyr4E9l!P)Q6XM$#IvZJVQr>o zm)j?}`-uwiq9vY16aZug?Qx?&r#3KRd!lZ(SILNAznVkv#7iy+)PiN zI;r_kQ6XM~vGP%CGnMSqK}X5N;DsD3?{GF#89QzI2j_|kaW|kU>*_*X`GJFZ#>(a# zyuYmUEUffR&-teED=StG++1bnq0?4fCM%mf ztUM^hl*sIz-kR<%m6Z#`Q{*{tbCvx1+kW|8R?hRVa=_*)S?bN6Sy}nEjg^VbRmLul zZ-2C`d@aPvVUG4366(DfDR;y(u;_+Ce9ddRTG2CHC&X<-^flZ%M9*U8 z=qpjzJEK^13lV({w-Mr5%pqqGRXAYVmukUb&gq6Q-u9EF>-$01hJ@#x-?M7KCUOMHruQ8QB z>TU13JpEy`&EmF0JmAVz*Jfrnm0Mjj<>qUn3b=Q{%5OJU+2!bs6%WYD*CS8S^PA1h z-uW8qhor20IiY)QuCmj0*X^)OR!(!UvUPKne6fF&s=POgmEUi!l705+B|poaciOtr z(RDS%#d(oHOz!Lhw|FijWJO&@tef@AC zC1JS}`zGeFpVlU0oc{{=+dgUDsh4+Z|0^zl0l%|FBW*TkS%7_FvCise!1;2`AddM9 zEhyi3y^j#s8gVFc{;?h`>fquDtl7mn4#QSgCu5z67A#AxHO;X{1|1Kj><`Y@!;d8l z$>KN$A`9NPq6r)W*@8Mxu+I~eiF*p(se6(znfU4>;>d)^nt$9_=T4lbEPlk)SdW zXA&&3Y(1KqE6Xz7&}1oo^g%w_S$3S|hU1Ss5T^f&wU%UAfp4A>_t!CSeWjUQ) zS(aNkS{OBW(6+VMrYy>`^}aN+c9!uyI?8hIjT7MA0Q;M@9%He_J(JjgSRS8aB+eAp zdR&NwCd=dWU}IUC!=&YuG>&a&*?k=Rgvw@*%X!b9`5R384{N;_EcXHheCRC4#1WY+ zPqZnMdwg_2n9A}5mojO2Zw6VeCHv>?j?VEHCXQSPmkfxfCc_%{&0+(R<=8YrV|h|Y znY0{3S!9Vd;#uS?E$>Vq&$Z-R@7Ph6qstEX9V{7Zy$k*-<^DD{AeQ%R#xm_=p)i-0 zX+66zYVgF70k1gfVJs(Qk+rit^09aadwleaJ+~H@WY#*_V~q#uro{4gI=j4Hw%(=- zjpeNY&TcGEp<`8+b1bZDXF1~jj`sNZixby~7YWvSKUf}|!3M-~Y8s(1l4s9DK4mh? z2eX8!Ebl->_W0TWVXh_nW{A^4mg(Pbdjl*xv(`t_tZ^`ohi85EcnPG&@=>2MX*tay zYzxQbY~3%{lJ9mwq65pHC;bD1co)|Cn!_50CUGKS`D6y6v3w$=OjDgVr{zb%o0sPq1+|vSSyz z2!)nBUF!67M)l(qsZ*-UC{qb8&tP5ts^S=YyXP_eKy_Qz`nks%M?2VsBp7`L5^oxsjSYmtx8Xf3x1Q(CJ-!jx8(@10re>J(C=^_fGM()uw@n9}+wiL5ZK`>*@-9?;s3 zwf<_e#&H35AzD9p2#r>2hA^e|OM)<^wLXQcFs;WfXUBrp_N?{qIBPuB!!AT?osZCH z{TLFav^Lp8ptS>Q+sa{$j@~$;^=E*sjn;ZZ=33U)IgL=%MHh{> zE*WHnY2DHJ@B2ZkH*4EA!y3=9v5V1a>ue!3TAQ+j$+X&fhJ-1tZ4pJcQTe^}i$ng9 z8CU)ZT063~oztxGthjEYU;5j6rVt9P=vvm+#ilHJk!tJh5~fslq)e&qHu9=m}>2|)x$w`7uE*fR%^UC zg`J6N|13hIx=orgsX8b@m{J`aCrqgh(RGEXHVq$M2de*KZ6o1Wy;K_z)j=MeP9Cc} zB`K4tM}&ka)e$~nO7%!xSD5OIqQp+1x+`ld_gLc<8SG3{N91Tp)jjoeB5A2wqPG*6 zQZ1!Ssg?yeNnxs!dY4=Ys=KkaQE;qI)Ln>bsfDf00Wcs=nN&TV)+yB!C{wCQ2geqs zI@#X#UQpegwViCU#{Xuq3sKGapiEt?2K!iOQave6m{J{^B21}{({+WZZab^^cu?Jg zwN1#f#{b2!Gf^F@nMw7?90i%`cpD4N0dR&zm{Ogf>k3mH`{u|+pxTGET?EJK4GHW_ zRL5r!8r3p~GO2ogmN1p-1p#47^+H`&nCkP(zJ4B5_hfC8eb#t$5<3&s3mk+-^#nbg zU{dv_G^tanH)jY_s=1$=<@drWUaj6AR&*k$TCDA!Bx}4Sg`J7&%{D@#I?kd@s@_HG zldw;ODLzZ`Z*P)w=?0ZB)<5VN&&>45?G9IiJ2M)oHq} zFxBE29~}d#d$G1B;;iv5-G!)5wTObc0$k`)CRJxTgeld>ZNil5EL~Ta>ixSmJprnF zvo`p_>Bf6>SVVQEh9=cXE>)1K&<riOy24Z!g&)2Ns{63E*8|pgpYBXl zpU=^fuU2V2ojI*$`$S8rzDAi+eI3gRxL*~gRhjod6R7UX+TMp_^&xFQR9{PCZ5Y+|R->ANjqaan6rihkO{a7~?W99oO^C?GR zs^ivQ`W~qEXKkOs0q~ffjl7Rq8WII{xu26JOlf@*5T>*~%^)jGtFh{j!$50))&{?` zrE!*xU5M7gBtnsbOe^QZ7o`Q?3seu2)@mDB{#xSg-OOcU9MBrT+J3ZI<5O|$LbSe0 zBQ#o{+Jq^s?-PWnw0=k-D@^Oi=LhTvS_iPU4UpC|A$B2J-}wlQ*4F`HN^8AMn9};y zK~|X7_;tsQ2CV~G+uv|(J)glYMC%u-pmyR9x(-y8)}}NG0rYzt|EKId~%bXOb4&g;L zaIDt(Xh2kt(9oo6#jy}odC?e)uqaht1gETeh&piq0E7R^M`5bzbomJ|{!m_YG90T7 zp=tt8>f4#1kVa@!_xC9i$0{#6DIiR#j?ECJRR6zzOz`%AA>xH_C@(r4j@3oj7@oE2 zSR1KP9h{;}s-Ef+rc_UJ2ve%3+sFz_b=9(+El@p-7fo%?H_jTD*w~q*I^IKws&KreD3ht46%wXY z&-MvZs^@sf3R8`rRQU|39?pxd4Ortc2fGl}vy%vo>i_+ifES&gCUr{n8kaDodaZ-3 zFx9`@U&P3Jq5gOGqT*{>C&4~2(g?Q1;Awn@$s!sBe6{b4vq%ZdZ)e*et_K-Dx zrn?YT^J5)G^_-lvr0T61RDe2_>TPMllYwA@xf)bQ@*?;}292w+2|VkI)&KoSix*w*VWrTQ zs`thTQ>ypoY?3?x?hCN4Fx5*I*0zD_QM_nsmNl-iunSSW*F|Vjos^_Zsy^rwrc@vD z2vey}b&(aO+Gl&~BT%(@(F~6@erIDBqWVw*p;5gZ5qSxioXSK}Bvqf%{qk28Z~02+T>Js37W1O#T-NxD zhqLK-qP*xy8=+8@T2teMDXnJ{gsJ25IbD~(mUti4C%K{mv`TnU29C=ODeO!VoT;Hn z@F@!mjp~cEPN}|>q;)dEOafV9s;_Uq>RM1OZ=xE zN_93Oa$L?15f-M}{j__&0o5{I^d=ma|L876^;PX}RA0o|$l3mSfDr1G>f8)r>Hv5{ z_bW{G=jksG0@ZR}^lpYVb;8E*tS|TG-L+AD*27A{WU6nwgelc`9Kw|9yEd}IRFBx2 z{R*lTyyydmHEm^K7oz%h7NJpn$)`-JzMmjWsm_ZNrc~$Yeub%S{B+nYpjyd`=Eqr6 zHyb+>)%QJwMs;?IGO1c05~fr?^a)d{4IZ+>R8QD=(Zirx#fujEtf{AiU5M(3NrXoA z4U00Vx-d^l1!cy%u|Cn7s^*CO%Dqu}J`Zya&)x5bfstpM&G^w_DgeldPE@3LwRSvSkR4;qr z`g=k3cwY2{%bIoxu?taM8AoVT7wJNy`dO0HDb>$&Hc6)Xh3;3F>de3Ic^Xtt;6>|g z)&$3be(39}dBk$O_Z?WBS0o zL2C>z?heQ00eat%1ULEES|?b{Qk2OAx2APUwVOxlqEo22yNj$a)j!|uv=LORd2uf| zE(dA@qgvc8fvvS_(eH7}r0O<$Fw`m4ZIiT4s`k?T3RCsF|9A?h*6`xqa9kde#?C}_ zn-HN^E#5juL8@+VkvgTi10r%h5Skrpz5{1e`-Y@WsqWttSh0Nh^Kx--%0LlxBV zws!)jGg{_5a6(_6u?Og}g=yU|`|xu>>l9vmaEdh*>n=oVf1fBQt^G2Dsk8=Kgek3q z5fylg`^Ll#RiJe$FNWXJ)KnVkHuz0!UVIQ$P+9{#EHr5i(%WRx8kEJl!qR$k<0=0E ztyB=MC(wh zptJ_*LZfxKPR67)Jivy9Y5g|oOqi8By!faDYdSuOU5M7czWZNp!IKFTx_wXQ5JR~TIQ-DQjk~v;SLrWt)m0NlvYs&Sz%hYtp4N;&>GK+ z%j2x+#4L6pT1Ptwjn>E{Vd_jQ^$Am2WgfD^w04_&g9}<`@Zw`)tf|JwE<~%8DyX!I zY%DZsRb~iNT2*Oeg=uyBbZ4;>C-CCqv#e>X?n1OGse;lfOW<@y>o|`vrFFcEtT3%f zS1(utT4(a&(IIO(#lbE_>o}^Qw5lvDG+LwdHi?}rukxc)SXY=<=cPx8H;iZT;+lXp zovsat)+nl=w2qJCbVjS%B}{46ILHdqs@u4JAJ96R7mxE<(|BwG&-hDmimR!D(i*J` zjn@DDp9G+35(^8{`abi!xPv%{7oV14O%np_LbS$eCU%azKvZWD8m&_u!cJz8NLF+tTd{&w@ zotMHcM9ZNHO6$}B3ys#9HepKZEDKp-S`+7#uLiC2dGXbtb)oJ|w9K9rDaf7pZy%>K zT36}8CatS2tSe0Gfr;WLhxi4&_&S$0r64(c=tF)YO-5;*nZYunbuBcK52JORZdjPs z$bq9S1FZ{r@eL`~bZLm4Nm|$V2*q?Vt*bVpb-hLFwwG-SAkZF7vE*GrvE0f3(>lTDyW@!vx|i$tvhrwCapUItSd~* zoi+P@(7J>dPf4(*>n!X-wC->bnzU|B5vI~ghlHsM#63Q;!nD>7nl5gdFXhD#Sggs_ z8%(s)R6(V6r-Rd(wC>Z%n6&QCU|nHahwb#owxD$xFP;`>O*i`3g=pR9AT(*+lO#-~ z^^i}PN^7cztT3%tm%eikXkE^WXT(_3q%?LRS`SeLmDc?>7MirC>tsw?kLZSlY0X_SwZ z(9o#%O<|!?eI`wqQhhe3PQDsEr~4JA>fRC#2i1wZIFn*cQ*>vd`Yakmms6SQEL~_+ zU$n6hm{NVoB21;4K~&%i*V>V#Z-DAmy!bV^xZI!CZS=S3ieJ>dO{$N@vCyc#k|j*3 zz8VmwRA=jcg{69A)!Dy->eamXjes>hq`Qz*Uv=noGSw#&l*wcDb&oKmI@cvkslMSL zD@^sy=^xJn)&KJ1cU{)>aDZKi>fAU&lj^e}Wm5I+BwO_Hh&x?f?c_x!M|3#eYlix;O^(-R4tji`QT zAvCIUvy@5Ig$`j#b&*Y&N_DY?tT5FVH@-6iRR70|m)NZ7=_Ga`stW^zM)e(!GO5}W zCrqg}XhorBwY`20 zRDtRZy!eYGYkE0@or!8oh|s7m(uIOa)z2(ar&K>jMBX@j9wIDEwdvjeHG%4lym)<_ zHO&sN3sL!uB`MCngifZ zn=qxi$s$ap`WK?;c7^=*kfZ^Z2iO`N5ZtX z@RF`@&94ivDY5JkM`$d&+muPmZYjc|EO|*6I#y*_8)99%EaTr>9qjS2jn4ziTY1S? zxG>GPumQ0=MRzrpr_kBu9xplB#lbquk{)!dvb@p4x^|XBS9bI}Pp1#M=q9kdjhFlv zj>|#2O zmgj{Cjpcbs%B1ClG+|pf$FIy_U9Khj_UL#A$K~n!EFJ-tck+_|xU6ZpgAIt~C0gBB zrfe)USx%&ND$Db=5!9(YetCdnb1nHUb#@1qP48}Nf#qGi9C6 zEbrqbLmk%iLmC?p%V8QC%fU%3L`z&2&%m}|d2AeO+gT2L%kRMQf{Gqp!18`xax7%I zHpB+R@&pf|u{=S~gqFPIaEsJ!!E$jH>vAo(a9ln$;^i{1e1Ml6kzq~dwvkwl%wShz zd1OeL+~dg*wt8;=t7favCars zWXVg8qIK#VuS{USc9tFAtGC`Tc~`J}h?m@$W=($t*nn70+KlDRJ{B6wGK;V+WVuN9 zYiHTxLpbQK%rA%6yPnq+!+;{XOaaEteOWuJ!-ZP6$iREky zp|N~5NtwJ}zLy|OS!Qg?q~%;h?LRfx>!4%@dwhQ8jP1elQC>0^_IO-3C6*sK*w$Fi z)|-P_VvTqP*74a>x^o6=+hy6YrAMVIeeGF4vobmb_$%L+ZAWfwX;0xA-jV; zKD%mzc&9s)m%!hG4|Yvp17i7E5}~pD)TK-wm!D(_Q^)0s92OmyrQ3yAmuty3UfI$0 zadBhJIev@b#%8e_nf8TJ8RGI(*5AIClO#%VmTm=&{*zoQx;u`O8@VttXLzSjpe`u z^1Lmte=~IjSU$^3zK1LiOJW0KS@KgFp|&i6yFK*~Oj-^I3ERSP$@L`dEIYn)<9B>; zF<3swOFO~YGu*?8h~@e$LSwn!qAap3`GszcJT6PtI;2kR@lF|J!g5Q0s&ewvf6oEA z=XuGd1PhKN9{8s!OMdmSnUVX$rcBChbO=*#8vb;V^rRRIO5!*X zu^gtM&aLz?8w&-Khu+W(Vd`RYh)U*e_1;RGpnumQ0gsiCnv zQqN>8kJMvnFlBjEf--4&yoaovWyc?@4IKIObzu22FFgT{%j0o8Jmbf`rDZ9k#*yE{kWAv-z9gQ++<0mwx86pjJ;rEJF{w8p|(qp|QN!CUslLa<#5&XW8)|C%t|5 z(Z7S`YrJ$doINMUaUx=QMGB#@yxgNq9+#Ii1aO_2JnS2mzJNn$n&=3r}h34EZ^Xz&5-5UJ~kkhu7<{PCCyE} zLN23h3un(eHcpbaymT&DULIfrV)<4a zp|O0^rA%7hLG#^$02SGljnF@uQalDmL0#fx%U zvkqa(@_UOic_sSQL)Ol+<3ELddHkL8!P4iY@GJL&>wIiLEH}mx8q3YR$0^;+JBreE zX);!2xor|zJIjvu_?n4piox=IUbd~zf*Z7uu`G*av8%Q$i&>P(EdR+dYVe?K>Bf-M zDa)QdvUZjo@9{mWhCB}K=JB#^V2|JIU;|>A`%y)m2jyj3`Bl9YlpJvxGd|5 zsQm~09a|o9-6P^7$~s;Of4)1oLpLCnQ)x!(9Dj(;uFmlX101a_OQ)nMlV{Is9Z)E7VqH7Sj<1({t=i#5uw2Z`YQgde9~%(MLmh-B%OPpXWR^n^MOU2$ z589R;oJ43WM+M08wk)r>a3-|-h?kAFS@3j-4T$CNI6`B2xJ{X~9GW0ZWqJH&EKk6) z_OCr1e`?UZZH2fJHS)3(GAwvrHz1aF7OAncEy|?jQE9@I@5 zuYPZM-;Q7z@Umkq7QA9(1CnKhhtOC~ic==Cqz_>vj!XJ5mXloM?JeKQc97*+!(TlR zESK=IQ{lLLEsYI`<>@Je#xiMBR+#0DK8|f?+3^qK%%6KzSFl{l%Wg`rU~UE*5X%V~ z8cWBibJLurJyl!Gon2;~$Wfuf2 zn3uu^#PVXzjHT-!EZp+yB#v!o+3|5%)_Ks?V7Y>qUG1}=K8+2irH01xa=kf)TV7$| zB<(Caeucbm&drTr+04tXfGp={umQ20xEafdx(+RQ*`<1RVCs4qI9Mo{ys8HQvb-(7 zX&KZLEL(Wlq$~>-<9K++PqWHy*H9SA_mHPR%hFS9M-C)h2by^4^d#xyKt^WWsWRZ^b&t*w+uss}TEk zcX*lnCg!kjz6%)prmF+ReD3IHYvAcqUiNH?1uJcA5R3I>3+gQ~`@<=O!cu&e%FAZJ zf8|54$3np#brq8LmzH3jL)e0PPq4>q%093Jo9R&IdxAag6E?p-VzWZRzF82locFWe zJPx+J>?sTDIPAmI9KoKpDXR?yd&V;?&%}l$J~$kPe#Xn*g6qu}X>33edpwEIB<2N_ zsl?)h&2O-vZRseVFqPO-dJ@r3CiX@@Jefnb>1l!enA)Z>9-TiM^h~ zWMXgRFqzmAn~YV7Ep-S}iG7^IWMa!SGl?zFVYQ)1Yz6#RK6t)oWl^H{3o!HxUbZo0 z!4C;+K#sAwIa?#o%2_Ea6e-HYp3V}c5?hf|SMLeSrL;~RW6N@wOl*Cc_LF0OrTtW5 z8ot3|7W)k~d_YAVx0_|?-Fz|fGFZv(Gf>s*|iB$oTVK$+NUDJ(RJ z&Cy1{RAPU4w5}eOc-gNZW%3yN-J&c?tbD5^Ve%L&?@H&DiIs1i!=huXyqjh^vGVR2 z?57he@0l-fDGrr{Id%7$zAM%sLHiXz!pOs}F29(KTY)O_dnOJ!bpD=k= zmT!$HdIgRWEAMV26m!VLcA;~q#QsI+P>JoD!(?K+X=W1JBY|_6#QJ0m%QLaQmrnl| zB=!|A9{~4o|5(_-Bv#%{Gn3eQT_~7L>{nW+66<3l6m|6;OjW+COPNe;cb_tuSYMkk zl~_MS=E`5*FGL7)$g{G%zmLo$w!cSNZ75s@xQ6AK*bz&IO@N_a^YS5Swxml68<50y z(_M9946F>AIA23!WmhcEu$Jik*W%3vs z}nF*#i1-Z#>)TY5~hx^ z!5PBTF?L9tGMU(*fHIlb;SOOcvEephDzPIh%4A|A5W(kQ>VB+zM2OIwl}Gx9<(XK? ztO4gjVy(QqJYY+<*Be6;J0y!;O=4Eg7Rto>rAVDh?8qcxDzV`i%4A|A=vZ|fE5@?u zn63B3F;N^M1Q(T9iBFkKtkgqh5-W2ls|`iJa>uYd6Z_%Al_$f{HeNm|#g>>uha`4H z8oQdr4o*@g6H6orQ;C&j2~&xc ziCr6~tTq&hU7Iy5&%_r0{pnH|`W-L7CB~K<;$Z`l*rgUilUQ{?nM~{~pD>l!wJu>Q zv8zMMWMbENl*za7;($iyDfTP>J8E2qY> zpGjwBUQj*37B(b|S6I=bhCuX|AM<|#~>_%84 zAHdWxHq9YSCHA0CnM`b|OPNf}ixZ|2^Rk4g#2(XIZ4#TA!8()J<7vujL$MvRQikQ3 z*tA)ff-bX0r zkcqvTBuphXTMLSGWMZ%Btrkos_F5Lln#AS=l+}j9@AZsfc_ucv|7y7Y@GLK10`HP) zU2H%Sdk%Wbhu9jK*rOh0GBM93OeOZZO_)k-wnv#vY>q>jOza~>(RH!j6I=LEh|na~ z=u;*WYx0np!~&Nxnb;D?usjp1-fPTsQ2Cjce**84PSv}CB=(w~$t3oSjWY=*6MNPo zOeGd%2~&wRCMlDN1#!w`Vkv(xD3ghWHeo8U zFD=4UVqYN=$D%qbzX}nW#J=_^tAz?)zS=V^&tvS)*n~e|=r6qdrwm(iUJ4tK#99J` zCb7k7%H%Qjksb_nDzViGT37E0%P(`r%EZ1-(mI*gw;^FFvG07sRAS$Il*wc42N#)1 z>_>;P+EBRsWE+-eV#gFMc>;#6=jFdA*^-ox4M<{Nr4X9LRwO8si8aRwQ;Gc;5T=f? z?{mh=#D2)qI+@rnE@3LM^$uYwv0rVu)HE2nfmifMvnAI$*nlMV zYX+f7?AsJ&GO_QHgvrDzSe!7G*xxy0qr@s=30fB=Rc4Wn$d|GFIM?Rahy)RAPOTgsH^#N>C;f+dGcTB(`^!vf5C%>=PK4 zXJTispL_uf{he0~gm+1|;dpq)d;W^8^-LzQO&P2dOeXeMnlP2vJ`Q0jvA#ZKGO@i~ z%H%ONAWoP{Yyfppi5(D-I(b%B9GF375<4hOS#2m18<;XI&&1BXVaPfd`UkHV2Je#6 zNo+t8+si|!6RX%t7wW_+x+X}SN^Bq^aotgg9pE4oOeS`aMVU-&aE36I*pM_~DzQUT zl*wakXcC!8?63r7wV_CCSlqBY6Pqw{&4DmmDph(VJfjh5k+HVVncm|Vh)+ukx9Z-Vj~lTsl<-bTMc8?F?LiI>r7&HKv``l z5<5C$Se}W!S@QkaF!WDeag5KFOxF{U#14ht@?jF&-=j<>HozrJC3dt;m`ZGR+XZxHWdAiNg9@CVvls@0Sw*5E2q5a~VnZxarxG(ChKRa)Pgqviq)wfcRfwYFP9~O2BZRRku~8|) zRAQs`RzsaS#!gINok?s=oU+#iW}fv z(wqb~AjjA#4nmVy(xOZzHVTnAvs7aL2@s00^`6+TSLjIulZjoKrFAl~YhA)rV%Ir@ zsl@(gQzjF0Eo3IK>k*lENfpkju>nc!$~Z!k*jS%3 znb^6_G+EDDh z+g-!*JjQlRUeN;*W4z*ic$YL!ZwyK7e;Mp*61yx#nM~~RBw;GC+v9{SsP}~BEjeRl zVz(t|olGq46Q&Zo2Rg`yNo$;4(Mimr?Gp4h@!AwqCbi9O*{CKG$oLuQV# zr(DWvL(%VP$FMvTyKKX`XF+0}dBrO!wq&`F4M<|s(+Evs=IxYVGO>FSq)sLFRF*K6 z*b_<0WMWUnDU*r4;1Q;du@_y!RAMhVl*z<0HZqgg%NAv|p-Ai%MEQSeJ^O(^@JU;z zF1+IHI9u|m7AJ{4nZULtv8h?gWMb0-!c<}}rwLPuy_hprCYH(2I+@t(Heo8UxfWq6 zu{RJ!uLd%)H$sFau{V9nYN3KxyyY2|=P~w7Y~E3j*jBt^IlN2y%E1OCv6ljbCb7rU zl*zE6sOHfvLpm0y?(d6PEK5l*zrk zI3Aw$J^u%KCX?8l04q&muV)BTiGA)8rjD^sLds-fpLvwY#B#o?q7rMRE-JCsEE%ir z$J(@?NolX8<504^%0uH{1j#KtbAV& zHpkdE7OkuIgk`HsnM`brO_@yW$AB=E*iRY4RAOt>l*wZ(n?hz1`#DKjZ75vUB@D~+ z7~5~#7<@j~sXMROWV0oIdf0#@*5)8IiLJ6IlZkzTh`dYs*+(eG)_cNotwotkEE`fL z6Z=hXwb%poo*4VP-fCbfu|M=y3nmlW7{`7lv5i^EYD3ZQ&%m%e6Pud+U<M2TBNhU6IL`ZjgL_DlZo|C5~dQ{F+rG0Y^OM7GO?Yq$V_582b9%@BC%aE zhUJ;q^ruUqd8ci8dIZ~4%PRmMEZqQokhOPES*7n?AZ*p41$GO?W< z%4A}DfS7#1yehFi0bwe!Ju{Ta#H=(jlUUyrWwoJ5Y_FtYoXtPUfj5k7{>dTybKn<& zpPT5!-g-0z|Kg)Y+|w6cP7mgl{VcZV(hQDc-C|7spWbZIMCd6WFl)ZAy=^6D-35D~ z7hBrLVGAGCEyy0&$yIHmV=OvmE4$m2$vx1+B24Xpz9C_15A11ECiehroO+mJc36Ti zwFeH56Q=gS;aSS$9vB`VGkf5O3}v;U*aIWdhQTG`<#??8yAJwhqGtXl=(UO9QrOU)@>!pZjW$^l`AY&^i^Cc;zsUGO0Qo*2o9c zDb+n(!jx*MgDihl-l>;&-|p8mgX;FYvdU$P`e(5VQ9Z&&XjBjLDU+%dNy3zBCFCF< zV(e+5*vzUpGNDTT%5KNMwyg32@y5K<4!rW1I9oI@jSYn5g7+=awIquWW|F`BqVjN; zGVzuTe#ro>lfMd~vce~I@)tH#K4>B1G0r}mX6cK<`A+Ud;o-)04?=BsUU^)GEw%L4 z!O7319b4Kzz-~YxiE$zPcj^8qq;PtGcK%0L_v|GDA&}l|(eN}|RHeI<1OGT1n~Uh> zE*edl+C?W?v`+4#F^Hm1LGst?bcgtn$4)!)%9BCsIK6p9Yjg&C8?8!@Fr}6A!MHkd zL~8OO_Q{qm)R}nYBsZRQyvvi#3waW$;;9v0{F&b;z`&=S`ad}yulo6#DV!ZJ`* zTIbq?DXsGyWdCpJPaSkD90sx8yz(NOEgI$Hxc`6B?|;+1S=h{7*djO)7oD%WlY{J> zKu@WU{WCVRnU`3!P9FQ0+Q?v2nbc5KUGZGz5w{K!| z)Ad6rHcA}J|F|$6=P8TtHpJ=RcL6oeek6e1wkunC2u-j=&QIq7Jy+?`k6ZnI^(X0a);yeosySl$^@CN1ww z5vD9}p>@)-YCAni-j=g(m~$mq?!hY`OtWQu;y4kpoaP}kmeUfHNz17|VaoDumoo8^ z#jD~Lvb-%Pw!C*4SoVRz0bABrPfjd72fG@}oZB09Tt4cOI%WB=-fVFcNXzYXzq~E` z&AR4Hu-ubZJ^^RXK1rO2SUzndG?q^Vl*ufgatKqFUY0Ux*(-xAZ_D1>HqQo2i&wso zVaxiZumQ2m_y~<Z_E0YogM(ozPxIChbjaA|t9t9fz?9|72`m&$W;vj6%NLgRx(Y1!;#CLuY}r8>Y)UK#1_+JiK!-AE zc~F)xX<4;nNSU-OP9w`Z%U3Qa-wG`E=2e4}Y*|7J5zC+iys-MAfA6_*Au9t)L2k4i|+=|Em} zSBfn=R>wgs?{TrKu{8JFW{;;mQm3-KO&dWSTH08bx8?a)-}O9L9>l92h_hwMI5r@b zQ!RwX@*z6AI(r_nNu9F1M{l;Vd`YvsEf2i>-acSCkXJowv1KPFa3W$kQ$u6u{{yyge79Rbbr7$*7y>;##4bWret=%pS+^Dn z;=?Xpb-s&*f<+&BR$br`CO==Py3i*~e!fz5QHZQC)zhCJF%eV;^UA+$wrsrKE#_mN zsta>erPldzoDNjg$3A~%2vZ;XY)T_5Ol$ST;WI&N2(NrE%a)z#VHcxS`TuZs9dJ%m zUpMcu_7)Yp;HcQJ1#H+yK@_&lF1woq1x5ud6oV)VwphT18pXc~wy}4Oz3T|B0?BI7 zEm2X2Vpog}Y~XiazZ;C3{pROSPEKw)bKafFBpE`d7f0#V#Doeovfi)|CuO~9E3SL8 z&P`u&8^}6{4#~jre7de;7Iw(%om}7xJLGRmwbKhbWI-5l(!m7<#7U_c9oIdnKc4?- zHAp>}4rvQW>$r%jj2v8$RHT+Qbi58U4z@ZtZe#$nI;2g>yADbWF10>EK8Es z2{~06IrxSqnJnSpLQe&1sY3!BCmmdp#&JUGQXSVlsUPKAR)Ew2bjXizXe4}98A)AY zE7C}v?_rJ~EY;S#a}H^p9H`1j>JOSTQUh0! z#?_Sp;)K+p&-jQFQine4DXx1`Mxb8{aYry0Nka{>Bx-d;zr|HT@>d@EHxSg2Qr7q@#)cJ~HuR>Dl z{6LXxH~Fj%U8pF2?OXmUPWyv5KMPWiphMe1>P5CLqg@?3ztg-4sVgiMs0UzZtD=z9 z)*WHE$G;K*rFK*59=T06 z2dPKVp(|ikFHh<+#?|0oq`sb0fkx{0Hsa&}{9qwY4!{Z>*S%RC=-qTbNIjYk{nI0@ zGdxupx%z!tkxUjJfT0Ugm=m-5yP}Y*zXysmuKwXGu6t5H{d3N%Al0UoTREilYF)*= zG7bH`ldD2j8r7WwL9%|xDbg(L9}eQA ztX>7giG{7)DXh5expn`s8?FRd$I{BZUN5QlI3)AK`i3&o@!?n@gyz5EaC_q*F9NH zCk$n>PN0>i!ED_fsBTEsNm{FMs~&UGtuekzHnPU*g58sK`_gCOs-edaS~&@3>)y1g zjAV^*6lr9Qh#*eNI;(&+{I5GQ3#_Yo)Enh_|jd$QuW zy?TSJDq5M!k=Dm7RRzhKuC*Fj({d`%xMf}@P3P41X(q-@___tP5Y`Fk~Ld%#;qIDiZpKB z=OIqYy5Cn^_hj`P)Uz+hs-=}_n5}2^&LLU%MO0}cYmS9D=~m~@%*2b&Q-O->o~-d_ zzX>zZ!=aTg!)(3aswzmt#!k-IMjfRpA#vRvoQ;J49Mv z*2W-NFQ!y!BkQRI;-sv(I@!pY=cu^u$y#_t3%d-grn&fgM%GJd z#7S9M4{_417GH7QlQsM7FgrmEqm>`QBEF$3BU#x_E{NB}xq)g2Ql+fCqR=9KWGS+H zvW{H--~y1jQnp2MH*S(yNDCAs)&s^A*+h& zxbC^N*XY`zAS*_zHg-sB)>4&?TU8;w5JuL@lnMk+%GxlAI4Nr*PjTIob?AUk*&@bi z)z&au?-f)PBx}R4BDJh4q63Yro@pG%N4{#SoZ`ACtLIp^31khYRTj+FqLiwFWc9Qa zX=H5_L7a4}cYrwQmZhj}%oe*r|Mc|4LqOJvv})ggw6^MQ=5vXv-U(Hk$r|Cp$IDe) z!T-f(r0%Vgjf4Ayahz~)Ume#y2d_S7<+~vDBwBTdMOr%?RT(+Bx2H%gYv_^`;-rHI z>0}lsWF4GPaov;kWB$$iK-LIabqpK^A6u#llC=|-AkJk~`}iu*xOH?AaZ;A;DXx53 zY+>hLT)|$DM$)Qkm$WV}s48e-j}9x6xgZwyU`6p4AO0d$H8ibABek;AE(r(O{Ssp9 zp41Ps?3eR;jG|R>a4^uSkkm>`l{QlQ`j`_^n>@tH#f~NsCtZ!{xbmg4d*J6i{$L|W zb!pY9a1<|#sLE)uqgp?cDjl>{pmFfz0C7^*sfy~xQOsl=_we-xfUJ{g)kHWnzSLEa ztSVcvMpjJgH?qb%I8H3=goNVCcZ<#7p47vpe0L{EJ&jg99gx;lIaL`+ zy)RItkvc(9=#>3bM3H8((_zF(SIu3!r3Z$M+t6pz@Tx87=lxo+6o4 z>7b&RRO#R=Nky_a;oz&D;>wrB7JETM!#I$22Ce!CW^lEysvrm9%T2klcH)GS_Aw`< zwj~iKrMA0>lTtfu#g#9WIoMj!e;tr|CaqfPleSQxsvrm3w5`U$+b}0(1(=hvmWEZq z?#Y^a?}(`&YaFfmAwt@Eq*WCp>(z)NjjZ+@;-swaT*OIP-zODUzAUz|duE@x0A!7) zReyv?+q$|6TG($3sC#A0Hv`b1X^8DAZ;6H z1xV^jA4!li*cQedms(A2#0ja@JuJitSF0;@T=`Pj48Cw%;Tw=TkydXOCT$!0sK-}7 zNm<(@5GUQ*Ru?Q^7MsCaj~WM8Ry`85dUuDkZQ-iQW?`$h(VU*a>P-rYG*Wl<5GSSf zNg_^4-OW>6_oS|W{)Rt+)Je2D0yDT(QdL1x`-By#rB;34i4!xppN-gO&8bg&_58T75XUx~-=wo5il)FRe)AU<7ee)}cwnNm++^itC=N*RIKZ1+pg7 z>f@56ZHI)af@JN6C5RWe>iu&n5Tr_31Jj6;vIgn6@@26Zyk_Vhi$T^ow7Nb)+Irin zGFsSyn$!zheb~Q9t@Ty1k?MGelTzz+T=`Pj!cH$#T>(T!&RM$C}6NknaMVYIa z>M?;Lnfu~Ac$%WR@ve?Z9bomn9i*N|t0#lhJ+uNObxcClHj7=W0~sfzPEuh=>ZCxC zM(Simbwes!>;tzZ=YrJpY4ycn($-h2LW?~~lSbC)lte-x^*_@q^ub_*|>G3j_aPR1EwAG2*{d7t8apZJ-}8w zhh)vroN?>If+CGusQ_`(t(z3p%|#q@%Sr5Y_X1iCKS$Gca9Eeow^LQ8I=LVYjVmn` zXr#jX7`Yj#cLs=)Qt$E=*F6V+?Q_|)AoW68{V2@ap%GOD9U6E3i`3z|oss&GtCEe> zhi$}3sgLNm?n$k_>Cx9g>P58rMVGXh+epx2Ka|7m#1VT(3UP7k1!DoEB{jv|d)4;K(8WxeSmPP*j>itC=N-ROp&fUHYsbqlz4 zjP8aO_RUVR#0X+90GZ({bIC+B*1yCXjj=tuDY(T&XJ~sY|e1QtComMH*Lsa1ke^uILmer2eSm zx+nFoyGG6esh890KO>~A#!}tTV*ij+q>&Y*5hrE+?jcUj;2%lFbx&5d|E2I5UXLqi zO@&9=oPw%?WG%%Kz=H~wtis&$eK=THiwhTFke+R z3tMBpb!6?t4E_;TfsErbShHz>I4N~AA8}G@SjRD`=vOal&h$(Cy{cnYE*k(UXV4n> zrm(F^7hozEyk)`nTs1wCA-RDf@wdOK+da(9g-5hz(*)wg->a(G%)#97fW?is6<4fs zwD`f2wf~OVlF?H(1(jFQngIpUHeBVypIYS+n$#+54o@kPaYE(c7UHDJ9sWh-7*E9& zt30)om&+e_$AQYLXw7LhX&Ygw0!U@Qup*7hh=)0$@{9!Hq{<@|#m_pz%Yy?&uBEb+ zmlyZlb`MZ_HLW=WymWOVq;eqkL#%ksvAUD-vLEKSN~MPV331|Y($(A@QGAig(mzLb zZ_j0OLFF~H##Pr1Ex8kw)e1Va$mYpM&G1%04O#dAYZaD^gkGmilFzvFE(?52&0;YxZ$S z+Zj1s)f_$hClzT_?pMH^P`MwDlPd2>pg8H}^*XmmW$6{SfBkrKPhVxK$QKx?iDleWovH<8LK zbycHsMp|_;Drew0>E(5JZ-mO)T~#W7!-Zdal6DD|v(EYRWl))-HB;c|nUd5M%v?^z zo#cw2@2Nnea*~U<(q7hXP*8EjUS8srP-!1=+Uub5Mq0a3h_p@3sRG8!+D$w~YAj8yh?P

cqIJ>P31EHq#f)A`9v4Gnl&(0OVukbxhM5Pfe? z&kYk$weM`MWh0#dJEyI{CWMjnT(eWJo%y;!4>voLrCK`ZG0O9`dP?K)g>aw>dK#iv z&9(OFY0BxrQuI*ctYV--m>WGMm_$!GF17-t$WzgqKvMM#%?|QFbYnh{M$c(B2aCZg z(I2G)rRcki=q<%y8g=`d`CuC5$?v3tY2=gZK?>>t^*nC{)5y-t%fU42-aTQHCb9?7 z*5pl^lRGXf2a*~nubc!@keOJHPqR&uXiX-M*cu<5T0}hqzY7tcpAHsYNc;ALUiT5h zD^xF}b%8kIdqLc5m*OC+OJy&We;k5~Fwxt?UXuE`4idXF8%(2!`;9@9DlsI9iwl8b zh>rO0L2=C#Tb4#zRF~k-w(=I7SgJ?M@yF8dLI)kM+TKNDVEaw(UFbuI z#t$e5F*+|G(l|uFqANR6Wj*l&fYC%)Qy?Gg5DWSGm5N5w-fm>4(AtFw)c#s|4kl*> zh(4aL!Aggym~GeOkXw!mi*s>x#oJ@U$fHZjH8`mU&f?PHjb{}GG6GyyL`=uHHHTK` zdHnv_IXFf8l=2r8-W4lyPsbjicg2dpjO`JbgB3v;d#^PI6D85Nb8|2u9Aop-b1>07 zdZRc86Xn-utT~uy!aqNkBPje|EYG0{-jD4&mnO=zu@B9qiWa_DI2+U;olwNzjh+F| zfrTKR&CWwVq^xTL)wlsEvUK#xOt2+U z{nC=oLayQ?eSBS3$CV$%Qcgx<6k7E$J5qX?MnHJ_FiY2UGLV+~0 zJ^I;TIj%8oDFn(q0G_6a@-52Jcd4SSlSx4~Eo5>+fATF9i0I;o0L*doRs&b$joI!}Gj)0onTlrDE5)atSCqE)2+o_tW7P6$#gp?7~M z26H)Y1jfz}1#`$yh8}r3i9Qjo!Rf4GmGm53{NQWZ5O3 zb8vC;d?z#q7r8w8YGw{D&b7}J=iuVJ`h0ETY?V$lqlCKH!GU~qsG-MP z&H+d~;MrOTQtD$S)#C9^um_a@?ZBM`S6AP(mAe*S+K}q#NDYsr5~)V)_?y-jsntX( z-qnY!+%zn2hsR=F!>M?($4(9Rr}`53!RGIUz!|pb@)3x!Y(wPR0Ay z=gM@qY6U*>ruQdXpQ8Ku;vPA6sM}Hv2~U{IFAn%aX)V~iImxTM;_N#PvDAE z*>0mtyKwzX8Vs)ukK64yc&8z||-1uD(=kWT-FNiv|YQ19Gsg1Z4ZyT7dA^ zUs1&B-xLwoSbN%zBmAQN!FV(|G7RD}5n_EQ{2U0%qLatwL7&%0e;cQNLmJo6frYgH zq>{Ks^&%+_v3>aF9}-W(MTySgCSk~ntNpkgK-fV z)Z|3ki;-y)AumP_nJ9QM>egi5i;-!QwihFpOjf)Y**2YZFfy0aO_d#}y-##%D(665 zeVE8i(-8+CF|u}f*aK06(vjQ9JE(zge|1$J-u!p*t5fFFXP9Q(G zT$2?LwV3Q50@LceK*&eK!ab{P1r4Qav;m_p~2dQx^BsW?}?+T(r9;=fbYdO~*&1^mtUHx0WAU0!h$Pg*{U&HQd$P z4#$$ICxj(C8m9m{zU1C7A$rEWr|4X-@zbO_$#UuRPD9g)xTm3`-q4R8Hmyy&0EOAw zkb6(zxwhcm)0{Xl@7~iJ08J;_8bCIkSkVA7O4OZYn`~8C z*t!zh*kPg_(Map5ArBh27tyYGb=HGoH#Hi^6m2~%=fS~k1KnYJ&_!{Z(5)pCCCz7e z+KXXhO|x3O#6$-MtXsT&c(Op!gp43d@OG%_Hx;1~d*~%}WDt>+v1B`4ee`sqX(MjA zpIv`%pzA$vbqR8AH*O zDLc$$MkeRp68U!-d7l{M9UJF|)^jYN^@;dM6fD0W{hJc~eZ%qSAf>ejBSHq9Mf%fs zSHCZKDA>TV*B&W)V7WnJ+TC>B!?xTJ=`EI?Dc(1Ug<-nq*2D^S#1327UuFwdHc4`@oUg8BQcv`-kbu~nL z?PS;R2puY#|K=Lv_+XLr!9OaFj6+*28-Bm5Pou?02GQ0o8Nb}36w{g?2%zDIr)gDa zemH<8JKFqM0IgBVy%X`bw@|kCW&o{G(q5_fs!QvLsNvB#UWP)(qdCnynO)dZCOJLJNYBNZpmqLhf5f4AMC|6M4!%gK~$Zz zBXdha*RIc}tr~$9#c2gSMMJTlWGq~)Q{7Yv;u{$UV%b3$(Q*VZ=??Of7|J~zPJ1vm zM5&ze)K?-7YkU+2$JwE+ovw!V&Qw<-ime<6#FdVgL2OXjL6^EJ0KxNMr3@SM=!iwJ zM6iz5q=&%DNl|Na5d_Y|gJ_fm`a3#US<9iW42>fV0R|NvxV7R4IRqYwI>#*TFPcW_ zhKoBbS33>agZ;z(aoWl@AL1yo<3p)L`>?ioY<^!xwZh8Ow!is07f-bJiT*Wl?TGuq zlSYI~(~QHK!~#4x))?=nEZHm$mK3DD(c$>gR3p494i(KSBC1tETI7<}xFkeJm))6) z)7i4+bf;@c42E!@9JobPCKH7AktVbIADuULXl#anVFx&*viEEA;@$Ck2*(hl?Fl8G)NG;5-? zIo%pIQQCIqqzAA{%9Wt$TnSM};s{@LKt5f=Db1qN4h5&^DA(U<2fDptHb%eu-n6Y? z!lYtb$cNIdc5BfGGk4ktbBneK(~Hqf+kxr>mPqjdZhPJblRrNb@xj#Oj+_rBKku-7 zFgbch*$0!SKU4C-WcO#XKA1XxO4tVj{cJ@;c?ig>rxv`Znx5jkB_k5tavF#i+MPh{ zl9Tz=v{#D?c~RzdwJ5;rVE{ro zm`*!QpR2euF;nQ7MbjAtA56n1j;VTwIC9bNC?NdU|`kd{9$t|A)>h(7l zG(MO2!Q_~;A|^~dJu_#b4Gdd8n7nvq*$0#6l7_a&25}1J738d}h7XRZSR5(4@DSFJ zj#x)mM}Mk)aIk+6+Qg$2;jy6TKHsn)=Qz>SP*ARBL%8 z&q?bPXd9yDJ=%EX$5RR-fLVDPg)`bm3 z0pk>hk{C87*vF7s&3P!%d5&~V;sKB5i+-%T!WBEqx>h`pk(JIbc=Tc8jpY;(hL*mN z)g?f&+3!i4Xlb2>faF7}-17V@BZv_?pbM;}R8#`k9ixVtY^U-|E}~FcUE)~xv718C z0q0|C<-CecPDcCMsl%c=_{D-w6Q;$J6cFdyI)(TDyl>>)$GJrhQR>QgKxaeAZaB}Q zP7E*&#CecW;~SUbi`^q_X`6Nyb(+4PVe$nLovcn*=jU{^BZj?tza5Kmt>S!3r?DsC zbZNauPV8Dz@lF&%sV>lTL0K0SClL0B=v}D_mG@-(6%^G`OAn<UaFY3Hq zBX**14B55iA3EGO(%+FBqI&lqinNvH4eVXg0XWHo{4a3YZ$hnim(H58?-Ay5GS&C+1Z9exS9izPZsprDoTS^Y-~OwK!D18=VTPO zTN$K^;SmLetAwrUmMte1HIiP>+vp*<^}G)|Z7W^EKh3|?8*%D+2UwbZz5Z~yMsVtR z7lE1L0S+4j$o0H0X_#Kmd$i*4I(0c!Cwtm8(xItZ-?ITURqNX=K<8pvL;G`poUEa? z%q#e9QFXxo1khB??*0Ipsu4a^@#|DI!q)=iRITr&Opu(YN#5&~b4q$I1jwk8-hNOg z$Ao<8)CXHu7d-^G)~Cui+!MXl*K)t5MD%6&t4{0Uw33JsOnOV7EUP#`8q16-|ZR4P`57lMHe*cf~ri$+Vy$41!}}_501HY z!5tc^>VhA!98Ogi%)8!l>w*ii4xd{W+}q*wmbTncAJi*@30H@=GI)8xQLZY37kMDJ zGT3Ihtg_H2DuZ2q<>c$uWBmfEGT8KArx9PB?+{navz2SUCF2<25NMy%l6NGu%HZx5 z#mz0q%IUD9!&6RcKGeZ^izqjB%?E3+ue^AT+k#t0{AZ=K^bV+(m zuz5V=6>%$!OIn#1P+zO*C7vAWYtf`ab$AX9EE$dxaQ95M} zuIWPCMNsIY{p-sa1?h#p*9!*9yZZ|Pin-&|2`|jMkSI&4+IPfxNtDVCU(P8$t(Zr+ z<;6waq`BT{-&-tf88!Ib3Q5zK%U)HoG}AJf4ZvmPSC&kaM&in> ziPAuPJ#3;JZP#a97_}8oP2-yy4Lbxi99LCb5!yIlqR{RrU+}>+rumQwH`0K7z377} zobzcP4D>47MENzLtAOf*2SX@sugd#ia@IE@KA2j(I_HDQiC0@bn7nv(*#}cNf1~7s z3C;RY>iRdsCQRtH6&LkZ$F3>p6uthIvvrEE5ctiaOHOHJ#cR?oZWd>+^{A93y=yF8 zV9+cOUYqxjMN#p(hz{cD?N;8d^^kn!zHeE&q*g%uR#_)_3!B%KTpCpYRWK;XOQl^Mf`Y(2nuCRqKRV?Yg0$OAd;v)b+Lp^i~M3FX}{0L#OC? zLt3Y}&zo-a;?DMsi&!^U9wCRwmjA4*6ZJyjZ5f^7EvjAT>63N<5(U0nv%08T6ud30 zL^pyxu)Aa628jn?I9(~FI~EWP5x|- zIGt}tVcIEGyaX8$A#Q1}-Lb(_+I!8*s!DrppSMBSWkDjm;NUp^7YiR8J^b*)@pjk` z(jX)w+m=KkNDr;NqR+;0**sGLg-a4nK?@nH<)=jj!x&X0d^D?pZjtbxI;0pQm8NyE<#6+hy>2y-&m6bLQAuni8-z+O47&Bd*8eGbC{D?6>c*TTAoRYU z!S$wie58@eySxqD6o*Z%za*P3MP09zH-VdC6q)yw0M^Iw-4Jem5ys6$B*y!}O)-cK z?vn<%SB%b!^wm{YUk82pb*~Myp1z~P%`fw~xd@fPR^EnhijrpDJ8p_XMaP3#(B~~V z76+D*;bZZUA3io)aP+Ye%#?vogG4lX4+6y5m22@wOf`m~=R+O_{LG^(J#|lA9@P5ABe6H=_yu)nUV~#}>ZAn`<{DZa78GgW z%r=+wK*l7kT05Ibt)7{Y!X09+HmL4Fc;(3&edP05p+UJOg%1r^u1=8}sbb(_c7SFX zw=uhVon+`NkdFGuwiU-jR^YoU-kj|c*|xeN0_P9?F-$E)wjH-9f_`>hVBvPR$hKo5 z#5{CT3Ag(}HWEP^YH!csc2~|@phlBKofkQdHFX|IhSWz^fpK{E3RAW%Tuf@(M~U=- z$hO6-CUH{{w@`!Im1txNcXjjmb`4}dT)^$2e9N+Qdqia0BF>fV&z1!%4=+Wrw-4F8#)wiX;LdZ+A-U0;M)z36Z_dW2Z2;i1bMoZW*4 zzC#V{N}McQS0DdI&OvyEhuGCNp!v*!yYVpY=8=!lXLJHGOG<#yo^(5q&!>URmrUdV zBpxMORvxFMJAz!|U3vrvHViyc9+s z^~A+9N#!lseW^_7h)+>djziU86A!xs4bgzC3X7J+U`Th%;9y(gA1F8+6bPWvNVHv( z5ipIUGZda7ZOckY!NN{Zqt1GiO;DHkR#~Y#IO_C6+Cd#6o@J!(gVbr4hXO@9tv@7G zP&7&`zPBYY8YA#&8Zkq5L;|TQ=rCSO!y1PYr?G-Pv8E!3@UZDS8tK@{j&7c8Jo@`r z9BtBPY&^KdZpKl?LvsPCpRX|4$%`$a0z)A(9gG|y#(BgDzpydV!htJfgEH^LUL>Pn zG5G@GoP5@09F1i6Lv)K)*SDiwBpskGK2nj$A?jj*x;Qc-Nek7* zl8i)J)J05PbQUBjsV)X85*bw&lj>qcM3UC1i<2@E$*7Ah>S9Mhl1@_>XI3P#Q(c^| zF1`|xq)XMsl^KbALtR{_F1}Ncq?^>mk1G%Kk5i;{G#ntNKR!fTCk(C#}QWw8gJSBDU2X*mSon$Vni@#YC`G>lAQC+-}m83V+ z#oI-J;58w6v4^_Yr%oatP#61K68ThCUNowUql*%0Ru?z|vJdg)g}lHEPy#tKSC6ms z=F_i($cr;Vw5bJhT8?(hxHuI^vV@Brc0EoTxY$|}7x)yDHof!dOHFz49*N*1OQ{DV zC={l%f(PFvOJp)zKh#W`$BMG8QA_e5B(Q-7X~3e>04@+M*s$XQ@gt-VQP}7MX|v=7 zqD~-)L%~DaZI=3Mii zZ25bUP7gqyk{xV$LR#4JXjV!+1kEgdza@Iwa<4S3<(I07+ttNSq40(R6#m$ft>0Xf z7dJ@@@ly?SNCD4xkmvd0hOE?lokFgWJ;jq}`h^cLUvAY;oS~jHi~88Dq+$gJ(kW z0+GqX)Ph(QBt)-35YGZ>gcG=R#Jq^Q1?V6RZp$G`&qpkY9H5Z>U@8ygZWVbkuOJ-N z{GWnQ*!-Hhcu7WY^9$I(wF`x)}$b#?J_Mk4=G7tci` z@>g~77pb}FamDk;is*9FBQn^UeqWGM4=U#0!Bp}OKGc?^`)sN@0J*zF)eBtQshYUM zmJ094hzor5TaSX^eEbIv|8CudxdZ=%J=nbZPsT#-Mh(Ec|E+JjFkgp)#!v8H@oyf| z^=tvy@(1x>7rU@Rd_Rlm*k;@qeEichCiXJP8u~_UKQ^54V_ymZo4PHpw>uN|^U=f3 z`l+H~tD}CLl?9fX4~qCl7(Jt|U;aB=$4)8w`RL)BDSKAQk6oSyHuVco#6N1|lngMV zzw{8ie(F~_4`0~NcX6Kh?z45iZ3RCcy{S+?bwBh;__D~bir7BBh#$K&pp6~n0KR}WcH{#10@~PN`S~shXk&XN zfG?np?fC${fHt<Zgy&>3rvsEynsrui2W|Owo^x zhe_WtmacD%ZD~;u+dP&fKC7re>c?1wummXLA7RVNge|os#InnBgz-yY3^N}%>ZfhR z#(bj{!sx%^AMq_O5;jrrU>U-exD*llzn{a3PKF6{bXFYtlGx?@0(syY^=Nruv_O-N* z9Umd=s<4i&hLovqO_}(<=iysp5q3wuPW~gC*W?KMhNs=t;HaOzGb`D6jBZZ@Gh!`A zwiwu|LI4&ZyDH@8TUiXiG67iFj~!PEz_I~Y#E-2g`>|AjeVYPgGXb(2D}H?&^8uJ0 zfYtf24TS(K9e{=W*!rR$%j5zu%a74p&-GJ3$l(9@Up?YwmfD!UD=ncq!Y;Il??(|G zn*~Sx^xb708!i%dUs}gTa92P5+pvy};--H3cX=Hf4ioku{ww}byI;!__IvzS{3Gnt z0%4DYb?j?o-}E2LI(BNBu*dOV@sDJ`Tqf+#_^H8NbF7}NQ z@l`T9c4nFQDtR5Fr*rjFuRw$NNBT~ORQ>eJptu;lA6q~5T1CfBD-++V_^ z@4WYSFYmrX-V3=*NQBx-g_KDWQY|T$q)Cy8w$cr0NkyThP*f&FRBTcyrT=rz>^Wy< z-W~t;=N+H(+W9`udCqsf=Q(F)XJ%(`4SI|3jiikSFgo=9N45FUHfm#3bD@4Z`t|Wh zDTucFtW4_G#R%p?{S@K{x)qy^9?<(tyB|70A9{}gbtQFQ(1>6Cn6>V>DDkVUTd@~> z1gk4qv2ilNPB*OBTwH@bXQ@`~A!Gr4&PI#5kap+NHX5i_>>-`_oo86FxiY~T=~nC^ zg(EA~8Xqlw)Q9iUHB3IC%DY4>@ZV9oKbxe#n@j9{0jR_uA&Mr*^0jYSXW(-!}l z3yp^#p-+1w1<^hl>m`^^wPHGL;|j@&Js2l`SLs$vr*%6^R_sAsgFan!EB0KRVArF? zTnHB}L9lGYijiM9K%ZRIifJ0b@(nBYoJ6o9)rx68g82l-83G@D;vbc8zy`$$Mn;nbixBK(^tNCU!4^fW*ewZyEwTCCq7f`+^BYS2-mv)%rG9Us z#azgC4E2$^? z4$$Wlo8Qd@>pfp(b;);#n`zzkmb&=1f)NoB*|tEU79s zQ>%*2FsfqH^{Uv6C}KCZzzF7ove4&)!j0_`3`6PZ%M{?o4zdl4VBH=wbb)z5`N!vh zQ^-pjKslZ;b;Oql+}@|o+31GrFF7AI@C7IVWH7Ozt;HV7|Dr=N^@GayNBIc-#aN^SJ34O#C?Rd}sQV#P8Y|C$To zutq1?JR8^562V@!VN~m~#j#XXnpUew-5wJeM0w?vC751$<+@1_UoZ0bsoM+DuMT8= z6swX#>#!vVU&-STUTYHsyE?)^g3a_H=8vPrTnI)vgllm>n#O$$mO@z@k_W$R|1bKV z23dGbkKZjt&d=2VG-F8Hg(|#_4U;*Qf%smI^WOUL6s%qsvJw%yqF~XtJ!qy;pVqU`S5MXK| zNQEOf=`M3_G@E4@otIMd2u8aQg<)N|H#V*DIRP}B1z88A^i8L>n1!Ts(0^`P(56k> zvgh!|OBQ2PFD8{?ZyNKNWRG>B-9JvN+Wq774lQ15gsx{pb}coP*sS}r&%;4_o($Qw zW0%c4N)#e%iO$7ZW>r){%*4Q{45u>&2+F4@|J)U5yw_FqJ1XB`&6z zt@|-}%Fk>XCr8q}iD75iy8oQ7=Zr&3eaNn-lZ_x=WD(tu+hIaxSJOU7g)<#f-Jfcf zVYK^k%h*!2``>MIT1T{=1KD2HrD=1ES;)+q7PPB&{}r3Rcp0NMfb0gSt97g0x__)( zwfo1aJFgpG6XTo<*_W_A81Md0sAnf%YV>DcqgzIQ_H`2N{_IvLEo5iuP>J`0X1Df{ zH8}~DbI2x}-A}>FIcS!XCJ1J=%DM)rN-%r(Undca3`;)xv3V17c7H{kqfescJjiYv zB^yD!$YSaKPC7*>m>T`5a0KV0AGe9DlZ9Yr_nY(xR<-*lp8owAXgD9TeTqxd<`$Fc zB&6B>=9VywPCRLw7PPB&|K{!Y?!gERA^S?y)wR5K_4Ua#xtoW6ew z#%V-$#^z3>##AUuO1Qa2hcql+DEi$?W;}94TJ(Fe-w#8FL(y+K79SM-rE$}-=*~7D z5(sPjiRR-N7XQYu$WS~K1u$b24>dkp(CT(59umbOL3WEMIu_rKP9t>4zR^q8MtUK9 zt{1W^sclRGPj+BlRT{+hkPN*$#Gn}WW-R0*#>>gcl~6n$LyniAcw&q=PKsc`|DPaR zQ~X2{iw4E?Aij78+GZd+D?)6~NcbrR#n02m=X&vz4#o3y-oX5L-A-9&AmoGM7voU; za)MODyYPw^qVr;ztSwGrLF9FrS9?QxZtg!dq4>1~78Q!uawUt`NduN`{1}Sgj$)CZ z_!AoOQ>_1~7xHh2l86Z(6n_?n;x9a8?F;frfxI?!bMWS+V%+Z+Z>OF+$R`7ezljh} zylbuan>b|m(8$`pD1-~p`v6`0QN~XR$o)D-YzJ}e=L8fVrnX;k?J%w#r&0cmK=HpG z$ldBePd~)5DB!P|AZxXvSS0YD7A0$S5kJiU|2ZV4zk!OMlHfm&#Ppvp_eRVtFi zu~QOCrfQJgi&ncP1{EVP(Df>@WhwZ{0KXg|YdJpr6bFA^f~@81SbQjwkE|8pU0v_t zS}(e>L(q1O4E}kv-h2r^#lXKnBAyEpSXA&YqHBwi_(=o*5*p=I^jw0TuTdNJx*vNz z@9qfOguD@nVWC_6;9rVPOJ(rCEt8OVU%3Bm46=+?TZYw^so;N)+TKIkduWSOTO4h1 zw5?G|JsfWSHF5B-jj{&(>mm<+@_ZA7B=CP61ONIYaom7GHfZ4A6yuJYqt_n3sTM*q z_!Ag)tCu)#lkgK(+b&e!p|)x<^)H0H;QvYo|F;q1h_}`Gzm0=`mx#DK(PLH&;axKL zze|9BuR$F5=~xi`QQ}SiZE_be>jOn1OFciHyw@qF#%^I|L+Et2>6c~-1K)`Y4~zJ2yy z2-L%1c$;y+8|7`C9a(jF(_aWl5I75iHsA~c=f=-{phFfy5iA}EG!zUQ#jeSnUW||m zf%7rwMS@`yPome|S3#gL25T%sps8Tk%y%^WhXw&j$Kr!POP$lWM62WL`U64;w}?aF zQo*oI5ke6x9td167WkO~1`5(3vKysa*g zo~z+=2y`|efOlvIuGhFJOPx4%A$ni$g}`+>1ad^c+}I~Dzav5z5Dy~)1#w=hP@i|^ zMUNt+Kp-E32E5!9NN%X}%{374V=%uD0$~p~l}g^XlDi>L62&4xpj(uiZitL(vUveQ z2zQM^pjS8*B7bAA4TIh#7!Hr+bg6k7LKy7MBn0ji3`ZCbZGYw;gcJzeV?bcE!fD*^ ztNryE!ys@U!uLfWpb3T#N`*gdLU>F93kH2i!I2#pC*h|U1jdt@DKH*wr*9hi=tg zLI`8b!WhrdwsjRhB_Z&lhdaJ#th>_F6ap_qu}BbjDM=zOh+&Z-@QRN+zNg$VDX%*O z7R9kB5QtO8tFTHOo!98x@x%D$wO0;8$OnNB(D~yiiMUq9Pe}-TF7)|A+MN92RS0ZO zU_tY?D39@F#5dv3Z3syaz=<`mL*u5e)%k~?--(bH0^4;6>`ZWzk!(~q@+LwU>|1OL z5A~REdyESQOlk#z-BBzU^aq8T_WO3VKDQYJ_8C|r5co+j{8<{QH}4OD9}`$GXi_lz zC2~QVf9^vFgB^-N;D})OoBqDqtPVn62>hx;;7>1a>(6A}A8M6A;3&dJeGvFZF#N~( z^@o)cAnB>qgXKL1%ly)zE5Xdj)5AH5`kb7!SG`B?MZuHgy2O9EGh(>B{+@dvANSWClNxp zDgJ+nVAx8(?UQjU5mF%75`(rC4BIAusQ<%I2)5C&_#oIpFzjF~kN~&qvM3e_f>#KJ zS4O_yd&`3m?1;fSMj&{##%Xj`TNEY}2zepc34>lM7+x0}wz1)52&oY4l7wKkU?}Ua zR;uSAq(CqWgXRl{`N?Br4^D(&9tO+vL9kdb^h*~`{q_$C7Dcg05DY~*jgrW7`4{v@ z2;pE1f{I|+RekEpakn7kg@67f@pXf)&q1&k2J7X6;7u~8(NCJ)@5l@YstGI@^k%_uV5CK~UVDTj2o8uraIj!_ zt2(m$^cN8FLU0fU9ZCj$a2VDfnuNe339=T6V8Q=~OT4kWJ-^OqdM^a;!f1EN5F8;) zBRyvtUtx#ci>7{MM+l*544NMH@V3TF!Say{ zAvi9I1Zki;Oe_i+`1Scf0s1STC#!Zh$2X(yjc7$XIPDb+-VVW9kxv@5qpMs_+ znw}Qqr+X%EUS1P|PsOmHd6qCeBR~4>2+V+)Xqt(pISJm@bFm$*TmFiW3c+WS5S%Ma zFT{LH+qXjqP0yq0B|(0Hbnny+=R1f3L2x0O7NY4@K|YrFGXI$i z5t1Re1kG1#3S279kCb7lQAo-1M%zV(Qy3LGbM)7BsIAruXD|eF`3h;BquA zM-!fCW;4;M*ny3=#}HB>_&%D~2-Anj>y%C{5kk`kXj-T7TA!%gB-<+s9d+r8=G%RS|B1~HoJ!(zGuH1sAEol16OS1B-1Qr#) zL6iMi@EiOFT@uIt<7928fh7XL-6F`IXz$H?zDG#If?qj9265acL2z#jfI)J0?%-_{fh4MX+G?PH}F! zT5mG>yL%8)Aas=hp)L__3VE8>`nVfHSmPQDS}IJ6vbg)snFygNjHVk@UaPzOz|n4R zK&V?1iw2= z4?=z75bB@crU9`da~uDJ5Z362?G5sA(_rsEp}%AZ-GVi4Q6Mx_;-+Dq_unbM0YXD! zSkNV+anl{@3-u2--;y=8jUq@{tG>*a?@CO?Wk}1K}btt(IE7&!cF6S`7`#_fzUVuO9Vm_ zW85?;vGU7Xu$_rAgmC@~J!Wvz(YWb3eZ%If>muZZ(6c&(UXZxy zMNj)vS7S!a#Ts*E2)*Rxrk9d8{NAQ3gyv(7`926Oig42_%8Iwn!5WJ^5Ly_8(5osp z#boV8|3C;WNn+6;^hTVUmKv8|xBMG~6bQX;KyAVXp<0Xvu9<4t9L^vfi)5`gtlnB)>ieg zCZm5x$P1w_bO?PVgxapWD7Ux{ArFMUj6&#}1h4gN@_3g!&p}9q(AP-_?ecKbF5||+ zw%HK+4r_cTL1?eaP5b04C%k$+gucfb-)j&$AcXqSR~G#RYwb6%L?Co9#%mpluKsE3 zRS3xt!gFh(!y@3Xu`VwjxfDXbV8CB6;2#pNb<{Jpe&ePP`aOmPYyKt3A2aUzX<7}0 zR0#cvHIIw7{*7;1AIB6ujy3*CKnW-~2bP>7;injs)YQmYEgycuvw^*IvUZvReQPUF zQqN1)>cz1rkh`ADoh4^sIWr0+b?I7ttamor8_+1{dhwGEB@GQmxuj9N&#eP?AQZuZ zRWFQ^AdMCLWI#zvA9uXO>mPU)I<|;oLGz_LH?`5;THU2SLOv*Ijpp{kbXolN`NO|N z2uYU9-Mw3MDrfSkPQ4Og$o}e%a>{ zD8b|3k_t5S_VBiP8^fAyod+eoqF5v-xk;FAGMeo9bTX8vXj0L1vmifExmFt#LdXLp z1JFEJm~K^e3_P5N5Sj*|X_z2?yJv2n;28)-u%P))iPN~tbISz{Zh(?IVpwD-xhKj^ z_eP2~SMEnhf|9$@JW81Ei?o?AXbVDU8i}Scg8Uex`0(qf`~y)eXnshT#!25d8PEkv z#-eGg1bG*!B=sIar|}qLVuY+s^5LgAluV{;kKx+nIOKk&5L*=2p1?8DQzC0qlMoIn zP(n{alst{dQ&A|HDM-$W-2S5X1%xChnGu8hK{Uv-=r}uyXOI&lh%Q0#Z3!rO*+XF-$$K%fwvv2GK>k=7Wt9OXtI+!k zFR^Wr@KX%({-X5^^xTP_HDqGj7004Ler;;|UV)N52IP&?h;5&NB?9@E$I03OY!A=6 z=69CJ+RrkS{De`msOO;slpMk{)>CPFhgB%SnX2T7OgxW}Pa2f`K|PNu_{o5hzaqqT zOvX=G_aBw49rxjEQp*bk+n0igU-Zr{5?r);rcQJzt-_UH%8XZ)gXL!0>X_HvewYRg7q$>YmL45 zNr!L~x^^+HHNmx}bgdb#HN~|SaZ<0Ph6NFNtuov?iJvsc%ZYHBg;K4d-@OLm_UPO$ z3i*%njmwWle#@;_0AXJgiv)S4US6x4Pww1r0J*6J!dGCeaXK^QPgK4;(Dx#8ZwnSQ zUqiRMOANwYWXPkMhqF`&Uyod}lZ2zJWAQ3n zU+LpPr&U0>H=25*=_cNd;eN5RmJI$FAr-=E62dnN)4*8w0r#v!2u%afG+3B!jXiL| z?9K?GX%L!*3Da${&t6~fB0^}wuioK1gz3&?&C?ssLkLX~G~MH6s)p}L-gwV}wh+Es z$Kr$VC}A3v9Bq7hA%sVwX(XB+5T*x`e{JmgD}?Vy)BR}Dc;|*6^8S$c^Lq$CsA2I! z_~96DYkcCi28Xf`k|8`U0pW>BZkm+X@pS)kgs|2`to4}2O^>U8jlJ+Qgb<#L?M)G; zsp^Nzz8;1UnxbfWN|>HjNB#8cLWIyX4NWtJX_o%ym$}&1Of=0v)3b5j)*SsG&uQZk zQXo9rfbjFeG*^G6N!ObZLX(cBdBQYb*TQYCK?qGRqUmK}TB!d#TLFa7v;a+ug=vYg z`Rl`r5JJ-{XnHNmX}lg8wBWMt2uTo*#UT8q#7%E`zTW!%1PCuhc&QBGcZF%0=g-JC z?B#dR^bVR<2<6|4UOj484nhboPe3>>Osf)`Ce3>SAvEEkYIu$4ln)b|w8c2ntkJM| zA-qN&G$qjVg~qpQi@v&e zt{)+UKi47rrNT{L`Nm)QegMMT3@i}{e=SVk_!e#K*bTxv(6j?hI}KjThg2p>YzAv7Hkrr$iDC>8A?{41J%MbjTK9`I;%-tZdh5kmO)1cZ;t-1N74&29G_ zhcNCV!gv%L{#RqBQjhvl&$2C~fR};M+0%q4WYYU4W*Gg-MF|e#&VLrA^S(1WnDOyjF`y(^uYZ zijV}Q&0N)oF7Eszn$Kr$1>peJ| zmu6v%>oJB*X8O_`#N{}Y=ElfckrztyB2ZdP*Zc;Q`f)8p>xIxOq(Ety>=R1yP_z^e zMN5?gS?h+SYZOYmOJuFPfd%d5I$5hoU{Rs8hmWlFB%hK{+DjvAy=DA__C9g4))(=< z8kF9okhOmJsh<~02hf-|EBMKP(t(uOE%@n{2$T+%iRZ2O=~n!I2<0*iKMjpS>1`^p z-HxAbm!R|x+WMU;eoA_9sX^|8qPw@=?`UKfXM(96VDC3PWGBcly5sBp8fK|qW*FUx z_FjysS;*_s!wdYuH#x*0e&9(RfZ&hC$w3Ii=;0s!XqFrTGK?Nq_Fft%$CeDE-@p3S zM(i|&U^VcDpzmTB7jLSE;34XVn0fxL_cA=l`NqrZ;s@xxknH4jf)PL31^8eVa`OVi zdMW4^Jfz|63o(Y#+a!9wCE;#A$*>+8iT5fVvhIsAtkNKu53z@2j-i$O&u%E_&m#vSGFKGwp;+& zePsK+z<2}Nnj{7;I;4?cWFca$(Fyh&{xuhZt(FM(7bQYaf-N-7{4c>)>F9^I95E1Z zHG5!!6TH=H-V!AU4)L~8?s^&hFNEw{V(AH{ww46t1XHRoj1o+#$1p;Wsmw5w;CMU1 zVG&L+l5i5d(|d{D|9!0A7_x8ko+QCZ5kiD4OhG7qB55KfW)hsi{iuR>MLEF{*t53V z(D50v2j*`$hA}RJ?7NMVBxomND)@|4mEc@2!zjVg9!_wK;v}fWZd-EZ$LQY#vPZ{V z2+s4dfTD{RYF5nb;>9+#OoFc^tbV3~Z$}wM2|gI-1RtrAgAe=%f_(_ILD zs-Xj21VM8Y5|CgfL36Y+%p{nwZJbH)i#YKi3ne&F43ft+r-Dz!k8S6+@Ua>5}c~g8yokkAO`Q#kq@EW z8gy$4*;A7l@Un|(^7^l-_bnHb@|vFHJv&=>3iqs$mAm&j%)WbU+nI9#%L`;8g zRGI#kYNcBje}MiiAvvQ5S+8c|bwXJkTKx5sVUa^ z7d}t}Jz7KdM`$RNi91>7tY^l~@tKgxVJWTw-g9cj78(RI58en)xGti8=0P6AUe?gB zSfn!L^{J2Z+89ak+L*j?S9=4iT?*Nsy78JXp#xn=dnT_12`iS4*Q;K_H4QKSq9m(J zc_kv8*XNAay4c*UO5{6zn}&HLVsmwVnUOR#OC zymm!7ukSV9KeK*6cQLwM2HCq3c3xbY_x@iFt~p})4I$;QPmHPkDQ&QS=EzTPL=GJw z8_%NH#yaERJiIr^Sox3R+AsK14nGPV4$7(iIT)|Mwm+GueUSa5iw=$>s&skk+86js z2@Fmb${{K9zBruZ96p%ZwJ!Qx4%ta9y$*OodMXT^%QE7CcdWCzl*197bNEBy9Q=o0 z8i{TlA^V8o!ePVzn-8u!;LY$h4u4`lrsn=*LWd_-9={0Pu7K=6WfuQWv4;X243p~LXaJD)(kipY_EiI-x!3!s|fH%E8*+4M4%>3~Iq ztux48#f8_g2#X{7@nrP+S7q_2Ugu(Grt+&H%dd5@^#hWf(Cr$K&vnT!{Cx(CQ!Tvk zM;$C4<<&^!S7VW1zj}uKjBZ^(Zsd|*_zMsgr+RpKB^HnJY9jKhsmQN)U-|k7x?Kx$ z6PNtL-REED6e)RzdDHg8o2)RZRnN-ayz&DYG2L$ zc)4ohg{y2lUMcdc?Vgd-&@CI}%iZ#;v%%t2k6zb%Sv;y&Cy`&*i2S=5X6Hu-SZ&{cicyPp@8Hcwr*7(0(it`K5^bdh(|cWWQYia@Z}uhDa<<_4MQI z_I~Up@~bSu^Zu`)FK)$V3qkJYmS1{= zd+q(hIXJEm7@jKMvt7U2;ZG6?cd}3pgMFOC5QB60?&;tv^az4HIFgzMnrsHwEM|h6}GXJ{CvtTB};IbiCZ>khJiN&cNUOT)j9_2Mr=DcRJF|{sM+^*S;=++Hnydy9D+`m(0ajJ*c?kI~# zdCd{y?0Fy8YtEjr61v?0@*KxF153e8X{W#a)dd*|wAI}L4>bMEp><;n^ zl8atR$z)}@z7aT?{5oRq$Ct#Muu$aJUq2OX!Dh=qe#tQ>aJ`P|)ywN|dq2J+^6OQR zU)$!_&%$QQL4L(0zk(j4T6y6QrCB_hU$2S$S}OAEiMjg&=vD#pYcBbPzp7?&swKbh zr`RkW<@Kh>uXjX#{dV}{dFWONGTxVzc02~p)>)kD;dQRPAD4;zdQarn&j*X1#%6nf zyv!xP@R#A$%j+V0KfW*WYqiYhiFeCCdl;MT2{PVym0quAYW4EE#NLk|B>6n?vB<9{ z%l~eP&E5#|8lMZVHhT5)y3F2>Yejy2D)MXZ>%%X@W_y9W&Mm(>8r93|YA=gN^L~TK zuY}02dGSqep<8c|H@M~3wJM8KJ$avP@5jv|zqW|{(tcQb7@O?_@@BXE%G0ZtSCPFR zw~GAwD#`Qy*RA(ofX(&=d7E2)g{11`rP%v%htBi`fpWZu!+quU=kig2khG|GmhsA4Gn=+vins9NiD(@7?n2 zW{JhAp1dDy@5dkFydQsxr}FDy{J}G8t;J^hgM1)n???8X6CeFx<(2N3+4WdH+$F~9 zQf+<~+8kjV*2TU{-uE}U4FLI&OOE5C-&h>34L*dzilyV_dMt0b>xlCDP4N0N!N*>B z_PaB%iJL+G!-W?2mhFAU zjsfv`8Y~Xi%W`KF!7}5e@pzQizY^n>b4rBsn!9Tp+2`B>IW@d4yv9YVm)C>@i${3n zoWkeOoH{b+HE&OpxDA4wnr?H|V={|VJ$g;y@hGo4ajw@HUe4>&HGR8avx6b0u4C-+ zete4OL-p{Q$>UL8XYe^Rr@q8_spWkeBd=Q_=Pb9mYL1Eybg3R*bJbKhdM+ZTzRvYJ zFUfh`s20^hw;_ygsRa`cv5K zZIIL4 zwo8uVGlW?ju1#>KVZ}1*v)vl2OSQ?DIIkj!_gOn%y}IajH{|5I&7u1w7Dw>aN2EMCn0D6irK=M_wFUeVc~)yHu6K#t#Q?=!ZK#^*p;+oQ*T_%tXhM)mry zyh3MbtS;qMqH$h|&*X)<^hD=Q52D+>kW&)3^J2M#Pn}|MGS&tkOU3F^4qZhqb&qlm zeRuWz2i-z}p~t4n#^=;OS4r{*B+pJfeyA;(aoAm_%2i#C@ z{Zm_htUtQl2RVHmW1Z(nCxykyoC7&_H5baEf0FAkQ0E*DUndct(U3F1=b}TY83g}k z&Y>IcN6KN4!Z{4_a1P&1ThSGx-w!$XEEn6k3a&%B!ANAzp=W~Cr5uLFIEUMX4(+DB zz6;$RfSjR87Y==WEKcSe`m3xi<#314VYtxY`b!cW&}|Il+~KCfEpZkna}GmdtS;qn zx6omP%KKv4tt$?on+7>|yXg?|vN)M@7|yDi`{7Yu-WQ|eoJ0H8Ezd^32O(#a;?fr* zbQUOc4x^*2F4f_I2l#zM{mF&7S6lEulK!#IQ0r5wg4IEROY4$~KO zc?{hif}DrEE*$V;Vrt2p!($OvmvVSS=rBp>kXQJ@SLiklavpKhVM>C<$(+MeaaNad zcvR@{xW@aUV8-Wr(CuN!ne3*+EQ!U*oWmS1t4ldVeY`KG8l1z_pS~cQIUaJN5tqK0 z8)I=Y=P+Mqbt#8wQO;qy%sJE@aQHKHdjxW(C0sZxVqyM=xq3;GRi+$fBsqs?gbp3n z>*Txi1jw1`bK&rY!s2AE!`m9GOF29%bkKzkZ`9ep7u_a8&a-YhEH_x3%sISII+`w& z!}CIi7j@njQ?6U)N1sWMGuKTA`~3}>9mA}ZOa>lvo}91nzIfTgIow~zl*5V$?~C^(&f%J`Pwj+F zL?LHI%%v}WkNwXa@I5uGF69tUa1I}6oWqCL{V@~Wra;arZ+Z^+QX3X0V;%4nJFG6{ z@S%@$SSxgRs!1<0M@@yC4JFft{zohnup6 zaG>WYO6vdb+LWB@W$`GlZzRs^J8`~g{;1Kt(QP{9>~!P^=hZO6;s{=i6)Tp}z6~F; z%IZ>H-z7P(y)xIUJket;y3K%`-G+-^m)f*3^*R||?Rh-PYj2$M`q9gI4b1(4^wUhp z`61@Q>v97f=u$m;U1g-gna2V@iX1wSIX`PIyt>%>?Bw+l=R&Do zNs;$Ql3cGLlMbGTyqd~u+g){Z~EyDZp&p7ARY3QPI^qUPif2c0J zf)N&|dU%!ccvP>yWX|h&>YN?+g83z<56D!dKs_WQ&g^3 z>*DpNVzYA~7sarhqv7*JuW0r1Qh7YWEB6$G^QzI6?!s#r&xh*CuRCIl80B?Fob#$L=C2+rZtjV0 z&qMB6Zu8eY5{pwkyhibOlvjN|f90Mh=C4ynJ-P>*oeQ}Q+~%(bcs^7QudzHH<#oQw z^|~;|^XvL}OL89d1;}mWHh(?Bw@>hzy)_%>S6pB zA-9R-l3#ctBeif|_|ifvmKm?9NmiHg!qWh$?_#+v#r*Z<9_0#jn+Lhgk}kZ`>Gd=V z{XZOk&6HSW%Bz*k9@vxHh3Q33y3-erUmMq#jZx8mKIFElnrLfq6UjS2SU@7&8l7Ng zX;y5tL@@h>rG?BP^*^b*N+*8eLJuXF72yPP5~&X51oM3Bqkl}s5HCS)wzrCc?gYiv z7}Bsw&`z2Vbdoj+imO1BV4;T-^!pqHv!9N9xvS&n7-|9J7V7BzE5C z=y(z#CFpo!Ati|KpJa6@!Jy6wDvE<(ZrA9}SwAg7|Cb@Rq-qXQ1@SrQEFkY<%XbM* z&~Z#o38o&HN$L|nO3-qgP6>9GIl&6UNwA0HRf8?D`a;O=(-qkZ#~H_E_qWYjgo~CB(KG#KngPliT&J2?j8KmZ@I&h zPJ;LNo>@7BTy$Fkxp(@~D_HG0IA3C%b#MdcUF_Yj(uN8Bh2Q9bMQi6CV2vhLUD$~rv-c8-`n8xFI4RR;CDY#O$Hf&DR zs|+ia8Nr>rE+zQ5mlK>CcPN;>*gJ5-S?6F|uS0HBO|PKkB!=kXixX7{I-dCFIuGK@ zUszpA@M+P-Gc^an+*$GKX5C7@jlBW6Psh^}Onb19ZeMJldRz%w?o6QspN((@pZ7Wm z&Q<Q|lfAm-pSV|)_*--6u5ZhMki zQ5I0-V2;m*{vx~*I7fNthj1yexB$#_`{N2@e)WGWRK<;uSJwbeV9ch3pT)|LV zi2^B%5_H@OwVOUfZQj1N6mM z^*zY_#wEY-okrH!R4;t9krgv}9ZFa+nqMV{RVzk$S&siGuiZXALiZ*diH5?}?9#0S zW2}VS-7blSFHf>IViG)R6UiiaOt$)&1dscy7$s==ZcGX87nAVMNhiTWzSHM7Y>F}7 zhuj~d>3bI6!)RH zq>HT!@uLdjyH^=b2R>eQ6eq#FQ{z9^9oiUOR->Z}L42ha3rHHFU0l3SvtmR+ zuv~y8el!Oy7eqNh%LP_Suy&Latmkobao*|jKMVF=ifw%Wd3Aj0yVya-Bq+Xhk%moz zi<4H;t_tF-6qz3-ct)HPtRHa_JSX3EGD^esuuwUE~)o}O3gg)>BZo@kDfdFDv4_bnZ& zsTaDiy5|0DTb!(uh4SiX@R4wJ)RAa;ofE5#tS8WQ9pqi*GF0(3dn6cHIKgmZuZfr# zB^bWghPjRe%QtyS5U-`O$u7IfT+%sl&YsT`*w!bIcb)E{U^838X3w_NsuFCSv|_FV zEk}x!U`~V+ER-Ay;t|0wzJ}zdRG&g#o=X>}+u=GMB}5erybyH~gutS>6{B5@?Djdjg86J5X8I7bJXVZP z7nTDC%AwTDMe63OD$=`S@BAIfY`_;Lx*V0H+vz)=DNIH3Z}+l|CuHb2@b8kXm?_eg z3^K<-g~SQos5uDc^)edl`KUEk*a&$&r1WW4-MtuCB{7Cn!QM$uuz!_t(93xAyc|4~ z_k0FQ>OdPqz^qC}xr>Z&1n`5_wTtHWU35kavrgo?yDOpq59qk?u67x+jvX zVcx}#(-^di{o>34RdA@r6}%%g4zl~nsmoQf;#-s{?J({p$h+M!An>|7{q~>s%XPZ% zuFV<06Mq-8{Pu(Qi6ynJ7c2I>!sKsDh45 z3hq&MtB!no|eG7*s&+1 zU5xL@WpydRaaxtVY~BR*QTU@0-M)alhusG6y$XxNc?HHKtQZvz-@}vREr-3Malv zQi4;xRfI!cb>ewvV%4qq7T{R=F&h-;hq!RTtG(9tsBpo-Hq7Le7qj}Ayu?><%Ig`u z3a`A`#_G2oC0`)6LEg-W3or3gOTp`_gta}&%aMGPSL$7R#Fx}Hd5LQYl-F~Ss=Qu^ zHeLBJ*{6O9dAiF8b-ZSVj!?&|RLn#x^jWzQUe<;4vK+QjUU=chdHO5wh3L9>u6hBh ze+7B-dhGQ z8_4^>Wo%e(IVZ}{vEeuaK^1hIfpG0&%jsfD@MAFvuXj$uc^eWNn|;^;34RNCpZL-% zXt|%36D%1_grW<C~g8Im`Sju#z<3wTg3s&4%N}c_=?9dYhQj0{SCXg>Xcw1=yKV)+3WGH)2#UMg1VQngjS~DO!4=%4IS67GpAwlr2IGDQd4`l; zLCa%@NF$VBaCy>7hIVmqjcUcHg264C6*CnS-;OE4Jz^B^*Bu10i${+i^)bfS1$p~q z7lKn{Ya=GXnK3J75}d1AF_YjMniVq%Huf@%68y=_6->q*1hI?5iP{sdbER9y&a z5(_94oRF|$Cc%X^%p|yhlM!7k&KppIN8~DdIOKI_lQVQ|Vh`jUG1Bu2TyKj_g$tBK ztMCex+x#f6Kp(~GX9_0{1u3t;^mM#7jT>cP)$bwim`jHS8miXVCND|1VpOldrIHmh zd0nnrG0MwwVTSO^uaQgw@aFb-S%^OC;-B)C?xHf$11*hrfMzhZ8dV`Fhe zixRw0;{+w=fdCSm^vdrOFvtPOzbN5C@UaMMg?Dl2skjw03C{6aF_Ykuq!lx}SX^GC z1e@^#f&5m^1A+Y3i7vhBT!t}zg#4B+2Lhp<32P&!g5wM;W)hsQTQQU1+nN4mdAaF+`gJU7Z>6O8f-US#7>c?B;~tbS(diEn6> z*X8kayk<>X{}NXH8S*=->3Icyw6#a|3LNoT+oQY!e@CsD$?H^GT~n_EG3G~kb(X5~ znxI@W_qNZ_?I7fLj=S*MY>RF3+Tmrfxn63eZqi*W2c1~x1!guUy$Gt z$iFF(zGwgAsZG{fvzb%-DPTXyraeBRrQP}(TOc5)@zl){3x$+I`7blilalx zSHTe%{)_&9K>m0a;i~&`MEL56jo_nkPB0otL-1fLaujkD@*nr6@7e0UpkujoMirdK zziH)9k2whD&qzMex$bNv_$TB~i=`)+ZnATn8zHHe_AU;`1WqSm%S{)QAf9_oosP(V z&f_Ggt4+M?ov zISIaG)c>UMG;HY@3C0qh zTIS0v^J7VqVE$_)8RsM*|8?^9dU71Ho*}(I+em$Q26ww}s9ALu_rXwqL;j+Ko%`vK zH4Ei}qT?~v7HNNGg7oySvJLZ9^_!loip`SJ)YT9R*pH897**>|*Q;VPqKK8=sd`ci z;WtA@EZhSv<^qUKC0xS2?N|h{z#51e}!YB zWI8%EOOW>M$AI)Iw?psDbhIBoW~8GEosEqIW=HJ zKAup=#+S8jh2f7w{`zG4d`zpO{kZ?+bv)u_+eo!Au&bsE$cVV5sA+DA#cp8N7Q{_>VeX-tfvv80ufh|3=L~NBj4plh)Dl!kEl;6q*Sg z2dhFKmXAku8%A`ry!eLBkB8%2$HPWOI^H^VN=9w-1lKNg&l+5EWfwpRGvy+#el|0jd_(Q*FZj zp_$O}jx;(pGCZk8=-ABShdQ1kv3x8zN6si8vsd4|19b!_I3t7k(Q)*Vi}$bvzXcF3V(ow4YBp zc^&QNqB7G_XeM;L-=a@yKNAc|RdwvH3Ji736Z2z!2J>SgpLntEFM4Z3HH)$7|q99Q{61vW2%#xM!rJv#y4kIj$XLl#iviN0}Bv z$A@KxaUH`Gu_bfi`x(Fee09OiGS~5D)v=#Ju}+}lFGnwLjXKtb0@a&dN9T4Y$aep3 zFizE@$9R5o9sP%stPP>#v53GhAMcKF9q&$Lq+_hzgB?-FI#3XC z$;Wg$25Rf9{c6!M;FYZLGSg9LCUl%qRmZ?7G1dmxF;LHoN5?hqlOERr`}Ok7bQGEi9iK|0V}nRl9UEJ;MIE2^avh)cWu#+ZZTJb) zu`U!ujSO_W)RsRdujAz^+eWH|k;#5WXeM-=nMTK!KGufF$MzO&QOEgWew?4#{CHsV zgiWYpJt%lSgZa^MZ^+5(SfH?N%v?vInb7fB9*@tDfzH@F<|1^=Q3ZxNz9sh8Z$&f8 z$74TzL5?3!hl1BKnIB7{tbM}$)599PSOxANUUHcor1w%4B{VlVI%=?iuOmJg(QOYL;0(3eAL$i__?M zpTRiuaUFh55*X_EN1X4k{~)T_&f}i&`poLt=QThby-;vCntoh6w;L6Nu(&>yv5wBV z8pd@7S>jX}2N%H5YOox3G4S=~!6D>(udt*A*V` z1AS4)v!M{;>2-8&cY?HUzl}Jfe00XsNW80z)aIC4;M#w`t{^lM`M5%6@wkp9m-=iN z)$wv{$y}(84OOmVLoFj6?>qRtA9bt`h4qse=$NIj_Nyl!i&(h-K}VsP&@nEvfI`PE zoHHNSCAo3ohxyn#%5`iV%SgxL50oxP9nXQn=4u8ymSS(3OZDhjVOZm3G_J!!Goj-e zjl~l>203S;;|+%JLmfNExsIKy%#SA=*L%xrFGL+1K;acG^P_VdCdfEcqpbbv(b4|n zjLdXgqglC_^W$2M2_1WJ&O*nVSylSu;=*DvKeGGn_<1wD*pC^Sc7KhgmP@CT?}g_= zq4U?{?0k4y9q))S0@b7AD9IWxGaZFyA|KalES}JDSj2|m8kF242@LbGhs5)-hwK>F zc(T?_M?5td4WGXRbvzFWZ^&eR9LxJQV;!CQf3501B{Lm`W~&*RQ8P92RpHiW|AF7u;vyAz~+ zO=3K&N5=^m)m&&!8Q6xS|J<)D2+c%3?nIT$h3jZ}0Xxsf;JB#p!+e}5=Eq6S7hqa$Hq|jrjmX?lUBzyD&tf= zI&Sb<<7K9!&`jufD8}Lm9pjv{$j5cOU8>_JVt)Lz%KUi3^F6mTShpT^ya)*&~j%*05}eM)9J3eAL$zoyY~6X(oz4F4gs?VvjD5cA^>=lpo$`*G()-&lq^ zHi5z|F7u;vyA!zGmM58KtfR9o(J|C5$+m}TVN})Db$?xY3woQ2(D5&1X)Zh;!~c41 zScJ4&${_Rm%#UJz{Lwi-p7{HWZ`@7oQOAp+aIee!=-h5(2#(*|Wwf7h#?wf={wmv` zjCBkP&A5)GptE>f$56Rp!>EpZeFDSdo?{x{&m7Yo`mh&!p9Hbd#MXg}GFZj`kl3XQrdjOz7CeVElxR6J;Alb)1qA z80y%L&yPjzGMgWt8Zl`s>ew8LT4gdn+J6Xr^5c5G&bEhYVc?wU*uS~&XM|=#$L11? zCv?OwE2%}~rlr_pr|62!G0#e$3yk# z_!Zm4|6zYEG!r^@NTcKWIBP`Y;});LFdv7B`Egig^JACMLsp=Ut)OU7CiA2HQ5z>e zKkhf!_E0Tw?Z3~DLNlS`6%val#`QO<4U1qKq3@Fd!zS;K@Ns>A)N%ZH;`8B$3jJ51 zj;*0+gg1kH{DrrlaXvcsA2YS8nq@Ywg=Rv>s}-RSj$vdAp@Xswiy&6oMHd+A_?XUh zd@SzN(fz*Wq9^3i0l8gK$4jATLL|M8&h1WA-R_L?(eb-!s-xrS_nGNgaf&u={b3&`jv)H%xXIo9vqj7ykzQYc70#^jm+s zi8?MZcs{=BapYrBOmou_FWpQDcq>rHwovr4OFsH{$*Jb)KEPE+hd!=4I`dn@ZFFE% zM+fGvqtHy~7>YB_LdTsx8y3NKOT~3~dfc-*%5_{FbLe=2>s&alTW>wu7jgagffH|&fNNgLa7Dk$QdR1&jlxWdUv(=Re){Q|TA4|I%4CMJ( zT9FVKZo=j`*KxDq(DB6MdV8~v2ceGbp=d)iy^i4;5^IZ&VQks?L~%MlI-ZE`s-rWW zRy7{YN5|9D-SbgsCi1bT$~g0U3`~#MFkFLxZt+7McO|)wyS+{wPdKh$X;}9q)bTPX z`X-)UN5_-kX+Aoh%uaQ5=;NxRGnX~o9tW1$xE7iT9ec|*&5}g31u+{&bS&+Q7IPuv zI_scLej-S2*UL((t*71n14`2Yihfq?($q*jmcc@sRPTCCoIX=!Toi5`r<-wl#ggkL zO>Ty9d{BCS9BwQ$@N;)4T%nPs$iwpsSLn-M9QGEJP4+<9qfsb}#+a#WO8j8Eu1ye< zq3nqSq;&ArtUtLQl+9D1>_r0#PFIXex?uQ-Qi=#;gF8Ek=6y{N1wGRMPV6Ui_p(*;0+Hz3IbGaZpU@ zi5|)?N~eVlE1>LMbbLpLqUW)bpbVe0P__aIKS}9-f+0VVp=_BcQ z?Khxo7j63>1~`allCJ&Y!%w&YpZj=gTa0*j*aT(2q0^Bll>Mxb_(w6oQLK8*OV*C* zSbU&-D5|ZM3I`1x24(-ou*i_ToX{#iC5lCY@|qE{RtrnbC@6S*H9kYIc&W$r=_fWPhpiYL9ylHM}kf<@r%85|m%36USl

;C6Agoxu7EiaWa0(P|NF?-Eb$2ad^Mkqy33Pn#UhL4 zz=v{>#Lv4t4u26_TI_21cS}(rUMl=Y`1yi)d#Y?c;fAZG1(hq&X9c{h{BO^^Zg=&G z2bD`y&vIuEmCb(Kws2xl`CZz7gxS~csWR6!mjxB@@`;s;y*>2I`Q^uSr=aKO23Bs^ zlP6!4*m71-IWvWoi>*EMWSie?(>|zt8!Oi~Q?swlwJ=Y%bke<-29;jmD~^WhxwfUQ z>~1B_3o18R{v%Yj(3Sh>%DC70$e=Q9VC6_X`z@-nMW2zQ~I=fWu6Q&%>( zew*@2PN(28JlE>kb0?+eg@ej{ zQmW^kDsz_f=Fy-sSM|JMPnGJSHevIR*u(|V|C@?&)+;Ks0>?JIYC#B*Ohf$p1UZhJVVW%=LrJOLby@zAK|#Q zw#45AJ2&h<0uwh3{@-I4q{Sl~U;nltU>B=?6Ffo0*rB#~6z4%JOZ-i+BFldSCT=AB zzsIbU{|HRnPWXS14LAKqU>)?Hz~?!5?U*v?=O2Q~DK=KN_f(F$viXdwMl261pAKPV z$36ATE9tyuUQqd{g_Z61RN3smcFS^u%E|tIi|gZ|d#cQ>uk5iosI*P&*>O*vInf2t z6+z`VmB*obs^rTb={`QFyv33|S4`bgC3|?|Gam(&G39x>_Jkh@ka^~{d@m&Poa4xz zYfsZXb!D^s%2l;N&)H$D?6IfH+%_lO^=VM~k*g~AG<(jn>5+z@^1TpNp0TG&e#gY8 zHU^b%SXc?KZ}OF3t@dP*mIL|(l};KfkJFVWs(o+K>+f@dYX{tRh)QwB9;;{9mCd~e ze(WbI#Jvkvp0KCNypGKu`Yov3W?|*=dz!u3IR}sJ5>##x5B}^Y?5Q&Mnq<$eLFHOM zdEt4?o+@)L=w>|=RIYTzqp0kW7ELhmJm~C+zX{gbw-oFY%SXV1>$qT@6g$inTLtT`Sm!vh{XFqE(eF6Ve-w58 z-vm=vJpV?{-#!Y?Mp#6@gZ#gVy50%N{F^%e?{H%$nSW~+unP=ijwAji#$IgrkI=7; zCH^MZ<%a(VOx*1Ge}@}B{}JvZ;6}$^_^1-KA6&7k!(t=&`8RI<-{Iy>EFx2JODGn& zCA7t(V3&I0Z-R~X{6}E7`F|7a7R4MxY!z&rKW`3ssG{ieV+`cD=7c*Qz#|I><9On@KpEcNph zgZaL?-yg0ZViElq+gTD~dC+EDB#?ylT(;9p@Vrw^#yj+g=dxX6UEU#L79Q5U7xdc3 zD(*7bl7mxz6aSB9!B5lj4s8<%q4kD+4JZ!<7c{|v?K}l4c!xtAu`U*1>*3-F?4Yy{ z;17tL?d+FiaE;em{ovbd&|*7t!!ujP2EmB`c!$&BhZ}BH<2Y*uKR{r>rqJ97^zav$ zz-#7+2|6Vu5|es4J{w2|%fz?8V`H5V+3|arHOuAglP2#y6~0=Be<_Z)n_&C@JOsaZ zo#i{eyXBtuV2bU$!#QzQIXHw37)0xFL(JYjj8GYcK5!_LMmAv^TBwSE!r0)v*#3oq zb&V_!yUK0C^2-4y+y*N3Z2O04Ryowd2Fj9c|I|Z>mcZ2RU~X*tCnl*2EVs|3Oj>?! zV_hT5g+C!)!6@}I{3^{T$XK-kFg zgcqGAERS0-{1LF+#p)Z9tnw-c8xYHNHbQN=mgeR^pIQA{i_}TWHA%u`mj5c&$a3&c zO+ClUj~`y{Uz_T;!FhRY8k-W!dK;m(+^z}*3$iSakh;JU0X#yTwER=mHL|>XV^h!Z z^`G=z4VIkMZ?RbA^``DCuSBeV>uyHP94M*ZYG9$Z{5wII%yI**la}*5tZQU>#tGpj zj`+(hkNE{Gb6EX1aKvL4HXvCpa1aWkz;b?yvLMU(h(vDE@*fu=FlqTi99bjFfq$_k zET_c|fR|Iax2<1fvdSBi*nn6r-OVVlT&n6|c6r2?sM)pU_moM?6%icV$nyA^O&#&? zSC_5?%Vw+|K6a?Q1;@jqI^w@1kP4%~@)v`$Aj@SAVS70*>ujuRWO>n$P!m~R;=Tm8 zIyrf)J`G2FjM`0NSsTW#+VWS6vcPgvnlO39D^0>=mVc;88d)}- zqK^1<3z@cjH9=Wm`6?o9SwEH5$t>L@77EJ@?-@h$>>-1@#zD0utA9VjDs23Sm`S+2m{vEN!U!cyvk7V`l+7bzU|2~q{zvm#!LKSXrZ=9Ud zA5`~Y^|NeNd9Q_Ci0Zo`gj#h*iZH4AG3;}&Xw^?Bld7L4u`UZ$NcG)L-QXgVvoC94 zaABHkV;7?OiDFvy?KDDQQuS+tFqx`LnN;1S^s`g#I%_WMWX^u9VIPZCKIq_V4DQwC z3GlT_0kwFCi&P=7K&zoe0tW*Nv>IBfvDs;z)#;@eXtiPut>G#3NE|y8txrvasKx5% z*n~-~0}<(Supwk3%t8y!!Gn8Do(@|3vxbfdR{6NGjo$pkyNSeJz=oP%|*_k!EzoC8?HQDIj3q=j8{f(`9M2$fd-dtt(4f=4=pNv+N{ zvh1{e4=-K@S_iU*uJ9~=M$JaF4oqQdrPa_OLYUM#AxW6j>Y~PGp#=wa+PXzGpcP^b zr$SoKVPkm2r$NIBCQ_}{IYgM$I@ushYMo*s%TDWn*ZWq2R%_PK3!a@*)XovDa1vW< ztuAUhU{dSM2&t1=XC;tjr}gK;4-N*cHmspPr1g@6U5Hjs524mNIZc?<>Sqxqwa&GX zWv3O%nJu232eF1haBewq>_W8qQib3W+i;eFg*vT)Ny4PoMJZ(2X@xdTod;S6vxXrl zR{5%lU5M5|1$A2IhOkg;U5+Euu^&81=9&3stz5&HH)95KwK$8m=>0fu^^r6V&MwtaPd^2>p*e@L zhB7!;XQi+UN%dh9p-3h;0pel8r0UbOPNw<{Wm5H78^>m+`pdKTECkiVSi>t8tDLQN zn5aIj{I%*h52w?qPE8UfRbNgJCRH6(mz`?I!XNs8>fx;6?GUT{I)t5x>dOW~t$Ht2 zkg2|DkvggRmPwdYouTToQ~h#bi-$qA6KnVg&egeL>`YYOOd-^&kJyAs)tPEPp-!sK zOp`jP`jM*3PSt5;y$-5Ju!bcbtNb>Cor&s9swL0WXVrB21X!5F!CG}uiZH3VM2*c( z^@p3^`v_DG*6>rDRW68QXQH~uLa0-9Ou}TUD`}lfbroe&^+yNCW~Vysp>NLy)gxI$ zh0Q7#C9n%oU71FxRo|jas;*CwI;pxLNtjgiR9$wer3fhAIH}ET=gu%f>d`jqjiDmjy%c&)g8@~I5s=gcRJT> z2i0TQj@AiQxi*YlwCavL#k6W|2%$=K#{nK;QuRQWFsT|+b=j$oy5g-(pn4qJaj3~E z*GI53Q9V#Wt@;;Lkg2v$;9#hesvY8lNmcmhL@wE>9z6H{`Jj3{+i?_}02QjUejl}? z19m2N{P3NXT(s7aX~Lvd=M=K+w1zAmT>x4qupM3D!lXawCR!oost;^O1E#73g%*6Gu_QegM&@*3J5IG&WladX5Ut~r2(?yc7!)j8>*NSwQtOlivh1{S4*1}H z(CW%|^oF#4^{@-k3M;6!PDo>+);h}~OltMAk!7dVzsq6QgH|`Tqd%Nmzo}q|)|pg6 zp7N&{IGxt&rv?L)TIZ&)E<3GB-@vbsU^yqU9fMM=vd+dXM5~{PP^Z-^M3{UgUW7=0 zbg*NPfiOF*qy9d6IcS~4b_{{EwnVTC(HfXQsI|_u2$Nca2;7m+Vx(c>UB%LG@&|<0gYu)~n7$^_n!c z)~bc7P^*qsX#ta}H&P~5;}Ptao$9|I^?3kPPhmU8!_#G_ja`W9Xa}KIz1$>BKC^Fk z36rX0DU+(>u`J7v38u3Ln}O=7Jpb7wtKyDoqdq3sF;=CZRimm9Q|0+j+c+3g<@wK8 zg!!s4k%=t3R1djg>F1z&8qa^hVpYvt?4nWyg)~B~Iy_96pDNFPo-#jGoZIxv z)h|2M^(W1P1Cnz(&v!zss)dK0iR$wXLalnED%7dIM442b>e8`Op@QeX>>$fd^^=b- zx(`%)@cij6tJ)8nz@z-;mFG{5Al0hl5`@WAr%@(VUyYMGnd)@aFFVyyS1r07RL|h~ zZ^c>F0S0y^s;?@Bs&Edb5b9LlFbR{YZyJP2)wd93`Lz0B(A@5z+LPzMXRxZ)rfQ>8 zeItobt4^^Ald10ZZLCy%hwhS8eOL9%F4Z$ruZYiy&gA)XJyvzFg$5+mcNEmBFNd*E zr2!i0T{zp;mp>BTT0Htwoqr{mvvz zs?Il%Wv4p$n+3B$wHMD{Y_h734t61`-=+|1)i-HcQgva7)JfHaX~LxHBGoTD)%*cJ zJqW73d44L*st$LtGf`b=Bh;$znuJN!r7mGob(uq$R885)vQu4{oFLBCK0JSw!>SAq zyAai7VT4+BE+X}IjOXj0^MX35x-x==Vr+0-U8VYEr`k|>BHZfcoXzupj*^04Qmy)3k}#R-YJ)JTx(1QDjpq4lJcQY)-ZFg|jLbQQ=fktB>No?t5Y^QQgj#ix zMVM4wmm*B6meXC5sjgT3vQs_f=CDZB=<54+qaK7Biv z=cf~_>QvQ5z2)QingD|O8XA&m0(yA^CEqEVwTkR7Cpw*w}Z-w)+hYE%y zSYy-a#9Ka|{~N>}EL!z1n=q;Rw?&v#-D)DsPIbWaZZCuCc|3nRoR?=NunSTBD~(Ve z?2ReHWUAY!zEu4$MCzpKf2v=0s_WK&(h^k9=lQ$fygbLl&O~*agHWsfMHQrKgBlEV zQgw$*#|BTKoer|>RJXNDb_LZ7ctNhqs?NnG@Te~LJ0eK6YF(1BK(&A;2n$pTa^i#q zsTSm_e%Yyx?QwE7s1D!-E#s`}d;>dc)dJBlShVWjDZ->`bCWQs+QJ}As=`r}OLnT4 zjcAw;su%Kt{S8)ip^06HYV#yQt@@u$m{i?2O_)^OkM5FGZKe8Ur#kAJ=_i8fKwi)~ z#i|BbI2%#jPeHA^GmM46r0RhVVNx|@6DCz#Tgb9g{dVGa`-AF5yr7-UsxDQXiE1c> zt(9s)jz^eOJvc&`RBcOlNvgI}{jyWNb92#a-gB_fWs2=Ph)T%8Kgh|y7 zh{PR}RPEp)6l47>KtV?rS$3-Z299kFsu%NuBRp0$RCOV$9pczptF|%;ld6X&36rXw z=q^dsBUHcaRKL9I<20yV!V8X0u&R6yXCtbe41`)W1cQPFm{jd-5hhiSG6|EaM;pkp zQ@tQvCsZ%x1!qQBRTP`RBVJbv^cN;twXK7dTJ;QrFsa%Tk-m*C=;M9dE z6V=`dYSklDole!X5dxE{eJ#SIYCjWMcB)$Um*OCsof65hhj7SN*b6U31om-k^FpFBk|{fDtx!*7v3b=Q#* z4pfKof+28Tj*ep&aJ_}80M5&s z)D9EX!D(!*RWG&(ld4yS36rY%A;P3;zUr5q>gMT@PM~@vFNnfnwy?>r#U-nV`Nbh+PT}Y%Gj**=cQgGb)}MMZ6#m zw->gFU5M7z212bhLKW(?Zlp|V#nssCw5Clt?QhVE@`77!R&{R(4T#q06hf^v(j`o$ zb&Egp-$^|gD{!aSVUQVgHc;~ zm`JOH7fi5N)dOlaqBTZAoz^W5&Zo8RP|4`D?nq-@c45eMIJ1ZY{jV3NtI9*bZXqIDNlkO%P&8w+(>6IC)g zt$R~gmz~xz;lkmdHH;TL5N1_R7}$kqO|%i}wC;`*Cexbi5hl;A`(0$&X`NBB^9Inm ziWfX$u&Srj4il}(R6(Y7uZ7crs?>TYMVQojIEgGft+~^l`46`G>oJ!wsr9&nEITb@z?FA`)-}A~X~wFaPhc0KrB67m^{|PBI;|&FGQgzP zQ>tNhTFY-1AOGcC%L~fVtm;JzXCqoqQU!SsABRD~qP3oN2$Nc6HnQxrDo1~L2xtxG z1uuB4N`Fg9w4S93QtK%Lr_)+f5`;;u7vjjW(;9HetLK5%b-du^6swwsP2drKDNex@ z11Ts-t+Ehd^4ywg6DGA@wvc6~wf*R4P6w?Kyx>)rRZUM}7os(lDoCvtRGm(1no0(k z)Ot07h1qE>^VXJv*7dvqz9p}E!@({@OGl{FnwrK!t@XM^nACd1M3$Y_8!yg%7_@HS z1#dg7YKGcjqV*b8kZDcxa5}9uLnWirdOM7D*=hBR41~`yaz^rkuR-fw6T1+tw>*S8 zt=Ch8$#d(gJ!pOX|5NMVyY{~vv|_wqUV>F6LpU4J`f?9iGh8gxY0WhWlLv90fh@bU zW<)l^`;DAYykNe~s%CoFg=o#8$;gBFm5YU1>pPWwBsowZ3z( zP-`t!$!M*mX{^gGt!u`v{sgq*yx@ljtC}6bE+nlbR6%MjOkknbT7ih%2CncBW~cSr z+!xk>)=j+NCzDluWndSgwVWzQt)(^=YOPf&8J*UTDXhy*>nG#=YeDN~Ua&UIs$A8X zXsx0OQfoyVr_)+%Ji_EN@n;uVc3LN|_*dKp-ogtu7_4fZ>O!>EPz9;=qlMFHt#Xx& zPHTM<>$1~ozA^Va(7Kfu{1ReS-zBgM(JH43QtRgk7HX{umoS;uMh97TT6I(4-wI+m zxAB4+#;U%zunWyr<8p8{IgM+v@jI)uCORA`X z{J3PJDg*_o`fC~oYt`BmVKTwZNo3inKKAVAHmKgt3(_f8wJd^Nh-$5cP$csbtnq^3 zHepir4~H=M+F3{Iq-xs2y6jY!bSi;2?m1(5!B(49=_evltqWmmt@R&EGty*mmCRMi~5|?6`>NXFd7#pbm z=OW8a^~jv1CxhyEUa-?+RjZTOg{W?eBh;#!O~RyVgBq+=cc^{WsykJ`>{P${yZybO zdIvAeO|Yu9DKsFeI}C(cReV_%EWiTQLT(Wjq*|C`5*DOdm}?-*PIc|1>(2+(3B0hS z$*R^X1Fc%fmA_W~+rjCyYV#0bQnk5iD8~9HKw%5jFFV!k)p?6S^-f;cD$S}ks@aHY zbDJp0E5Lsd!epxZx`av9{T#xiYAYLAcB)r9?~MZ0yLe%1hgDUDu?tb%PeGmPPF1H< zJur?Cm{bkXU6QJ;Rln?1pF4g*M^L?+7q*MAs!gghQ9aNj3PGxcIZ47~ss|f{N!7N9 z^bJ~JTMuD&s`q!Ev>8-wUU(>DRh#43g{U5!K&VnJY+(^5RokZsld2u)F3D6os(#t2 zUcIH{Tu{A-7aoyhRez{1M74uS6lAKcLWD`x!)?N(YA1^@sd|KoEIZZHBF}sasuOwP z(H5)vQ-wfO4^LxjoodJ>OsaMc6DC!UqPrwjk5c`zQyuzFuhT&FUS4>n!K(gF;cP^; zvx88pwv7`eReLA}{j@qmH56lmi&f7gj?GT>`F+nD0IHLCVV@MM`d7_HRL`)mwN~wD z5GGZ7IfThnd)tIb)jk%o>{O4PR3JWQzK<97vsqPr2)hu~-U@2fPAMz|CRNP{VN$hk zm@ui@PxTY3Tig-6*;E@0#}a|G*Y zQkLU0(rvgzL+d(hKw%?HZ|c;TQ3t8SLU2E;OAAk)>1bZkgKS^L+Bg^yNG@3Z#w{N=(#^gN23qOS;zF!<05X;XzggVR5RH0yj zvWdD2a+i7ac*ACL={kMP20Qmnd72qz+z&(WFW5r?xxE;`E@v~Dk1PKx8$ zMwb1j#G9}z7%;E~EFa~C?-{JRt%VJUq3yB}6Rmx5-R0%lku=1(q{u-CnYMN=?!z%cjrEgV>!AJV!DYVJ|;Tm zZ?H`8!pp((hzK?%SzZ}JD2$pp!tx4}vLMT$YIbe;xJT-w<-Lk!Y`ONw8SjGS6TGl6 z&8j;)*pyh7SO~Rcae}hIve+O@W_hJY7+RXh8d)~|+m~C-I(ZUUKFJHOf-H|oV*_G& zt?H^Ruc5igD^Y0}2V<7FE*^nN%X{L;8(Ci1Kz4O z`5Z6&&tcVPsyK*cgN1FiWxXmCEU^40N$U1;UT$--u2Ggv->dJFJPjtyd7c-+(CR)0 zHqe$uxnYFLvPeH)lx0y4t&>^qpiE|YpqiwSWz+v6#T|uLh)=zy@S+1#tlEsD5V34w zBh;3=eef1!S=0>6#I>>MEQ|KDu&|Nk=_O6w1wSz8DhDiI;6<$xtoqypHqe%ZKcx_A z%a#$!bg}{6t7YL|HjZs%dD7;l?k}eqsUWO?q` zrta0-thn%MuzZ;pZh|8oF|mQRENT-+s4XiKlx1~Z7DZhgo3Z5?6aIJ$EFE5SP=r-q zt|ljzZ5`~YEQ{KBlx1gG7{RfPESr8E|2x#b2Uxzsi;4_ZeTABwSRNY2uG+GrLz#bG z7PX5LwwEhWQ4;GKSswMR)x_O~(QVBZu$;z=-~+1aLM22jJ6qUQTOOI9EU-Khk-A>; zqQfYYSM@8>2pd^mbi31p<*(bH{SPc(?yXf0kJ$zb=8)~sY1a5%cImT0^3WL z1*)!*$i{)jiu90QacWw{; z^Ts-`e2o_kh9iEBffJD|hb9ne%b|$G(Un=AMC-!$x)5c-5g%?7=3DZjVJ2bn*>j=V>x?bGdvWsXVEHC5y3k|QqttE^%ZpW4Z8^vx zv&$nsh?+~w5vn1Km6lT#Yh-!lG2tejJ-@H-`!86&#fxH$RmW2}IkCLaK&UN8M<@%j z{NGPmu|_;R?xGd1{EV!1Bg4VS70*FR-w# zk!90&ZquKe&<`x%=0yYG*)!h32E_6##kA#_VT6JOmOa&AVDgBc7AJM`h!1d)3Cq3x zQlU3hIQow(zvgoW7Hq&xvM<@&A&e?;AnZUY?<7#bpSpY`w@}m9@ ztF~QiK;qidTkgIN7V5bAc!bHg;PzB5P$%P>;vs8f+4JV6US8U~dGrvle2*8s6k^qr zLfC*<-f1AzxlPzZZg;q(PFn8vHe6c1*5i{O3Q>A+bGLcTbnvB`*j;D&dZs+=n0cmKM~Q5mF3H-tG0aE zz(Tx@yQ3{Xw@6)(W%O8w zu;9Fm?xQBj*s@df;(W0Dgh%&rS@l#kk+zKPA0aaZM?Bifrp!Mtqx(^FdBnd+kUD9p z-xf8pJfpwU#Ch57i^_Vi{FFyqLzb_o$%*B`E}2PM9z>0TXHWDXi`2<14=@OmmPQI$ zBg=kytO?5>b~bwvENAg(d$`$;P^jEsx#u zK^!bU8$>}J%=5m)s&CKhVTLz9H<#qtOj>oT?+zhKcrVEH*O`Zmt0 zXL#6vSS|=76h?vNe222&dO4S}y;$a{ei>VyICF)toXw+oaKztJ4T$Bk6g3Jgmzk6W zmfs^1*T%hAHj86n#+HZAIOjC5{DMbYq*(O_HZ~xZs~m(n%T*!D0?QPw+lysO3&%FH zZ2GFcV{mvdSboWi*1#*|M=CaA32({eqAk~|JOm3Yf23?LmdwC@jV!x8+ti1DS3hvm zonZMDkFo@-o~0TP%MBKGRhH4*2xY!yG{+^(x8z0Z)NaFAdACuoSR>1(-`b2HGv+?9 z{F)avK$f#r9K`Y$lguukJ-ZNzb5LfvQw;_tExj-nYRi9ZWQ{DF{-@B_%xbwDEL~po zZ<|Eyy!1@ zj?Yz1iREUT$j>dX{KrBl%md4>O`0%i`G<$Bk!90I{GOw4Spk-Fc~M=2Rex(? z17f*Fsp~BNw6RcIZvJ1(=x$$}%2&wSJRIA|vgsq zX?b&)Fll*T8d=7cR~>uheqi|>kKXCB>J=t7AeQ$;5Nb=?rYx|$Tg|Ss9G@h0Xc zVp*iRYRf{kJ37lkH5Qo6@`5lzVAArsB(g@97haiY;)uVwecec~T*RXz3|74{jtz+A z4IV;mc|(M<;E3x>k+!@lLF%OC)i$z5mQ7#PhfH{V5Lhne(QDw@Q{`dEc_7diS<%4Rl z&hma7D$Z4DxklABvTXWOgF_bFe=1lm<rAsPVKueYUaTGW%MZ*3(*qS#UolaV$$*( z6L}-c-p4hS<^IE4i_b5Y^XNB8R=rilK`g)Xu&Xd?<_OE@;Ll(YOq`c=0p@4fh)K(Z z3OBNB`iF4_?R(iuuw22T3*p(bEvY)_d!oe-LTx!ePFZ%AKSywEBg>|Lc=4|V2mb?> zKk(=aV5#3Ykt|=@gXNMi&Xj$Yb5sb0lg>;;R!autuxGgwWInw(g^VWGM{;;)7&%kGHJYs#|e^YUS1!M$Ml zBahCoSxsI7CnuKgsIJ=bjWiZ!f5hDoj%{?rn|_7dnXVS!o&Ch4E?BlyLd0^n&&%a= z`~weXLQ5X~AVQeDUcPG(CZFSTvbUT)t9mk6uIABCL#(D1_Jl|EzUOlXsm}7V6lLOw z^XO+LVbbzLmoRDhHKN8p2-+*u)TgXp_8dPREZ6Yp*Kt;Jpo)W7?)E8)%yONDQ|T;c zCkYEIi$~D9z_NIR+QUXi{PM|7UDfNC4nGAff9BEhB&%uT;6%i-LP4FSr)JWYo*D~G zW?60`1STylM2)jN>%^wM@42EfauHar<;50c**2{@s3Tr{jbh5O`06l1Wm$ZcLzuMO z7$;0xUa2M#S$fQX-y6fWkBj)P;cQp2k;`_P3AU>%<80>z38=|wb=TF?;9(t){+ebr z9ZYP>SS#k`8F0Q8Uz0*8jJP9MzD3xE3Qw>Cld=|uV1@8!u!ymFj$lOrfIkJxH3W;o zpTQy+a|A24B@%TT@_beTe+CP%UHgC;k5)MniMpM?z#5NM+sGh^^;0duYG6yS2v+6^ z_NyV0e_TD5L1HZ54D-Iz7BbivCDg-7I%TJNI4Sr(LO-aJM zJV#i@!j$D2z=}&ll=+E8w_1b+iADdROeXd(WiqjCis{7u+l`fZ!eu-B87xqj**RAG zV~;hE*m_>v$ze6ecyc^EU}DkgG(weFk!cVn6Dvwm79_SkPMDYH2+MzV>*psH-L@MG z&asvjlZ!Yid5%bSA5$W6J_U&t?`seiBv!niVk)uXRuliKoWzGb>*TGD=txmVs9!ukwkTf)CK2Q@&0kbygbL>>m+4nNX<@RNmd(TR175GE5lF-(|D>?Fl>V%=3gome=HV>3?dz&1xg^Bj*CpX;%jQ*3OY z6D!^~iBKgL{aekX6Wh8Q^Uty3uxcpA<~bsVto@hRws6Dh_KAgu}7MTACAqb;Kf&1tfprQ8<50KbP|u~tKZn$Ld9Vh1?J>ECenDb=4a$ON<)ul&WMUCIhdjqFqf90?STUX0 zkP!CMi49EXln)`{J2 zkUE*zSVZJmIo3l6V`XCFTx2@2@eXBWo;Z4U*o0-4*oPZqmqB8cy!gHZtGUR<1|+c? zlL&QUmzsph#3B}DL1K4=2=nqBVL3KVS*{`2_%LO`IcB?rZOC&(-97MUuz-s^$0pi@ z$;9rpkm`_GiGtj?-Eq>HPC{iqg zHD3IfOIT)!owoATt0A#!Ui@5&)#O{)fFw3CjZh~xCP|n~?Dhm@!8!I=gfK795ta|r zu`;no}f>)wK5p{w1-fiW6!vR$;6&@km+-*%%-f&6E4qLgk_f4F^kiWL1Hz$ zc&5#2O4QDf#2!gtSDlz0AxtKAPnfbGv9dH_UY;W?pP^%AVr3y(7bLdZXKwNwdoMxi z_!oG_VKQq7?g`yh;U`W%}XA}q7S9<2Q(4-(tNi@z{f&9yil9`T;P_*pfRPVB)n zRsxfWJ(Qv>NbCcLFfR|*xcG@cxrlzbhG0pTvLLZfBZSGs;KvB%0%K)jpM?mMiG7wv zrW5--MOm3A=AE4+EVIN$w!H5%NbFZ${H@DsMn-9V|MrrxJw8#GZ~*79=*? zB+Scmgyk&wGgx$DpIej#iMeUQWMaGh9Ggr`e-aA)WMcD_pHA!><)_cFZzDJdu*?#> zu*aM~AhB9rybSJOZ*s5!N$fKlp-$|jFkv#W_YBH{#J=$e^YR>#*j$szMJM(RB5}=< z_hSpyUIUYfEmC_8OeVHi?KLo&*b?QZ6I&X>NpxaM(}ZP~*pJPIegcVY=EZ9mtGQh@ zAc@U0u&dY`?ufnq#3M{5_Nhx*kl0e2FfY#$V;6Z$E}~zqA=na!vfvzBsrDM`WMZq- zUIUYfty0p!WMV(2u%AxsrzB-%o|t!ag0RdIJ0`Jy2qg9!FW%^|n!8MFKoVQ*A{2WV zB<9+L$;9SZlm&^cHVE_b9AUZ2W^xhzg2a9@DGL%SS9=Y0GO_h)uYt+LHmJP@CKL1G z*iR=`5uvQi6D}LWgk_f4xQ5VhNbGlB{F}*YCMB=|N$f`pq1eM9v4sX_JfOMz+_@89m;~lHd};wd5*B$PVDalWo4e|w>3^!W{Ev)9&JKmb-d(2 zc$f4<7#omt>{kb&*u&r)E4K)fiLEy&3liIk$iJfJIl^*_g;4Yh&auA@%7VnUCkc~@ z)h7s(i8ZLb)`{(iV4Y5EXPB}wPq^#~5tdnEGygf{JV-3fOFF>2Bz;><68p=y z+LV=fqF-l=u*?!GYg2b4B({Z@bc?f^=>|5?iIucVAk>L%Hwlx8)f|4a&+q;nEF}I2SWZEO**K zxYp$S#Y=jGSj~*M>Y&~wl^9`!DzTD-(u4(xl^mR+EJ&s zN6xVmQrJ}|c6fp?nOLVdWkF)6hY9oY9ASA19V-(%Ekf&p#Ln^vlZo|m36qKSb_kP+ z^|6uZ#Ll)TEAxcQIVNG5CHCO9#50iCR$c zEVINe8vp)xkk~)GWN@0*d}(0=l2{*_L~wUe5~fMW#7<7)V4c{2IALC%BN980j+KdB zkf3!zVwV_%$;2*2B%XmXu}eLKz+_?(7nx4%GKaDu?AQ;KS&WdB9NT#*!2dJi|CgNYrNzJkFp@Ko8pAY#BQc8GO=62q)sMwYY3T6 z?AA18WuBP#wiIERC02CUI_R16A1}EZ-X*0X*nlK>$#d)uo3h{>d(tG#%X5U~ zUUGr4GO_2?UIUYfO-W##PV9v^Wo4dlc`-s*W{FjXj-C#Q?cgP^Tdd|+H4#ZHVPjXF z*d&uMnb>^>WkF&uc!YU*j<9^*WOC7oy@1F+?}Ef$Q8G{`6Pp&tLSQnnSJhqvlZj0a zW1UXywGd@xo^W|BO;~1$^_paa8a+7Qw&664}){;QI9a0*kdkb zL1M4jgn4<6u$<;GxrlzjIW`@(1dCunVzW)cWMW?!gvrFdL?qIdiGArI)QNrNQWhlk zwL@5Di4Cay;}%G47cco9-X;AL#s=gZd(}lK_9jTI%qC1G_MAmokl5F%p%|Ozh{V1~ zkUE*zR}spB#CH2uMJ5Jcc*zC&$;7^M2$PA;w~^_@7Fd*(dBWv;ld#MZoBZqo7?cZx ze}H#M4K_9)iG3MIs1tj|AWSAU4Ust3^2)y;g-|E!~`qzL}Dx8&tL(TSz_%*AO033#(4?6PHxIcVFQxb{18H&*ypNH zq*LLE*=Hw7olI;+oG>rX5p~PxSb2^uPtdv`vDF4)GO;y?#8Hunt?>{7lZpN8BGZYj zbto(Igv&acu*?$s^u3O4Ah8@?Qk`I%_A#&lNi3B_s1wuQmg>axx21vw&&qWnIyTP{ zmTTgahXXWYyWx+XC7a`2cbA;t@bgX<<{t>5jL1OSpr(DEQ$#cZmt)4{W zS^1Akm`vyZyfin?HXRni1|+fH69{!;6%oQ@ zVjIJh1&M7-6XxYP!tx(FRwlMBMC*dYc0zTq=)`u}gazkVDYFO*5-a5S&Ox=|OI z*ohGw3}ayS&VHuJ%SBLVn-VY#oqY$ z{G}~YgawI}woFnMB-Y&~%*%6xWjBw>MJIL=YzY>f*lypd$i#YtNS#cqN18C1*cr-C zC)QK>>BP=V;Mj~4yRm=2N=R&9UV5&>HuZ9_0ZHsc7okqx*T7_AedE|qC)O`QS(ztX&J7cm**W%9 zySrvXV*ByZi%qtvZyFnr#Lln~iaiX@v91PTGBN#aso29Hv3@S8^YR>FX&Ou}qF*ko z@zTB?WkF)QeXAl9gDgHog}v&8?-y!NbGEjFqzmnCS^flS0M7Q=y{H?yxc;l6B}w!79>`bBupk2O%Ns%D^`20 z6Dx^eoldMYOj(&HT&xgbnI%>|_P28(u>*MN4F=nkuNsiVhM3q@CpG|)NJ1tyz(Xil zkXWfhn3o4@ytEjRpJH&1mADAS96@5&MhKIM4G$A06T41H!&rHaU6;l>o!E#JWo4dl zxjv~`4qFc&Smg%)!}IzVEcoA_UxZ)6uzW)t{tLB#XjBMBbmyg`ZB{W;jpO^kZutKX zHLI8fJ%c4j>|16>;G;<={RcNOr=QuPs4mxXGFhfHumGS$ab{8}{u*#?W)&8eQ)%_ri>gev*>#hU)LWmV~;-UQ2oceK zTkzVK+m2Phm!%a`)E<%DbQ0KK@8*;gVRAQTAQHPSck^unVRq@ae&8MPEIEXi&Wx}M z@kGT%ofL2FLF<(ymg)49Hepih0|(jvDgEP{F*ps_!MyZic$s{{#c}^{(m!hHqN`yy z+p`J>j_up3J4rtoQd6pPf5skmbCyNw(ey0 z)+faWVZ!7|;UXd@#T*0S|0(@XU+?!V)VAlP@K8aHuD(gZR`4j!eYn1Dbeg=r-JT!o z3RljPS;Yr%&D!(zZJ32EVb9d{?P^P`hy`ZhFw07ZHG^BL7cI7~9DbL~dibt+edEjN zO<(1-f$2_R6|cfa_IsZ0S{j;~?z&(uIo)nAEteh&H*qi>Z#TjA@8n#5kV|B^r zi{P+zWVM%vS*?CsLS9-Hx!4$X&THm~mzLfB-#*WOX(@d^MC#;A%hw6QXc8S7Vr<>9<^ zeVWz6y{205H))k_R7hJ^BoJ!L3WG3d`J+piw7k+rma*m4GrqY4EIV;4AI{5RVQfIM ztZ@-)%bEyfL6+4XVbXGAoG@vb?;^|C^5;*E6fgKk@Y3HBto9l;IkBvBu&cJLQ@bNr zVEKod9hkJ-q#6RlEJN5YW6Mrc5B?l14PLr6#A>gLV*_Hj%|NIv|4mXBSpI7gCN0x@ zupF=IGPbN+&@&8{M{=vcWwkdXa3XDKMNi ztBt3y0kOQ(MW`+Bq(;GcX-)7*owOX|5GE}rW^c*Iw0r|BkLK3B4y(OIH6WIglVqmg zwa1!lQWj)+Uy3kkc~^umY59VKEaNOcxS=b&@aG=Gt%ogEdwU2Q5X;BH2z8c^CMXLm zA4n1=E$_Dpla@~-f*XenUm@G1uWt>O$8zgwIO5}#5V0(Cv8}c&i%=F=KI;)CEgx46 zVXU;gB#m_$TaF&{khn=aj$4<)5!a_1u^gPjuG(_2Nm*cd8Lg9+&m~BmwEQNCEMv=6 zy}ce_c|5mXgzKdp#|FgmWdotMoT_FLEU=tvk~(R5xkH$={K7+)vE?I$?@j^B6S(!I z%WCgcyG|_K6n52?u0>g3`E{BwY56kU8)>;pjm_Bd1$GbIhUa$S*0%<$)lX+)xgbnt zm(TI}E@gq`{0L#vat^JNmP;(G%h)pS#bJ<8ZdYzCg(D6hrl|$*iLB)&GM#0LW+abz ziq=WX1uhvYEvrMwGPW!>?zsRgyK!q(iq$@n#s(zI)gD4^xjIf+kR{v!$_47Aer4T$BhP!KHI@>hehz;aWPFqvgVgfMAY7edy^^3pj@6YqN}{*ww+H#9USz!4mt&^6uSO#x`@rYlbCTV18eHv-Pvird0v%oUU ztpQc@Sg2Dy9g#oQ|8B|Zq3W_zjZgoz0#wiB z*0~{8`=O^=>kH0lDg~YD@oGA)YFap0tM)Ysld1Mob=j$&K4MH?P(6!VyWoZUQ)~>6 z>e^_gkb;7|HXfEFOr8J@v`(G?J7}F$)la|dR0nQ+@0@Y#rs=Dk{|9#-0*`V5+4?6@@zcjJ4RvosFVp{cdnwC^O zSSdiARBgKl)pn{bJJrjF^ce`MefY4W7^`(HrKL`QVQm%Ds(sT4b*e^$FsXWEm@t`Y zXH}P->T3f}?+>bH^I_c~)p<5{CaD@OLan;fB21>*EkT%6Juyz0R6R-6WvBYejFr!T z>N$MaS!q`Lor9f;>WPXe)nVc$BUp5*Jylx3r0SVrQYTf<3L(o*wRywYy+PIF!-hJn z_Inq*=vSs;Jr&ei?F=l`T0@iqFsU^pjdj^+&GCM20a|_eFbl4si!JOzv`#V*YOOOJ z!em+{CSg*m)Iye>*4NXYISsV>@nJW>Ik*g)z$1PuI;=Q_RBH{f36okQ;)F@9>l4Vb z(|YfL3qJ;}bNR5_;2uOk2WKN%`qNacb-jUwTI<>wG@!DVx>)tU42|$ti5DweEBYlUh$C36ok+s-`i$t@XM~nAG|_PMFl1oj{hI)`L&K zbp~i%#D~p?gSaVzU5FNZ*CrROl}r;RwZ01xCbi~=k!7dV^_z8@Kx+^mw%lN~n+@zj zw7zu^YOUD@VNz>ZgfOX9akMZu_m3YJk35CLOXP?*hPU3Lg!K~#L_ zo_p`y!(!a*{l2IF{yF8J|DKu5CgVQ#Q)@w2A+i?wnl!RzdxTT6zM`CxwaC|T9h0@? z0e2k$vd-mKee1EG3Sng;Yn_i7)je2FcN4#HDOt_oF#)G!eH+n%9g{U-{Pz2Ttn>I) z1(>Z>8C`|QYIZbf+**_-oRVceYc#S7Q61MYS+i^V-43$i{HirDTff=53Xv7Knl!SO zQBKKP9VcxddH?9>wI2W9%n!O5gr_o^}A2nsZCtr5l+ggEOQ7aWmR@^ zHPn~jd{;lt~D$638HE#W(DKlG@n-nw|ZerzTVQ$A{efZ9{ z&w;E9dF2kUiECY5naJA2(PSvAvQw0B%B}6tlct%Q?ho?YOZ6lcBxA)23Z&J%Kzn9ODA7fA+mO}G-+gQuPL&L zaG_DlY~uec&2>!Htm}Rh_wpC>%A*)->Fnq#MArV)1v&DSyT^1ONKkGa5ha{*>&Up~ zIwot8b;k}M>k?ks9X4^JunLiNxTi}Sw+_e>PRTkcLpUYt*hT_KObaW$}2C1+1f0uLS&t3>(WNn z$uYtyw=U9@m^UTsVoQ@9ll4)&v$$?u#w)La+1eu92O_IyNE%sZIl3KiN>-mJ;gl>p zuDK4#5)bI#_4hU)>vCS%5M?b}hn3Ce5|zC@U0S$+AEH)XlqH-x4eA}jDX9sYa7t=2 zjO&0@c>259?Yj|3y@FR>pJpvx!viJ`))z=S^@g;YPdFv(T9x zSy%GPTi`T+tADt{H>5tk=FG+>BZO13hUN&TWH|-RbwCzuY;f4Xxge`Iue?9YT6PMn zkc}N`>(XXpuZt5-ZS1|8BA4BJeNBqoG4K;K(iA>cQ{vZgAa%3froz`Yo%-;~N5MfW z5|#-+ajd*Itw|%bJ`6OjK2jiY%GJgk;gr--VO$4Xg&ACYZrR=-)#jDs;Vj-GswJ!%L250p{L5u6@Rdfm!VAudkTjd!OrHqnwhpyRCCOChOR;0l$H) zdS3NEhqZJM57@}6{L|5;Ls?Z_Ey5{T`-jO8r(_+F({UY;1snVMmm7)q-2|^XI?h^7 zb#xW8u~qxWG%4EQSzNVSfpGHFs5-(WoRWH^LpUY%s4%W$Qfp_uw;4!H@~Tr}tmTZb z3Xytbgtn7Xx3LMQq@J81oRZo-O*kdBM;O-usW5{#6|SENQX6>Hd2qU%?di&9v#Yv? zoZ0Mkez#S!&dKROv)SinHPHuDKBe>ej)s@L+r$Wwbc9o+^;FPREIl?JfgA1DLn5->#82T*88px||&#{)v!viL= zYN!O|R@&0-jI3drGM^$;-5$nuKo-p40oz@3Hpse$S3T&lmfo?jboi@M)otNMfCP0N z9PH>o;FQ!%gmB8iOz4)##rNW>2gA4yNQI59=)8M9NWGRZq?6Js9>lBW z!aGU&-(*ds5|mpnQBKL4?J>2?#?J9I*D+a7UiZiWAZswM`UEz1U`$sbZq1Hs(zx|T z7zmt_^-+|>DYrfjb2}#Mv1;+@Pp2Ds)l!eOTo=)m$-Lz}O$ry}oy(jw;go~lgc6L@ zB~cQmq%IAcbWG}#Ji1b9OS$?(hHy&ik6~QL zq#oOQryd~nW?uDsmbKgz*Okd;|6pm-$okqRoRak$jZ?CI*I^y`&<)Pm8<$Pn7-S9M z)!b(-L&M5M))H6OHnM(<5l+ggW)Z?kS=BtMxsJJY&8@%A0a-(N^`_v~Ed^bLZ0tX- zCP9{(!QV23lQUS|MN{Tu%jzz^CPgm3!BuansSY~W-ySbMVRd-*b`jQcduW1ju)0fH z*ESA*L?tK(w~diFC9A8gxsExw)jj*{39@eC)q7g3TSIG7>BhyVCimTvkwf(aI>p-3*&%OvW_ZfQXGFs@^=w(N1{gCOg6UVT1X zTpsdtWwNm+hZ`YWz;}}Bqir2%q@EWgoN_Q8A)JzWei$dDlD~RU{T%#xuYdj99B;(W zn*b{B;MEuBSj!{6E-F;|+=8z(s=Mbj35xu3S8&@FC|8EuxgO!OO&XQyu#-{wtViOM%A4baQ!3$G zK(*Sc99PWCA)oL3E~vblSKk0!>jEyYZ;f(1&GQjN0UZnRfKXfG{TzUCrT*sBH^5HF4-vBD_ z<<(!oTu#jD0z~E4X-yiHi+##Tm5XVdQdu1;f?TCC5yrJqSv@URM9&v= z0iyB~Uz0}VCsdI-$EVsPPN{q$N;swRiI8ifa+j}*o#Vr9y88rB`2eqe!e=enh%QQ0 zx{fA|N;eD?ob>Y3EQv#v`e*TPh*K&*2af>^G*PIn)Ew6=#X;jv%^TDrthpair z)}4fMsq*bGS$nBt#J_=4UcxUWYPC^W!;9_s`zOEgA*g(i*ND4~*F!sq%ASs{8hTmN zGeS93S#xfTaR1?DSysojQCa*PZ*r>+2bB-;nocfj@xtarW#_P}QQ4U)l3vzS$Gs_#JM!@Q=q#aiACiyD>Hg^aFhRN5Zpq?dM_aPsV_*}x{8 zQpv(5C99k~d+$}CawM;YUx{1HXC*}CA7Rx{W%X*0bXRk^dVQ7ED>EccsXQgExsp|O zzh|3AK;#M9eoyMsv(VD1M1V<=UR<8(i+o;^Bve@5# z8*;_lUx3O+UhO-qWqw8%H7cu{vzjzsHd~aF=XjpRDV0B_Nu2WXgt+EPR{7-ve;)=a zNAa2y8Eg44Y-Ci{oE+6vLzOirxs;Q0c@m9NDwl-?LoaKNiRs)nD%tpA*UP1&4}J+$ zKFVw0EAf_(bGiUg*)1fE%I;JV&ZSAjYa^;`!znNK^)z3y%C6~wQ$XctUbA1CwR~34 z1&GRZewS5qxmQdF3gyB}x`6u+m50Q2Y#Ws|hp{5>J^Sr<_-CNz#Vh{`=2O&XP(rYYAkFP{#Z ztfw+o#LL_+bDjW|Pw<+q8P>8i6hu^R?@>kNF3ercR-qpq_i|TD*K4D)_}MdeFB=Z6 z(->a!09=XkAVDwvn{G`et108<4xt|%S9!Cq>$Oo?{BLDW8~mI2@cv0&GX%W+*3$)u zm$!sfjmlfrQ^{+bFd5?Hm8fQfr3(V5&T;d}m{9363m)rZYX-$}x7j~eTKMW%Rz*XRq*wFp<5W8eR>U~_QnDPD7($68j{x&U!(R9chb2&H3>P)<4aaF)c$KPXc( z&LNy%;R==GZKjrSY~9~HrDJJ`mJ8yPV^=$bQ;rR=38x$z7;?t3Yce`caE-pua;-?Dq+3A;DOb$7vSU;mHKso8ytO()!3RfKUEE=aAdym%h zrDL)0DNE$IS|jpsG13T$~< zJgi_Gd(YOSaV#AroN{c6MLFr%B9Cx>g)1Ce4F8nN%-Gis<)mY+)CuKS5DJ7`<(RpY z0H+)?ze5?vR(iUJaqQ;;;Yypa9rJtxaBKqayRpNTZ|Ld*WX8UZXwo?Lf=@W**er)~ z=vZGdk#dRL3KtyfTjnse1lP$DTqj#m@SX(1UBQ*d2qzuuTVWASI@Y&ylyK6qz8lz@ z3mxmbVTN*zzUZ-$N4U})Tjh>E5gePy`|jql<@CQ8(r0DgvVyK^9Ge{@oN{b2RW7e% zeY>ucTj2_o+vP~Ea%}r7<)mXfWC*7m+c8Zz<=9Sf!YRjgb~I-k+a>G~&RE}FeG*ri zWA7}Pe-JqKH1B(4oGsrbrVE%E>$`nO8pm40IN+pXeaj-66r7x~18u_j6|QjXpcqq2 z)RQw7wJ0YYJ6KaLf(({J|q?MvUOm|j%~ZoKAVDLlX%}7Gi>=jVNo(;S9rRraV(l4oO0}x zFd5?1S$VZj;`|C%xHG_GYKeN%v4QZ4T!NF1UE>f=Id-j0IOW)NX~HSTu6H$O9J?V$ zxkg`T8LTPcY-uyLY{sRhgJaL}z9XV+`GHPYA-ucjJ20wAh2&Wvo&n294?EZD!!QYbl4%cBgcha#;cx)v* z*7ujG;yH-tdEaN?%70kch|JjC8Qsb_b|qD*92*cPacaiK&^W&We&T(fbeLMkG1sP? zoUx~3gj0@cDZwsLGdbwZt$6KowQ=C08fS|*0aRZ(3~m zv6e1M9DA~$N#ocx5yC0Q?#fb5&e*gV;rt3$sGM#wwTxr0Xo}3(E50TnPC53fM>yq} z`QpboHdBX@>)7j2O&Z7EunAY%S-H)IpF>ioDZKAza2-1-tqTyxre`#19J?nB6i3tK zQFiSY)*oPUyDaUeY!l@bi$km*1N1l}* zhdqpApX#vEuVdX#-A;T~`U3CUl4i?K^TG<|I<~;pq;U*B8dA$RHZ4mzIb(T;aDIg= z9Ba0jTB2SjOK{6#l#`Bq3$Mr}dQ`X~?mNW*4)4dl^EC;aa_onQ=8R)M#wge5i+Vq$ z30K;TJ@xgb$AV*7-ft_oyEr#&L}sixr>lY@bwBn>*arS*XRo^Cpd&F&9Pm#efS)3>}B5XqAXi}mClEM>wErwM^bmx zS^1ZxBgN4)`J%h!Qf>oFaA$jj^DA7zodf@r3v!iX=Q@;=j-6){PB|8j5l%UFzC}3Y z*ahpjgIE537dkr5%vjIx*nlg|v0n~5W(_ztmG?{J*z$g1BjT93xQQd=t~l!5ZQb2C zc0`VH(y=OwaDIg=a;r6kcM_c}c6 z8OQ1)ge%Rlkv+c`@0HVdzgywGGU@69#IfqMCXHi9WeBHc?3^g&q+^2|!ub`haA&a1 z)DrciV>iYqCmp-VBAjyUW=)y*%6>QdnuL1Fv7yun<(L!GamKM*!eaxjG{-(Wsuz3( z+i5!Q_h^PKA6U>uiDQFvnlz4`8wLWW9IMKZICUKx?i0?haD`(TkEvxG8v(D#B{=EW zgAU=8V-MMcQ#1B(jBv`ak!j5dcjQ_5h)cOfUubE}5w0}Hrj{orgJZAoelNg`4UXvo z#IX@kO&Z5);)GL<4JuGh&e%kUaDIg=R6cDpwTxrW#3&~nd)6YHa%_^O$c#<$H3{{U zW6wu4XB?Xxqgr$@K7g}cJ z2v?e8J3bhJ-xNB%#{0pS6U*;_GJ1vYm2XBhWgL4jLOA8v!~*4{W1nOQ=U2Ew<)>-N zb+QEaS)6jxvCpG~Q;vNRA)IpTivr=4V_#XCGmb3^dkC)47kw8yB(5~azWRlo4UWy= z{Zpb(Nu49F;hjHv@OXmVtnqzf4#eM+CX7bt{B5e6X5nX^d*5YW= zIQCW;DBNlCadvbV-f_wq3oK2Bj@52qQ?3(4@Y*e7ltagAw}MyX5{0dj7+z0+Cm#8Nl+t;C-bZkGHaLTd$V}z44R(pU&IOW*? z;+iv#9q3T5(HB|{$`YW*Ex1`#A3p&s^cA`r;Ib-$fZXrZV^s7c88|OmH!T3lTc4Nc2`7m z#<9C&l#`C#6CN}# zV@o6F3AjF~SFh-0JEx~g$33H{^(PC0gKfpXHZY^X@&R=7fCmd2^~$`^Aa zSDlqFWeKModpScm<=E6T;gn<3Le4lgeI2gR7h23`$tBO&rsF^90gk=PYkl}F>79sf zP8@sD)}(Q4Se9_gG5A$pE#abcY_>%>zrqzN=V%Jg@=g|Vz9vNvIb(A@!YRkzcL}E) zo97TtIrc%Q&p0;U*KvYtgr9ir!U*9?o3XoY82bk}HjCH(7G=xl6m$XN*qpQ`jbo3+ z38x&(I+T-+wdM%tSGYoDkfoe-Y(<80(y>CCaLTcjal$FbevT4OIkqa~jAOs7!!`Os z%deCx&9Rv~T=^0>_8#xQnZ=eb$m!<9u@#mkjbksx2&WvIouM2$*1wZaIKRRLmHo>- zrk1FOj`go_DTj{r@9YpxI@W&!n{d*x{u{;!Cmrj*QCf4MWBoUFDc9%=EnRYiE6uS# z4@m6_j?L!%_k{bgPqMmzajbtuRFlTBxpBfN$ATE;q+>fq2sqiQ+RjTHZe1HXqv`J#|~Skp3FTwO5&7b zM??sx95dg(7{`tZdl<)#c6BarrOnuGoxlAV9GlDgpC4z-mxe`&V~6UZ=6*-(RW9L{R=`iZf2Bh>_|YE?T0x0CCKYYtlGⅅyHIOW*sIm$`LuFVq8uW*IR>oSz?h!{U3?3 z;Q5|R; zyUwDVbZlIlaDIg=92-w_)!oH}2#u4DO(+mfIW{pzIOW*WS;8sD%xA>Lv1h|L!8Q6q z%cO$N1+Fy5YBE{oi%i^1pJr0C8-*t4Xo{@;Y|CPdIf}-k+hIbnG>kaDD~+ z#QV>1m|CJm+aU{ z2cG^gIJS`Y|IuZwWm#R6I5wl8N#oe?IN_9I<2=eq$8u@H`4z5E`4P=kj(r@Zani9* zB7{?peNrHta_n0gryTn(rsIrb-=`_p=nE}BxP&Wh#_WdXY2et0ypF|K>xLO!fH?M% zr%B`31cz|Su^Cjkx{m!GCvkp-D^#wIQm&IFxIbvFx{m!(AaTmEH95j5$NtO`PC53M zuQ}t`+OUV<8X#u0CtsEUgO=$5y+VG>*;85l%Ul^C^cj zR=1f)IKRRLm35oLKjjkj(6PFRLphwWx-D$NNyqB8j1f*cR=1T!IO$m3)*%-Gh=mo#WZOg`@o3WQURb+aiaXY7Iu;rt3$sJt*uIXPoJo`Q;yYHl#^%WBVj?2Tj2`F8Z#tLIW{UyIqBG=al$Fb zMn?&!9D6K6I5lIB$24agdm`*1xJF-S8RL?;(i~emWpilQ=?h*rJ;z#)%;*Bdu~8vs z9IN*=37m557APc_;G|=deZu(_u24C}V`_ibOSL70$bS&!-PC53XO*rM)OEJPJ z$6iir&Nw#JrCg&gv`otpt~AF6d|YukIQAv4TL^cs$A^uGV=qK?RdFKe33udK z*=UhC<=8BTaDIg=RK903wTxr4W0aGQ&9Mll9Gj~t@?JUD*Cf z&@w+wxY8Wkc;icD>cQ8V?ReVDY!;oXjx?wt~AH4+w+uG zaBLB;-#E)!&j^bW$Cg{Vs&OnEA)K1ASp~|WWA$Zm!ub_0sI2c4HWBsEvHEgaPo9vwlq>v<8~ z+&EU>$MZlR==H1IKRRbDz}d@wTxpsSd_yVtKU&m;tZ5CR==aK zN#Nv+)$imHPC2%7L375jT`kHr`a+8pCtPWct^D}1a&YWxUVoIsT6>0#h-2GlbyabM z@;U}{sg^jJCSP|ZcW37F%P^XNo?U8{Z$zcVyf=AfjpFj>z-k#(22YaFq*CDL6DuZE2s=)fFFM>s& z&|QC*TcVV0r%&Amq%46HudS4ueURd4DR&lNGerX`rP}662t!g!DR+4C&Vt}j%Kd3A zW$lL1|8nKc>VfZql%>4>{!Cjbx7+a7qcU2`JrNLw9YR-l{dPHUz!q&`GtfTPS_2kB zFf5evNTft5!T%LqrSSaN& zt3)YF2GkA&DNVfoQMautce}j)Nng7%JPlHEva24adNqvo@VOE9sD$}c~E)*qxS%*sn3Q$B{cBn6%F}U>f(J{a%n%M(qOIN_*4p3UNeG68Qf7vi zxOQAwHu!CE27SltXQtb_;%0cgXK5*uVR9-&1L}&G;YnBN)2`HIc@lzQp_I415;th| znqU}6`JUIm?YEUO(dYHE9W7-l%t}RCbRe$GF7V_;Uy@o%z0Z>n3=5^qwM&$;!`zew zQhwm|bDg$QCdYaGg3y&2E=ci32O?zwxH1_x!)#D8&XW)f3#BY9)s@52n;#2Oe&qEF z*K=hm%*rR8cEu~O6`fVr@VfG86dbTbTO*|bW(9&_p_I=`b){*`y?TI@pLqRe>$x%` z%Ig<}uFSDPiYGb{R~CUQGoq5z8J1tQvjV}eP|C{i!r#t&&|AyLbOR{?T+FPtQa*t#*)ZH7Kga8T&B(6d zd(bs77Z3(ZyTa>#1I|YdrK}D&s2wTIUbVQ3TfysB$J$C+;J@q4P9Za z*TP&t*g8`Fh7I~&P|}sPj-Hivq#X72JBNZ4n2NP-TPZ%AGaK1jN^6=Y%HpB}k#aq> zg)k&lU;_T1D3kIxW#LMq+%Hkeg0iEkK*~yMu790>=&8#FM z;L4h);84mIrMj}Fc3gLmvWh3RT+fwNagd_lnHxBmy-h)O4aasc%nF3T3dc6lHNqiS zER?ccsjl2T?~L<6$}c>z-FmM4;jtB6EaghW#xb7QsjVwFMR*dzU>PYpr#S=*4yEi; zsw-KP|69V-rK%1WXu^LWerap z=eCuy2W-j75iRB52uSfn2O_0=6db_K(5`?K*fa=+g;IKiuC#OE&-94Q0x5s;M341c z*$*~ov(S|z<2-SiBRYgqSnF*LZ-6i)^{kv$;0;HjeJJJhQpa|Zm4+*Rr@wdt%vtxs z-w<^{imP2Y-s6dLVzO&^iMtKjLKrOV3IxHd9PbGZrJQT)S!u@=$E({Er2Nej=Q?er z9O1JSn}-|JBf=9Gq3^_%VK#4oFjz*)Mc`Bqvq2X}wUl;8)MvDm z-Zn_d$*$oBC16C)$IUPsbVr&uK(Ln55T4BK%*uId2Ym`s%6Ot-Jt>#K1`UX5Db+c) zVvCsQKwKFJvvP?mN$tv=QQiQ-u+WujawWQQ!|7kW4^leu#5L<(_^&MR#0^<3r9K8y zva)OF%Ag!?xU#UWl)FG41j9lpH^xeo^6s9S9{^IydE&UCw#xtrM_Wn-QgyWVx>9-k*BhO;sXW@T#+8qhQ7Y1oW=d`apJ%78owhJ~&?Q|hd& z?Rhn1m3QHZXV#mQ5mBCaKAe>?4qLHJRCW!|peZmbBNVBnJP7h2SW9`K)a%NS>Y>|$ zludZzh4rp0qhMBEcJ&6qC)q2uaYYBRK~rHfMhTA0%0n7TviPR^hJ%z% zd1Cr{voa>a6Eh-O%5yQcV%v!98oDww3JxezyYeu|gJ4)F<@HjpE6tBg+8(5A#uKlv zcU>9p@Py}RDKBP0iX%D@SKfBP0Yz#lBVkq`7#2!-C!Cdb?&3aqWaG0z%H}-r&U&*l zDF@BNS$UC7 z%rC;0bPca7Z-Pe)T-i00G79Db!eALG3nRSYO-FF(%7@`4t{o{$FBmx;q-?#hVJ;vHNwt%SPl212792|XJX)eF^FP02G)UQ!Cq9q2 zb>+97_4xEm2C!8NLRjY{e5_du^q_ zFGwr4%j&WHD9#frGok~L@;J;2gdwS={LFa6M`#~PSyieleP_P{9}AXm%@eEEb7fwd zt=QhuQoitb;`f5=8qUfSad05Lu9Vd_Z}`F!97e%+)v*II=vJFqbXI5=~CCm9B zC8MP*fzgJK4q*{j#=u-a7?RqRe_(8vLu3K0b7z<%D5PBfM8hY%FfvmrM$ds${QeMN1lW>ZO)+Ivpl)G9@`Y$cdpne zD!YcR?4ITgzh~P>@wo_wg;MsgOO*0=dUz#B*@-82OShG>*5b*%)5?`p7r4so=hPWxT^hFf5d^Pfkl|=RN4yvzs;qDLeDzUTw!V1>Y*K*g2x5Y>|POxa=BU z;wD&Jgu&8h5KqD$sjaOdAQ%=(IjU4CEj@=G3Q~6E$-~!kWeeD#<1FpUE-pwZ$gbh69G3wH zEJ^AOn&|Qr1j9lp$Cr9txoX+Gr$GudJvP&3R#II(o;)e0rF4t16}#A?1DTbRvK+!B zsiiy}<0%M+g;Gv-OWdHXe>!jmNZE}iPi}h#rFMz(RUDW{jZLA%F>)q#}VdGhr2W@S&8C(jP090IQF8WSCeE9ZceJzYs^SDs1p z6a>RUDd(0d<;|&gi!*2sXlk|Hp#9;Txgfl*9OZ$Otn3=Pav@0BKhs8v&r=W#3#Ifd zRm!oeclrvX?8%co*K_4yaOIMM-k=lV46-cIfw92MuuE8|+qDGo?c286EkhRrxC-bRYYQxL4B^eOdf+py-4X&_}U zp6uham2v`{L6x4Ca#n$@*iAH`u2e;N>I6@c+LcMbK`<pK2qrt8#_4PIAEkMXJDLt-~QWl+r(~rL=Ru()0OAi$Kag zJlQ|jR?1lpPbNcGdgVZvzm621Z1BMWN0Qo==OR1>!LZPkbg5%|z{9tTk9zjy$@F?- zdp>N?z|fUG7F)4a~d8!6ElrlIR+jgWJ`p#YxLCXF-Ie5LX?VV*S zz-cur^>CFLl9pY=S!wln3c_G^w zN3iyU$UgTi{R|o$1P`#nb4T~X@#z&dxZT#2X>dd>1fV0kk7CRzP$#UJ+O2+G&qbGEyg+2A-oi(?CA;DERgoJl*--1T;8~CqJ|Bc}HE$G?1U~3f?sMG^XR)YtVo2 zp2DKzd2%uA0X)SRu5gnV*qSp97L~RKa4nkN@L*?XZ~{*@`S@(2E@qD4lbq&E1Nq#c z;Q#Xoph5ZkYj%JJC-USEaeU%X7c&hOIhr#KzSmT{4L-bSt1F;Ej3)yRpCcrh>Qdp` znllYr)0%7V2zquNAsU>-lfS~Jjq)Q9nH%oGcNtyGG+5vdWMn>ifIrD z_oclC-wo&|-VaXY4cmc5-E2`uuCT#IIZc@caDh~-y#~DpU(gd8oW>h=fsfDkcXS>( zf{3L_(_rVc=GtrU`KOgHLW9$JL$@eC_ofq#McamUm@0qJJcl=&0!MJJqw~lf9N}xyH0V*9MX<^F*Xyr^2IumIv*8FX4v(BPkk56A zCZ>UWu1j$Lc?8g4#4ax#4GqrY4Hr20M3+dy6`p9_UB!?F=Z9Q-4Tj{t?FJ3v@K_a0 zQJFm{)Z=Rt!D zctcGVpQ+M`rokny=1c?myp-VEYp~w|7k>v0F60df3!jwI#Y}@M3z{SLN~ufgg= z&RGi$dcxyMum?BVI*;sue6~rvU>Xc4c@K_=E|~xgE`o=Ue0-`Y><~^-T~2eR!3~;f z*P>~iAATMhT+ADW#_?GtlBupmSG$@s4df>if^W~F=$d;ML4!+p!|fhEfuxI>J&;cq z3Engq=Iglj8vK66c735iFWvwTNF_$PI*;tZkdQMC?u~1*y#_D+g13&B@`eW;d|F5+ znj;vN)|_cD!qr@R4Q4(t`XD%h%Xq`1um@vfI*%N|J-#MQgHd7A_8NRLeRpxGxSTgU zY2%YUx|lhF5mC*V24ft}wb$UMWykb^23PQg2|0XTM<<#Fqe99w7+=t2dks$9Z^2k- za3ybe-omGFbfRf6CZajh;JKLQ+G{Z3)7%-*pf_)LDTB|}=tR?CyrVhO;Ki)w{<8tR zCl6h;=R|1Ghc~>&@QE3nXc|n)Y0flwr8JA6!L){V)6f9E(|rr};QgqsMW*P*m?lkw zHyzEjcLc+>z2tsqa20QO&%>u%bfP(eSHfbZ!7NZES9=W}IcAFZyr_~l%#Y$TD>~6M zcr&6o(_o&jx%L`N8Sp>@G^pYYAG`P@icT~QW`&e#AfGZ3FSOU-uh_Ej(4d+(d=BXe~vGDTm+HE9|w^ELOM4dA}$_}$Z!p+P_1upEAs-5{(*8hqpGVx~cht-1CZ zOdn7XAF0*yhM%+e+=fmx7A^aiJ&@02h}iZTluaGb2^#e04Sz6v8e{zi@<|K9nA3b9+|%RpdT3C`8~#b-GZs409KlLkbEd)CjON;F@bEs@!fz|(_3)sBk55kMMAP8+ ztmeW7>Zu69x7Q#t?-Tfjv^>F6o5b;X2wluHSZis{H0ToU%YQb2i`~idr#}e|l03Dg zi%&V|VqpXI1cTsBgDpz7Xx!*`o`D7pJhgoUpIunLL6?v+4dinP;)VY_0yqyApL*#= z&>+QAyE^zpLRd{-DpFfmnllY{aW&UogFCkCBfhMKFRHo~@HqpWXe{dLY0fn07SUXL z4YH5ybRjgj8oum@DLOfiKU+ zaImY3nFc3@f$cSzxzFq37m#at>Qo!QmDk0LMe-YW!J7uBI6AJq2GjDZ#RpQ?@zmKl z{H|Rmng%C$nllaL_vnKE&jxTN|L^(4MbO}Sp1LrG-=OParh)u+T=1rW{B~S$|JeXe zv>)uK4GnJKsY|o?y|_ri6`pAFJ8!|62EB4JsQm^@dJPbFc7u4zX83KlPBcewzNb0U zppT`w|2zV)sPnu54m23dQ+?C;9kxz14SLy{GYx7&uDu4|=emxB1~>9l!pCo>burUG ze(NlF)1W>)H`;4(%E$YSfd)76)Iit+_`W$@;U38ElGkl8z}9hPtc)k+0hQm(Q`hP5 z@$q%OA&C037OKKuK=Mt>29T7x-V;Rm5T1fxisci67(tWD9i-qNWek&U@B~pll&1z2 zP1-Iz3NDid$s~A4VQ|r;(NR%YER}RG{!o4kPu&|UCeMvykV(2vCf&+Y_Z3Z=VCy7x zO!p&Eej88S?-uLyT-#%Mz!O! z9XvI{E0*MCM2uJ}=|NxoP<|&*J!BP2qF+qm<~|(5q`P?PVW(Kqg0`JTx|nn~PmT18 zC4CwdF=8osjrc?PJv`MIE0(mVZKqMT$SS{=r$)KOlKcWzhJUC|k9vYAzmKOzvtmg< z*dj(OmGqb;eki}6ryjG5B^5A6#Hpmm9q~i?13dM(S1jqbtcVdy&E6Bf_@R6_PmQsP zCH)-{F=DBtCu8D=@(fQs=@dzVzk(rR#8OGFD}E>+!BehZEXjPqp_0am99I4yPmPTg zONzAZG|q+`R{juAjdP17b!~f0@L`@vdYGps6z#Ng+fEa0Od82k6N`4*BPU|SQpfbP zCw?e@gs0#>_TSIk#J+7iJ!@f7BTqeR7fTZFrgEuHlN|A5`6!;6Wcpm)jynEM>%0SNu@^Bu`B(+R4s| z7_n5+bo`;*<*DhhV)FXNFvujmVhf`DDV}=8EtZrBjZmFl^)P8HPrb&9B@MJij94mZ zhAn<5AIDQOigp^*_LydRm^7ZJUN73oiHR7oRHrvA@k99po_fPBChv~6$MmLyNfUYM zO|Mvze2W!vs?%FOCOyqlo>eUA;fRP4OC`<1*5%Le)T~0Wq|pv$n55ZQrTkf*njJ5e zupc)aUj%i*Llb+|PdFf(FQ#}zQ zmP-0ScACsn^NV(xiG@kh0@-N_Pc10g>1|geh^0C$%!nV#U*M^QxnlC>*dj(Ob>@Cp z5I>Y>c`6qzmb5S;V#HEOAH~HFB3>-%AB+)kD(TBKCQaw5 zFSA9G;J+XfF=DBtMY7W?JhiA;C;0EbWJxx(u^R=XY$n2T(P9x(`}NPWT)48s;OwFz5O;xdD-a= zp2`>PwBEaWb3s%of0L({MT^NhqHU*ddw(5KDC`$WC*3 zYGu(*wGL*$Kh#P1Ggc{|%Tqt6i^*&7b&~3|DkF&U_jzhnu2|B*wn@JfFlio7{TeNn zG$<`%#8UEplbt@`so#or8scMyN%~!On$J_Ki*_2ObFh>8A=nSwu?iL(7)5SlKrW)q zS#VGUQ3Q~KXbV8ti*3mQb+T;*D2K0Z$AW`nh_(lmMYID84zUpJ2q=SSCl(xvfb9$@ zjc^x0KB8S&a9A9X1;|6R8w(DPBHA60i)arP91%gZCm;t=H$VkMd$Hh18`0i?a)|a} z!BH_p`vJ-#+MfkSTZj$-ltJ`A794|s9SA6m@E|}wq9_ZFjUzf3kca3H791BvbSNMf z(P1n&K7#0QKn|iK02L4&$$}GXM8^QiAv%@?C&myR2Pli^L>9y>L@_`aL?^M}Bn0ea zKxu^C0r`k}u;Ao4qEi5Qh)!ie_b8&%0J(@xXF-n$qB8(Fh|UC5Ky(%hPO%Z44Je1` z92T4!Lv${nETZ#Rpe|5xKpEuDXTj+R*ad*n2rmTWBkIY5GvbIY0^}jOm<4A>5nTev zMbwK0XGIWQ3dlip8K459%UN)?jpzzMIYd{o;G7tu-hi@*`mo?!3y}>dgXk(2oQHr_ z0!kyS0^}pAWKLMD0c8#PKpvvES#VPn(K~=#MDMcT z<_Mzq06B-qAyu+yN&28KsiK2LLZ1cqMG!RsauDSK6%aMEK>e=23{VcaZ&~m_ z4AFOhvWUKC!Eg)F4}da=eq@0J>?c5Jy5oAP-T%f(N6BRseDl6&WRs+f)`hx|H2-q4xX@q|Q z@)7;Tf>Ciqe*^Lmt!2TZQAGa$as}dy1*0Q~I3Nd68K459PAquLMpOV`RNOS@W?zzS@m7A74PU7i->K0LJ%W&GO@5zAtO;<|4NrYu;PdJAgIs z8$<4Yta<-9q61m;|13lYvE~D1y(nwmN>Gd+%$m2(Av%OLZ|fpDlr?XcMRXWz-oZh1 zIBVWX);oeV?_wc$Bx~MP);o$dmkWyVqgiw32%=+H^9DYmV_EY?vfgp5xr>9`@vM1M zS?>hayt$3siL7~xD54n4{~AMd63hQC>z&Ni3&@;}OYXS4iIapcZn`Q1$Ip3U+L1;zMPEdQa*sATz%T;!@){*yGKYL@>jhNy<+zlbC1%kuLqMEzL) zjVPj8mVZkC_WBGSn|Ru)l$4pX(IA!| zA4N2nC<8bPmx?EdQ*J=w_CGE{kXg%a3so4Q2TevYx~85824w!tx`dh;C(h z__hufzm4S|jUXDv@}qr3x3j#uHr>JU!#(8gWcgcVy}MX`n2X%qEPqED(LF4GR}9g; zEPs!zcOT2&>mzqR%imu>^Z?5{9-`qaKQMGEkFtDi7SU*yuX7MR#_|bS?{SuIu#kI# z<>eg|AH(wU&XPaL^1Xa~)n)n1WR<5_{_+BHV_E)6579W5?;`-?<5~Wy2%-rrUnzS$ z&GMJn$UVdI>YDg0%bz2wOk(--Jbd*zmOnp-=y{gE&_y(v<$L;wrm+0Q7NQqe{!Cdp z%ksxd?nRbAF^aFg#PTNz!1&87f3mDMmF0W5$W3GUQ`3m1v;64}qE}e{SXu8?mOs!& z?lqQ=%6c& z#rUTzzi|xFXDq)-1kvX#uddl&uzZ=I82^$rt&Sr4iZ%U_Mzn}Et&#N>v!=h~t6#IG zwcma(Rl5`D{> zevTve9cz*wMe*-h)AtT?Kd`1HF+@MIrlpemi8VC|it!fKl#d}=&YGGXM6Il8nTIG~ zP2UN?_zKqajYI|3^s$57O4jtLI%GyHGM8${e?As;bGjbtm!Kmx0*FAmfRn# z>7y9Fx`s8)&LH}eHO~d$eP~GA=-#FPm*Y3*8HSI zU0Cx|5qxzM);u{0nD+>wpWAP0A3kI6W=BYQ%=3U_1=NAOj+BfC3>2=2)4l^ooW-6!MV zj_iRPz6y6_8OgyNnS6&RgFCVZ<*RTj7mD-N1rb z0UI$b_^acyQwGq7uD2M1R7JL^& z0SlJfh!z6MA^MO7tuaJ7Kv_f|u^_MzeGDjr=o1#K5P+3^3Mh@}GeAC~&sk82Bl-f6 zhv-Wdtc)W13XqFv5et5fAX*H_LG(4C0-|qNu*ycX1W*pqQWpFYL*xU>B5Gp6>Nui2 zAP-S93;u{AS_a5P^eqe4L=b%k$U*cypaP;FSn#Kf=tn>~L_e|MuNa~hKv_h~S@5@o zs1;BKQNRLuS6a3LP#U=cARp067W@-O^fMq2(JHorMG^f1$VK$)|Es#w;HIiL3gG|u zt}IH0piMWirm-HtLOtg1F#$r(WLm6TdmVx$nMLc%6RWf7luey1%ou)zc}{lIor| zC8h2krX$on!@^E)-Jhl*)BVd7RJwngen|HpQ_tw0HSLH>OgW))OgEr%O*J1nb^mL| zQ|FmtI@QSZ!l}kAX~T6@6LzF|YRZZ-Pv^5C%+m!d=|B)R;GkRU1~Z& zRBKbgp)NBG7^;mapiq~a{s+|-MFZX@qS`@m3KQur&QVvG+5f88jNVsQn#uR-Dl>Rq zU5$C?4d=TCO7OGop@#Ey;0SVr>RL_!_tcRCxIJ~^{A*90Ieywx7fyfnRD!|6_!H_1 zHJmRD<(PFdvwqceX4I~_-b}hxrDo8q>W+z~4d?3tCHUE%P{a9pVX|k8*$q&ZDihr< zLiL8yR39^7Qk9$ej;g|pS5$pD>ktc7#0(cym1ee|s^XwM@2mYpUm50p{h<^!Ky>>E zRSh*48^INpd2+sbjK()6v|M;M0W~P!=V%v72P>NjertV z4V0%witZAlZicedEuu>z)F>!TjTYTCM2&%x)UBe!9z>0W;?!+Wj=EiRwAO5u$3LBz3Roo*qQq z2gRxTp&T_?bgw8i1txF1jp0O@|WH3@A^{6x};UJpg5?2SxXZP_v*k zHCuFfh^m8<)I*{xJcybD#i_Yaj+!UBZ7=zbCEaVSkK7TrHYErF8MQqcoEhI;0dFolwgJRTkP?lODdT@kV38ks$Mc)*nRzXQ>wdf%pM7;pTsWniJ zS}S^Jlv)R6sP&?Ug{citib{zd9-uZt3F<{CPrW2M8lzr@veYKgBO=shC{1k$~38kqW99il7_dkKe zJy`nv6p_L&ST~vn{ zlf*XU{MfB2Dw}~AUEnY0{n!bOS%Kef3en5`YRrmjs=s&yF*>q${4d_y6mPgf^i{u` zwpJhdC@~c=x}!58D}G?X?#_tOJ#Y8!l6m+`Q(XEMDLR5_-tdZ-Z!WF5ya@*EKXaS9KWjUG-7mL;=AEr@`StMBx3YX zcD46jb})1pF*+gfo;|nP8CpNGJ!14TmWQplXI-1!h|!f;74Tzse6;Re#ON&?XgzQD zy->|}h|wW9G-9pZ5|Oir(dT!IwTZLz_d(tz(XBT&@2_^EJKt`J7(I8fm=(|2v-3D& zbk0r6T5&k?&3=)fuwKo-o$|?5JrJXdZH9FcXH%;Uvk{{gtuAeCviZmRpGAz0vWG)f zd}K)dMTpTC_E^%2H`jkS3^BU9>g~OY8<*aO7(H6g*thdt@wDdBUbFY^wY%>M#OOeJD`g#UV0`Zm#ONb>FJQ$> zcCW(o4x$?eg);RRb7Ax3A;0c&sfhpPHHh%qzbaMar5`?BTZ z5Ti@xXvT^=<&zx{qu1q^q!pjZJLQPcv2x13WSg>$^AMx& zBF2n({G{?X8C=(G8e+_AA7`ICTouAgDTvwW6Egm4_v^ODS|P?9^V)zPJM&-JQHvNe z#iv-SomLf@&k?obwIbz-uJy{g1OfV?A5WObG;{s8PtoC F{{p{+ygmQ` literal 0 HcmV?d00001 diff --git a/media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2.ngo b/media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2.ngo new file mode 100644 index 0000000000000000000000000000000000000000..b425381770c3252f477ed2eba47c8f9582dbc389 GIT binary patch literal 201788 zcma%k34B~t^?%y3i3=m*h9H9?iy*#qFYBa9+6K~QNK)tm&UA%9muXUH0l`59SyV>E z4M7GG0T&!5Y4)}+Nwaj#v`Ix4aTHNR#Su~A2Y>y4&$;)$ci(+)Cie4Tc<+0bd+xdC zo_p_kZzgswUzl1}KBJ8P9aYx-I9*I?S<}3FO-roh#to}tQ_ISJ`GW$ZD`q4tYdziP z=)SgQZhb}lQrtfr;`@2kGZruW% z(by`Ob<>*Wjj@&Mms?krm395UxL}#e&BoTQS$*{y8mygdz{@6GzhN5neZ{(Uu`O%x zQI4KZjta^gVJYj}43~_2IwN$g+qmjF^t)x#`s=r@h&554N7mqjOko-VW$0HC7bq*+ zb$=eWXl^!|YjITW>`n>TYX$7H-xn1WkKr?7O=V^M+igW^UcFHtx7F9LSQkSq5|{m* zh{Huu(9AtACsNN!X)FRHP(eBQVb=`_rGQ3Ex^6Zp==ud2hu#{-kyKNV)HIUn{%lA{ zm8-b)4cE@why`u}%k@n$ibdHcHp%`**dc3P-1Qw>!JD_XG{;sX606~+KW79gjik_^ zVchkQ#L>pqmetL%jmO1>|-SaBxib%ydyv zDD7EYoV-HBa!*sVsD>5mx$#ftz#-hn6Uqs+?ioZ0G@R#&J(SlZosO+vu@#n|9@b>d zX-4f1JrY;a{?stX%?!K7BMu*hlo*m&Iovb+@4O;4U$ZhMlE;S4c87|%216lfgzTHcWoDYu+mLDO^OxP(K~3;|(Mun<~$jzri%PfKLPGs!>_ zGSU90NS~0>@_rM$ynm$N5HX^bGo&=(`~MixiB2#Mp5hU5WGp1#qaop%=<0(Vzi_2= zJ7~}jP76C?8Vxx*HkDjfS+}^ctZeiUSp7Y5xEe^)%n5kl`U59Ng7wf!Na5Z9ragZQ z1v3egV2vFOW^lB?lA#{EIMXyVCD+`cY`!?3wl)v_BvPDjmaA{iUCH8n=prn8tWc6e zEYS!4P=brvBUc?fBVAn8Yf1h2Pi#*8| zpO!Apgk8+YacHlYF|33vzBXBs2^M!upoX_W2VRX9*F!PF7N43f&V*ER02|h#7PAtV z(%oA^Nx4k)Fnd?LxGtKGGH4He%r4G{%(G`%2|8>-OLnNZ201+tUsee&TG2<7&{Ax; z$YAo|=q>gHOwhFFl0r!)m^_jx$swX}G!JD(E#e#lhS9?la>4MflTs7tlH<`{pD)2E zvjbXvGc*AkC(_S=sb~){tM@!JfmvwjrBeM<>7(nkQY}fdDOyJVS}0+Xn%jFuLM6C3 zlN>xNUxEeme^eaxN}QF*Mf=_dE$pIcF?n*}vlJo@bM59dh`ib1EiiVe&2&WLP4QIX z82Ji`Gl#b8;$?aN{33l=v2|q(z8`w12wk-=qHyT`1X}Pd{qo4?%&*b=OKIceK?`DM zq=YhfA74`~Zf7r^idEqjdH} zvIGO?vpu7U5-chc4Sm<1fJ+*_=lpPSMvFXt@PpBk9Gv;~d=ETD-O15<@RRWpENCcq zgI$~fro?DO_Fs?)W}>j2L)K=3=@hn*-`7#35t1*5g8iF9!3u~0fwTY05_HV#{g)+* z%g+LRZ3#N60{b3_6ql!!X#dTb;tbfQ@4q8doQ@dV_m@<0dO3QyZ-2A|1G=!UKV5cc=NfXmUQZ2O_(FRcd-m)p~1JFUmQ#?O2}<;B#zq_1zkth>tVR zj<4rS@yT9v<;-4?bxy0wBmIQ{T~1$>uHBJRTuRpuQ>D0^es=u~Y~FR=S?0OuHSj7i z@FJ`>0vZF3*?m{%Oa^_Y0FJ%CNk|UQMuXSfoj+01vrrG?YA;pEcK$acMP|oj5t!h? zBWyn$L)sWT#tI-HT?|f&1`v=-cfG9$TEnDj*MEWtP_^r=AOckF{aaYJ;pv~)s|^Iw zpzh!SrOqRzHrEGp=^&*{Muyq@pHvYtG!G?$XuM&yKpsgJB}4N;J03(<`_qFxpmIET z%!V5d?g;D<3!>S*b}C4aXVJbTi69ykPoTFwkjNW5B0E{hV-OabgPqABt!hVj=(tP} znJYH59oU-#+22!6nTL){2GO)?&%vJtN@ID+Z7BrHmX#fv5)UN7nuAY8gGlfY?_CaN z0wtMDgZg4BP+B>0-^F$yUBvL)$v`54_|Q?AK$42$L+=9F??XPU)2Vh}9l91whtdDZ z1rk*tA1ccir<3w?g6W)i4!&sz3OjNBQaF%Kf&Q=HPOC^i_&g*7Iz=IwPY04zOdcEy z2hwR*a&jV&#PN9Wm%&6QJbsl76jt%E_bAuZ{0zxiF!z4S?W{u)9$cAnbUGADY(LC9 zxOT3zK>0B~{Ekuk?}N?>#WRzcOfkF{!hY#;=-m5sIEW<980&3^=9sDC^I#%WgopQL6Sy1er=|TfzZUksHKA zc%vH}wGcdbyB#1%^XIOhPCCJUOFBS|0`p6e0D_9lgUzV`Dh1%GOaMW|?DhEok`pR7 zhl^3syZx6KRBS5u{43{|5b0@tA&?@<=GP|t#BvKgu1@<2Dv$1;5%m%gs|PR6doex% zz}SnEUL2vee{FeN{^8De=uoq zBEim37ke8iE*`S}7ivWx&<1Pk_!FDbvZ^Vz zrFr#=%`2AAI?uZ5OzSMXr}NnYxR2OuzR|Pm%&5@9XFM_%-W_-|Z-6b_C2}>ZrAjv51&bRb;5_wCVXMuhtDDWLcrcJSw4&K zv;F)t2tVH6?o`50w0(M}5gr3<=;w~_dRN*{Ac4zMew^O&8vHhJk0zO12Or4=5D>A0 zj|CABaf43=5fIFS4+OS>vmZ^2!G}@-4I#(|e;%ZdqH^$i;Q$djO!5pI{8j*2P|_K{ zAdmduj|u@48vDT~f+#flgAWF2qj4MjS&&RQIegb8QKKPvXjcO;&nVEuo|7;@n${J0 z1Efi9*#?LyJ#egSC?V7L#|@_C97#uo3{eaEK8z2KIW*@_NgE)|_~i)$SFNQM024%JcXPQ!mf@WhsMKjMRJ6CQRe^ zAyY9=R22BU-4N69CfQy>E}0e-9ElH4k9i*Q(xYA)MREt@jVZw>g*k$EKav)pyK>FM z%C&2qY-qJ)#`qs!km7229r%!~BWiga_;A{Qd3iktAeot9ag5)<`|}zi*18jAv&_WH z>)20~C0JVNbsU^4KqQ9f-TJM|BPm#~#mU zBCvM%JqZ^OOZ+T2YHYi$!x+%6902O5>rvVZ?7l5&!cz(EvrTw9!FvlPJcHotLMA*7 zaPJji6D|il_Bc?-5?aT`o=R#6&DXK^h=x$4k97lZq$tYAvN{h%_}EWujfVnu>`|SE zBCsbyJjVz>oQXXVEb4qG2@Jl8t=-tXY7JgnZb>Y+)OP96H5o}wtXg*+wog_9_q2UV ztl~W*y$yZnniRmv1a4`*9&v&-DL6WaK6OEIn`jQIaI2`M@)IBXJz1LBjr0+V{+OZ+U4FXwP3@)I<4KuFtzqw9o7*vzwLK6kSQdCqut~PZYGPp@CFcf;4ZnVb$u)9P*o$vy;a5%9Pvaaga!RrYnKH}Jiy=@a zyGnr#|25;4P|4}=E3jEuKri2)n}8`?TWEr!=L;T1qV12`x&Q)DIz|7+0kyqqWAhr! z{_CmX$t_J+Z@>%StJiE^vx;BhA4`#L@AnjxRBTy!Y~6|**EGZM_E~B09ie>#K)iJg z>k>(y2Kv5$Xm{*BlJn|U)Y!7Aj(+idi78UQ`o2Whcf*jLEYSpgO=0}RI4g9HH56;( zXQd4g`FFfLY=8)q@!25*L>?ahOxysGi^osR8z7SW_@~kah$KD!@vs5XNWYr#f+9Cl z65acuLJ*18w~?e3M52s7J}YjLkj+MF0K&hHJ47>-l=plh9Ymt+zUMuG%}~zXEl$6t zLdx6yjbTzK9|7{gjOXpnTEK*h1MV~i_nt}p=P9>l1HYn=E-Z@@Bk68l&C4WQI&x)P zF(Rvg>EwiBlIK=hA;wQD7$~h0)xwifbK70D~06v65Alr7>%z6=n; z3mh?5CJmG#apaR>pd2wGv{l3`2JEqtqxrJDfl?gpogD_sQ>Gc6+k0+W-8Rua**-pr zdzxlqlP%WF1sMWq$X2Xg-MoB;+O&+HpVJAPB`D7z=n&ovR?mB;yyA}2m05BeK>}+e?!U#V+QqS{ibqF@mGF! zDgbiPu>Y!rPZxYX_)NwJyG6r(k&-+kZb4`~93VtrixIWN{_n<3I#(Whb^@{#` zeMa!|(2r3xyt$mFQ@>a?bhEf()qksBKV|y<^?v;{efys-`1Es;qW{X2pFjdnCjB@~ z+xA(c32~}_ffA>Q{Y#H2T2M{pB2X_PaL>yHs5zvGC zg9w-_gWCh!P_;+f4$w#W~Hl69VOZKlQ3BBmt+CxAW?}fK(Zg9)Ve4 zT@n_!3Id*1{Xb6{s0fQ~1rw%~e&=@CzS4ow-nk_$Sh;jOl?HHEN+NC{bLZ87D9$vi zgaHGxB}rh=ejqHlX(7h&kL5E0;Etn>vENHe7(jfDiL-w*SLW#T#<6EDO$5<0_JVAQ zMG(roGsn>!8HTO*_CH^*Ix!E#25yT7x-go{wt?1=rZ`@)|;++55MohB(hS z$9@ymV453a&%`x^;&)7CQ|=6<{ISuz#zS$l=eZP+V?>ALrheNi5nx9&Z(bEkT#qAb zdvjDssd^deM>X@REP7nt{qwY>siI!{Y|==}3cX&uZsV3%3slUGNKmUO?#+h*Vx#BQ z6!%RcVTO3?H1=v7_ZSZ<0a6G4VySzYIeQ){s9U#Qw`XTmf?Uf(4N}$RUW*$9q4RG_ ziQ;F~KTZ0W)!}3N@gT8Pt8R#C`d<%wVAei9MQInjqKK7 zypdxf04K}wpjS~gV$$?XOEK#Hb^}J^*s#J>Wpn67YF`P*5*V|6tCN5xkv^_OQAG}| z)Q2=iYGuW=80PcH2h)BWvv`DGm{p1;Kc@R|p7gMGL=WK>zFhfGK?hM*dpWGMuG-v; zARDPBF;+*wbkRP({f>0d^~*G9tWu*Pd*TJNHBM`SMC;7ZSWf3B14eH2K%}0WIC5zs zxK(s~-#6mA7G)8HW=DevXl3~Aq@RLUDV|PyV9bX(WDfuE2+Gh}*%AA%p;thHC#Y1* zm2~L+Lmbc~7ouOdxIwyBZcrU|I#{*ONkZTV2*{t00CXO8RW+$i!}jySf_EL>b4TUs zM(`eyQoNdNswCR|)ikJ+=$A7f;Ao1KbHMMsiQ1VYzxsfa;NGyhZxP>S7cW?JwF5>s z^`B{=qn`bvxa2p#oUpSgqf;o9+b@U$LO)TLYHC@ravgTx?dKDl`cW6hJR-yuGbWhm z=mkK8JbZrbNDQn=hZ&$;mVje>7Eps^wfsR5usjrTS*Qczsj>d;3fkVP zH@AjFq0S^jx4k3bD3ZUc!C$!Gx2uQFbzAWg(d5uM5M-Y_J`s z4*S|AvU-=@G>TdNau8@i(+V znKOknV=XAV&)M22kfH0^8zGTRb|MpiuUJypAI09v3*lhehIR+@6>=cxN16DFeEID`I?LY zBA0U399(Paky&=IIqnysUDMzVK?F>l!JEL($Q^+q zWZ1QVT~WoHTLb0DIZcItrres%rlg-NYBtvgQ7AM9Zw#Vv&E_^cKwCKt>EK;~B-Xb+ zNFNK`9wkP`D`xXqJODRUlc>ZDx#mZ_6+ z=7O*x&MQgYWTou=O2J^I9J(ZLfSkEkgiVz4b~J9HWWi^Byp*#qPMf^c+N`*V!mz&6 zeY_UT{Z+!`#bW+)&I^|F7b)ylLSC5Pxa)gY%0Mak^}XLv!KJ9alamH7B~bfKlb6%v zB(pV&E4yH}#@T3o#L!z#u>DNbgbDqP*&L^*D{PaOQ)WD3!rZ;OqzSXl=K|HkOqA67 zF0xISlk3c+39}`i@^OnaY5IfEzV%LL)US-mZygWKv6Oa2p+rfMaBWOdLb|9DCkMg$CG}Tj||;xo*@vUB-;0Q%F_VO5h$>$3zIRcyD<%linF7zQsd_;KDL&&&7;m;!^B1mLu9UKD^Mum?XE z)Bp+04G=(B4lWB4pq4KV`z@l~GWVlCNXmlLs!))Wd+fXjWW!@96{+uR#O)v|NsCkI zV0F&NAol1r0R-MsFAN~?4*hb!rOU+z{c}MSR#p+9i~?kES&#_t&MylP;YV?OhtuA^ zP+tF6-iuLs{cqfhQF8r5*o#qeel6|ADBb=#@5LyaofY@^OltlQuv~u;nHv8FXCU;H2alP8%RK^pUs$Qer*Y88UnTUcAXGIYTeLW_M!S4GtIJFq&XUlzmwY?y3c>iT^a$5dR#LLM^`DFv- zyuQx>dCxMQ^osLVeity^B+gp1Z7(QtWktk5$7SQLN|A@9UvjUqe#PY@B_gN z_=+QwPsjzz;{_=6;Nbh?fmBY$pzk?55=f`c@38$OKDZv(A4H)5IPhzTU^F~_5d-~X zQ2#B698Ly^Q0N~RiUd$7)N_B&_$YLcQA^W-$88^7?9~taA{juTkU7u+g3olCLkHjG zm!Z}$st4a2_X!aRL6!SwIFN)P-}5o_+h|IdkH8=Or{7VkZ@jPAb8NndG~#df|K*Ah z5w$~{pC)@o2bK&^PD92EQwRQ<306g|6e02XYtONEupkG|o{xo!P$__RznU&Wr5T)i zBT$x)ce#HTf+TroaPU3RKpI6^?k|BYva5%WA~~;TFf)eUwtX;yVd%e>55|BFy^;69 z2!f$E{kk!LLx1-xp`rV;kDDzVddrW~3>hx-so^&@ho_`XV|aQFy<_`u8jqpZ{d#Cl z4E;0jD0JwXA;0d}FVU6fKB>$$Zs8Fpjpk%;< zKl-USGYI4PHvn=MW`qp=S&>y6*C)hxq!69m4-_qt$T_7d;a@@RsetFsujuU)lqKYxGDj7t9}B81Zn?hIMpt+>2p6 z4*Wgu#lSYhU_*S3UKsJR!I06=R5 zy4)Yc@F-uZm!v`3{hUdnXO5%Oqq-(h6+Q5#hn3Uc=z9_#MoMj?CwVd2&K;0@RL26a zo#t(W_M)RFcrn^(j2_`tM|o!Sn3Shk>ivOtycp$$(JHULat0ei9%1&~fr3|>vd3tx z3mPLyBj$5^O_hkE z+yRplk(zrkV~Ep%Kli(oi4yN~ITN)I9uMHd2`SMr%*5PK(g(|f^zNTTe55&0bW_L& zW6JHmJM4ogD!ZdTm@3-4_oV$escEwPIMVrXWc_5BW^vtHo6`V{#O|djQ;-wW?i&j} zoMLD910f$yLAv`VVINKbz58y!UjOl#ZTFs}59i?A-IVqdNKv=t$0!9^2Lh|-zLW+aa=O1sepMpk z&6TK*Kug!bgpNQ>`__z(kRGmCIeMV9>vFKTni0L*e-_sf2rbmqh$P#4bjao5oonyI zc@3dp>-|DfLudrst8ERT!0Y)-Ttg^7_Hx}$TF8UhdqzUzq2$)R41ltEIS=CYr*tNY zxSosB8WW|zu3vh1sI~4JA{rBicK6+S*SOx*HM^j5QFsi$SLY&RN1H`V&h{ymfWL?K zBmhp*L3+7&@yr>OkhqQ2YD5G_PfOZRgCQCCtWbmVK0j^|xp?5KQC9At{H30O%i;!# z*cfOD8z4fr=bSvi$?o6Y0^iL>L-f;011lrob2p3pq+>w5WZ>)|Tj)SNu#|eFb0P`{ zc92nNz(bbF zOE%n}H&HV8;C`eyU>&|P|5%U(WHUW>>XGdGEka{ z0}CtzrG;$Z=O)VApn>f?UqFdH{3YQm&?C~l(MzimkqrrqMegFYQ>pLpo$ zj*`em1NS6MC6tl|zG<5<<)wiyg-w_e%HZ=64~%UMFZzQo#7&$dEaYvIc0u$y$l#ln zj~#Pj@Et!4?x8Zj7RBeQmqXN&X!*iQ*~9+kTvecksV{oT6dqxRg&17l{X73i$|p^#4GB0Bbrr zfWQ*(4j|Ax8JZG6puiYd>?Kf!+Y<7aLkaQ=X%9r%ajoTnC<$(`JrHH)tMVR*l44cH z15xf<2t-TulqC0h)pMF2^Gec>s&?HRXHjv=;=l0;!EODda7u#jMG0f)?P)-~obU_5 zTtFK*KcYZd3k5dagVA&tc+zN?yd?JPPAJjz7|24K)(>6-QeJhmryqDE?B$~le>dmF z$S>mU6}Jtt+>FrQ|Jwlcg^G1od#}AdN9s$YoZ>Eb5?EGgK@%Y zOn5L($dx${Mp3!*Nk>+EsbCtti81hMk z#AD2XCsJNcw)5$*7vyy#9r1$P%ddpIAWxfn<6e+b@~*oq9YhzWdAV3pyeUg#s+@t5 z-uZ;nh(tv!{t61UpcUVf9}wqOO$F~2cHUuonaRn|guU1_PWuDDF<8ljZyK!V%)pBo zk2tr}zBI`os)O{p4*hHpb!^|th=RG0D88v=AC+U2e?w>;e=TEMA1OVSepX0)>%Iw% z-zS8XS6JiDyQHji6=j{@MLp^;aoR6P13E(gm7;-{lO8TOVq`9HVSh@$WwZ`ItMdM^ zdr!Z3)%|B1076Ip*b#QtGcUOmO^%$NXHK`f^n%*HM26WV+QgpOx5@T^%y?tki&+rf zn(|=W+wN@<9hBcU9k~G@?ABVR-h7f;*HLbNq&aQE6qlVxhc%cR>pVK5L6qYP=JQv;dfv$6ezKdG#h2W! z1_&-EUCS@@jD9P`xGbS@A4i|Fbu30Z+0k!#F_=0kGl8nUAv=%mhag%}5Z1NPU*>eF9t`1{JH6n6rjq@lR_jBm28K@L%JN=)FnRP9qYZN0-wZz3Jo`*(A^U^P=19)N+i9s z5(O-JZUQTPw=!h4im38#dkVlqQn9(1nJQyu7qu=#nw7zst z2Aks=o&s?B(5MepiFWkA1x=tu7Q#;odqFZace<{mW%*Rf)48*352tX*-8m0P-p;*0 z?8T_3xf9Y}kld7;lJSDHV;KFt)eSb7`Qfe8!!wXV>MxXU! zTv{7Cq^o47%7nV(iKx~XQ!ukSKI7xZ-0GN_HhD3-IhIfC@XB+0}@V!pIW;^IF=0v+!#~UUV0dHr>7)-v z7l$8C_+a#LcuUv^lYyy>4@O{jTwL(M78Z@Zybp%mU9Em@EPqeLHD)f+^J3I>b40_* zuVQ7DCl2?Fz}!1%+4D?1KGyA!TQQBB!nys`yva?W-%*}2VVZdzPXP7mrrFc`eX~2f zS#SSI(&VOS?;7#JG+l;w`;_p+9)2e6(?WA@_y<0m3m?Nz`P6geW4Onsznr={JQy+c zbIED=$(#=-j}6~q`Ea)XzPJyk8Q=b^qz`BNH-~*Vxu@gukPoMXFw&XQaE^``0x$QY zf&tUkA@?nOI0Hq@2;JO6CP<6#BO!y6R^RVM4Um>@p8+&8b3ZE>th~%;awbY`wPj3{ z8hgUWOU95}_Zo&sc_wJA4 z!%#ve;oWB?{Wu!kJtyVIsa4OiAjXlOh`lT9=f?n#JdyO{WV{dNNjLJVoXO5FXpFp) z_u(|9M>-QeoWpq=@lTeUH!4SWaOP7825#iLQJ*M9>h5;|_Ihf$cqDdK+)tncvU`r- z2%dzyKN|8&(3WH5&4Qo6%lj{EFJUXy0(NhldPoPUt-7 zRmU<9V!@lg$m={1Y6Yq~E~+x!FK0A}CQ?UFSVJh2emOa0UXgn10 z9bB-HUgqHI>Bwt5yw-5=D;{2D#=zp3$eZGxlXMd))P@hFbta0O;f|CBiG|Y6>kwHv z{6Iv9$b{j?^seyS8&2nRF6vBsXIh8Y(ybmY&OsY(or_HEof+34vb1AiNJDs=JaSzM zkUSEX)7Q`FhgQZ%0G3VKFk>dw=?>Ek?q<#6yOYG-?Ad%bBgwQmGx+Yph`1A+mt@4< z9HNc?2{+KfH;1be;zlT$9T9h;xlh{Sj{ltK;d60AVq7vj4CDB2IyZNwE$*gr1O4Jo zs5~_yNES1GT#`iN?~!*bcKC!ObIuk$_^7-SJ@_z4XneLX?09)68b2-~Xl&T{cAM{n z*s+o%dT_KL%@(FiP6|e0=8=MPmT=MUK|*sgS<86A=DS&}W&CYHo5g01|G%W5v0>x? zw8fn;IrDM)PC_@8ZYCkz{xcfyq4fJ9~rQ+}Hi zcS7g0wzw0fybuw0!jxy^oiL>}$uyzlkR%CH_Je{3W(ZS8lj2U8vPa$tAN>p@aEg(< zU&PxqA@*39Ni&2>J0k9cC4)(ECmL_Z4K*k1>WPRu(Ssk`;!X^uIO1)XF7AFTf_OS> zIs8+3C(L{rV$dl<<%xp05n=R*WaI`8KPqX0^9LEhDNGUj^9_RWdy*u==sOlOPUF@N zi{g)oGMJ&D({3THwz_GMeN^_VX>*A3)dIKoiKBQloO^TBu$vvWC>1T*mW6k zCpxrN-U%}o2+ne0OJjjK%Y`jV<(;r)wd54GER=V`mh)^OCv2H3<%BI(QqV-}^-|6f zrd^p3L`z7X9+9`~rxh7-Cyo1@RBH*nS13`TxK1)#+^_bhn5zE1^_CS)`1$-5>zY=g zRPcBhYA3I2S<2i2v7?#(%EC&%4t0CpDI-G4h165x%%2A_#T&FcRXihT?=XnY zAFFHcwY*}2LasW?ptuSt(A!1ES0l+k0Y$$d(9SzGCkpVpn(~)2+jr+ZEm0r%H_V=i z`f&OEepu0-FG|JErTsu8NS4(;UkD;mq>z<|ic&2+lp!AJET*A3DpZ7s>D_T$rU-F1h$j?l8Gh_|Hv|K{gmGwp zrB7QJnMvzS_nLCY@ECON8OMxq#%dU{^G7!?M0oKciY?VeN2g><0q_(ZYJ z(%VTL7ujaJ{P4T|wW2LT=%Ym&w2<$=0F6Pe7e9T|{-Q zMLMF%VpR0B=a5fUw+q42^HNF6DanZEU@8Sus?v4v)IY7H5-K0|WPNt(S}1>fproxd zD|-GBDKmmaSHi>oP%4-OJ?TmLJgkdb@b&%p@J6HHplS8pd@zl&%e~298co*E7opLF zx~)ii6mPfZgLTq0?JCj}&K(1(U_mb9jzo$OsT(`;MTpdoZN(&EQ2P=OQ3T60Y~9q~ z5frS0hdkvXgIwi-F|hb1QY()5!QHY=K7OCT-emjLbI$7KaN9oUtP={pgnHs zO5E7yQ2J@U2$4qahmj&gXzb3}I#G4gu#FtPGOaVZ?URz-9$FD46qx&LU5R4Ej!6EY z6X^P|#|MhVYY-;Lg@J6YCfc}lt;z*A$37RsL)+8sH$_VD(Js(UedBfsR{G;8?cXe= zj2o@FY@W3MIdA&|`4Y<9c99Ua|1>lKEAOL7si-Ol$4!;{QHpOTa7AY@9NTL$6DSp| z zPryXWa@Rj2NpmSNO zC=b@ju6rUnnVpR-oIJB~$>vL*4oeQbWOIpMg;ta4sX#wmUtYh#DA`oIp;DkvH{^8>~ueY}^OnAh+HKJ`{FOe%j{Dil?D;Y$hCud!!gW|eh zm3y4IzC9mAhI{tWD#B zfyxUZySDd+P)Qzo#@hZnu=uP1o{I$F{4EK3nAm%VN%l5R4?TN_i}CR9Jn#!qYw|`b zUN!Uxw0Ci)Bo9A3eoqk|{*puQ^h8Mw+@T+rwuIly=AXg;Ngjr=IQ{jE$9S$-`3PY^x9pe8aox&05tWcl&(DMh+1 zzMb7MwJ4ciulsYnNXs;FJG{9~OECP#TE|(TV#3s`j?dV|$n4J3;>E}uS|2K^oV$Hu zQRQs8r$~RUOqrs#a)D1Vmx#Jc~NQhP)$*3@=*HEx#HGmZWsi@x^>Fk>|Tz zM6E~`tE0i9JYAb2!9<=0gGGq^)=x)II#`rn?dfPQ6rploV?|`yzmFCZWs84ath4Ol zC-Oy_n_Y;!vxVIK+wZp|GncNI=z3)D!deroa~bMWN&DAjR&n8l5;H z70BW#3N4QW@u&_JDGGfwUP{O*3b6;e)&^RkTJX3GKhejl!v;;HJVr)1gF`>HPXlyD z*fpVCzMjM1S_bXpyh{u6x&DVtyIzR9%pOIe_||n}Qm0>!7Xmd~u%JAa@Y3fnU3}A; z-<5eQDN4Z+gCr%TZJ%dXv*1*%Y;p%;fbFU;#& zHluVx8QlV9y2nJCGem(**NI85jG)LeXxFKpMwJ{gBSBP9w)c1_P7H`g188>p^J!hwV4!CQdcN02SZKf|X2*LH zB{a+d!&Rw327WvO`u4ws0_ma!RPA@1lrF(QXTTj7MoMvz4!w5f>jpjC+;L8>ln!#m z-cmiKakw@dr~*5elodUjz8Ux$UQWLY$TLWEh<%1ZJ?d4-93aib!vUAl$6h8pid0JRgARp`y3;BAy zpwYCq8=a@gm_WVHmaqB1?DnC4x0MlhlGq-zm~P{m6&q z;(y5KB23iBb4?LT8a)rV$XHJ~~p2 z%+-y{qB_}GpSbq4H;|@1UFbaD(xv5ji;u~jGmUoD(daWtuZa5qyUQ~J&Q+&3>Is?J z^+?7mncxkY>S*W1sQ@zjVEdm7I!Sd>c7%#gJKhs5!9fMF9akj+Ik*By4BOuc2Qu&? z!v%D&dHS0!ggL4Y$yAn zr<4&Kb5|#V8sNt!oy$UjG_t+(bHQ>{W9(d<36!I{bmzUnI=Q!<3!;I7RBY_54OU9U z!_IF8)A*4{=XZ;=NDk_}tq|NI+j@5qwcN=&qQR2vom*1DL>{oMMcU-9bjEc_x%mi%4(jTp*eAP50w@ov0j&?5&?_Xv>y0 z8j*)4r1j1xjRv&y)aH<`-f=i>6rZxZB==y~{fnqhDqQrTBGFU2SsNV{wH2eyK}-5@Vd{cj!8|xD%LE{Dlg?r^NRP3p0P`F z$xhLX@BQQd)+tWFuVhN=<;QfL&qXHS;^g_8&;(rM^3KDF3AorRL-qt*oLBdzCg9@K z{Q5*(yta4t6(&%|4*TmwhKYw&?^r>~w6g|0@$YyLkA6z(x^Ds&F>QNpO9X3DrzDZk z+rJGicO6mbL^DdL>zWkEM~51uX4*E21CZD>UXck>>SHF=LLk_KN`Q9YPJ$~d%U;1< zt6WeXn>R1kxFl8;n~EKOcA-tJR>dl779lHVr_0;MC39;UW0kd+Mq`b2u|-w*!RC8I z;0#CUvYB*kYC!Veb|8(*qb{$kq-Ph~cIWAC^$L8+o8F(?)=&3S#65ECzBMV_PhNH7 ziY+blh4b!dHtr`~UW-?Iw>^@^^$(Zfic{H9moKQn^-vrP|886wt;WH#0_{%u@ZyH* z`dCG6O+^DXyDvhhYzo>3FMr=D(GZ2=&)}nMa&+F}g-bwaF5qrcgN4hxlDK@~GCVg9 zWpH&)v}RFk?&5|;6${b8?uCFHtfmUGLp2dVlNZrnxEs0*8t58pPy1ZLzg<^fSy8*V z5yS%`#6aY-=%EwSpif#ve;a3LQ5@Hh!$LZAX--_DdeJWqv5WAXe-u*flZguwQ_E*e zBX17PBMTvhaT<7UUJ{9Qs46Td@acht5lJa8pH5=A#RUP-mmG6TYm1-JHSo@95h|?Ny}V+vrzp-r_}-jWuQrljbj~ z;)wb=-A$g~P>*?jQJyIc^|6{o4UK4IW0Zl}bIYx{_!*qmFyB(Nwm+G{^_8$T%GS0Y zuyOw$wtg5>7XwRncFv1oW@P6i z9ITP4bc7o;4hW>F)FK~{Ew#K_uqY(LmBkzKf&{kPM1M z4Uk+ADHtI2W^PggG090~MP9>1!pT;oG>qD|NEjbZe;`bjSBAY9wLCZH#i-kr2`@%w z&x;6b-n>fWzsmZ$SUqLf>?2Wu*UXKn#DyftP1je?!~OtyK3kO$2&XHI@!VSQWUJyD z3KK2DLS76*v+ew(!0P97LfCc@ZmDayXXm%w7m+~qWw9Co-ERvhS|yQf(SG&b{-?mg|t=H+0i%!(EOZxKbz>>e7fyr|)WKFTp(x9rcEO^e|f!cL55snvi=>;aQV$?`ck4l6LRu4S?(=Q4JuQ zE-7dL8C9Fq0JbWx0o3TFDGi`jYb_0+W@~dA0OGbtQUVLH*=($7sH~li#lEbp^-l?% zuxvgyjM;?>L$4v+Dr&g!0t-i{RuEU~Yl=&tCE2GnCS6rR+ zpx8}aUWqBXEtc}&;En=ah7TSJskt&r=oL8=CCw{CIyx5{Yns*KB_=vBG*s5`_MtCB ze1t4Umf-DB_Gbkli#_ygIx>jJibkZynnm<rL`;s$+74x(x1M&YRh;i*ub*aI&2RtH%Lr7 zv@!zP9D;kivwrx!T-2|8551_o7XO24jFh5ZuOhMq18X~<=N%=5G%9S25(qqZA zz$&1owaH;vTs05#ec?j12RxQhf-b(Wq5(QvR|kl|!>zU>(pXi0d90xpb+2h6j#|It z$TZ63r}cf7Y5`mI`PCKmPCwfgMl@2i4%^{|n)$G{tv;y}>XH8#&%Wh0Ep>^$wmz;m zQn^rC^R}(2!LA?G01o%ITQovdHMNXJw|YSep0<{xtD$0Hw6>;kF&!#e2VFxPA5536 z?kPAj4sC{{{oU24(JB|$L+9@ke!1x}L3=uYh991$RiU*#fF?WI+7m#VD&>A-`P-W& z+j}m6HdWHb3x2tBN&A<#f7p!6#(w5i7{!>(3sdt zLHS1#3T>F{#C7Y*4hmk4&~{=(v4en3N^HSW1U>gD1d5o!l2m&+tZx$w4kZX`7KdEn z&$bVmnkuU=1N$jSLknlL|MXE?!l=NP3!UN8AsdG$LHG^$u$- z7U23NQ!DEzOSX!GB?aliipI(Xv8nK?I8?M&SgKV)n(mV3xg};&lFpWGE1a&? zVlagJI4U&}Z$uF4XK8@y-FBgyyfKxh(0z_PIZEQP&4!TDw4e&>I4M6|P>@tkVUcZ! zE0h@#URmN6;U(;i3UlE#36r_R_;NxaD1_kzt;QqUm{tU#VS(sz8#ezQ&5ZNpXcOl< z8ePpvyvoZQBQrRUL6DAc)LOv85#q1Tf z4`%MT59Su5CQL6zXO{xiL!m0h2e_qaA58vS4owC(HMuP1gUQd!B0iWLy)5s8$11;UeUx86<94hWzKEUUgOU#31`QfR2N?0~Fk!OPeSSMjY<(^74}%aV~UwRYi|5 zvayKCOhXaNn<$M$JZGZx2NAOIqz|USUlI1fFf6+^;lfyW@j$5x>rNw`cCxDqE=|l7 zdS;Pbneo9ieBzj@cZeg`&F{!3>?)4jm2saEa@MM-4<@&)0_yc47c^F-eK0v@jb*|B zva3@jTF!992a^|9=Y23~&S_}%++9?4 zq3xQ2PR34V@uDiw7E?;UI-}F-Me%BF)B~FO*4jFm#uC+99?7fYItAJqsCkbzUitBq zf(T$%-bUe!_R%V(a>1YxR^A~(8z#sibF{Z8x!nfNNvs)Mv2aI zq{}vGq75a79zzE2k3qwH|%gcw;$5grTKtlez>bQTBT+I-I>WuG0{Zd`OjB zo&yO%wCI2?u(ph?1h6|s4YjtK$}b5^p|rYGV%^7X3PlH;kExaQ1)W@5v8b9lEUJU+ zGCEC|R#{5{aUDdJ z?`N32(bCE4bhRO+qx0rsuU;3OTfw!84H2Ejo`BP(^&UB~Yl&6PMjx~CR_UDM+QyR#rh#sx;&JO4j+&}!jrCbCYejwI z(doZG@sBEmAk5Fmr0VdoHy*1FhnC4R3sLornI9j!639@V39vxn^xNcr;1J%2? z*}4)^FEeL6*x&3l=zlY!^zgZ^aRDASEvTy{ z$6V@{z>=};!?uzTrF{26$9t2CQn48CYs{;t6erPb$9oAvj?bvyvV@2gQ>C@+P6u-8 zyRBEbm{aJJ1-(-WN`qExY+V^3KxMwG6N=ld3{u7L-5G_egstk9ZI{>@Nw4SCc?fPj z@83?_N|#8ntv}Kmaq4+*MKt|-{o!Vf;MDVO05in{9JT}?*Yh@Mm|oB8EI7PQT~5`> z23#W@nyU4U1khBi?+*bwXUIC)jsQ7TL;Y>WZ;Pq}z7RlDHM^$*XsSloTJY;sHNs~C zMI1-qgdabYRsfZHM zm*MN3*2QVHDn|R|O^&VF0tS4yrF3Xjz|Xp%Sq0n_a`?3>;0GP;TCp#iuobU-9@Bba zK_OW1G}bniC@k6!d+UNKQ#d417mOq|Ra#x}cw56slc)=-x|E}Z*Y09<+ zmbTncuh%Psr@1=3mBE`cj&fBQyukyxmBCXYE~_l`@#3-VEWdK{^|llJ0;)2Y{k7AG zug*8c74vN6S|3O_1~>%T=d>jq3AZws{bNCKa|^O^I^EIXDW|m_<>0&(l$*NNNg8aH z7h7+4TX4&WyDXemU~&r{^fwnV(RtegDKY2RBcY@2EDyG6llOWvzWmS)<- z%OSMr`iq5|b6%M$ehX%E(nM#Y3)hEDbSBU*Bs3JM5|ujakh3@GFm@8Cg_Q%|QqZ^s zOx|&)v|1rp$qgZs8=JoD^|pylClzrMXcLO_Q1f$?{$H^MD;k0T;pCc22|#Ycc!zw3nn}w%icWt9`e^1iclp zowy}sqBIz{7ZcUmf4a671Cd$!vSHgu+Tk+I1zO2!(r%=Oj zTfr5Pr8n9gB{M#l#xxl+;i(pxWBXtV=VaUm1HCP3qWqfBZ9u(l<e%fWoub#@5>cJv zD+GSocF8fVtay9e#m(aE9Uhgkq<4En7pON2gmb1sdlfU27J72At@>T(-HI?*gFJ_Mo)7`%taZ+>u{h*#DJwN6yZ zYNo1o-JQ`T>qS-SuBZp}RtWF1bt0yrQ*^vLu2bCSP4{?lXZyw_y1OGDA&1D8e?PAi z^+Mr837z6Cs@>`7lXd_S1-=K9x~N+ed?>8r-iqZt9vP>grs{ML*}AB={P%;nPE!TL z*DZxQ%2ahO`>;pCS6O{Hq(u3pOLwrzpRFOM^VKL!JEe+4kP#8$mi9j7*x)JcJ>z9n zrM>oRq97b`W>xsiQ!DY`-0-OtXPtExzPJC)I0&`Y*4dT?joWxdZ>hv(YkdI}E=f2A zEo7{=@7W55F{((|nbbhHNVreub&G_tw{J=9|3l}0A|N2PLc2rI_o@H zB;20VSUp9;Potx2y-&m6s# zRbUE%N2uh&pj-cI`#;-5aY}|`_oO5Nq4$jht~XUyE}mKmWxNgCR0*55{fKP(UIngr z6S!%vh07;$04LAI_l9uu!!T~XO=7$s+%y+rBd^5)zI!g67wKD9HH+ref#Jz0(8=^Y zD%|`ijhkv#KYfxj z8odVrV#}%~{1H=)Vb9)>hXFtH=t}Q?CoG6UGYM~L*64fZr-(jNwoLRDt5-LlIfL6i z)Sd=)^3-#&H`x9|>d>Te$Hv%oXIjj)=c2HnNDG^{x}>KQCTVq3OCq+WxmgNt7jv~- zbq~U;ZqVrau1N|F$~7^3(Qwt87^#seMz*j6G|RY+mNn}n!;Tc`m~3rbF~?eguh;SB z>l_+(VD$_--}wF=)4vmPyuX_@lD}mvjwR9olQlYixbT-jxzJbTT~D zS&$SGKNlY?0!ru0VcZ;Ptp?Va4dMD2xC_dD(rt?y8icM-)6EA!fv!8^xMrt9=FmeC z+)W`DV=~-R5H}Xx9TFQ6Yo$f{I%lLnI{|kf?Y_sx&AaIacOzdeNHHGplXAGTKtsFT zVO+nT7AUMwbHieF>RJ;5I{D11v(KzN8>`mY0&c${0r+SMJLvsMppyta72FCQekrG5 zO>_6_d4Y0!?t0k$bK;)j*ddq6_VWr}c40{O3I~?UYQ0!$UVYIJ*Z8e2p47k~moy-%R~d%0YOA zhu9aRfTl19?&gGXH;H_VKBE(m`A7~B+LLYva!nk_6v;#$K(hWcsX3BI2IIJ~fV)Xh z3Mz;gb-txGar=op-%`g}t8(I_VHcM+lT)PeU5hlav*)tERM()j>-V-Rt;`obg~Q=> zZC5k2zbG$-k&LdPLz!TW+^RIX`}28&i3n)75;nD88UpdX7(%q}v7qad7ATVyMcJo@ z6Y_J(Q^~laoX1)82fk7Jv*Fhxeh%HKUF9iyD5 zG>w?I%d9}E3Oar)!jqg2m(uh;#C(O*k6K z?pC@*tL!c;Rj221kAG8I(Z-wN8ovPm;9hZquf|Mz@07A^UxvP)gNq?`v9}Y3c$yJRx&K6<4K7;t1e!&CGwKG zctu^jRwhaRP#14T1hVbDNqKR+y7;gyk(1QLC(0yphPpUMU93)(;ahrB_}4+i#mW$E zYJtR3v|Gl-RY1*xw z^Z=w^cCZaK7;e3-GbyE7p_#>>iin=JJ*f=)k!s>$b@4qYygLJh--*c9@3-Z}eagan zlXOS{&)1OW`Qq-R)O@ExZchqt(35BU!Uvddj+7l*Nm4g}hc7na;;|f%b+~9xBwo`N233vb|XFX(kCfjpd`gDlK=AR$TLvZSdg zOCqQ?38~u)l9Vjai5F5gD}^^Gg?NQRFt1alUY(%RHkfh7#6cSuSK;E5Ww=;^i%&&y zQD2Z33)RJf44v3Ps)S~8Z|g-F+4`J>Y+|~)_^c(7Pb=d;rI1q!l60cF_+UmNA$9R? zb#YWek|rgDf3ojb0?GbcU7+yHLz(?cL6ZKcE`FDh$gkDKuhhj@LXr-si`|w)cBzY< zN^`H`=_<&!I%KeA+cHurtC)WQQ^`N{MQ!#x{XhY#4nQ8yQS|~BkE$jfiAsf!B*X=M z=@$jTDfocHzg~94P47EghFw|Nqn`-58#MrvPAkhcr$HL~E`9R9Nl4cX8C)LD(kK5T zths>8vF}?Ff;M~;iEg}n;-_Z}Y>Z^RnE0U?1H;(+_%?-rjXjjcC;y{%>%+J-WWP+Z zV`+Sdf5f*YiR;5J5rzK|hUfQRSA6MFhdHKE^fUU{-`EHH_8~Y(q_#d@#WdfIm zeK&`Ijr}+!xM(!w*I*33EotJzaLa$hwdK=i-(>Z+d zKjOQb?o7S}u(54kzGMJjnE1L<_~d`A&%&jlZ!>8c+a48MqQ8st0enedV|^if@;}nI zIE717p9O4eS59zAeGxz3O*XKx5f9&Tx-<0Ml<;HAlYZ=`upe7)`LP=V+E|tk;7j_k zWhp;)V?Z0rB7VNDc|W$a;K#NGw6QcDz!&jjOQU{lOF$b-!Djk-OMvW>cmQ93?2?e5 z4~vqojVo+Fc0=5cU6Jr(KOnyGqev_Nqxjos;nIkg2LrJ6Bw_L;{n+}bALDAIA$x7k z&v#FNzI7=--^LKI@ps`v{G)!%h~V02<00FRZ3xhJSHjPCZPJf@KkvuZW&GGZaX*Ib zgwMXaBYy086E3%E4LEdgxYyAE!M`1$UpcE=x1;*= z8hm%hfsKDCg-`y+`p6c84|_x(R&V>UIbmSqAC2IX|B=4gY|F_C;`|2V8k)LFr#A^dG*+ zaaj(R#&{%Hc1x0(@WFg^XYgGR0XBYP0iXPj_-3YYIsW-L1Nb0pzD3w=VSMsG!m3Hi z&^Ip+Z2aCZKKUQ<&5Pi2{E;*R_+TtW*q2BH|6_e=T#i4QWB?z8Jsih{(MH1ZV^x^| zEI_s@i_>EQD3BulH_43>4|I-k%vjhLuwU;l1cJZw5dEL zO^QUcp-9w_ib9*Zd-v{rnti%gb?-HCZ|R9ilAbrANcw-ynVEBD=kEBoKli*|XLru~ z^EsdMp7%L(W_D(FMxp?I5bPRKs@x>tCrt$FM3ySwRVaWTw61^)m0QRsO$3{z;9}(m z|qfXY`=vFHiUBiSV0R-1bbS* z#T{j&b(#ovpBEP^D+B{E;ytBdX@};wV`FqIRceYIlO-(e;FB?lcMqvmxr10}BG_yi z@3WL08>M5ZvWk4tMEzu3-0@|)UjVVnof3Z1ME$(DxMO$Fgs29iL@ZT)q1&;?NofcF zJxJ=_O`=zRE#W6k1bdvcvEzFZl_u(^;9{jNPyj!upNI=Pek2iSqJBPHtlXm*h~YPj zc<2B z48&;TN!rG7#g5%e3RSfP@ZZ6bvrg%B3OO$ zNfV7nma5LsDS#g|o`+x_$&SgijmClCK;|UcP zt6B&Yzz>2+8o^rWcI*j1!7h~Sm?RUdwQk3r5D0dOWXB{g!7kJ7*t`_M+A4NzJSkMQ z7wp(GBEhaw?AUl8!8!_dY#zZX>-+84I0;Kt^vHq2WZmX@ak27@6b0~uVB&Pcf1RGCkRb3x2AzF7niC5LzW5@6mGGcwx{N_@uzt8SBmXxXnYIe*| zv76KUuF;8~FU@bBf>@bA7Hb18Pa$8@8d$Ew6{Sip|Wl?Zlcx}Tq5 zBh&mIqJC1E->uYdw1(a^5iZxIaItbQvCu>@1s5xa1`Nc|{yHDQ=&yMSQ$Mm)N%sy6 zqes7$bYyZ&B7St1;h2YD)q?^2q>0ugOI1@m24ckP86?;vX?{H=f=x^F8{{Y0j5NPN z0>S8B9T$vv{euKcU$_1e!JhQtCryM)e-AEJJ*AltUAKNJ!RXdRVdB@%Pq1f+H%$~1 zaItD(z(9<4ZzPeb7N_~$C=)D@=64hITbkx~6ZLzMSZE?`+~mc@sueyHqWlKx1Y4Qr zH!wi3)#-jB!Cp)A8;~N{TH;L;X=8wbi&bxU48&NE0X~AgmF`Czs@_iX>qD^0c@p^| ziTpO`L+fs|*M+iSeyD@j=}IJWBP=dp*Wm4kxbT2V9+!^`vvhOeu@t#)@gDtqaw6vx z*blT-^Dn76Z*FOw-kLG$L>@&Yjp^J2+?O-ohBW(w7@8FF=Ox?UZdrc}qK zla=3os*ImBp)AJpLAN*1EDWVLUZ$}9Ofe1fV@aE?*f1#l+6$-DrY~(M-K7~i=9C1N z9z4GZx?%YlheoFwPcn6``vM)^D~4O4Nq6Ne^kOmRGt~kK_Ngk14utg6K! zoQs!>NMGWs0QMDqb}L%3!RJyCY9msA{HR5s1*8=JNhMz-k=T?&Y+K4e4D5LimehfY z9ito)zcN3!9Mv$yWXhU!8NZn$Yf*W$J9n6DbI@>hrmG%;S=?o>^PN=IeN z)Kz8sEH^Skz}7`N;YI265^>!0#;aQqVMf7Q5wxBLIj;%Tc&#Hsm&xRlCc$hVwyjW5dVxfs~NbF;Ngadi=g*k+BHl>~^7=1T_|AU;3 zskDo5s5hF?lzssUA~=}M>iAxcy8eNrdOgSq`qLFX#k{Y-Y!=#$#2A&$4pQBPt3ItPeTw>(v-hQEiM!b@GxAvpT*5=B2i4mLrIBWUkMp`j#L%&_t@2jl;gd zuE1l6oIR@1CuQS=S>65^g4Q!2r@-2_aPWSpBbHOCL(V56UF#3iZOUIJnxY$-Qs2V~}T&62Q+%WNZiL8g2NJu&_nc)abFk@~snzb-G zFPZcRMnojS@TPt9Cu~CSOvw32aB13DV^Tf)ra5ieblT{Nts7b!gL-gK>FG`5c&4L= zv}q<%y=;tJz5B-~)919Fi`KIsXP;yaY}WmUgOGDrgq-hH^vF39gsRQhmeKvkWTX3O z-{$;F?C7~)M9&beX~oMPTr&EAf)y{z)IdRVoX{bGRWYS^KL*D{1fxxp$&oZ~V2Tmeq0YTk&s6B8{rH`tNV>+EzIbCgC4=EcYn`S7hQ>l#*mYe zGB-`6FjMwTbK2Fr|J#q6zJYW~znlWZe3< zcK2A_U*eaI$$!TZ<$?v{_F~oZ=+2nlLtJYK6lnrtMcZV|9w_?MLuNek8nL2JQ(Lxl z{0@q$6wF>I`a))=F9V&Fe!CFD8lR(?j4J#LViuwJCKSMkQGApB>8veZL-D`>W&uK{ z2GFti7IYe>K8#{>CS2S~)A7mD9iq4)zV;3rw~L8P8QO1W6V{eE$T zdghZQ9f}M6#8!m$3RMVokx8jE0LhEd`y*QVSi~g_@(O~)R)M8*4T`r@+YT&k$I>nu zWw#%ScjK*kA#{Y|Jt}4&6o03Y(!Ky@0g8VJkkXHc|DZ$hA2cSOo-F<&1;vMDV*69X zB@K%I^^nrPWJ!WZZ52yu?I30m)Vd_5dRhRp0BS=YDV^!TB?Z(*vZbclSQ$ODWqX9s zbQTVk&MD&9Bn62nGK9L(YUc%^Vi*Q$ArV_kA1>*j3Vu?$z>7;Ns26FZ)LOypMUlLu zbP3+owHiy^ut0yFsOO2G&ZYI{3Ahvlb-qAs^EJ#8s0(RnVG5UIP#4oE&!gvJ^n8KZ zu-8@W^~g}awF;48THAeV&jocEIxQ1HT`rQ4%Q47u46>3|TZz?HN}#T$w$*4`jW&ha z@Ss;!(6&wj0QC(oE~%id4_FPT8~oSb<#`Vw0o1pGpyIJEI&Q)sn`BVm3o^$ofk?rZ z3PK{NL5v#m5XW2rm#|udtDZ0QTfC|lLLN}_utJfaI2Lu z+f$fjP(SlA(@y_;`fo3T`l*iD59*gXGkv8lY%qT$LIeg-cWca~2iHz56%mp^-GxE- za)#eQ$*LA5pne;~j4t~*!~Ln-j!vEr>h}s}FQ`9rhQG*n7F-hn^(PGWlMHGqz}ouV zzxc1BYY`Ga{SAX2DhS7Td?tL2-AtXTb z^dLmfU<{)T)%RAGB@pss28f=;7)BcfpAS!5f{+AJPYR;v`B+=c{0$FW|1w0I>JU9g zglG$ynOaICE?KC;xZ@sXdC4oF@SAd?1N}) z9io?enCbFVv#~kdAbJ@FyUYvGD}kBX3;#Bo+8Uzm0+>Y$8h;~Uqvkp-WDY_;h<4W@dIM+J z+xu>~PXmbd!eG6;5WP`kH2MiwDC6ovw6BI4gAU{jZ}PvfWc&{Z2@o9+gy=1tp--BT zxT7sX9*EwIL2u&>hXk+L_`k;y!eFK^?13nr2(~(NN~-CCp5H+9Aq6u!PxTYWX@1Q3Ju}4|AJg7YIvhX+&J`Q~p8>gi9%izR&gfKxlbj7CLOvFrzs)z+!~`Q=0#A zE2gANvcO!&wtrW!SGKR6!l)}w=3xs?StD{4# zK4TcGpQ?TQKmcO(6wF?Toyi!+&eXfV zl^Knu(m3DW1qgW{)&zr|&lxrkN)vZ1Lr8*HvlPTyafX7@M!TR6LOzJK#GtJ?!`7+q zrarwBVi#esi@XrKlry|c__Scv*$}%VfLVZ8+W@1{&cE>B1M?9=_=+IJyqw`x(%jkp zrxEf%tUU(p$QfP}yrB0_?;s>W?CKQ6uH_87D5c&Hn<3(3bukRG4c=S+k=5bK9Q zZz3CgY>xo5W53SccAGm z&SRv1Wktc`2ni6o6U`Dg-Q(}k|-&@=;0vo+S%6Tvwpn*s<)5PLiYu{qrIRIvZvak~&g)01d=hLfK! z{L<^dVTjGsFr#@PH$5lR>38LA5L+jb+-yqu;NK zkc`;_u@w?Cy)1VBdFx_`El*)a^D1syEgqV`Gaq8Fpy?GfDV+S8;M{swmmnlT>@_s6 zq~YJ@xxTdzP2kHpR0U~IFrVC@{-VmF~_Bbwgh zo0_4%=nYDtxgqYrta7u0xkt1b~wn^`%g7KD}?Z25n_ili2dzjreo4`^Ut^k zVn=n%eu(|+wM=mkTO6NJ2C?HRW*@{)@nK`}+L%uXK>Rc;;AaZ64Dou@R$suSp!pu~ zIL@YVoK53r=`3WU6x@DMUxfUav3e7gna)+#w;XW>LOzI}qeHxzpPAain`fUt2O+F+ z9tLgCONs-bo^RxLD7WsA>Ikw>Ews_ zbt0?PP3SZ_um$2>HOvykdn(K%sWB-a&cq>0cm(HF{xt{k+W7 z-!u5&n6n{%Bi6Xl2l1N(W*P)e3gd= zE}J*84?+O(+X4_D?qRitrxq^>oeA+_SYwzM;v<2XM(Wev+_(hdI333C5+Ht$#7v{b z_Fqgp4sj`kS%&z1K4u#0-L~_yqYxjXWA;OQLXepzYTFw;`YS>r#Bu(MPtuubviirY z-Ppz?tT727K1F1vhlSy(&z^($Ls;V>3F1?|%rwpOO+k~*5Pwv~?1T8s05d)27vF5! z3Ly+SBM9-?GBZ7)yd~kuulQ^a#2;56{*=H>Ps8`aF2%t%2W!j`A^wbqnVw0NhPw=c z_&lsJ&kON|er9^k_uPmFwj%@(Ul4%!^Aa-!#HYTv`XY!gPGOcIzEowVWqO~!nV#B#5Z}FX|t!K!`)GcZ^RlK zeGq?7V5Tk5qpNTs#DiEPC_)@3I*WWr>U49f!w7jG{(%DVJU=rXV8G7=R%<6b zd-&c_5dSoY8EbyQ$$zOoHT#$Q5RxGNIo908+uE&8pIrM#gs{ff*w!8&nFGHSa0%ml zCzH}XFD~KPz-|gD{osSD?|pcs-a|@1shE9`w-E~v{|)o60f_%dO9!yt?`S_L6WbvV zE-4WIOJ|gisB*B*EQI`+(dF*|aXjY3B^?rVyq064u4l-VKOKU^sVZh4B>tx`Q$2ai zOU)#NypT8@&1Y~^Lv=uCt%eYq8lb6>kJW1I?K-td1WwWB_(+`Vjg>q! z1QIx7C(c1rGnJXnSN=SD^lOBCkT?&`ExD{}7?V@C6> z0;6#oET8`9myj47#4JMM_5d^8;s5vc5d;z-F%-=sxam&+_gDTo7$GzbN7E=yew046 zd8Zsm+#SG-=6ktmjBs(w2ggHVG@3>WkoS*-LoYE7oyKB}34T(V=*1-!5)aVQBrH9k zLf*SRV)J9^LEI*~3Zyh81<5OXkeEt6ry}xb01`7e$(jD=KJd*%NPxujAmsO>K^{lP zSphtQtdStH0L3?Jka*ThO7m4n%oQN9Oedum70lS=a+Q=;_%Y)x5|1gQ^hyx32#M7} zQhJpvX^=mfMp>gnVhwtK;32jg0hfXh`GVF{(X&{C$TuRf#Z=6Aao~GuOZp&@&>=EL zCbm)?vmf%$Qc39}Z0{ox@|y~zR4ziI4BKldlhQT~GoG=YLfgZMD}ggrqEaNbO0pzF z;xp>G(}znsB);$y+m|9PVcoAKQrhLkB^4rNZWhEWLWF9bY$-vq1#)SXA~r$6?1lXL5-DATo)?LD z6+|JWHeS4Hf!8nEQ_surqD!d&A+MjM88$IH(Ge-OtgW${NB-u0?AUPpw`^c{T3vb zA-qh4VhB4(w?joQ)8|uV|1|xM_`+y7U0{mx89((6o+s z${X7JAzkl8NXG1ef-e~S>B zf@u0cX6u!s)V+D$LkJ=Kz5>axkD2nkBaV)&56N5|vmcTL+*IiOdGiSL&PP)|nu>K+ zOI4ppdD|mIV1Q(tn-c0TnQ9x1nhpnku=e3PMwJdqQ#tns%V+vmgt&Gq9l3iWd<=_)`pwI|cLL zCHa*!u4v{xko*GSFC<9rmYGSH7IsKAM#uxnT?!<>^)S;x?*|1h{{+cB2=DPi@=q?* zU*35iv@3+dcXgY&8X+{*L(`eu@$9rDRHg|88D`dKhqoBD1tXv9oCum}H$5;7+2ua*c znpkHA7b+J?bVq7p^zefG;hP*{U^)fN0}%3O@x%eq!nzQmU=7EL1KaJ9&jHVqN3L z1@d4Pa`S?Tb@SmuY?}<~c|i;7oWiBbmjvAHlO?0BOt9zikhL^mVb|&e^B{Jw$S`6h ze>5r{rCHc@9)i6l)4OzVk?=c}`mIsueLMyRq3#OQHtzWeU9TE<9EGfVl<|3%(bnr8 zMb~B!>LI4z3ye3QtxKVa+<{~!BGx(u3q@7rlO}?#6>yQcB3owGi#Oewr zi?(*h7%d<)R6j|A>11dZliRk;L`WM1=XflP61)rlGhvRwQ9dU@DcEqu%$exl5<+*W zE(GU#t$@6X7sz(Z=;B3bY8eDy(CmH&!Q}x9qXh3!8NqSY#^8A0=u2O`3H@6^XpG`Q z@EzF-$O)#8OM_tgxHJd`(^k$P_Wx*?5 zOoLZCSNEY;#Kfe$rlnZV&QhGhJ+3creyAA|ybwY&MHhm`+)P%M3wJU-i-&n;q6D9i z7{RBj4ZXR3-y<(2GPZ>2I0bV9eg{G490> z3g|8b4@&li*C|+XY%d1E^bOS*gXv?{*m(aGt$0+ym-zIzGUyzGi#&%{9=Zel+dycA z&xPQ>niY^KNbcJ-6H}1fy=lW-6(sj{nu!uzEwCwXJOCExKzxCG8OI}FPZhoP1&K%ev{Yc z)OAwPVRXI>LhrconkS$GO`O+!&5mW_^}Gi?GW~5&U6``!QeHtnRgHbbaUg`VKOuSt6vh_FRl_M}-xtgID{;jg!t-s-q1GoZ0IgTmB`b#6Z zP2I*TI}TNfRhM$elNpBsb1WeT&qnPmbZZNtJT+Y#ivzh`*i1y5>^SgaW6GgWXB*)Spw`-O2 zryM@!I#h^e|5T`rAL;ZQ2K7SdV;3FB{~OGdbzhKwN|=dq&_vc3+f$6g^{d_vpx0Fp z(qxyuc*ASO$(jSXW8F-YL#4tveCA^uu6T1vBlPM3p-SC_!>0ec4&-KdI|tnLSaa-` zT!(JMWTL~>5c*tn;gBm>akAGT;<4&d9lqu|=v;>$v3^D9))7KqyXjDT5`7U9(>Uzm zI(!FaUu;g@H~pHs(d`-t?Q!T}%^5a5i4Mj-uvD>PXRVEGj8kp)1zG?65Hxvh2=+L8 z?jmfW6NL7q())+;`Y34UKzWfrv6#t?m-{?Yp;&b(ub(8w>sN{KdZ^QN`_Qd3gnm+7 zcx_KvahP5w#p_euibr{+JdD>L)>zsQT&iqbfo|7ADCKkE^`+m6Q!~7FOIAF}>kuDb ze_7*eL-6QVeJ@A1E)Y88Hom^C;ePyHN#k{dkFR5Ve7&mH@uFK-2pw@7Uq97wKc<8< zUdP$^%B{u6*EI$2PC~cqAavYqd>yRee*8yLN4M^fdpcwu_vC*vW~z~KPySqECNl1G8?f<}dnO-W zr_Fn+H(Gn3-DP}{f8v;_W_XSZI;HnxYd*d% z;p1!U=@-|=W^aJp)^6jgt5mbRdZhQ`Wqf?K<>PCB^0AD~_J-Wc+{RZgrDl2Ig$Xm! zer(6bmzR&P5z_n+di8-^9RAMJU-UN{`QMnCYNj7=N$&W6pBc^M))(#^9#X8jREI$U#=*yRxViO<x-thm-R=t zn<4jhHy!2&tvK0pSg2ZcDTfg$))ymFCWp-_|C`&}pxZ5wd#5+OFRb5^azm;QgeTz}(|Kew8R z@*3k~yv79>uiRJbhS6&<=tjL+pUm0 zL3ZI)ELm}CMz46libwUD&9}2By-cqw3g(b~_-&9o+p(Ro@l~o@acYJao@%17fUn}_ddVWs-2)Ye}+?U+O*YAoIr)I|2q4a)S$;a1fKECuX zhFpQo4u{;8ZsY5SUbDRZN$ z+zl?{i~N7yOf|#nT#prx>a~fFuOJ^^H@6(J2Hi$N?k1PuUGoEtB=jz1G%c(`0A$AEH6>B z;?Z%RlYjG=iE2~MwW+i?YzPh#^4p=;7|7k`GRDcH-^|3cArGOj zVVQWj9?KUWl&rdxR~6^=xyH7=R%2fM4BhU7+|OKik*8gliSZ&2e6wL$@gk4NFcanV zg^%^wE`{-0*r~^j=rtB{zY^2?jO}yo60A5i!%JfED6icD9h-6e@%o$3U#FH# z--o;&fZQXJ3$N!zYyE15*HYPvM|mA%^H&%GOs^-^>0hJUB*;DPHh(QoS#fHH*DEX@ z;T5jsvGfYpkr=Pe8`sZA>tqPyE-~{lvm(_juXQXQx>85uEk~_gz#ya z3$G2Hn&q{L#iP9HvH2@}CZE6N{kr}GY!=_h+`w)AdQYxdUO6lt<#i^Tzrttp`D^&b zIY+VChah~G%luV5hpitUUmuHhuG#G$^E9h2<#jfjzrsy*HohJV{cke5O@VL|m-(xh z-$BoL6?v`L1j~+BAzM$%>pY2#uNLOG$7>AZ+ZOD^CLV_H`M&h=WgTnT?!C>LgY7=wF3&`OS2)A+>k5tWO72WNh;CCMe3>V` z&)7bCzt@VxdHt-|F{;;pT7y#_BFOA{9i`VzgSLAafo&dVB07ky-!9 zZI6G2ZZja<$z@xQliyuNV*NuNl4ZlP>YsRh!KzDnUFT)Ix|?$l_D`p=Zy!XrnGn9t zpIIC7FfKD?tqplvmzgMso_rqcC9?jx;wb*f7T_@m_jGLQR{xMkdzmSF4$Z8p^q4Jt zLyGCpS7973`eIWA{boV9x7S67_AE~J96GRmq&oETF%AQParmI#ozGw!k3+a$z=cC6 z-6D}ahpw7cmvXo%$T-}>b-2A(bUM1thVV@(7Y;qWR-EiP+#p$XDTl#ahap^t`Iml8 zns@@jgWYtvQMKY^&tYKDs!KTxl6@ zeQ}q{I7pX&{w?}F3E>ewm%bRLSb?(Va96;pOLe&0&p6y8Fb+TU-g-N_&4KXUK^G2E z%8HXchcUWUmvR`bF%I`}9bPJU_ZxJ33c~k#TsTY+tvK0pnB=$WQV!#|4imW!x9mUg z0J=R5;c;#{JfvB1vgh!qYSpD2?&ms8mRVo?`@uO;bejv|2i$a+DOho`=P=u2)ukN# zUe*^=bjBg{#l)WIHV?vnze``t30iTo=P*yP>QWAm1Q>^DBI9uHs>EJ&dj`UfXf7NU zT4Ct1Rd)N$#VM;Y=zf_AG=Schlh&-HMYvhu1W#F6Hnf*Wqb}^~K_%-}2FI0fgtc>5zVZLw0?!UP$9G z&&T@WSzsJ;dTk-+%@#s~#pT@l17C5M&${NsPnlM<(2iO*{wT1t}K} zIiCMJhdisQvA=lU!#FJAIy4mi2&3O32tV(0;ZP`9fwI>j8nEh89hPz(UgA1jUh-0Z zbXyGJrEWSTQ&ybpIee&Fbt#7xT!)n^>kDoFvIFS$JcL)c>5%?^=@}3$qQ4Wg6IJ_=14wFBu_Xzoh9hD1j29lGjkwM%QREg9LVD|%|tnD;5uyNIyCOv ze=vG2h49-hIynCnt)u&Y{T)mm;%O$zVKdhusI$KK^LV4b(Q6rmH@oSukLj7U4mSRj z!#iFv?2I-*VtzC zdI`e#b1Ut)xOJX_Jlxbwgu^ltHGY7_&YssHj}?#dDij#6C_mryaFI&xzgZ69V#gR^ zy#DfAaX7DIK0B7xJVG9_Y9`7nnqs_4M5fo9(>u>buN4rE>zVaR{4Y%#L$8zJbq0$^ zd6lS)*T){ltLw3AIw7x@A-px{!s{#@9cZc2?iRiWx z!sRaKS;$jm%~UhITC#XlFO84;$`sS<`-;T7*y<|~-tKeJ>q50=d0k?KGxVzRvwr+s zWxR$jK18;+RS^D6a^ZD_-wISSdbMZqs9s-)jMpynoE`RKctmZ>uSAb zd39#-D6icf#_L;&>Gj;T&)$N~z6#-QT;?zGbYC;oj9wy(M|pj#GhX|>jMsU6PaTe} zz6Rm%G#9=4ShVPGqLZH|$Z?*LD6joN#_Jb8e?>;mZ-Gtf>{56iPALB(H?QAB3Ww(Ev#OhLB$Gq0~ z$^)74T71e~#BCjfj|(p23r}R&IM8u#d$>*Zyr!hAc!XCT1~C7FnOBF;UmfSw?}pYl zAn%lv3$ILiO|?R2f8HW7L$E4SUZ;uH1AFqCS$dI^?)1gu=c_GuttS)0n~--}^?|mI ztfKAW3K40YLa^Ut{G^FsYXw{+FBmZs!4_Br8@5Klg6%>NCD_u>2wtF>9m)t^Do03&#r*Fi8e)xSG-+6CCqddO>2 zeQKiw9Z#@Yr=WY{aY~MSFoKRJ5mJJVCl*qI} zybXCB^~_yt`;!eLXnVK>BS_wlY9r%12G!>U%a0Q5$`$PCR1mxPq@=yD_kHz|-V3!$!!vA&^-?M|ZAM(A_ck z=~kSO1+>saNV_UX9++*7`$1p>OJNubl(Jd15UDw6E7-O!;GM#+l`GR z{oF|2VD{*@ydfzk!P~uOjQ;gGjJp}~ZuMqXu*S#WJi%HKsvzD=X+HTf@2;SOAdbQD zUzH}&|6Rz#0|@6YW@9T};kN=3W!5QJa&$ZGV#kzXY{jx*uS*q7@VyWvI7(my@6{Xx z^X~IZeOr44V+0}Z9)D(n#qY@WMkqn@It4Qk(gwld9y>+}I!IH3wp(f`!LhuH@2@t^ z+~;{LK#z^zgS-iD3cf1Z8#V~8(d}4v1dCZ+N^r7=DL6%SC>UDg8K_JrCq=eEo?ptW zAbG2cnTT4HAbCf#4Wk4dPyBP;ipk4g%tQ%J)sV=Dd`RnNZKIBbRGZV~s zu#jnA{9g|iepb>5KJI4i;5YGe zRgk>o!%S4cr!+=zel-Q3Rrl(D6ry_$DsKLmM;T=pcH6eO?eHWMe9uBxkowudZIf=eW(;Bsdd<2;zx zsP9YIR4(K#3uac(G3OZy-Xc~bm}ysMK3<#nk`RYP{kXHfZg_O*^5~^sdow3eTFY@LgGZ7sOy^=mVM*A^Q zs@pNDm+glP^`z?+5w>rAI|r*4LSCWE_#*E#G85ro@G2MWn89lso4bgg!E3u@ z_cM6$xsdXTd)XFRqB%P>A|(1<_Xfr&g1orPKqD_tG7~4bGfgCe;FqG^&mg$VYsV-- z+mSXU_#vN!%TrE*+q_d|cKrim6hj`K-OjirkoRz#i4*)ruwz+`INMV)C_#-+Ayra! zf(^u9>tkE^dafO~uV^FkcJVR^Js7n;9+DH>=jV>*(6a#iW?k&SsDdh9S2PnPh*z+z zqvfxv5qwtddD9)=pnDYZK6lwRGHtWAYj}hVRnRe?(Jr=_;5YFzy0}cUU`kNu1i!6D zaIZQx{NZirAA>yIg&=vQmYGN+>l7>$FOW@$HcSZ?&t=LGKT6PcL6i}+U0|gIzYj14 ze*$M0|14hh^mQw+tvKZ2p<2c+c91a$@?Tw~VT0hJl%2Gzg5*_-W}*atQ5nGlekZ{{ zg3stp?nCzk7Alflkg5*VTW}*ZS@oDCW?jVSVQtgNQ z`T@EpA@5Jwg33!I~iW& zMSo@@!bNJU)%LS^WOvPgC!R-ZDMU_{GVA5wV037D=g|?DkOi|jKB;6nva{`@DX)4$ zCSI#fpR)_YZG}iZH8U^sg)^j0uGesnorAF@q#uRSftHDv?TPY~S0mlp5+dgY90M)V zRBPX)!>QQThY&f(Wm6@u*)tO(m^fCQVB+62%ymn!{gS5y@mi`m8%J6>=Mp5is%3lf zv+N^?G*>b!NZ#(}BuL)zXTzw1WNbL*yct zF3z;Wbv#Ol5{y0-s75flP_<)pcR}7uXeLVVGP+5S$HU{h7Y%}ucD_0T9&U_YWe~aC zu}R=9@f&<-vc(}iyIv!hCym1lFJiXGiV;7`A@PlX7MduB_8ulu2XA$e_O||T89JBa z3lm+AN;2*A9nTb|BB>FN*)HEc)tG3<43W;ZAbRaO(pg{xuag}FBi;1P;oD!s3KbCP zB4i$BHQkHRHG;*ED%d^62;NX_JLsmLIxq4U`fr1XsAVQt(+aAkN*ckwd?u;(2BpYA zfA6<%zymtKx0K!}XC|2GEU4{KZDefGE_R&8Fs8bRev1qngSImVRKc5Mrr=<6I|%g> z&C69Y)kZtt8G|wKg?G0&HVEs$GvklzOut=gTU_`UWQgs!&i3CMN^mHjNrpKIMn-tg zXw&;{46_{~x4Rsb6jdo!J4A(bq~v%Z7FE!3Nx^lhv%PG961-Dn3Q8&GMsiPT-?0}@ z#};=$Wsmew5b)zHLknID`w0_dQi`VLSA%g2)3d zd)fF9D;_@#h~E{kKqee{3Ave=aO7pNHp~!?|B|Exr+BIfhrEu5^GJt&if;i9X5MCF z4bwQRBQ3?w^_b03u2i^K|1^vWM_yZNCc@R=#eafRUXLl&ctvLEYYJAzu;ynFnc;Wg z6_@R?4PJSg9izM)gOBnu-?c}4IWK-Kf%1C7U!B)ef$R2ZWRBYj5!q!6b-ZSV@^ZXN z#TaO9ymqdx1I>2WN_pX_U*~D2$Wwu`lAWhwxX&RnPtB}XCSIAY#GCI)B;1HLuDlYm zKzvA|yq;6o%n_)z_rl|v(UZvd_yQt}#Q#n((}_*;hGR3GnBW1?@}mTos*K=rpJNL} z1CEx$Qnt`Bx;h~in@+*kE68P@~ z)s-3{LJ}ck481G82J-(QXq%5IL4_;$hTo~+cxjus_)CoYHAG%_**0vqoD(wZ6m)l- zfuIB(XCPe1pzZVuCHNMfgf|A91UG3nb=|QQTigYax4oGawB1k32qyYt9V0OX$!qUz z7$rzvPjAEMWM}(jLJ8tIV(X{Q2hNQIe@XP;9@vW^c0=Sn#f2chQIr$B#A=s!af06< zN&F0g{3bwx83gOdR%J>s#1ByNC5M8cfu3_$-n9|^b%=yrrkTVUX=7`hg6;`^*DYz7 zc5$M!jux5-W)Q@S(`F)=L6HAuqXY{zreI8V5R7cny7g-v!w}yk1P*WWQv5WIQ`nv-CzlBJJmGMpekZ$JrFiq-aT$g9h;?(?yUy%4F?GxLhJNQ+H*McW0c z@rrgz^P{|?J$!aQW9aciLCWik>PITbtHsf4Z^5eHK?G0dXFS!Z{w3LC8@xcVV^lBO z3nPd&2CuWy>KYxYidJ39>zj;v9S#(S(QO|@zH!k@{ZzN&koG7qb+=^4C@=MU#g0*4 zYD%zU2CtZEVU!nEt9GQaN!#J+Fcsathsb_6y($zd4&xQQIIVvSz4~f)KSQru()!lm zm1K1(ub=rA`nz)rjU3Pe3tl7t+TIV5-&_dBZ;(^&I17?*kIrJWF>!u$UmA3!AX9r71qV^M^!s!5X3t%%tZVQf{RIT znh0hP-08D0LNNanb|8>{n)5&)|8(u8mA}+Ox1S)tj>~~SysKsf@*7Dmygp8AZ=Hhf(Mpd=f$vqLU!`%SyrO&4 z>Kb}|6twD6UQLDSyvF;wtWA2$ zZT0HHU4@Sxia&^Mze9dIIkRy8^~$T&7=E9SSFBX9Tq&=s1J!upT^u)eYF~zK2Oz(r z%Y>2X)TZM!W>$LHPFql3*YX*yyK_d%o8v3)@yy3a@DIqp&V``kgc}`k$u?eV<%vjH z5wtxjl@h$(%k~Mqwdx~o(vYvaU|RU+ zPYY`V{3;^#2R;CwnsYY)xO#v0XZ&3O>SqY2{A~Itb=ZPZdq<`2!L>0{M>wGZV}> z+3gZ771+>ooI|0Luww$Jld$ck3rg@Y-o;OVlb|dWlnkwdZ5@UDSz=~_j*B z9G8o!g0_=4q%I|x^eSlt0~*vdUd){zNErn4Um$~Vwg&l2$j|EsRLFUZ^!_Z}TzCw3 zyGx~rzJ4c$ItKX*wRG+cAZI3#t7uoyYKyc#L&H+>4lxb$V#(n*Ema+xDIn&oDCo~9zd+*RtZ08qIE@FOm-m_ny>?NrVuX4?&+8xvFJLl;RW>UasHYV z({ZiGspC@V1Uj}o`{2_U>L18o<(Mcf9i8i~k@nM%0cQ|4&h<`J$J}*HKYq+gN1J9` z$Ll3*ohGJZG*>VoqFK%qKbE4!k`06WEfUjli@Cw@@pwWVCkL7pVW{JfzcH10JZ97} z{kZ?+bxi-wke!ZPGp=JFyFSKvjF#%SqBLewe1IE118 zh5SM(3mv}-SnU(;C$D4rZ>sEcF;~!>EqGD>e-B_wf1g+wA7YA!C+) zhdS1R{I9c_AJfktoV1RPa}U|+$Tj0S4wh{Cm^vQO(=ei=?L|2_ZXy2VRS6|{U{#vI(0p6gIcHQAb$32eoNhhyk`njm=bmW?G9Y@;rF?DP!q-jfa z>?qkVD7c8tj|Hu>m>&x+4itQ}s2p{y0|hO!m>*;5=LSz+$NnB`eW(^XmYm0Uop}%Kr|9~Xiv(nL7SH?9_D->&evevQWmOxrv5lhMY$R|xq z$K(Xrg!pl|ntr~zppVFO>?1k$Gbq*xbj%;H<_PL|8Wf10%sM*PTSGREYk4QH<8H}X z$EZ1f!_q|DZq$W-%WB zk}OU&qGJ>kd%Wy)S;tW^&R{Q7^_ z(Q!|}NpIKDvm|RBsTMkx9P{!tr8;KpXSilu#~IaijN(OAGcg^bXV|rcf_Z#?oR{7F z_=M+KybZ2aeJFS`i}}%UZ^+5(c(Kn~$Lw|FnsFT;XYr_JDU2R%s#|Sv9WRh<7!u*RRocHM*VB-~G4$Mkz)veS`k#&w*_`0?@BRkCSj>ex%M zVNj6E=f~Xa=EvmT_&2CyLnwGJoB8n;kJWz7=y(TPZ>oik(f{-O$Tj0SKFi{99R~^) zXTDwECfP73DCP5GX|?%rtBzZ`%W=3Tw`2WfsN#h|^Me9BEH&PFv%yqn4H{IxUuEM5lek^QSZGJrA zcKy;}c^~R{HWW5?nIE0op@uCd(*J{S^4oQPYjyq)<8hsARpvUbXBgM9Tfp+;I`*+3 zL&ro~}thLKX@cEN^0VHbgI*Ih)%c8w=%5pg^2sjN4=J?hv53a`#)ejLsEHftRn+v~|6 z_i)X)jzQU`k8wUcaW~`4#$)mWkIfGX`}6s+zjJ;(@p;_0_HC_;I-U!Ky@ky4qjSAA zY`M6|Yqg)Xj?TI=Msoa1C#&&TGQe-=VjTD6>K4YvV=_M-BeiTVIY&Pz9M0#*;m-N- z#OHCh?|t?|)UhcP4sn?uo$IZU_9X#7X{s3=$CIcmURF9Lxn_Jk788|dVmij|5=@An z508xr*f1!Zz~{$_&f~`u>o~n+&8w*6c~CgUWq!=4<3pm=e$D7OBWRD8osL{Hu46od zj*}Q?sx9$|J<8gp<8daRA7@sZA5VB)VTJPN=cr>dD15|ae$1%j9Lc`kS?#Z#@no_- z3p9JY>~!RsaUDxD=r~)l+Tc3QW9?EM7x>tIW`W_sK0mI^Zhl;Qe8U~6 zV{<5c(U*BYlTpWYlEtZJbll{z$IDJft{K;HThNNfbyOHevDb-^gZu%#kggS?lQB|C2}#EIS>!W?aV|8FYM)ab`LuKNGQany8NX ze16P#&W|U)A9vEV?c%6oODN27nI9eNNYD4!o@Ac2j?TI=u135=%2*qug6D;^(y`=5 zJ*}>YrQ{cyg;C8&^yF?&8s^7R9FLvNM0Na_&yOEF=f@NOo_S>Y&%2R)D<~{+nIE0& zO&B`sCOn zMs@UgY#0>c3@Vi z`R#h1VyzF=LdTM0|K@%^oNLB(EU7J6@wkroW5rB-JkAN)Feqxy=EtJu+0Bouo7N@Q zxmrWfx!KH*i&IwnH8UQU%l3F#ZPzxc>nQ-TBD8^L(wJK%#W|J?V)CLTrXJbL$%P!N~Qn*$#p!IaLu@m^;tZw<0~X8 zO?*72{|~9CJDVSix@R{(j*2z@4s~n;MV*6L>}P^(d#D*5^Q=|Oetsb?bnQsAL{ma*=^TcGp=J} z!HUOqER@nPs$()`!=PxSpKaG81CHaz6Q2)Xz4^TZsNIL5pS6yT?RAEX zYvRDN(~)b&b;QZuOkBqbF%2U+mNZjr7!*xXn2wWFr;hITH5WZ7R`%^g&i7mfMdSUM zb#$!b3=La$V&3Z5v{ZGB?lB$zo2EJ@_K~%siE5$aqN5G>`LU1BsEMmVep8BADrqTL z7}K%j0>Y9eijfveF7cQU+4n%vY(78EcFvDQPY`7@UY|kJhL8Sz0ts9WMblH6b#$yF z)lpS6t9>>e9S;R|)zKMG#z>BM({+MH*gBFn+;!xdaUCxs5olsMs+&@2m>)}O+J85p zXtB=5okDrsLY6L&p}!Oj*iC-7~AzX0!E;TYN6wzGoDf%n;t-{q?e4JG;tj}SP(x?QPNSjK&ma( zu`^j4ny8LjRHow=-J#=&x9gnEO+2V$J1E)|$gE@H8^LG^-N-o!(z4@;;&ePZo`~+M zqcfgdJs#bz9Zyqt->$i4T*s~wMx}|3$LKVo9LGp0DoX}J&kI3OEX8z;dz?C+aJ#nE%t9A56oDjwL-)HVks` zZ#?)HL2|oZjwYS*>zIKkO?xOR_oYiy%X}<@ZB@u{-g=%&pQ$n?0NckXMw}giRF9^2 z*1g23_BJ{$4}ro}GHHrDJil<2Qn2TYj!^ahJ~jXT0F?QImZ|I^by}y3mmnlU z*@GH{@i&KAD}0*xaNo0iQ1*xbWe*3T3^z{GR5n9t+h%tkgwQlC2;s8?WLGu|^JBQN zbW=!ab^xlr_d$LS#i|zBk(&F+!XKbaPGOdz^bC(>Dm~Nt$K^!|l+DEkpVlG&XUQ_< z|0ov)0$)HG-ac72Ux$KA{FbTUV#&W`$xbL+g!C4PQ1*gET3+J8C5*L9CZ!j>xTHd< zAwAKf^b=p{rOob!vX{|ug#txSl1?gHrD8_H4=befHimp#gtC<)DTPy*Whl#+NvQzq zK2pIcwouxgG!;SSjX&#vRsuo##PKdD5FQeWhF9{m3W{umkC)K5yxG6-IY-G z5jx?ad09*$)haMR1%7L^^obXja0TA?vet6+0SB7ndRL)SWdO=>{NU0~9Wz$_(nCsL zDww@c`UbDIUKrft(Qlz_cM!7(p;zd7e~SUW6`<@pKdH74^LGI#RW#Px>vG@YL)t;v z4;p3(%5M24 zvZJ*0w}4AQD4ot*drW?}!}7DB{7f&DH&oGC#A@Y@eNf&=hw^h|Qo^aZ{2c6n2UXVE z6#tT&+DwG<^U&!$KRSDfV+$2CR&A9cB|*mQflyds)h2ri*49}9<*fsl1t@Q>5XVa~ zz@_+oIW1k`#U&MT2YIY&xg-2tBl|yu^7iO-C04z}N2+zuF=N$hXz3a(UE_z)5!L~r zgYw>;YI`W}62vS*c{e|))?LD-6qNUrNl8S!rv|wzd24~-BU^UXhVtGXD8E60@*6$G zu^;9e15iFdAf*91W+S*8Tz6)C$MOzz%_$WW*?_}Mbzf&%0?yU>u_hM`J zV$`v;wQ&+IVQUjq(%M8Bvj_5Xb&F(vSoohh>=7uRjIB-5q5L7*+7xtt2wQuEw)P0( zkKju{z6)B_BDVz|FZ2HZ<@2Xa7p?CE~>fUdld{GLs4CMjZ8qP80FJNm+ zX=}?6U*>_L54?m4-g{iWLWGjRwDgJx%2z5-&`GjF7Icz(tgTd`{7pY*tgwLwc^gY_ zV`(!JsQlejmxfKZBZQ{SXnIc}@wfPJNr#f}1X9ZJLiqtUHR!n?3sA96f{NEuQ1KS)gNhBFclZ2!5kfwwcoWzB zCm(D37q#Kr4?F`E@Axre?RRC%R1pmP9_oJ|LLR8ttU$@HEMUp+-l4uv7emDsY-bC$ zo(rs2*z><-AJvA6Pyn+4B?r8gspO!(IWT_=R79|?2)0$k0#+1j|MWX|GD1G6C`5*b zbXMyx-?WlA){1$dBKrTD`w}Q8ithV6gCHtOT)+j?xTCm45pY3?h$5gEQBf2zZph*i z5fS&s1qBfUg0czCq9P&&lwpk-M_uP`N z5kHh%hla3bwjZUGyTwoU*{=8*$_sxT zcoE|5$<;o9mFFdF*Q&1GPT#yrn|u}pJd64|*X^rg@Xq_DAC7nja&@4|{HloEYGpqx z-v7DzhcB@sd_~swq&V|;yox}59;emx38=G&#ZlHJmS5{)tmad33jVh8v^GW_hpTq8@{!oJW%Ot)`zwrPU(nTczO!K~@Yq(fqLbjV&w_}L zae~BOz1Pi$y+GeIBGFAf%p@eh%tdI6X?G}1;i(+lzoU# z@i@(5QyFvUb~1Spe=UOAdpX8Tia3)F!EFH%aW*xZP0i-H z5$9=FEI9pE#OIQ0F1g-xBfh2HwrG1LnJD5L5tP$4B*j=rmFV3u#yyn$Q|#aHQ#V3nJD5G9hLEri1-~< zqFFh>FG)5Dy@NpF=H_sgz&GWnn%s*xx@5#tDj zGR0`?#%QZAoL@H!dK-$-#!td>#bblek4ezp;nMM8=*N4ZpA4t#lM~0E)8ZQFCz0zU za-FI{ z&{2N2DoUMH%5+1wk!zk$zVmeGT~w#Hiy}i8Oud~<6q4r4^5%ZgteY45C3Gy@7!sxK z3S|$~si#RfL`MzRyfzH|S}*kL6T;U|qa1*Kqb5rILzIE?%RHiV zt4=wH^4{F;b}HQ-gnk$M?xJ>g1yKHyDQXU(JeaN<`vgU4SeUXG<%%Lo_foriDayqW zQ3|P)eJJnCQ6BU`AFe@vh)WL%6oCG4Lev~ZHAj*EQC*ZCQz+B+J?|)8J}!7_=^5Rj zkEKd4Xwb*Ijl?bMkZ=C-UB`Cia9ZIR-kGynW)vv)M~QV(RqsRyDRhhLw_Yg z*$;iH)0aLi@W!LfXso>!rtF12({1&-@5q7A#-Y!kRx_xT>b9EW8T{?TtH`kN;7cT~rZ=k?z$(w-+h6<`dPSJB7kZ7`S8bx{l}*kg6ZKU?eHoh5s?PJ0Z(%u^0?>66dV|~Q zqs0C#-h7!%)T)76ed4y-pr7^X@QGxiR_m$NXQ~tM^T?^aF1?gYKIj`Y=wB+1>#Ohq zJ07H+@}&p5sY3rc=(x5-s=IXA2m0m&Wd-`TUdOe~*K>OB=Fq>1Q1(OL?)LS4r1jdJ zpOK0B`i|y_UCwl?{}|cc{6E#u6V&1d9s19%YnOMx3z50demartT^Pi zVPql-zj|P_2-q}?1A|{|-hT~@15C;x=v_6(b#PESq{~nkEfbU#7>By9!}RXz$A6J2 z0OJs9*(yQ@qS0EX9E3hn6Q!f5qa#)F1w^SW1!=3mXv4l^!!VBZ!Z=P9zT<<0$bTZ2 zPNLF@R62!A?WlALl}-qPYPQ!YljUSCooT{2Gejj-_|B$UXDcvHHASf-<#WR@&Y%K} z^E@y*t1x^XQMxb);{vMP!7EA^hbYtkPCik(glhU#7+p%B592ablr9etGGX*c zh*D3gd4&q2S4fn4hbenu(1k0N`h+Oc|EqOT3M42iF!}~W>00t#OaHGoMX4XP>*s}W z1IO&|fpMb><0g)IGx=^N-z}P`Igr{7r2jPa(%)c$vI67wuqfRTq3nlomnlkvsNEnh zjJrAJ5D$#ODvY5VlO|we82RqiM9up`l<9xSBT5hW2x%~ebIb=LFdp>7c*qpKN60?X z3*#}bC_U~cq{DbZ6{RON$^jUoeWEnlq#T0rj9-+V4H8Pgcuo_gG2|OVzOmfjSd9?1 zd(kU=g2hehcN zKOt&os-pCzMmYdulUI~BlW!CGeu;?EuR%fy7`ru5`pu*qg7JqUN`I=9eK7vgMd@#a zvWI>*)TGk7e9C$B%}0}zXQ})Iz6B{+3*` zr621K(ht;pAkd_DcbcQ{2kJcwv-U6hU_-;sW- zJ4zL$Hq@?-mk@msNWVe6RU_2!9$og{ED(P?NV}0fT{}eK&($njk?5v=Oc1sGg;&&* z-<>p21o8a|CE94LTC9qBSbS>~?TK=K&mC0vY(yVY_!}QljZz-fT{b^KNPN#@B?>8D z@GZXJv62W`&|eYXB=JuxFY`OGKkan=0~F~TL`Tw9+AW&hBq{a?uWPhpk8*4x_R5dc zJ>L9Za6#hdg0C;7*c}jkSWS&>|4Oa+UdT#<_k5KK;tQc9+gz`((L9l48z->%3Mk1o zM-{e79^1ye+V1%q7^^!+{=|tiJLjE?=%b-5yr%f;Faxg@ z3A-Ne-ZgvbMZ9jPKdCqI>WJtQ{w%!KINRs)Dm3lbx$`Q~?6$1ei8TD=&?x9eW2B&a z0>ta?@Es+@s}rJ1APcXkV#jfLRR)r6x#?9KvfBz?1%1s-yry;QP(!>rBl?Ut3opZG z$8mWz*d{(qbK|w%v>P+88Hr51W|x2UIq^CV(dQCbczvqcaa>+sM3QZ}@!Ax&+X`OM z7c-9gCqF89o_L*)=-6NuUR&%itj&CtisDN^D+#W-@%rA`Cgv6PX40!+%}}A&1&Goi zoiYbG^F+dl1ieAHC4;RtBrEcAmY^p(O1Kn^%7r~CUKarQbBxAYNgzH9j)1Inb+%{OuX7J{^L&K zbrGV|%`CjUiXF$*>%?%fEvNA%zP7az^O_UP#OvYXzbhhM7b7~`mxWh*pB=~Lb(U?) zeV!2C3tNeKy^(RA=v>P$hVP2#JS7XSj!qnx*ZC1Uwy@=<*F{0QE%RFF&!iWf6k3vA zH0Bq0vhecz?Km#4?w({@ZoICroATvPbaBS{tLf5NzY?!Y5M30^!mF2Q2XcA!*$ch; z2JLvP*HSZ+Ue_zX4gBR&sgu4LTGjfC8N{mxqERJ_USmx=j?3$%M6xZn@ikGk+cK}p&>p;^ zHQLS{=WQomS0GxIZT_09*l}E5Q}<#&&hXgrm{%P3uRTTAdD1SZqw6BC9$&tY2=+uY zu4WcaEJm}ELr^SNOR}+WVlhdQjc-6|7N*$ds8m6$C9x6{r2GB!3w`?Sy?K?rMiQ@H zh}MTP^Af92tmN<#Yf+MH%*!^=e^HNlrP-L5SgT?s=C$5$-}e^09r=Anp|~IFO)FCN zJRUiuSlnVI(amH*L@aShvW+u^P2O$`v#9r?s3(@YSV`E%DpU}QUaZ7)K1~Rj#62^u zc9HvWSu|3x@#I+)=t@L4rX7#;QGnz%Nwwqf=9^Udw;aTJ8Y?k}&-sH~UO*a+tcslR zH+6j#qF-d|dYaQb_pWCKlDifwdaO?&Xm1=caOacMbst2(^6W7<THlIDR{_bHWb~ zQ#n9Om;9++)BTE6VvfVSag>-JOi|*yfHN+?*Bo9CwZ1}}C$6EDQsFGT-g0(uQo@Bjt2OM4vK@VNu(TeWqFWxM?)&?4v7hPCbiHG}d?)dt{ z9bXg7Rvn1f^|WFw+xRNe?Kq92S4G5*$GrY@$JgKP`10O!#jnJxAEN)sHoj^aSuZ1$ z%uB4Qv(6K=s?OPuKi3|56Y;u%R^4SAUkx5RPUGmcK4HfbdWm&=Hm_#x_!_-=+>gZT zM)I3k#@EKM9j9@4nW=j1>$9g*n#nirbJBZ6r$4P8Oq0o($u|d*>Ew12*=pKtS(5!d zNnJM#`sgR>`X*Y&7)VvZ>3W;fTqu!S*Dh`D`aqAfH(FYwmo|U%@8d5e4mZ=o5!pCw zcht+7L(-?|f`eF2X^jY4PANw!arjSo_Cn$?0I`;dEIK5-wv0J*5DPY~BshrYLo5#T ze2BwgRX6GzdAA^Tu%3m(ZYNI89Fo3i5FErRP>Tbt0(Ce{YBzsh;xG`gLnB!@{OP6U zoO0$scLjE04yQQ#f*xyeIBfAeyPP=Oidd^~W)5Oes+Dr)ko2ty?~Cn0M~7{S!{L=D zZ$6ng1Q9ztl!Zfc?|;w1{Wgbnpbu!QeL<^a9S+seCk7IS+Ymc4kcC4Fn}WQ_Fsb-& zud>BDTq`k$*`~u`790-OjU3dEINXj{8($Ui){YDb5)m1CYF4ucUpIh2LNrKTMxcMd%?yDf8|izr)%4FQKk)7vMXLmY-6)-I5R zLvJTe?i{XGlR1br-u8GfLJo&phHo1{9EKuxnlB57Yn?c`bGX6bFLV&k8Cf@>bjtRQ zxVZ^+Jq)qaJ(;^s`@D!RU99y9V$%ejtRIAkrIM|!i^^S}Sqa`+Dv1Mo;BB<4xUi$VJ5Ae#AP2Gjk9R=vv9)@UN90 z;k0EA`2mMRzB}d@op$fL#36)O$53Vt;xUH*mVa7SQ$eyd5;^>D<__hs%nY2i)IU5lqTtR%V?k8QYJ zpEjzOxH5bYu?sz!yB3doSjp-7-;O}>aEO&e*DD`$X8x6r1*P%@ixZ~}9r7G;7=c)q zL@EdSv#+F&ngxf+Vn5W(@Yt~(UTLH9Wl;lK>Uehc*<{s@ zlWpu~A2+GTlz5!k;y{lxI~;CU=rM@Hqlop)Hg>0a|9cLjB6eG$!^(1Z|K!8jKULko zTtXZkLyW$1Nx7DJ*jTeagf z&UhZG*ztJWEH`al^yIp;&;DZ$72g#&TVc!LcB&Jc7u}2%NaLX3a{M8P5(d&hXrsr4AvZNj{9KXXyWiRV*S&`uA@z9 z*pAaU+Vpa^N$fN6$_iVXQi~Ve(zN$oDZbKs2CP|AHBy2@!8T`ZS$>Ac*+2C+-gOsocn+~!vyI(& zBkv!-vrVkc*as z$aHuWuh}ZDEyf`>L`~g44lh%)<1`L0uVTkzUMp0aSL{CPIHURIs~wAb5U&>z8>Xl7 za>mW36kfTF8~S+8N`ixUbCEUY#fH1%=ICvvP8`M~7D5&dTm5#N+{ew4rrnk~&<(!L z;bE_{e~t*hAwKPY39%8`&Ih#Mz)Fp?e_DI&c+6{*?(lldJ+7acI^}TUH36|lJXy5a zq1bV9*T!9^%^aR^=9ZX!KA<^o{rK?*5r>x%dm`I0MT-Zl)F|3i|KYXcF|SEMN1Lf( zXP?cvpv4s8MX&9elrP^^ChgTwE$75d8{SL30)*M8~)IDR06U1vGy%$W$vd?y> z@XG0!s{UC?;h?(XW}Z84hP8feIdPbT*qm(R=5HrX&f_NOc?j0w4R_ouu#PFxq2)mx zjwTMT(A(J3#*IC<=uQ0gw&c#?i`0GbwyVP;-5MirN9M2HG?+L{Mr>i44)(k&-m+k& zT*gS&`(5!0IxDd@ixp>#EV1?vtt6ZJ^u?`-*A&DSYpG+z;dP+1eT~D*eGZ6uz3=X` zWv0}N_SvzEZaRc`y-F|M%eK!vDZFwUyA8H9d=3}y1hnVkRep!Vwu>ve5{K95oq>9) zHqN*?%x4G6ecV(!`7xQROP zm>1mw+1iv?a~|!V%E!g~xALYTR^(0PSvAD0Anxa%=z4*xfPU-J!%` zI$~vM^P8j1u|Ydd1{0j zEF4Y^*xMqs$%%t_pNo}PhmTzyHmJ@qb=rclzY&L7h<%)-&hkhCi0D&JnI~JN*;t^WGqWa}e8+O}KuV9ndA1 z+OZ@!#pzhoV}eNw(OrUcrDr83_^Y|6aJv(;j(L3(@tTX+u1MnxXA_|R4lnU;pk!Wu zD0}c)xypNPaktN?(LBWd^k(Lj^zgQ$mw3~Vm4rd%`F`R!N&_%f03UpP&0awO)Yo zwpwO_`uTplABSMlGY}3z@m5tU2^$kkTYDf0_S5WoOz;F}Mk_x#eMT#9=gmJTcmWZ7 z8|5cvnbE|H!L8&FO!~s!A(-|$imVDIeM!#*PmAoaXUos>eAV_T5Aj-v^7dJHrG4!^ zUnP=BUuQeK(q`4HypkRvVqRzOIpWGYYWFCi8;RFDC_g95h|9D?)41}?k;XMEFY!V+ zD>1M00(Q{I3^ST|rK^?loc(x~nQW81+_M_<>bs|21-(7TjF{ep zctz+{ecAL%9XFC!4=uSrsaL8tQm;(o%bk&#*NuDXMZEk+ta*iaEkSwzY$lC!>n>j=UVn#HoS4`+vt3#RQ* zdco0eht2wg2(F-)h^m9`8zbJJ!+TgPnyl*dbbTxBzd@Y=$j~tKUE#|If%Z9U#bJZjp%F7jJJeI3D z>3GFa70XD+H7KVq)KV5T{Ns4MBVza8I6AruidYMiqW|0DTD%h3O0JGG9DeS2eA8>! zbH}4*CfQKFUUzg{pU6qa2R=$r?|C1hybd|&*vH}7I68Ls*xQr4j;>~|j1#-~w{6^N%T?muAj;>~|j`KoE`dG(x zgO*|wHN-3Vtwh?Qe7E!Kc;&x&a?O3qx|((bde= z@vT6TK9-J~v=kfbxYeIzL&biukL!y4ygBK3c=P*SCLJ|YG)cSI{m1#yUG&i?I#&Oz z*xQr4j;>~|j_>&Fc1u4b-|?*)?dvBu-yd+Mk+@h8C6u^1I6gmcib z!S0^#Q1|+{7C$R!rQCINHFI@*f6wtKesRM}&Uh3*wc*-OalUi?SaE)C*N@GQKZ)*| z@=8$AA&2Wn@hgm065RJ*$7!DAc)4ANyPCN=E_dQN$F=y?5i7Ymx?hHq4HZ3|>&J?o z>DQ0{{Hy6*rr&-Q=~#-2OLMq>O!_iAXC2e73m;Kv1JZ1{>F8?a>bNRlNhTK2$m3f4 z){2!}9oL4EY^dlTcILzMYX zIqR788V}aOq^(Qq_hEHUo4mh1(ivy2j_#-Bu8vU;Md0MF)L8bYD*6@%NvPhIN#5#DL2WI<+{i7#;hNAk&ZQ}pj*An zpW|jau5WPq&soPbefIu3+||t0adRR`A8R~btESk5j^g*ttVDXFVw-#YxJ^sbarHlb z&$Zvr^{q(9T2y?U<@zywyLHijf5C!N&N`;IRVYl_3YDCWYw?3ZR&sUR?z3&qcoe_x zW+msi7Qcb*+EDR(#F-y|*VA?U$M3mzp630Fbc~~7SGMcNG|dF-v{g8{ooCYGDI(sg zkhMAdxkpYqiXX+06u$s&C0ECSaFPv``)jt2mHUgMIQh6<{f|0!o4kHO0JG&Vrw{&4V7)2 z>&MDA>DQ0{tmFMVPCkfqG*H>fpM#D@$m;&T_}naQzbF(bZ2>}VI=Y%UI*K1uw30g> zYclBA;7O{7%J$CnV`Y1P+Ialu&wGZQHtG=4aUCk@Bc#mdnY8T|doXR0MNZ=}eZEyF zUYac@9g{S3b!?eP(#IN)8^bBK5S7GFQCf+|7yaT=|X<+MT%a%@pH}lPlkB)iUu-bmdSbNBNrFdA+#j`v{eHYFS3#-9fvH#*yz9 zJ6t2l=St;S%14o-eBO1&{v_YWs2rhWk*}{qrE%oD!e-n^ z^0`vE^0jj~yYiK$9?)Ve#^cF0RF2Jc^iAE_CPwnDN9D7~BHu+0mBx|p9FvH1;&=+d zw7E35xzCl#m9M=ndr10ZJHAd!v9Wxg1(Iy2oR;h8Tls3Uhe^IqQ29!>(brCN#Hn%Q zJ6f~CHPYyFrE=vv)3p0=N1y5R>mIz{rOLM;*U@+T<@FJgZv!glWgC6&wNazUSG_xE zZyLu_sI*t3&y~uR@9c!#hb!MsXBfEh?NXEKp>l;kr@3#XcgIOEZ_0rq7 z^4G}tNu%x~`MyBqkN(V~FMV@$>Nefx+tZd^zJ-D~Czf6zm=-Um3m5VG?N)N-yEJ0k z9QlmP11UDa!szKqvZ1Qkp7N1K*+$>m`~6KP{5hKBGf_o-XZ*yaW~^ykT>1J4!8kbwuMtQj*-+Iw!Q zlRNr?!eiS|)zO=yd`CRl=O>bH6ROV2a_Xq*Wm-MRy9Av|X`7qd!Rz;@#8dW+mz#X9 zRIYq?MC?A?gLhCk#TKHHF~pN(Lsj>1j`Ec*{$?b}w;5Gkv(0@SO}mT68GY@2De)94 zrR|Q~$}6b?%#a%*V}1 zzOAUbH_O~ty~XaKapu0H_X0MKe6Cckd}B~H-? zRIYp<*s3IrzU5xq=#IXXYLX3AUxad$ukFxhPbc|ypz4!sbKn2^J+CX3E8m(_sl-HL z6xvE9jlLqqHuC8EVb8gbj?k>9j$b2hKYiS8lJ5speH+Mf>d0`F|XaPJNhcrBpa&!%4zPS8xmzvkH1L11gd_^HuwFn-}Aas zx$;$~O2zWUZKaY%pP|`CG5V?x%60CW6xnqN$@e3wn`fK*{@3q$U8!98>itQwSf`GU zy(zX3m5fi+Bpa%a&UNlP=dgFqBKdZr`tU4s-~aqQuPc=+-$rkeES7v<_)}~w-8?ubtf(JspEhCp4XMimG4hANfvAL{jH?f#OPZmW;~gA?z`HTqkKi{ja4My zE>!nav&i?qe$VSl<;b^gAH`C|z3yALZ!pEi^6l?UvSC@R>inpw9&FnDmS0JlUr;?H zk}6G}^>)#u%T=NNs<(gxo1lD05FgGBNKidkk)ZrxkL6lwSt|bYTCSFmpsGh$g3606 zSLKqB)v8*vTvZfj*_~n08-Zw0fCa@9>Ey-vQ@eW=b0i*_oYof1UdJikz=;1UWtFY*53 zG2Ku%SEo!a+IrjdRzw-|`C+JgGfdfwx`mqKdM9-3M_+CtQvh{uo2Xl?IIj16Lyu~6 z9GN_*dsju>Qn#=7eQzzj?S0fOp}v+-Uj?qKAaUinrEO8Sj9kmeC5E%ba8=~%&vz|H z-O4a!FX}$j9M{^=mcs_UM5X}h)|jZHD_FZ#vG1aSQKe+^piWa!SLXH=_1#>1e_b$gH96aO*%YD-)NN56*Eha1`aFFB>b8=5i-x*wZeQDdKlN>( z+S?M871YtVx5@vI*tEUt^JF5|4sz}E+OGO0iD_*sYRD9!>_yk# z9qB`r$?aN)QQw+s9~p6|92M+%@l!rB`B8s_j(WQEu{NOo7_aZ9;k(F0eYB;P$MG*M zi*a9nocZg|Lm56wQas3lS0{p`gU$#r>du)*7Hg-QD3L%s6X9x zoe`{4g13>0T*2KO7k>T9s;8WKKv{1V!gG?eNGlWUmf z1iUv;(q*b2_4fqGOp7dNF9Y=<59;q%QQnUVs2?6g{R4DEc9kMZBXr6^ln)4s(!&a6 z530`diqazq$_gs;{i5`E5cQ8yyE9c$dXm~b8ASD6Jf25;QLjX>a;jJOo}qTnC|Fj+ zy^o=G&m~aMB|yI7xPsan>G4 zP4uHM=0yRGKq|cgl(o}QaDi8pCTl2bAD}2)^HncOhbwdx`h{<*0uCDf>{rgndiNw}gD} zv+o1)eL%hf_AMu00r^&FRIFcVQVyYhwO^Fh1PLWj{~`O_dGy6;NN{B@{t@CHtz#S4F-W_SKTFhJ3W=sHRRnoqX&3qEr_olt6vG zCQ1z^M7ObbKzy3=_l)h3a`%u4` zeP5GrGx@f#Z!7s|y08D1ecQIlJ7_I{lvbX z$@dfacCqgl^8G@--3oyE-&D#z)c-*R)c@%v6hZxe*!LIt{_>$gxX_U2B@{tJz9LFZ zRLbOQ>KCPEK|%>M?5l}VbCYri4g0h20P^imz835|kbDP{uO<6D zpy4n@lv=5jeP}qGeMgY*aPl3=zN5%@6#3e+?`ZP1CEqa`6&sEYa5YsbD*$#*LG+A9DWPFE@W&~PRd&~TQQPy`J=_MJ_>v&q+i zedm&|1Nl0#uM_z?k&lnYhVz4j5@@(UqpA%Tnv_FmxX3R`mxKwCuUkTtx_i)Ysfvcn zLZWmz`7ZaO;R>H9^$ZX)(aFJw?;i5qL%#b$qTT&r%3d^t5~4KRgN6rGG(5<@ z5#$>|zK7WNF!@H3Zxs6;A>Sk9d(0==Jsu!rqTz{%DA5ev@MI7T3j0QrPa)sa?0bfM z&yepq_KhLmbL4wIA=-`gpy34-4dX(h^kSH@7Y*atH-UUFk?&>pg~=Bt-$eGkLcU4l zn;a4Crs$M|Xn4&hN>c-bOf*bm-*oa#C*Mr=y-vQFt`d%Yiv|@B6M%0d=%46$j+&unv(4KdxIxxj85aLV z&8kF(noV20xld5mT&CMKi?j?i_v!QMCv9cTB~Hy@o(wgc9DcGjp^BR;N}~7CN`{*G zW1k%Ikc_!7VAWi6gEvFXyeoZ8-jg-o3|lo<5{*4o!jJi_SIe4nsRH-DW?0y+S<#o? zgDdsK;`6?HR@N-?ST$Gt>F`{>!>#$r1)INvny_o$9Li9$Nvj>F_L4O}wrj5Kt7oX0zoF0XpURr`J}c&$ zFEjO?H(lMbOV-pwcFiA@3^DO{mv1}En$=;eX62lOU31mDs;wt9PwP8m&22W%%6HtD zg#oALwiZ1n%9=aunrrFwY$^ZYAv9pE5%SdfPF-Zpoe`^dc?&5D;x>{Jjc$1($+rE( zUtyEClWaR6B>vI%D`on5oCMJAq2KC5qchOBv_BK}j%%H!1xG4l@_ z(PN;fLHEU)_(wG>c6c+?%52?8*CF#4Pe>sM$mh-AX&3lDPGyL^Z2B zBr?>@f9Ul1yP^i)KU+1Aakf3F_q;1E=-5@(yf`HOi1vOxIXG7ut1vgR!wyXJG@3^ns_?6y*oHTwswn$?47 z!{j+QDQ2GD_MR28=JjE#W)(acY671(xmwn|CS=!a7hwzSw~$95+8go~UKGS_JS8zc zu2KY|ByQ#@iFyNq0#OpT^pr%s^SlC45;yjg$X1!}7l@L$y{9DVov8{$N!;X9qCF;W z^Vv4qEB;YEd9yDH;)Y+^!yzv(Waq~}!+REF1Q4k*iShmipK$OG>0hC0& z^A&+8iH`#)3ENrRo3Ksv+csZFAWGt+0ZLSlF5MW?wbE8iAleT3fq^K94-F^@TW2TU zt6pIfeVpePh?4jKfs(LY7!-(-_!xl_wUud^;b%a<{`reU`=g*WZx>o(ZQR3N57stF z+re1-iORM^=*m;JI247wy=*%Ig@YtI5`{zAgriV+zrwH$3P(v>TNFMTVR$qON2`pE zLE*C+qhnDxPWJ0X;Y$kJjzi(ZAfw|^_?nl|2`GF=);ke}?`dp1356d77@ds5K zU~~=&f01oFpz!Y?+s=j7#LuWBw0*sdIzc-~Wz-qk!4h2n?P!y27ebSBJi0(T(Z{x~ z(4LDh@L7O1GmqN1?>JDwPpS_nsdrj8692(uWh|~kx>k6YQps7Jd zJ)zCl81;homWEI)!c5L;EPis2?2Z}th?VTuUiA;bq%U6rC+24?|H$m2LN+=zQ7sUKCwqvh6+;b(3xH zN73a$wuMmCOSXLgMSWDZ4M$O5+4eyc^)uNv0!4HME7C(Kx;4mXB#Q2kZ68L_AZZ(g zqM;glA3@Q59!8I%=m8(2$58Yz13ZqRN2T`(6g`z-?m_6usbQq@d`<0He_; zQX`C>M$tTt(K9G|OSXL$MN5Nhdk#g`co~DDRVv$_N6}hY?*$ZG_lTftXWZNq!`cbx> zjG|p$woO6NZ?f&HDEd#3ZLgs?FTrRkikq5@rlEL$*>*aL5Aw2Y28s`nZD*pmwaT{F zQGAqaI}63fm~5Mk;uB+b%%y6)M}_M)8%h?Lrg>*o1dbe6641A{5^s(Yq+VS+-q_;#(zp55;$S zxn2atgM*Bgp!go0(NYwLBzhmk5Bb^l0g4}yXc>y1NU*H{#iJ!!j^Z&vwyi+%IEhxG zc!G?w3dOH@*t;6V*4(rP#WNJPeTd@OGRj&M^9LtDA&M8M?A1`bNTMPXFOgA-QM^o| z5)`ita=kJX7ls%`QCuRuF%(B5Y%51`g^y7sinnTvs!+UL+Nx3fgKSZQVtFrwS`_cn zxn3N_zlRv zk~1ax1SRJv?A?HpP9a90qU3@gqm3xJSYz}VO1dQ&eU6eI0Y+b-q_>}uiIS^TMqi@j zS{eB(l-%fJ+a{C@@G{zrlAyxqYn0p>VzdP%gLOt*QF1Q>e1nn)f(*Y!$wL7~+fedI zgwb~>c|v8h9VJiu8GVnEF+N5+P%gDC33G} zFG_ZV88t`AkJ7szN`6+^wm(XC3xK=>Q1XY#s0B**k!=q|X>*Nj2cfiuL@iNzaENUl zl(v%SV3Zzdvh5I*%BzRGLs5FXjB*%CPY$!U6-wI+fV|cyJxij)QQAR9IRd4fB{~wN zUA$cHD3tmoYJ<}5GD=&NULn!ZD7{KXIR>TI__@WgD7`+yh`zq+FVS%*9q47-@hH7r zq7zU$NP161=@5;*C!zEniB3l8{Svi9=|i%&Q&Bofwm1!?k4xL>D1FY$ZO=gI6d$8A zQ94xslH>_Q2MdS=pvMEkS#7o>1P_-x}wyKF!G~x zivY;G1f}1F7m!tF#m2Evx`jzACw&~Ovt+$Wk>lL1yFW$g3&c7^ZFR|McE0`djraR(sm=t&iAsn zKguqW=q8l;W!sxk)?K0jD7!+UTTmv?OnC!Q7Lc}EQFgtLqXbdbU!vPkc8f%}qwF>h zd+$KmojRjCQ8q-{?n2o;D%%F3EF{t0C>tTsV3duLXb8$4H$f?HD9RLxhN0|PiS9w! z3liOnvhfn#hq7=4l=ALJnY@0^3!!YPpKTAIY=-1A9A&d5dJtvvC94rATOiRxC|e}a zNR&k+dKhKzs~lw%%9e*1J%X~;5%B!kE}^h@0jjNW^){-lakUWDFZtQ~4yq@rj25AKvc~9LR8N(*#i*VcVB34B zUg&2OLG=oS(GpazRv9ftbzz9n`=~CFEj~bX)Wf!AsID*>6`;CS0P*vy)w;%L1*+@A zj8>w0y^OL7)t~yh8fkO<{TL%j+%}V>8Ls1&t3yHT@sAep{A?Os17xks*LJU(<98N z0X4m3+mBGw$IrHpQDcpb^{BZ{w*3S(H=69-fSLia?Wd@@Rkq!Tnmc6M&rmZc!Sy~z z%}@`cFHm!@$;d>_1G4Rxs2L&KeubJ*e)euc&Eq~sn^E(WZ2L88o;KOG1vO)2+pVY> zE8BiUKTE2x_gmCVlx?@sYj0%R?@(jSVcStNUFCY;(+{``AfBzMSt!vDs97vg0yRra z0rP%D%?BEzov2x@Gx`a&SA`kehy`=GYK z%cv>6Y+3;1H$&}*CZl~(Tcj~+j@q&yqy11@;b*izYO75~2cXsv0QoIYTdy)YkX{z7 zFggge8@-HL(u;HjK)wgHn;7OFjM}XswjF}nZ4w=df@6GaI}EiyL>RR~?N2(R)~Nke zqQg=9hx8tS+P}l>JreOI5*>y3zS7$U@dKo-E#fUhT<>Va50&T`#19X!?O4Ry$a-GH zkCooz5SMdt{_%*PBI})iczcOXMEopS?8T1ayFKI5n*%=;v-E)9T0z1V{|U! zPYQtijwtXdj5=Z2XF*1t5r0l+bRObk6O7JBe1ZVTzW{N0EaYEEKX>b6TNlJ%lf7Mp z_)J;vV#H@lZ&$?UO5{iU4O#CJ#NXDqZ8yX}3^BSCaZRG`h?jcVb{XQa0Hezhuhbd! zpm$A5?-huzlir?)H%QbA@%6G^Z^Sp6+~P{aP3gS~@l6u-L41p>cQxYMWW505J3QRt z8pL-Bfc(CQ@A5Ia7V+H@U5EG|Cflw@{BPN!AM|{kZ8tz~CcQU8-(PzBL-+XEdlU3S zHAXkni_fHY0Q5G}dkgeq66_ra{dg~hC#p9%jh2H{Q`{crJn*2 z0QvVpzct9{e(1ML6oP)2toH!)!D03ehd#{9=t1cB%6cQ9hkR^%2>Ni1(MaeI$+ize zA0@q`=!Ie)_C5mr1zGP=`cZ3Xdkp%^()Kv?SNvS>3FxnR89fPI-m&CA1%0-(DbVK$ zC-O%_x8}d6p)b_f_6+pJCZlJeFO|0EpcklY8v}in!svPEYXw053(&3kZ!GjOm2Kmo zS9lq{2)#xCFj@xV4nLy;7=yfwmctmVGFkz{8oMj$1vbKj z{8cbQ8l%-P9`rL>17oC@(T6Y|(;2OW@nnEeA&k)>MjDJ~qy|MW#zpY}*3kw-BSPF#hy0`UZwIdw+{{d11C~!@7Mu zjK0IVW(h{yv2MQzqwle}Qm~xJC#*YC z+J45mHeR;v;>TSG=KsQvx(M_uKjtFPZhpi?px^lM7J+`}M_UB?1L8>*f&PSea7Cd1 zKs=`+&|mymia>uuJcUB339bBECQ%+gV*;AFdGSP=2sNpu_l~3V~Yj0~G?b=7%W+I-DP*5am5`BT_TU9xVo6xtay?tatlPJASOVo{*-wWHN5KHd`>dwpV1)|UEc6r!#IWM;twjR9HUZ5*@ znY};ROovGI)XL0i4Yd0%&qOFMk(^9$xuY+V13K@4|K$FL@Ve z5HEKZ=x$!>F3@0J<}T0>Ug9p$P+s0H&@f)wF3>#?%i0CHmzT5)bRREg7wCRo$}SMC zmEAu<08Jj?CF}wX=jH1HJ;+Pf1scK2)&+Wqm#hmkl9#Is^e`_~7ibhOQy1tFUZO70 zqr5y_pvNGVrVI2qFH0Bb30{&e(389zU7)9UDY`%kFGCk-G%rCH=xJVlF3>Z)^jx54 zdD*!@&+(FTfyVH1bAg`crRD;?z{|`98p}(}1scc8%LRIomzE1Oo|lyi^b#*A7ia=6 zCl}~tUP>+yEm6KzC4eRqc?r2dlX&^KK(Fx9ae*fDvT=c?@RD(XUghQD0=>pd#RZzm z%ftnm#!JKnn$F9^1)9N2!v&hj%fbbE9b!qiK(lx`xInXcDY!r?F9R274le;0Xf7}R z7HA$X{T66GFZ&kg4PNps(3`y6TcEdiskcD1h literal 0 HcmV?d00001 diff --git a/media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2.vhd b/media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2.vhd new file mode 100644 index 0000000..a32e1e7 --- /dev/null +++ b/media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2.vhd @@ -0,0 +1,435 @@ + +-- +-- Verific VHDL Description of module DCUA +-- + +-- DCUA is a black-box. Cannot print a valid VHDL entity description for it + +-- +-- Verific VHDL Description of module serdes_sync_2rsl_core +-- + +-- serdes_sync_2rsl_core is a black-box. Cannot print a valid VHDL entity description for it + +-- +-- Verific VHDL Description of module serdes_sync_2sll_core +-- + +-- serdes_sync_2sll_core is a black-box. Cannot print a valid VHDL entity description for it + +-- +-- Verific VHDL Description of module serdes_sync_2 +-- + +library ieee ; +use ieee.std_logic_1164.all ; + +library ecp5um ; +use ecp5um.components.all ; + +entity serdes_sync_2 is + port (hdoutp: out std_logic; + hdoutn: out std_logic; + hdinp: in std_logic; + hdinn: in std_logic; + rxrefclk: in std_logic; + rx_pclk: out std_logic; + tx_pclk: out std_logic; + txdata: in std_logic_vector(7 downto 0); + tx_k: in std_logic_vector(0 downto 0); + tx_force_disp: in std_logic_vector(0 downto 0); + tx_disp_sel: in std_logic_vector(0 downto 0); + rxdata: out std_logic_vector(7 downto 0); + rx_k: out std_logic_vector(0 downto 0); + rx_disp_err: out std_logic_vector(0 downto 0); + rx_cv_err: out std_logic_vector(0 downto 0); + tx_idle_c: in std_logic; + signal_detect_c: in std_logic; + rx_los_low_s: out std_logic; + lsm_status_s: out std_logic; + rx_cdr_lol_s: out std_logic; + sli_rst: in std_logic; + tx_pwrup_c: in std_logic; + rx_pwrup_c: in std_logic; + sci_wrdata: in std_logic_vector(7 downto 0); + sci_addr: in std_logic_vector(5 downto 0); + sci_rddata: out std_logic_vector(7 downto 0); + sci_en_dual: in std_logic; + sci_sel_dual: in std_logic; + sci_en: in std_logic; + sci_sel: in std_logic; + sci_rd: in std_logic; + sci_wrn: in std_logic; + sci_int: out std_logic; + cyawstn: in std_logic; + serdes_pdb: in std_logic; + pll_refclki: in std_logic; + rsl_disable: in std_logic; + rsl_rst: in std_logic; + serdes_rst_dual_c: in std_logic; + rst_dual_c: in std_logic; + tx_serdes_rst_c: in std_logic; + tx_pcs_rst_c: in std_logic; + pll_lol: out std_logic; + rsl_tx_rdy: out std_logic; + rx_serdes_rst_c: in std_logic; + rx_pcs_rst_c: in std_logic; + rsl_rx_rdy: out std_logic + ); + +end entity serdes_sync_2; + +architecture v1 of serdes_sync_2 is + component serdes_sync_2rsl_core is + generic (pnum_channels: integer := 1; + pprotocol: string := "G8B10B"; + pserdes_mode: string := "RX AND TX"; + pport_tx_rdy: string := "ENABLED"; + pwait_tx_rdy: integer := 3000; + pport_rx_rdy: string := "ENABLED"; + pwait_rx_rdy: integer := 3000); + port (rui_rst: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(132) + rui_serdes_rst_dual_c: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(133) + rui_rst_dual_c: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(134) + rui_rsl_disable: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(135) + rui_tx_ref_clk: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(137) + rui_tx_serdes_rst_c: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(138) + rui_tx_pcs_rst_c: in std_logic_vector(3 downto 0); -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(139) + rdi_pll_lol: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(140) + rui_rx_ref_clk: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(142) + rui_rx_serdes_rst_c: in std_logic_vector(3 downto 0); -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(143) + rui_rx_pcs_rst_c: in std_logic_vector(3 downto 0); -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(144) + rdi_rx_los_low_s: in std_logic_vector(3 downto 0); -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(145) + rdi_rx_cdr_lol_s: in std_logic_vector(3 downto 0); -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(146) + rdo_serdes_rst_dual_c: out std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(149) + rdo_rst_dual_c: out std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(150) + ruo_tx_rdy: out std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(152) + rdo_tx_serdes_rst_c: out std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(153) + rdo_tx_pcs_rst_c: out std_logic_vector(3 downto 0); -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(154) + ruo_rx_rdy: out std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(156) + rdo_rx_serdes_rst_c: out std_logic_vector(3 downto 0); -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(157) + rdo_rx_pcs_rst_c: out std_logic_vector(3 downto 0) -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(158) + ); + + end component serdes_sync_2rsl_core; -- syn_black_box=1 -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/rsl_core_syn.v(88) + component serdes_sync_2sll_core is + generic (PPROTOCOL: string := "G8B10B"; + PLOL_SETTING: integer := 1; + PDYN_RATE_CTRL: string := "DISABLED"; + PPCIE_MAX_RATE: string := "2.5"; + PDIFF_VAL_LOCK: integer := 20; + PDIFF_VAL_UNLOCK: integer := 132; + PPCLK_TC: integer := 65536; + PDIFF_DIV11_VAL_LOCK: integer := 0; + PDIFF_DIV11_VAL_UNLOCK: integer := 0; + PPCLK_DIV11_TC: integer := 0); + port (sli_rst: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/sll_core_template.v(125) + sli_refclk: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/sll_core_template.v(126) + sli_pclk: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/sll_core_template.v(127) + sli_div2_rate: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/sll_core_template.v(128) + sli_div11_rate: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/sll_core_template.v(129) + sli_gear_mode: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/sll_core_template.v(130) + sli_cpri_mode: in std_logic_vector(2 downto 0); -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/sll_core_template.v(131) + sli_pcie_mode: in std_logic; -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/sll_core_template.v(132) + slo_plol: out std_logic -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/sll_core_template.v(135) + ); + + end component serdes_sync_2sll_core; -- syn_black_box=1 -- /usr/local/diamond/3.11_x64/ispfpga/sa5p00/data/sll_core_template.v(107) + signal n48,n47,n1,n2,n3,n4,rx_pclk_c,tx_pclk_c,n5,n6,n7,n8,n9, + n10,n11,n12,rx_los_low_s_c,n13,n14,n15,n16,rx_cdr_lol_s_c,n17, + n18,rsl_tx_pcs_rst_c,rsl_rx_pcs_rst_c,rsl_rx_serdes_rst_c,rsl_rst_dual_c, + rsl_serdes_rst_dual_c,rsl_tx_serdes_rst_c,n19,n20,n21,n22,n23, + n24,n25,n26,n27,n28,n29,n30,n31,n32,n33,n34,n35,n36,n37, + n38,n39,n40,n41,n42,n43,n44,n45,n46,n49,n106,n105,n50,n51, + n52,n53,n54,n55,n56,n57,n58,n59,n60,n61,n62,n63,n64,n65, + n66,n67,n68,n69,n70,n71,n72,n73,n74,n75,n76,n77,n78,n79, + n80,n81,n82,n83,n84,n85,n86,n87,n88,n89,n90,n91,n92,n93, + n94,n95,n96,n97,n98,n99,n100,n101,n102,n103,n104,n115,n114, + n113,pll_lol_c,n125,n124,n116,n117,n118,n119,n120,n121,n122, + n123,\_Z\,n127,n126,gnd,pwr : std_logic; + attribute LOC : string; + attribute LOC of DCU1_inst : label is "DCU1"; + attribute CHAN : string; + attribute CHAN of DCU1_inst : label is "CH0"; +begin + rx_pclk <= rx_pclk_c; + tx_pclk <= tx_pclk_c; + rx_los_low_s <= rx_los_low_s_c; + rx_cdr_lol_s <= rx_cdr_lol_s_c; + pll_lol <= pll_lol_c; + DCU1_inst: component DCUA generic map (D_MACROPDB=>"0b1",D_IB_PWDNB=>"0b1", + D_XGE_MODE=>"0b0",D_LOW_MARK=>"0d4",D_HIGH_MARK=>"0d12",D_BUS8BIT_SEL=>"0b0", + D_CDR_LOL_SET=>"0b11",D_TXPLL_PWDNB=>"0b1",D_BITCLK_LOCAL_EN=>"0b1", + D_BITCLK_ND_EN=>"0b0",D_BITCLK_FROM_ND_EN=>"0b0",D_SYNC_LOCAL_EN=>"0b1", + D_SYNC_ND_EN=>"0b0",CH0_UC_MODE=>"0b1",CH0_PCIE_MODE=>"0b0",CH0_RIO_MODE=>"0b0", + CH0_WA_MODE=>"0b0",CH0_INVERT_RX=>"0b0",CH0_INVERT_TX=>"0b0",CH0_PRBS_SELECTION=>"0b0", + CH0_GE_AN_ENABLE=>"0b0",CH0_PRBS_LOCK=>"0b0",CH0_PRBS_ENABLE=>"0b0", + CH0_ENABLE_CG_ALIGN=>"0b1",CH0_TX_GEAR_MODE=>"0b0",CH0_RX_GEAR_MODE=>"0b0", + CH0_PCS_DET_TIME_SEL=>"0b00",CH0_PCIE_EI_EN=>"0b0",CH0_TX_GEAR_BYPASS=>"0b0", + CH0_ENC_BYPASS=>"0b0",CH0_SB_BYPASS=>"0b0",CH0_RX_SB_BYPASS=>"0b0", + CH0_WA_BYPASS=>"0b0",CH0_DEC_BYPASS=>"0b0",CH0_CTC_BYPASS=>"0b1", + CH0_RX_GEAR_BYPASS=>"0b0",CH0_LSM_DISABLE=>"0b0",CH0_MATCH_2_ENABLE=>"0b0", + CH0_MATCH_4_ENABLE=>"0b1",CH0_MIN_IPG_CNT=>"0b11",CH0_CC_MATCH_1=>"0x1BC", + CH0_CC_MATCH_2=>"0x11C",CH0_CC_MATCH_3=>"0x11C",CH0_CC_MATCH_4=>"0x11C", + CH0_UDF_COMMA_MASK=>"0x0ff",CH0_UDF_COMMA_A=>"0x083",CH0_UDF_COMMA_B=>"0x07C", + CH0_RX_DCO_CK_DIV=>"0b000",CH0_RCV_DCC_EN=>"0b0",CH0_TPWDNB=>"0b1", + CH0_RATE_MODE_TX=>"0b0",CH0_RTERM_TX=>"0d19",CH0_TX_CM_SEL=>"0b00", + CH0_TDRV_PRE_EN=>"0b0",CH0_TDRV_SLICE0_SEL=>"0b00",CH0_TDRV_SLICE1_SEL=>"0b00", + CH0_TDRV_SLICE2_SEL=>"0b01",CH0_TDRV_SLICE3_SEL=>"0b01",CH0_TDRV_SLICE4_SEL=>"0b01", + CH0_TDRV_SLICE5_SEL=>"0b00",CH0_TDRV_SLICE0_CUR=>"0b000",CH0_TDRV_SLICE1_CUR=>"0b000", + CH0_TDRV_SLICE2_CUR=>"0b11",CH0_TDRV_SLICE3_CUR=>"0b11",CH0_TDRV_SLICE4_CUR=>"0b01", + CH0_TDRV_SLICE5_CUR=>"0b00",CH0_TDRV_DAT_SEL=>"0b00",CH0_TX_DIV11_SEL=>"0b0", + CH0_RPWDNB=>"0b1",CH0_RATE_MODE_RX=>"0b0",CH0_RX_DIV11_SEL=>"0b0", + CH0_SEL_SD_RX_CLK=>"0b1",CH0_FF_RX_H_CLK_EN=>"0b0",CH0_FF_RX_F_CLK_DIS=>"0b0", + CH0_FF_TX_H_CLK_EN=>"0b0",CH0_FF_TX_F_CLK_DIS=>"0b0",CH0_TDRV_POST_EN=>"0b0", + CH0_TX_POST_SIGN=>"0b0",CH0_TX_PRE_SIGN=>"0b0",CH0_REQ_LVL_SET=>"0b00", + CH0_REQ_EN=>"0b0",CH0_RTERM_RX=>"0d22",CH0_RXTERM_CM=>"0b11",CH0_PDEN_SEL=>"0b1", + CH0_RXIN_CM=>"0b11",CH0_LEQ_OFFSET_SEL=>"0b0",CH0_LEQ_OFFSET_TRIM=>"0b000", + CH0_RLOS_SEL=>"0b1",CH0_RX_LOS_LVL=>"0b000",CH0_RX_LOS_CEQ=>"0b11", + CH0_RX_LOS_HYST_EN=>"0b0",CH0_RX_LOS_EN=>"0b1",CH0_LDR_RX2CORE_SEL=>"0b0", + CH0_LDR_CORE2TX_SEL=>"0b0",D_TX_MAX_RATE=>"2.4",CH0_CDR_MAX_RATE=>"2.4", + CH0_TXAMPLITUDE=>"0d800",CH0_TXDEPRE=>"DISABLED",CH0_TXDEPOST=>"DISABLED", + CH0_PROTOCOL=>"G8B10B",D_ISETLOS=>"0d0",D_SETIRPOLY_AUX=>"0b10",D_SETICONST_AUX=>"0b01", + D_SETIRPOLY_CH=>"0b10",D_SETICONST_CH=>"0b10",D_REQ_ISET=>"0b001", + D_PD_ISET=>"0b00",D_DCO_CALIB_TIME_SEL=>"0b00",CH0_CDR_CNT4SEL=>"0b00", + CH0_CDR_CNT8SEL=>"0b00",CH0_DCOATDCFG=>"0b00",CH0_DCOATDDLY=>"0b00", + CH0_DCOBYPSATD=>"0b1",CH0_DCOCALDIV=>"0b000",CH0_DCOCTLGI=>"0b011", + CH0_DCODISBDAVOID=>"0b0",CH0_DCOFLTDAC=>"0b00",CH0_DCOFTNRG=>"0b001", + CH0_DCOIOSTUNE=>"0b010",CH0_DCOITUNE=>"0b00",CH0_DCOITUNE4LSB=>"0b010", + CH0_DCOIUPDNX2=>"0b1",CH0_DCONUOFLSB=>"0b100",CH0_DCOSCALEI=>"0b01", + CH0_DCOSTARTVAL=>"0b010",CH0_DCOSTEP=>"0b11",CH0_BAND_THRESHOLD=>"0d0", + CH0_AUTO_FACQ_EN=>"0b1",CH0_AUTO_CALIB_EN=>"0b1",CH0_CALIB_CK_MODE=>"0b0", + CH0_REG_BAND_OFFSET=>"0d0",CH0_REG_BAND_SEL=>"0d0",CH0_REG_IDAC_SEL=>"0d0", + CH0_REG_IDAC_EN=>"0b0",D_CMUSETISCL4VCO=>"0b000",D_CMUSETI4VCO=>"0b00", + D_CMUSETINITVCT=>"0b00",D_CMUSETZGM=>"0b000",D_CMUSETP2AGM=>"0b000", + D_CMUSETP1GM=>"0b000",D_CMUSETI4CPZ=>"0d3",D_CMUSETI4CPP=>"0d3",D_CMUSETICP4Z=>"0b101", + D_CMUSETICP4P=>"0b01",D_CMUSETBIASI=>"0b00",D_SETPLLRC=>"0d1",CH0_RX_RATE_SEL=>"0d10", + D_REFCK_MODE=>"0b001",D_TX_VCO_CK_DIV=>"0b000",D_PLL_LOL_SET=>"0b01", + D_RG_EN=>"0b0",D_RG_SET=>"0b00") + port map (CH0_HDINP=>hdinp,CH1_HDINP=>n106,CH0_HDINN=>hdinn,CH1_HDINN=>n106, + D_TXBIT_CLKP_FROM_ND=>n47,D_TXBIT_CLKN_FROM_ND=>n47,D_SYNC_ND=>n47,D_TXPLL_LOL_FROM_ND=>n47, + CH0_RX_REFCLK=>rxrefclk,CH1_RX_REFCLK=>n106,CH0_FF_RXI_CLK=>rx_pclk_c, + CH1_FF_RXI_CLK=>n105,CH0_FF_TXI_CLK=>tx_pclk_c,CH1_FF_TXI_CLK=>n105,CH0_FF_EBRD_CLK=>n48, + CH1_FF_EBRD_CLK=>n105,CH0_FF_TX_D_0=>txdata(0),CH1_FF_TX_D_0=>n106,CH0_FF_TX_D_1=>txdata(1), + CH1_FF_TX_D_1=>n106,CH0_FF_TX_D_2=>txdata(2),CH1_FF_TX_D_2=>n106,CH0_FF_TX_D_3=>txdata(3), + CH1_FF_TX_D_3=>n106,CH0_FF_TX_D_4=>txdata(4),CH1_FF_TX_D_4=>n106,CH0_FF_TX_D_5=>txdata(5), + CH1_FF_TX_D_5=>n106,CH0_FF_TX_D_6=>txdata(6),CH1_FF_TX_D_6=>n106,CH0_FF_TX_D_7=>txdata(7), + CH1_FF_TX_D_7=>n106,CH0_FF_TX_D_8=>tx_k(0),CH1_FF_TX_D_8=>n106,CH0_FF_TX_D_9=>tx_force_disp(0), + CH1_FF_TX_D_9=>n106,CH0_FF_TX_D_10=>tx_disp_sel(0),CH1_FF_TX_D_10=>n106, + CH0_FF_TX_D_11=>n47,CH1_FF_TX_D_11=>n106,CH0_FF_TX_D_12=>n106,CH1_FF_TX_D_12=>n106, + CH0_FF_TX_D_13=>n106,CH1_FF_TX_D_13=>n106,CH0_FF_TX_D_14=>n106,CH1_FF_TX_D_14=>n106, + CH0_FF_TX_D_15=>n106,CH1_FF_TX_D_15=>n106,CH0_FF_TX_D_16=>n106,CH1_FF_TX_D_16=>n106, + CH0_FF_TX_D_17=>n106,CH1_FF_TX_D_17=>n106,CH0_FF_TX_D_18=>n106,CH1_FF_TX_D_18=>n106, + CH0_FF_TX_D_19=>n106,CH1_FF_TX_D_19=>n106,CH0_FF_TX_D_20=>n106,CH1_FF_TX_D_20=>n106, + CH0_FF_TX_D_21=>n106,CH1_FF_TX_D_21=>n106,CH0_FF_TX_D_22=>n106,CH1_FF_TX_D_22=>n106, + CH0_FF_TX_D_23=>n47,CH1_FF_TX_D_23=>n106,CH0_FFC_EI_EN=>tx_idle_c,CH1_FFC_EI_EN=>n106, + CH0_FFC_PCIE_DET_EN=>n47,CH1_FFC_PCIE_DET_EN=>n106,CH0_FFC_PCIE_CT=>n47, + CH1_FFC_PCIE_CT=>n106,CH0_FFC_SB_INV_RX=>n106,CH1_FFC_SB_INV_RX=>n106, + CH0_FFC_ENABLE_CGALIGN=>n106,CH1_FFC_ENABLE_CGALIGN=>n106,CH0_FFC_SIGNAL_DETECT=>signal_detect_c, + CH1_FFC_SIGNAL_DETECT=>n106,CH0_FFC_FB_LOOPBACK=>n47,CH1_FFC_FB_LOOPBACK=>n106, + CH0_FFC_SB_PFIFO_LP=>n47,CH1_FFC_SB_PFIFO_LP=>n106,CH0_FFC_PFIFO_CLR=>n47, + CH1_FFC_PFIFO_CLR=>n106,CH0_FFC_RATE_MODE_RX=>n106,CH1_FFC_RATE_MODE_RX=>n106, + CH0_FFC_RATE_MODE_TX=>n106,CH1_FFC_RATE_MODE_TX=>n106,CH0_FFC_DIV11_MODE_RX=>n47, + CH1_FFC_DIV11_MODE_RX=>n106,CH0_FFC_DIV11_MODE_TX=>n47,CH1_FFC_DIV11_MODE_TX=>n106, + CH0_FFC_RX_GEAR_MODE=>n47,CH1_FFC_RX_GEAR_MODE=>n106,CH0_FFC_TX_GEAR_MODE=>n47, + CH1_FFC_TX_GEAR_MODE=>n106,CH0_FFC_LDR_CORE2TX_EN=>n106,CH1_FFC_LDR_CORE2TX_EN=>n106, + CH0_FFC_LANE_TX_RST=>rsl_tx_pcs_rst_c,CH1_FFC_LANE_TX_RST=>n106,CH0_FFC_LANE_RX_RST=>rsl_rx_pcs_rst_c, + CH1_FFC_LANE_RX_RST=>n106,CH0_FFC_RRST=>rsl_rx_serdes_rst_c,CH1_FFC_RRST=>n106, + CH0_FFC_TXPWDNB=>tx_pwrup_c,CH1_FFC_TXPWDNB=>n106,CH0_FFC_RXPWDNB=>rx_pwrup_c, + CH1_FFC_RXPWDNB=>n106,CH0_LDR_CORE2TX=>n106,CH1_LDR_CORE2TX=>n106,D_SCIWDATA0=>sci_wrdata(0), + D_SCIWDATA1=>sci_wrdata(1),D_SCIWDATA2=>sci_wrdata(2),D_SCIWDATA3=>sci_wrdata(3), + D_SCIWDATA4=>sci_wrdata(4),D_SCIWDATA5=>sci_wrdata(5),D_SCIWDATA6=>sci_wrdata(6), + D_SCIWDATA7=>sci_wrdata(7),D_SCIADDR0=>sci_addr(0),D_SCIADDR1=>sci_addr(1), + D_SCIADDR2=>sci_addr(2),D_SCIADDR3=>sci_addr(3),D_SCIADDR4=>sci_addr(4), + D_SCIADDR5=>sci_addr(5),D_SCIENAUX=>sci_en_dual,D_SCISELAUX=>sci_sel_dual, + CH0_SCIEN=>sci_en,CH1_SCIEN=>n106,CH0_SCISEL=>sci_sel,CH1_SCISEL=>n106, + D_SCIRD=>sci_rd,D_SCIWSTN=>sci_wrn,D_CYAWSTN=>cyawstn,D_FFC_SYNC_TOGGLE=>n106, + D_FFC_DUAL_RST=>rsl_rst_dual_c,D_FFC_MACRO_RST=>rsl_serdes_rst_dual_c, + D_FFC_MACROPDB=>serdes_pdb,D_FFC_TRST=>rsl_tx_serdes_rst_c,CH0_FFC_CDR_EN_BITSLIP=>n47, + CH1_FFC_CDR_EN_BITSLIP=>n106,D_SCAN_ENABLE=>n47,D_SCAN_IN_0=>n47,D_SCAN_IN_1=>n47, + D_SCAN_IN_2=>n47,D_SCAN_IN_3=>n47,D_SCAN_IN_4=>n47,D_SCAN_IN_5=>n47, + D_SCAN_IN_6=>n47,D_SCAN_IN_7=>n47,D_SCAN_MODE=>n47,D_SCAN_RESET=>n47, + D_CIN0=>n47,D_CIN1=>n47,D_CIN2=>n47,D_CIN3=>n47,D_CIN4=>n47,D_CIN5=>n47, + D_CIN6=>n47,D_CIN7=>n47,D_CIN8=>n47,D_CIN9=>n47,D_CIN10=>n47,D_CIN11=>n47, + CH0_HDOUTP=>hdoutp,CH1_HDOUTP=>n50,CH0_HDOUTN=>hdoutn,CH1_HDOUTN=>n51, + D_TXBIT_CLKP_TO_ND=>n1,D_TXBIT_CLKN_TO_ND=>n2,D_SYNC_PULSE2ND=>n3,D_TXPLL_LOL_TO_ND=>n4, + CH0_FF_RX_F_CLK=>n5,CH1_FF_RX_F_CLK=>n52,CH0_FF_RX_H_CLK=>n6,CH1_FF_RX_H_CLK=>n53, + CH0_FF_TX_F_CLK=>n7,CH1_FF_TX_F_CLK=>n54,CH0_FF_TX_H_CLK=>n8,CH1_FF_TX_H_CLK=>n55, + CH0_FF_RX_PCLK=>rx_pclk_c,CH1_FF_RX_PCLK=>n56,CH0_FF_TX_PCLK=>tx_pclk_c, + CH1_FF_TX_PCLK=>n57,CH0_FF_RX_D_0=>rxdata(0),CH1_FF_RX_D_0=>n58,CH0_FF_RX_D_1=>rxdata(1), + CH1_FF_RX_D_1=>n59,CH0_FF_RX_D_2=>rxdata(2),CH1_FF_RX_D_2=>n60,CH0_FF_RX_D_3=>rxdata(3), + CH1_FF_RX_D_3=>n61,CH0_FF_RX_D_4=>rxdata(4),CH1_FF_RX_D_4=>n62,CH0_FF_RX_D_5=>rxdata(5), + CH1_FF_RX_D_5=>n63,CH0_FF_RX_D_6=>rxdata(6),CH1_FF_RX_D_6=>n64,CH0_FF_RX_D_7=>rxdata(7), + CH1_FF_RX_D_7=>n65,CH0_FF_RX_D_8=>rx_k(0),CH1_FF_RX_D_8=>n66,CH0_FF_RX_D_9=>rx_disp_err(0), + CH1_FF_RX_D_9=>n67,CH0_FF_RX_D_10=>rx_cv_err(0),CH1_FF_RX_D_10=>n68,CH0_FF_RX_D_11=>n9, + CH1_FF_RX_D_11=>n69,CH0_FF_RX_D_12=>n70,CH1_FF_RX_D_12=>n71,CH0_FF_RX_D_13=>n72, + CH1_FF_RX_D_13=>n73,CH0_FF_RX_D_14=>n74,CH1_FF_RX_D_14=>n75,CH0_FF_RX_D_15=>n76, + CH1_FF_RX_D_15=>n77,CH0_FF_RX_D_16=>n78,CH1_FF_RX_D_16=>n79,CH0_FF_RX_D_17=>n80, + CH1_FF_RX_D_17=>n81,CH0_FF_RX_D_18=>n82,CH1_FF_RX_D_18=>n83,CH0_FF_RX_D_19=>n84, + CH1_FF_RX_D_19=>n85,CH0_FF_RX_D_20=>n86,CH1_FF_RX_D_20=>n87,CH0_FF_RX_D_21=>n88, + CH1_FF_RX_D_21=>n89,CH0_FF_RX_D_22=>n90,CH1_FF_RX_D_22=>n91,CH0_FF_RX_D_23=>n10, + CH1_FF_RX_D_23=>n92,CH0_FFS_PCIE_DONE=>n11,CH1_FFS_PCIE_DONE=>n93,CH0_FFS_PCIE_CON=>n12, + CH1_FFS_PCIE_CON=>n94,CH0_FFS_RLOS=>rx_los_low_s_c,CH1_FFS_RLOS=>n95, + CH0_FFS_LS_SYNC_STATUS=>lsm_status_s,CH1_FFS_LS_SYNC_STATUS=>n96,CH0_FFS_CC_UNDERRUN=>n13, + CH1_FFS_CC_UNDERRUN=>n97,CH0_FFS_CC_OVERRUN=>n14,CH1_FFS_CC_OVERRUN=>n98, + CH0_FFS_RXFBFIFO_ERROR=>n15,CH1_FFS_RXFBFIFO_ERROR=>n99,CH0_FFS_TXFBFIFO_ERROR=>n16, + CH1_FFS_TXFBFIFO_ERROR=>n100,CH0_FFS_RLOL=>rx_cdr_lol_s_c,CH1_FFS_RLOL=>n101, + CH0_FFS_SKP_ADDED=>n17,CH1_FFS_SKP_ADDED=>n102,CH0_FFS_SKP_DELETED=>n18, + CH1_FFS_SKP_DELETED=>n103,CH0_LDR_RX2CORE=>n104,CH1_LDR_RX2CORE=>n115, + D_SCIRDATA0=>sci_rddata(0),D_SCIRDATA1=>sci_rddata(1),D_SCIRDATA2=>sci_rddata(2), + D_SCIRDATA3=>sci_rddata(3),D_SCIRDATA4=>sci_rddata(4),D_SCIRDATA5=>sci_rddata(5), + D_SCIRDATA6=>sci_rddata(6),D_SCIRDATA7=>sci_rddata(7),D_SCIINT=>sci_int, + D_SCAN_OUT_0=>n19,D_SCAN_OUT_1=>n20,D_SCAN_OUT_2=>n21,D_SCAN_OUT_3=>n22, + D_SCAN_OUT_4=>n23,D_SCAN_OUT_5=>n24,D_SCAN_OUT_6=>n25,D_SCAN_OUT_7=>n26, + D_COUT0=>n27,D_COUT1=>n28,D_COUT2=>n29,D_COUT3=>n30,D_COUT4=>n31,D_COUT5=>n32, + D_COUT6=>n33,D_COUT7=>n34,D_COUT8=>n35,D_COUT9=>n36,D_COUT10=>n37,D_COUT11=>n38, + D_COUT12=>n39,D_COUT13=>n40,D_COUT14=>n41,D_COUT15=>n42,D_COUT16=>n43, + D_COUT17=>n44,D_COUT18=>n45,D_COUT19=>n46,D_REFCLKI=>pll_refclki,D_FFS_PLOL=>n49); + n48 <= '1' ; + n47 <= '0' ; + n1 <= 'Z' ; + n2 <= 'Z' ; + n3 <= 'Z' ; + n4 <= 'Z' ; + n5 <= 'Z' ; + n6 <= 'Z' ; + n7 <= 'Z' ; + n8 <= 'Z' ; + n9 <= 'Z' ; + n10 <= 'Z' ; + n11 <= 'Z' ; + n12 <= 'Z' ; + n13 <= 'Z' ; + n14 <= 'Z' ; + n15 <= 'Z' ; + n16 <= 'Z' ; + n17 <= 'Z' ; + n18 <= 'Z' ; + n19 <= 'Z' ; + n20 <= 'Z' ; + n21 <= 'Z' ; + n22 <= 'Z' ; + n23 <= 'Z' ; + n24 <= 'Z' ; + n25 <= 'Z' ; + n26 <= 'Z' ; + n27 <= 'Z' ; + n28 <= 'Z' ; + n29 <= 'Z' ; + n30 <= 'Z' ; + n31 <= 'Z' ; + n32 <= 'Z' ; + n33 <= 'Z' ; + n34 <= 'Z' ; + n35 <= 'Z' ; + n36 <= 'Z' ; + n37 <= 'Z' ; + n38 <= 'Z' ; + n39 <= 'Z' ; + n40 <= 'Z' ; + n41 <= 'Z' ; + n42 <= 'Z' ; + n43 <= 'Z' ; + n44 <= 'Z' ; + n45 <= 'Z' ; + n46 <= 'Z' ; + n49 <= 'Z' ; + n106 <= '0' ; + n105 <= '1' ; + n50 <= 'Z' ; + n51 <= 'Z' ; + n52 <= 'Z' ; + n53 <= 'Z' ; + n54 <= 'Z' ; + n55 <= 'Z' ; + n56 <= 'Z' ; + n57 <= 'Z' ; + n58 <= 'Z' ; + n59 <= 'Z' ; + n60 <= 'Z' ; + n61 <= 'Z' ; + n62 <= 'Z' ; + n63 <= 'Z' ; + n64 <= 'Z' ; + n65 <= 'Z' ; + n66 <= 'Z' ; + n67 <= 'Z' ; + n68 <= 'Z' ; + n69 <= 'Z' ; + n70 <= 'Z' ; + n71 <= 'Z' ; + n72 <= 'Z' ; + n73 <= 'Z' ; + n74 <= 'Z' ; + n75 <= 'Z' ; + n76 <= 'Z' ; + n77 <= 'Z' ; + n78 <= 'Z' ; + n79 <= 'Z' ; + n80 <= 'Z' ; + n81 <= 'Z' ; + n82 <= 'Z' ; + n83 <= 'Z' ; + n84 <= 'Z' ; + n85 <= 'Z' ; + n86 <= 'Z' ; + n87 <= 'Z' ; + n88 <= 'Z' ; + n89 <= 'Z' ; + n90 <= 'Z' ; + n91 <= 'Z' ; + n92 <= 'Z' ; + n93 <= 'Z' ; + n94 <= 'Z' ; + n95 <= 'Z' ; + n96 <= 'Z' ; + n97 <= 'Z' ; + n98 <= 'Z' ; + n99 <= 'Z' ; + n100 <= 'Z' ; + n101 <= 'Z' ; + n102 <= 'Z' ; + n103 <= 'Z' ; + n104 <= 'Z' ; + n115 <= 'Z' ; + rsl_inst: component serdes_sync_2rsl_core port map (rui_rst=>rsl_rst,rui_serdes_rst_dual_c=>serdes_rst_dual_c, + rui_rst_dual_c=>rst_dual_c,rui_rsl_disable=>rsl_disable,rui_tx_ref_clk=>pll_refclki, + rui_tx_serdes_rst_c=>tx_serdes_rst_c,rui_tx_pcs_rst_c(3)=>n125, + rui_tx_pcs_rst_c(2)=>n125,rui_tx_pcs_rst_c(1)=>n125,rui_tx_pcs_rst_c(0)=>tx_pcs_rst_c, + rdi_pll_lol=>pll_lol_c,rui_rx_ref_clk=>rxrefclk,rui_rx_serdes_rst_c(3)=>n125, + rui_rx_serdes_rst_c(2)=>n125,rui_rx_serdes_rst_c(1)=>n125,rui_rx_serdes_rst_c(0)=>rx_serdes_rst_c, + rui_rx_pcs_rst_c(3)=>n125,rui_rx_pcs_rst_c(2)=>n125,rui_rx_pcs_rst_c(1)=>n125, + rui_rx_pcs_rst_c(0)=>rx_pcs_rst_c,rdi_rx_los_low_s(3)=>n125,rdi_rx_los_low_s(2)=>n125, + rdi_rx_los_low_s(1)=>n125,rdi_rx_los_low_s(0)=>rx_los_low_s_c, + rdi_rx_cdr_lol_s(3)=>n125,rdi_rx_cdr_lol_s(2)=>n125,rdi_rx_cdr_lol_s(1)=>n125, + rdi_rx_cdr_lol_s(0)=>rx_cdr_lol_s_c,rdo_serdes_rst_dual_c=>rsl_serdes_rst_dual_c, + rdo_rst_dual_c=>rsl_rst_dual_c,ruo_tx_rdy=>rsl_tx_rdy,rdo_tx_serdes_rst_c=>rsl_tx_serdes_rst_c, + rdo_tx_pcs_rst_c(3)=>n116,rdo_tx_pcs_rst_c(2)=>n117,rdo_tx_pcs_rst_c(1)=>n118, + rdo_tx_pcs_rst_c(0)=>rsl_tx_pcs_rst_c,ruo_rx_rdy=>rsl_rx_rdy,rdo_rx_serdes_rst_c(3)=>n119, + rdo_rx_serdes_rst_c(2)=>n120,rdo_rx_serdes_rst_c(1)=>n121,rdo_rx_serdes_rst_c(0)=>rsl_rx_serdes_rst_c, + rdo_rx_pcs_rst_c(3)=>n122,rdo_rx_pcs_rst_c(2)=>n123,rdo_rx_pcs_rst_c(1)=>\_Z\, + rdo_rx_pcs_rst_c(0)=>rsl_rx_pcs_rst_c); + n114 <= '1' ; + n113 <= '0' ; + n125 <= '0' ; + n124 <= '1' ; + n116 <= 'Z' ; + n117 <= 'Z' ; + n118 <= 'Z' ; + n119 <= 'Z' ; + n120 <= 'Z' ; + n121 <= 'Z' ; + n122 <= 'Z' ; + n123 <= 'Z' ; + \_Z\ <= 'Z' ; + sll_inst: component serdes_sync_2sll_core port map (sli_rst=>sli_rst,sli_refclk=>pll_refclki, + sli_pclk=>tx_pclk_c,sli_div2_rate=>gnd,sli_div11_rate=>gnd,sli_gear_mode=>gnd, + sli_cpri_mode(2)=>gnd,sli_cpri_mode(1)=>gnd,sli_cpri_mode(0)=>gnd, + sli_pcie_mode=>gnd,slo_plol=>pll_lol_c); + n127 <= '1' ; + n126 <= '0' ; + gnd <= '0' ; + pwr <= '1' ; + +end architecture v1; + diff --git a/media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2_ngd.asd b/media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2_ngd.asd new file mode 100644 index 0000000..c265c78 --- /dev/null +++ b/media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2_ngd.asd @@ -0,0 +1 @@ +[ActiveSupport NGD] diff --git a/media_interfaces/ecp5/240MHz/pcs2_240.vhd b/media_interfaces/ecp5/240MHz/pcs2_240.vhd new file mode 100644 index 0000000..1475a60 --- /dev/null +++ b/media_interfaces/ecp5/240MHz/pcs2_240.vhd @@ -0,0 +1,161 @@ + + +-- +-- Verific VHDL Description of module pcs_240 +-- + +library ieee ; +use ieee.std_logic_1164.all ; + +entity pcs2_240 is + port (serdes_sync_0_rx_cv_err: out std_logic_vector(0 downto 0); + serdes_sync_0_rx_disp_err: out std_logic_vector(0 downto 0); + serdes_sync_0_rx_k: out std_logic_vector(0 downto 0); + serdes_sync_0_rxdata: out std_logic_vector(7 downto 0); + serdes_sync_0_sci_addr: in std_logic_vector(5 downto 0); + serdes_sync_0_sci_rddata: out std_logic_vector(7 downto 0); + serdes_sync_0_sci_wrdata: in std_logic_vector(7 downto 0); + serdes_sync_0_tx_disp_sel: in std_logic_vector(0 downto 0); + serdes_sync_0_tx_force_disp: in std_logic_vector(0 downto 0); + serdes_sync_0_tx_k: in std_logic_vector(0 downto 0); + serdes_sync_0_txdata: in std_logic_vector(7 downto 0); + serdes_sync_0_cyawstn: in std_logic; + serdes_sync_0_hdinn: in std_logic; + serdes_sync_0_hdinp: in std_logic; + serdes_sync_0_hdoutn: out std_logic; + serdes_sync_0_hdoutp: out std_logic; + serdes_sync_0_lsm_status_s: out std_logic; + serdes_sync_0_pll_lol: out std_logic; + serdes_sync_0_pll_refclki: in std_logic; + serdes_sync_0_rsl_disable: in std_logic; + serdes_sync_0_rsl_rst: in std_logic; + serdes_sync_0_rsl_rx_rdy: out std_logic; + serdes_sync_0_rsl_tx_rdy: out std_logic; + serdes_sync_0_rst_dual_c: in std_logic; + serdes_sync_0_rx_cdr_lol_s: out std_logic; + serdes_sync_0_rx_los_low_s: out std_logic; + serdes_sync_0_rx_pclk: out std_logic; + serdes_sync_0_rx_pcs_rst_c: in std_logic; + serdes_sync_0_rx_pwrup_c: in std_logic; + serdes_sync_0_rx_serdes_rst_c: in std_logic; + serdes_sync_0_rxrefclk: in std_logic; + serdes_sync_0_sci_en: in std_logic; + serdes_sync_0_sci_en_dual: in std_logic; + serdes_sync_0_sci_int: out std_logic; + serdes_sync_0_sci_rd: in std_logic; + serdes_sync_0_sci_sel: in std_logic; + serdes_sync_0_sci_sel_dual: in std_logic; + serdes_sync_0_sci_wrn: in std_logic; + serdes_sync_0_serdes_pdb: in std_logic; + serdes_sync_0_serdes_rst_dual_c: in std_logic; + serdes_sync_0_signal_detect_c: in std_logic; + serdes_sync_0_tx_idle_c: in std_logic; + serdes_sync_0_tx_pclk: out std_logic; + serdes_sync_0_tx_pcs_rst_c: in std_logic; + serdes_sync_0_tx_pwrup_c: in std_logic; + serdes_sync_0_tx_serdes_rst_c: in std_logic + ); + +end entity pcs2_240; -- sbp_module=true + +architecture pcs2_240 of pcs2_240 is + component serdes_sync_2 is + port (rx_cv_err: out std_logic_vector(0 downto 0); + rx_disp_err: out std_logic_vector(0 downto 0); + rx_k: out std_logic_vector(0 downto 0); + rxdata: out std_logic_vector(7 downto 0); + sci_addr: in std_logic_vector(5 downto 0); + sci_rddata: out std_logic_vector(7 downto 0); + sci_wrdata: in std_logic_vector(7 downto 0); + tx_disp_sel: in std_logic_vector(0 downto 0); + tx_force_disp: in std_logic_vector(0 downto 0); + tx_k: in std_logic_vector(0 downto 0); + txdata: in std_logic_vector(7 downto 0); + cyawstn: in std_logic; + hdinn: in std_logic; + hdinp: in std_logic; + hdoutn: out std_logic; + hdoutp: out std_logic; + lsm_status_s: out std_logic; + pll_lol: out std_logic; + pll_refclki: in std_logic; + rsl_disable: in std_logic; + rsl_rst: in std_logic; + rsl_rx_rdy: out std_logic; + rsl_tx_rdy: out std_logic; + rst_dual_c: in std_logic; + rx_cdr_lol_s: out std_logic; + rx_los_low_s: out std_logic; + rx_pclk: out std_logic; + rx_pcs_rst_c: in std_logic; + rx_pwrup_c: in std_logic; + rx_serdes_rst_c: in std_logic; + rxrefclk: in std_logic; + sci_en: in std_logic; + sci_en_dual: in std_logic; + sci_int: out std_logic; + sci_rd: in std_logic; + sci_sel: in std_logic; + sci_sel_dual: in std_logic; + sci_wrn: in std_logic; + serdes_pdb: in std_logic; + serdes_rst_dual_c: in std_logic; + signal_detect_c: in std_logic; + sli_rst: in std_logic; + tx_idle_c: in std_logic; + tx_pclk: out std_logic; + tx_pcs_rst_c: in std_logic; + tx_pwrup_c: in std_logic; + tx_serdes_rst_c: in std_logic + ); + + end component serdes_sync_2; -- not_need_bbox=true + + + signal sli_rst_wire0,gnd : std_logic; +begin + sli_rst_wire0 <= serdes_sync_0_serdes_rst_dual_c OR serdes_sync_0_tx_serdes_rst_c OR (NOT serdes_sync_0_serdes_pdb) OR (NOT serdes_sync_0_tx_pwrup_c); + serdes_sync_0_inst: component serdes_sync_2 port map (rx_cv_err(0)=>serdes_sync_0_rx_cv_err(0), + rx_disp_err(0)=>serdes_sync_0_rx_disp_err(0),rx_k(0)=>serdes_sync_0_rx_k(0), + rxdata(7)=>serdes_sync_0_rxdata(7),rxdata(6)=>serdes_sync_0_rxdata(6), + rxdata(5)=>serdes_sync_0_rxdata(5),rxdata(4)=>serdes_sync_0_rxdata(4), + rxdata(3)=>serdes_sync_0_rxdata(3),rxdata(2)=>serdes_sync_0_rxdata(2), + rxdata(1)=>serdes_sync_0_rxdata(1),rxdata(0)=>serdes_sync_0_rxdata(0), + sci_addr(5)=>serdes_sync_0_sci_addr(5),sci_addr(4)=>serdes_sync_0_sci_addr(4), + sci_addr(3)=>serdes_sync_0_sci_addr(3),sci_addr(2)=>serdes_sync_0_sci_addr(2), + sci_addr(1)=>serdes_sync_0_sci_addr(1),sci_addr(0)=>serdes_sync_0_sci_addr(0), + sci_rddata(7)=>serdes_sync_0_sci_rddata(7),sci_rddata(6)=>serdes_sync_0_sci_rddata(6), + sci_rddata(5)=>serdes_sync_0_sci_rddata(5),sci_rddata(4)=>serdes_sync_0_sci_rddata(4), + sci_rddata(3)=>serdes_sync_0_sci_rddata(3),sci_rddata(2)=>serdes_sync_0_sci_rddata(2), + sci_rddata(1)=>serdes_sync_0_sci_rddata(1),sci_rddata(0)=>serdes_sync_0_sci_rddata(0), + sci_wrdata(7)=>serdes_sync_0_sci_wrdata(7),sci_wrdata(6)=>serdes_sync_0_sci_wrdata(6), + sci_wrdata(5)=>serdes_sync_0_sci_wrdata(5),sci_wrdata(4)=>serdes_sync_0_sci_wrdata(4), + sci_wrdata(3)=>serdes_sync_0_sci_wrdata(3),sci_wrdata(2)=>serdes_sync_0_sci_wrdata(2), + sci_wrdata(1)=>serdes_sync_0_sci_wrdata(1),sci_wrdata(0)=>serdes_sync_0_sci_wrdata(0), + tx_disp_sel(0)=>serdes_sync_0_tx_disp_sel(0),tx_force_disp(0)=>serdes_sync_0_tx_force_disp(0), + tx_k(0)=>serdes_sync_0_tx_k(0),txdata(7)=>serdes_sync_0_txdata(7), + txdata(6)=>serdes_sync_0_txdata(6),txdata(5)=>serdes_sync_0_txdata(5), + txdata(4)=>serdes_sync_0_txdata(4),txdata(3)=>serdes_sync_0_txdata(3), + txdata(2)=>serdes_sync_0_txdata(2),txdata(1)=>serdes_sync_0_txdata(1), + txdata(0)=>serdes_sync_0_txdata(0),cyawstn=>serdes_sync_0_cyawstn, + hdinn=>serdes_sync_0_hdinn,hdinp=>serdes_sync_0_hdinp,hdoutn=>serdes_sync_0_hdoutn, + hdoutp=>serdes_sync_0_hdoutp,lsm_status_s=>serdes_sync_0_lsm_status_s, + pll_lol=>serdes_sync_0_pll_lol,pll_refclki=>serdes_sync_0_pll_refclki, + rsl_disable=>serdes_sync_0_rsl_disable,rsl_rst=>serdes_sync_0_rsl_rst, + rsl_rx_rdy=>serdes_sync_0_rsl_rx_rdy,rsl_tx_rdy=>serdes_sync_0_rsl_tx_rdy, + rst_dual_c=>serdes_sync_0_rst_dual_c,rx_cdr_lol_s=>serdes_sync_0_rx_cdr_lol_s, + rx_los_low_s=>serdes_sync_0_rx_los_low_s,rx_pclk=>serdes_sync_0_rx_pclk, + rx_pcs_rst_c=>serdes_sync_0_rx_pcs_rst_c,rx_pwrup_c=>serdes_sync_0_rx_pwrup_c, + rx_serdes_rst_c=>serdes_sync_0_rx_serdes_rst_c,rxrefclk=>serdes_sync_0_rxrefclk, + sci_en=>serdes_sync_0_sci_en,sci_en_dual=>serdes_sync_0_sci_en_dual, + sci_int=>serdes_sync_0_sci_int,sci_rd=>serdes_sync_0_sci_rd,sci_sel=>serdes_sync_0_sci_sel, + sci_sel_dual=>serdes_sync_0_sci_sel_dual,sci_wrn=>serdes_sync_0_sci_wrn, + serdes_pdb=>serdes_sync_0_serdes_pdb,serdes_rst_dual_c=>serdes_sync_0_serdes_rst_dual_c, + signal_detect_c=>serdes_sync_0_signal_detect_c,sli_rst=>sli_rst_wire0, + tx_idle_c=>serdes_sync_0_tx_idle_c,tx_pclk=>serdes_sync_0_tx_pclk, + tx_pcs_rst_c=>serdes_sync_0_tx_pcs_rst_c,tx_pwrup_c=>serdes_sync_0_tx_pwrup_c, + tx_serdes_rst_c=>serdes_sync_0_tx_serdes_rst_c); + gnd <= '0' ; + +end architecture pcs2_240; -- sbp_module=true + diff --git a/media_interfaces/ecp5/240MHz/pcs_240.vhd b/media_interfaces/ecp5/240MHz/pcs_240.vhd new file mode 100644 index 0000000..f2bbf4a --- /dev/null +++ b/media_interfaces/ecp5/240MHz/pcs_240.vhd @@ -0,0 +1,161 @@ + + +-- +-- Verific VHDL Description of module pcs_240 +-- + +library ieee ; +use ieee.std_logic_1164.all ; + +entity pcs_240 is + port (serdes_sync_0_rx_cv_err: out std_logic_vector(0 downto 0); + serdes_sync_0_rx_disp_err: out std_logic_vector(0 downto 0); + serdes_sync_0_rx_k: out std_logic_vector(0 downto 0); + serdes_sync_0_rxdata: out std_logic_vector(7 downto 0); + serdes_sync_0_sci_addr: in std_logic_vector(5 downto 0); + serdes_sync_0_sci_rddata: out std_logic_vector(7 downto 0); + serdes_sync_0_sci_wrdata: in std_logic_vector(7 downto 0); + serdes_sync_0_tx_disp_sel: in std_logic_vector(0 downto 0); + serdes_sync_0_tx_force_disp: in std_logic_vector(0 downto 0); + serdes_sync_0_tx_k: in std_logic_vector(0 downto 0); + serdes_sync_0_txdata: in std_logic_vector(7 downto 0); + serdes_sync_0_cyawstn: in std_logic; + serdes_sync_0_hdinn: in std_logic; + serdes_sync_0_hdinp: in std_logic; + serdes_sync_0_hdoutn: out std_logic; + serdes_sync_0_hdoutp: out std_logic; + serdes_sync_0_lsm_status_s: out std_logic; + serdes_sync_0_pll_lol: out std_logic; + serdes_sync_0_pll_refclki: in std_logic; + serdes_sync_0_rsl_disable: in std_logic; + serdes_sync_0_rsl_rst: in std_logic; + serdes_sync_0_rsl_rx_rdy: out std_logic; + serdes_sync_0_rsl_tx_rdy: out std_logic; + serdes_sync_0_rst_dual_c: in std_logic; + serdes_sync_0_rx_cdr_lol_s: out std_logic; + serdes_sync_0_rx_los_low_s: out std_logic; + serdes_sync_0_rx_pclk: out std_logic; + serdes_sync_0_rx_pcs_rst_c: in std_logic; + serdes_sync_0_rx_pwrup_c: in std_logic; + serdes_sync_0_rx_serdes_rst_c: in std_logic; + serdes_sync_0_rxrefclk: in std_logic; + serdes_sync_0_sci_en: in std_logic; + serdes_sync_0_sci_en_dual: in std_logic; + serdes_sync_0_sci_int: out std_logic; + serdes_sync_0_sci_rd: in std_logic; + serdes_sync_0_sci_sel: in std_logic; + serdes_sync_0_sci_sel_dual: in std_logic; + serdes_sync_0_sci_wrn: in std_logic; + serdes_sync_0_serdes_pdb: in std_logic; + serdes_sync_0_serdes_rst_dual_c: in std_logic; + serdes_sync_0_signal_detect_c: in std_logic; + serdes_sync_0_tx_idle_c: in std_logic; + serdes_sync_0_tx_pclk: out std_logic; + serdes_sync_0_tx_pcs_rst_c: in std_logic; + serdes_sync_0_tx_pwrup_c: in std_logic; + serdes_sync_0_tx_serdes_rst_c: in std_logic + ); + +end entity pcs_240; -- sbp_module=true + +architecture pcs_240 of pcs_240 is + component serdes_sync_0 is + port (rx_cv_err: out std_logic_vector(0 downto 0); + rx_disp_err: out std_logic_vector(0 downto 0); + rx_k: out std_logic_vector(0 downto 0); + rxdata: out std_logic_vector(7 downto 0); + sci_addr: in std_logic_vector(5 downto 0); + sci_rddata: out std_logic_vector(7 downto 0); + sci_wrdata: in std_logic_vector(7 downto 0); + tx_disp_sel: in std_logic_vector(0 downto 0); + tx_force_disp: in std_logic_vector(0 downto 0); + tx_k: in std_logic_vector(0 downto 0); + txdata: in std_logic_vector(7 downto 0); + cyawstn: in std_logic; + hdinn: in std_logic; + hdinp: in std_logic; + hdoutn: out std_logic; + hdoutp: out std_logic; + lsm_status_s: out std_logic; + pll_lol: out std_logic; + pll_refclki: in std_logic; + rsl_disable: in std_logic; + rsl_rst: in std_logic; + rsl_rx_rdy: out std_logic; + rsl_tx_rdy: out std_logic; + rst_dual_c: in std_logic; + rx_cdr_lol_s: out std_logic; + rx_los_low_s: out std_logic; + rx_pclk: out std_logic; + rx_pcs_rst_c: in std_logic; + rx_pwrup_c: in std_logic; + rx_serdes_rst_c: in std_logic; + rxrefclk: in std_logic; + sci_en: in std_logic; + sci_en_dual: in std_logic; + sci_int: out std_logic; + sci_rd: in std_logic; + sci_sel: in std_logic; + sci_sel_dual: in std_logic; + sci_wrn: in std_logic; + serdes_pdb: in std_logic; + serdes_rst_dual_c: in std_logic; + signal_detect_c: in std_logic; + sli_rst: in std_logic; + tx_idle_c: in std_logic; + tx_pclk: out std_logic; + tx_pcs_rst_c: in std_logic; + tx_pwrup_c: in std_logic; + tx_serdes_rst_c: in std_logic + ); + + end component serdes_sync_0; -- not_need_bbox=true + + + signal sli_rst_wire0,gnd : std_logic; +begin + sli_rst_wire0 <= serdes_sync_0_serdes_rst_dual_c OR serdes_sync_0_tx_serdes_rst_c OR (NOT serdes_sync_0_serdes_pdb) OR (NOT serdes_sync_0_tx_pwrup_c); + serdes_sync_0_inst: component serdes_sync_0 port map (rx_cv_err(0)=>serdes_sync_0_rx_cv_err(0), + rx_disp_err(0)=>serdes_sync_0_rx_disp_err(0),rx_k(0)=>serdes_sync_0_rx_k(0), + rxdata(7)=>serdes_sync_0_rxdata(7),rxdata(6)=>serdes_sync_0_rxdata(6), + rxdata(5)=>serdes_sync_0_rxdata(5),rxdata(4)=>serdes_sync_0_rxdata(4), + rxdata(3)=>serdes_sync_0_rxdata(3),rxdata(2)=>serdes_sync_0_rxdata(2), + rxdata(1)=>serdes_sync_0_rxdata(1),rxdata(0)=>serdes_sync_0_rxdata(0), + sci_addr(5)=>serdes_sync_0_sci_addr(5),sci_addr(4)=>serdes_sync_0_sci_addr(4), + sci_addr(3)=>serdes_sync_0_sci_addr(3),sci_addr(2)=>serdes_sync_0_sci_addr(2), + sci_addr(1)=>serdes_sync_0_sci_addr(1),sci_addr(0)=>serdes_sync_0_sci_addr(0), + sci_rddata(7)=>serdes_sync_0_sci_rddata(7),sci_rddata(6)=>serdes_sync_0_sci_rddata(6), + sci_rddata(5)=>serdes_sync_0_sci_rddata(5),sci_rddata(4)=>serdes_sync_0_sci_rddata(4), + sci_rddata(3)=>serdes_sync_0_sci_rddata(3),sci_rddata(2)=>serdes_sync_0_sci_rddata(2), + sci_rddata(1)=>serdes_sync_0_sci_rddata(1),sci_rddata(0)=>serdes_sync_0_sci_rddata(0), + sci_wrdata(7)=>serdes_sync_0_sci_wrdata(7),sci_wrdata(6)=>serdes_sync_0_sci_wrdata(6), + sci_wrdata(5)=>serdes_sync_0_sci_wrdata(5),sci_wrdata(4)=>serdes_sync_0_sci_wrdata(4), + sci_wrdata(3)=>serdes_sync_0_sci_wrdata(3),sci_wrdata(2)=>serdes_sync_0_sci_wrdata(2), + sci_wrdata(1)=>serdes_sync_0_sci_wrdata(1),sci_wrdata(0)=>serdes_sync_0_sci_wrdata(0), + tx_disp_sel(0)=>serdes_sync_0_tx_disp_sel(0),tx_force_disp(0)=>serdes_sync_0_tx_force_disp(0), + tx_k(0)=>serdes_sync_0_tx_k(0),txdata(7)=>serdes_sync_0_txdata(7), + txdata(6)=>serdes_sync_0_txdata(6),txdata(5)=>serdes_sync_0_txdata(5), + txdata(4)=>serdes_sync_0_txdata(4),txdata(3)=>serdes_sync_0_txdata(3), + txdata(2)=>serdes_sync_0_txdata(2),txdata(1)=>serdes_sync_0_txdata(1), + txdata(0)=>serdes_sync_0_txdata(0),cyawstn=>serdes_sync_0_cyawstn, + hdinn=>serdes_sync_0_hdinn,hdinp=>serdes_sync_0_hdinp,hdoutn=>serdes_sync_0_hdoutn, + hdoutp=>serdes_sync_0_hdoutp,lsm_status_s=>serdes_sync_0_lsm_status_s, + pll_lol=>serdes_sync_0_pll_lol,pll_refclki=>serdes_sync_0_pll_refclki, + rsl_disable=>serdes_sync_0_rsl_disable,rsl_rst=>serdes_sync_0_rsl_rst, + rsl_rx_rdy=>serdes_sync_0_rsl_rx_rdy,rsl_tx_rdy=>serdes_sync_0_rsl_tx_rdy, + rst_dual_c=>serdes_sync_0_rst_dual_c,rx_cdr_lol_s=>serdes_sync_0_rx_cdr_lol_s, + rx_los_low_s=>serdes_sync_0_rx_los_low_s,rx_pclk=>serdes_sync_0_rx_pclk, + rx_pcs_rst_c=>serdes_sync_0_rx_pcs_rst_c,rx_pwrup_c=>serdes_sync_0_rx_pwrup_c, + rx_serdes_rst_c=>serdes_sync_0_rx_serdes_rst_c,rxrefclk=>serdes_sync_0_rxrefclk, + sci_en=>serdes_sync_0_sci_en,sci_en_dual=>serdes_sync_0_sci_en_dual, + sci_int=>serdes_sync_0_sci_int,sci_rd=>serdes_sync_0_sci_rd,sci_sel=>serdes_sync_0_sci_sel, + sci_sel_dual=>serdes_sync_0_sci_sel_dual,sci_wrn=>serdes_sync_0_sci_wrn, + serdes_pdb=>serdes_sync_0_serdes_pdb,serdes_rst_dual_c=>serdes_sync_0_serdes_rst_dual_c, + signal_detect_c=>serdes_sync_0_signal_detect_c,sli_rst=>sli_rst_wire0, + tx_idle_c=>serdes_sync_0_tx_idle_c,tx_pclk=>serdes_sync_0_tx_pclk, + tx_pcs_rst_c=>serdes_sync_0_tx_pcs_rst_c,tx_pwrup_c=>serdes_sync_0_tx_pwrup_c, + tx_serdes_rst_c=>serdes_sync_0_tx_serdes_rst_c); + gnd <= '0' ; + +end architecture pcs_240; -- sbp_module=true + diff --git a/media_interfaces/ecp5/240MHz/serdes_sync_0_softlogic.v b/media_interfaces/ecp5/240MHz/serdes_sync_0_softlogic.v new file mode 100644 index 0000000..186f088 --- /dev/null +++ b/media_interfaces/ecp5/240MHz/serdes_sync_0_softlogic.v @@ -0,0 +1,2003 @@ + + +// =========================================================================== +// >>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<< +// --------------------------------------------------------------------------- +// Copyright (c) 2016 by Lattice Semiconductor Corporation +// ALL RIGHTS RESERVED +// ------------------------------------------------------------------ +// +// Permission: +// +// Lattice SG Pte. Ltd. grants permission to use this code +// pursuant to the terms of the Lattice Reference Design License Agreement. +// +// +// Disclaimer: +// +// This VHDL or Verilog source code is intended as a design reference +// which illustrates how these types of functions can be implemented. +// It is the user's responsibility to verify their design for +// consistency and functionality through the use of formal +// verification methods. Lattice provides no warranty +// regarding the use or functionality of this code. +// +// --------------------------------------------------------------------------- +// +// Lattice SG Pte. Ltd. +// 101 Thomson Road, United Square #07-02 +// Singapore 307591 +// +// +// TEL: 1-800-Lattice (USA and Canada) +// +65-6631-2000 (Singapore) +// +1-503-268-8001 (other locations) +// +// web: http://www.latticesemi.com/ +// email: techsupport@latticesemi.com +// +// --------------------------------------------------------------------------- +// +// ============================================================================= +// FILE DETAILS +// Project : RSL- Reset Sequence Logic +// File : rsl_core.v +// Title : Top-level file for RSL +// Dependencies : 1. +// : 2. +// Description : +// ============================================================================= +// REVISION HISTORY +// Version : 1.0 +// Author(s) : BM +// Mod. Date : October 28, 2013 +// Changes Made : Initial Creation +// ----------------------------------------------------------------------------- +// Version : 1.1 +// Author(s) : BM +// Mod. Date : November 06, 2013 +// Changes Made : Tx/Rx separation, ready port code exclusion +// ----------------------------------------------------------------------------- +// Version : 1.2 +// Author(s) : BM +// Mod. Date : June 13, 2014 +// Changes Made : Updated Rx PCS reset method +// ----------------------------------------------------------------------------- +// ----------------------------------------------------------------------------- +// Version : 1.3 +// Author(s) : UA +// Mod. Date : Dec 19, 2014 +// Changes Made : Added new parameter fro PCIE +// ----------------------------------------------------------------------------- +// Version : 1.31 +// Author(s) : BM/UM +// Mod. Date : Feb 23, 2016 +// Changes Made : Behavior of rx_rdy output modified. The output rx_rdy +// and the rx_rdy wait counter are reset to zero on +// LOL or LOS. Reverted back the counter value change for PCIE. +// ----------------------------------------------------------------------------- +// Version : 1.4 +// Author(s) : EB +// Mod. Date: : March 21, 2017 +// Changes Made : +// ----------------------------------------------------------------------------- +// Version : 1.5 +// Author(s) : ES +// Mod. Date: : May 8, 2017 +// Changes Made : Implemented common RSL behaviour as proposed by BM. +// ============================================================================= + +`timescale 1ns/10ps + +module serdes_sync_0rsl_core ( + // ------------ Inputs + // Common + rui_rst, // Active high reset for the RSL module + rui_serdes_rst_dual_c, // SERDES macro reset user command + rui_rst_dual_c, // PCS dual reset user command + rui_rsl_disable, // Active high signal that disables all reset outputs of RSL + // Tx + rui_tx_ref_clk, // Tx reference clock + rui_tx_serdes_rst_c, // Tx SERDES reset user command + rui_tx_pcs_rst_c, // Tx lane reset user command + rdi_pll_lol, // Tx PLL Loss of Lock status input from the SERDES + // Rx + rui_rx_ref_clk, // Rx reference clock + rui_rx_serdes_rst_c, // SERDES Receive channel reset user command + rui_rx_pcs_rst_c, // Rx lane reset user command + rdi_rx_los_low_s, // Receive loss of signal status input from SERDES + rdi_rx_cdr_lol_s, // Receive CDR loss of lock status input from SERDES + + // ------------ Outputs + // Common + rdo_serdes_rst_dual_c, // SERDES macro reset command output + rdo_rst_dual_c, // PCS dual reset command output + // Tx + ruo_tx_rdy, // Tx lane ready status output + rdo_tx_serdes_rst_c, // SERDES Tx reset command output + rdo_tx_pcs_rst_c, // PCS Tx lane reset command output + // Rx + ruo_rx_rdy, // Rx lane ready status output + rdo_rx_serdes_rst_c, // SERDES Rx channel reset command output + rdo_rx_pcs_rst_c // PCS Rx lane reset command output + ); + +// ------------ Module parameters +`ifdef NUM_CHANNELS + parameter pnum_channels = `NUM_CHANNELS; // 1,2,4 +`else + parameter pnum_channels = 1; +`endif + +`ifdef PCIE + parameter pprotocol = "PCIE"; +`else + parameter pprotocol = ""; +`endif + +`ifdef RX_ONLY + parameter pserdes_mode = "RX ONLY"; +`else + `ifdef TX_ONLY + parameter pserdes_mode = "TX ONLY"; + `else + parameter pserdes_mode = "RX AND TX"; + `endif +`endif + +`ifdef PORT_TX_RDY + parameter pport_tx_rdy = "ENABLED"; +`else + parameter pport_tx_rdy = "DISABLED"; +`endif + +`ifdef WAIT_TX_RDY + parameter pwait_tx_rdy = `WAIT_TX_RDY; +`else + parameter pwait_tx_rdy = 3000; +`endif + +`ifdef PORT_RX_RDY + parameter pport_rx_rdy = "ENABLED"; +`else + parameter pport_rx_rdy = "DISABLED"; +`endif + +`ifdef WAIT_RX_RDY + parameter pwait_rx_rdy = `WAIT_RX_RDY; +`else + parameter pwait_rx_rdy = 3000; +`endif + +// ------------ Local parameters + localparam wa_num_cycles = 1024; + localparam dac_num_cycles = 3; + localparam lreset_pwidth = 3; // reset pulse width-1, default=4-1=3 + localparam lwait_b4_trst = 781250; // 5ms wait with worst-case Fmax=156 MHz + localparam lwait_b4_trst_s = 781; // for simulation + localparam lplol_cnt_width = 20; // width for lwait_b4_trst + localparam lwait_after_plol0 = 4; + localparam lwait_b4_rrst = 180224; // total calibration time + localparam lrrst_wait_width = 20; + localparam lwait_after_rrst = 800000; // For CPRI- unused + localparam lwait_b4_rrst_s = 460; // wait cycles provided by design team + localparam lrlol_cnt_width = 19; // width for lwait_b4_rrst + localparam lwait_after_lols = (16384 * dac_num_cycles) + wa_num_cycles; // 16384 cycles * dac_num_cycles + 1024 cycles + localparam lwait_after_lols_s = 150; // wait cycles provided by design team + localparam llols_cnt_width = 18; // lols count width + localparam lrdb_max = 15; // maximum debounce count + localparam ltxr_wait_width = 12; // width of tx ready wait counter + localparam lrxr_wait_width = 12; // width of tx ready wait counter + +// ------------ input ports + input rui_rst; + input rui_serdes_rst_dual_c; + input rui_rst_dual_c; + input rui_rsl_disable; + + input rui_tx_ref_clk; + input rui_tx_serdes_rst_c; + input [3:0] rui_tx_pcs_rst_c; + input rdi_pll_lol; + + input rui_rx_ref_clk; + input [3:0] rui_rx_serdes_rst_c; + input [3:0] rui_rx_pcs_rst_c; + input [3:0] rdi_rx_los_low_s; + input [3:0] rdi_rx_cdr_lol_s; + +// ------------ output ports + output rdo_serdes_rst_dual_c; + output rdo_rst_dual_c; + + output ruo_tx_rdy; + output rdo_tx_serdes_rst_c; + output [3:0] rdo_tx_pcs_rst_c; + + output ruo_rx_rdy; + output [3:0] rdo_rx_serdes_rst_c; + output [3:0] rdo_rx_pcs_rst_c; + +// ------------ Internal registers and wires + // inputs + wire rui_rst; + wire rui_serdes_rst_dual_c; + wire rui_rst_dual_c; + wire rui_rsl_disable; + wire rui_tx_ref_clk; + wire rui_tx_serdes_rst_c; + wire [3:0] rui_tx_pcs_rst_c; + wire rdi_pll_lol; + wire rui_rx_ref_clk; + wire [3:0] rui_rx_serdes_rst_c; + wire [3:0] rui_rx_pcs_rst_c; + wire [3:0] rdi_rx_los_low_s; + wire [3:0] rdi_rx_cdr_lol_s; + + // outputs + wire rdo_serdes_rst_dual_c; + wire rdo_rst_dual_c; + wire ruo_tx_rdy; + wire rdo_tx_serdes_rst_c; + wire [3:0] rdo_tx_pcs_rst_c; + wire ruo_rx_rdy; + wire [3:0] rdo_rx_serdes_rst_c; + wire [3:0] rdo_rx_pcs_rst_c; + + // internal signals + // common + wire rsl_enable; + wire [lplol_cnt_width-1:0] wait_b4_trst; + wire [lrlol_cnt_width-1:0] wait_b4_rrst; + wire [llols_cnt_width-1:0] wait_after_lols; + reg pll_lol_p1; + reg pll_lol_p2; + reg pll_lol_p3; + // ------------ Tx + // rdo_tx_serdes_rst_c + reg [lplol_cnt_width-1:0] plol_cnt; + wire plol_cnt_tc; + + reg [2:0] txs_cnt; + reg txs_rst; + wire txs_cnt_tc; + // rdo_tx_pcs_rst_c + wire plol_fedge; + wire plol_redge; + reg waita_plol0; + reg [2:0] plol0_cnt; + wire plol0_cnt_tc; + reg [2:0] txp_cnt; + reg txp_rst; + wire txp_cnt_tc; + // ruo_tx_rdy + wire dual_or_serd_rst; + wire tx_any_pcs_rst; + wire tx_any_rst; + reg txsr_appd /* synthesis syn_keep=1 */; + reg txdpr_appd; + reg [pnum_channels-1:0] txpr_appd; + reg txr_wt_en; + reg [ltxr_wait_width-1:0] txr_wt_cnt; + wire txr_wt_tc; + reg ruo_tx_rdyr; + + // ------------ Rx + wire comb_rlos; + wire comb_rlol; + //wire rlols; + wire rx_all_well; + + //reg rlols_p1; + //reg rlols_p2; + //reg rlols_p3; + + reg rlol_p1; + reg rlol_p2; + reg rlol_p3; + reg rlos_p1; + reg rlos_p2; + reg rlos_p3; + + //reg [3:0] rdb_cnt; + //wire rdb_cnt_max; + //wire rdb_cnt_zero; + //reg rlols_db; + //reg rlols_db_p1; + + reg [3:0] rlol_db_cnt; + wire rlol_db_cnt_max; + wire rlol_db_cnt_zero; + reg rlol_db; + reg rlol_db_p1; + + reg [3:0] rlos_db_cnt; + wire rlos_db_cnt_max; + wire rlos_db_cnt_zero; + reg rlos_db; + reg rlos_db_p1; + + // rdo_rx_serdes_rst_c + reg [lrlol_cnt_width-1:0] rlol1_cnt; + wire rlol1_cnt_tc; + reg [2:0] rxs_cnt; + reg rxs_rst; + wire rxs_cnt_tc; + reg [lrrst_wait_width-1:0] rrst_cnt; + wire rrst_cnt_tc; + reg rrst_wait; + // rdo_rx_pcs_rst_c + //wire rlols_fedge; + //wire rlols_redge; + wire rlol_fedge; + wire rlol_redge; + wire rlos_fedge; + wire rlos_redge; + + reg wait_calib; + reg waita_rlols0; + reg [llols_cnt_width-1:0] rlols0_cnt; + wire rlols0_cnt_tc; + reg [2:0] rxp_cnt; + reg rxp_rst; + wire rxp_cnt_tc; + + wire rx_any_serd_rst; + reg [llols_cnt_width-1:0] rlolsz_cnt; + wire rlolsz_cnt_tc; + reg [2:0] rxp_cnt2; + reg rxp_rst2; + wire rxp_cnt2_tc; + reg [15:0] data_loop_b_cnt; + reg data_loop_b; + wire data_loop_b_tc; + + // ruo_rx_rdy + reg [pnum_channels-1:0] rxsr_appd; + reg [pnum_channels-1:0] rxpr_appd; + reg rxsdr_appd /* synthesis syn_keep=1 */; + reg rxdpr_appd; + wire rxsdr_or_sr_appd; + wire dual_or_rserd_rst; + wire rx_any_pcs_rst; + wire rx_any_rst; + reg rxr_wt_en; + reg [lrxr_wait_width-1:0] rxr_wt_cnt; + wire rxr_wt_tc; + reg ruo_rx_rdyr; + +// ================================================================== +// Start of code +// ================================================================== + assign rsl_enable = ~rui_rsl_disable; + +// ------------ rdo_serdes_rst_dual_c + assign rdo_serdes_rst_dual_c = (rui_rst&rsl_enable) | rui_serdes_rst_dual_c; + +// ------------ rdo_rst_dual_c + assign rdo_rst_dual_c = rui_rst_dual_c; + +// ------------ Setting counter values for RSL_SIM_MODE + `ifdef RSL_SIM_MODE + assign wait_b4_trst = lwait_b4_trst_s; + assign wait_b4_rrst = lwait_b4_rrst_s; + assign wait_after_lols = lwait_after_lols_s; + `else + assign wait_b4_trst = lwait_b4_trst; + assign wait_b4_rrst = lwait_b4_rrst; + assign wait_after_lols = lwait_after_lols; + `endif + +// ================================================================== +// Tx +// ================================================================== + generate + if((pserdes_mode=="RX AND TX")||(pserdes_mode=="TX ONLY")) begin + +// ------------ Synchronizing pll_lol to the tx clock + always @(posedge rui_tx_ref_clk or posedge rui_rst) begin + if(rui_rst==1'b1) begin + pll_lol_p1 <= 1'd0; + pll_lol_p2 <= 1'd0; + pll_lol_p3 <= 1'd0; + end + else begin + pll_lol_p1 <= rdi_pll_lol; + pll_lol_p2 <= pll_lol_p1; + pll_lol_p3 <= pll_lol_p2; + end + end + +// ------------ rdo_tx_serdes_rst_c + always @(posedge rui_tx_ref_clk or posedge rui_rst) begin + if(rui_rst==1'b1) + plol_cnt <= 'd0; + else if((pll_lol_p2==0)||(plol_cnt_tc==1)||(rdo_tx_serdes_rst_c==1)) + plol_cnt <= 'd0; + else + plol_cnt <= plol_cnt+1; + end + assign plol_cnt_tc = (plol_cnt==wait_b4_trst)?1'b1:1'b0; + + always @(posedge rui_tx_ref_clk or posedge rui_rst) begin + if(rui_rst==1'b1) begin + txs_cnt <= 'd0; // tx serdes reset pulse count + txs_rst <= 1'b0; // tx serdes reset + end + else if(plol_cnt_tc==1) + txs_rst <= 1'b1; + else if(txs_cnt_tc==1) begin + txs_cnt <= 'd0; + txs_rst <= 1'b0; + end + else if(txs_rst==1) + txs_cnt <= txs_cnt+1; + end + assign txs_cnt_tc = (txs_cnt==lreset_pwidth)?1'b1:1'b0; + + assign rdo_tx_serdes_rst_c = (rsl_enable&txs_rst)| rui_tx_serdes_rst_c; + +// ------------ rdo_tx_pcs_rst_c + assign plol_fedge = ~pll_lol_p2 & pll_lol_p3; + assign plol_redge = pll_lol_p2 & ~pll_lol_p3; + always @(posedge rui_tx_ref_clk or posedge rui_rst) begin + if(rui_rst==1'b1) + waita_plol0 <= 1'd0; + else if(plol_fedge==1'b1) + waita_plol0 <= 1'b1; + else if((plol0_cnt_tc==1)||(plol_redge==1)) + waita_plol0 <= 1'd0; + end + always @(posedge rui_tx_ref_clk or posedge rui_rst) begin + if(rui_rst==1'b1) + plol0_cnt <= 'd0; + else if((pll_lol_p2==1)||(plol0_cnt_tc==1)) + plol0_cnt <= 'd0; + else if(waita_plol0==1'b1) + plol0_cnt <= plol0_cnt+1; + end + assign plol0_cnt_tc = (plol0_cnt==lwait_after_plol0)?1'b1:1'b0; + + always @(posedge rui_tx_ref_clk or posedge rui_rst) begin + if(rui_rst==1'b1) begin + txp_cnt <= 'd0; // tx serdes reset pulse count + txp_rst <= 1'b0; // tx serdes reset + end + else if(plol0_cnt_tc==1) + txp_rst <= 1'b1; + else if(txp_cnt_tc==1) begin + txp_cnt <= 'd0; + txp_rst <= 1'b0; + end + else if(txp_rst==1) + txp_cnt <= txp_cnt+1; + end + assign txp_cnt_tc = (txp_cnt==lreset_pwidth)?1'b1:1'b0; + + genvar i; + for(i=0;i>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<< +// --------------------------------------------------------------------------- +// Copyright (c) 2015 by Lattice Semiconductor Corporation +// ALL RIGHTS RESERVED +// ------------------------------------------------------------------ +// +// Permission: +// +// Lattice SG Pte. Ltd. grants permission to use this code +// pursuant to the terms of the Lattice Reference Design License Agreement. +// +// +// Disclaimer: +// +// This VHDL or Verilog source code is intended as a design reference +// which illustrates how these types of functions can be implemented. +// It is the user's responsibility to verify their design for +// consistency and functionality through the use of formal +// verification methods. Lattice provides no warranty +// regarding the use or functionality of this code. +// +// --------------------------------------------------------------------------- +// +// Lattice SG Pte. Ltd. +// 101 Thomson Road, United Square #07-02 +// Singapore 307591 +// +// +// TEL: 1-800-Lattice (USA and Canada) +// +65-6631-2000 (Singapore) +// +1-503-268-8001 (other locations) +// +// web: http://www.latticesemi.com/ +// email: techsupport@latticesemi.com +// +// --------------------------------------------------------------------------- +// +// ============================================================================= +// FILE DETAILS +// Project : SLL - Soft Loss Of Lock(LOL) Logic +// File : sll_core.v +// Title : Top-level file for SLL +// Dependencies : 1. +// : 2. +// Description : +// ============================================================================= +// REVISION HISTORY +// Version : 1.0 +// Author(s) : AV +// Mod. Date : March 2, 2015 +// Changes Made : Initial Creation +// ============================================================================= +// REVISION HISTORY +// Version : 1.1 +// Author(s) : AV +// Mod. Date : June 8, 2015 +// Changes Made : Following updates were made +// : 1. Changed all the PLOL status logic and FSM to run +// : on sli_refclk. +// : 2. Added the HB logic for presence of tx_pclk +// : 3. Changed the lparam assignment scheme for +// : simulation purposes. +// ============================================================================= +// REVISION HISTORY +// Version : 1.2 +// Author(s) : AV +// Mod. Date : June 24, 2015 +// Changes Made : Updated the gearing logic for SDI dynamic rate change +// ============================================================================= +// REVISION HISTORY +// Version : 1.3 +// Author(s) : AV +// Mod. Date : July 14, 2015 +// Changes Made : Added the logic for dynamic rate change in CPRI +// ============================================================================= +// REVISION HISTORY +// Version : 1.4 +// Author(s) : AV +// Mod. Date : August 21, 2015 +// Changes Made : Added the logic for dynamic rate change of 5G CPRI & +// PCIe. +// ============================================================================= +// REVISION HISTORY +// Version : 1.5 +// Author(s) : ES/EB +// Mod. Date : March 21, 2017 +// Changes Made : 1. Added pdiff_sync signal to syncrhonize pcount_diff +// : to sli_refclk. +// : 2. Updated terminal count logic for PCIe 5G +// : 3. Modified checking of pcount_diff in SLL state +// : machine to cover actual count +// : (from 16-bits to 22-bits) +// ============================================================================= +// REVISION HISTORY +// Version : 1.6 +// Author(s) : ES +// Mod. Date : April 19, 2017 +// Changes Made : 1. Added registered lock and unlock signal from +// pdiff_sync to totally decouple pcount_diff from +// SLL state machine. +// : 2. Modified LPCLK_TC_4 to 1:1 clock ratio when CPRI +// is operating @ 4.9125Gbps data rate. +// ============================================================================= +`timescale 1ns/10ps + +module serdes_sync_0sll_core ( + //Reset and Clock inputs + sli_rst, //Active high asynchronous reset input + sli_refclk, //Refclk input to the Tx PLL + sli_pclk, //Tx pclk output from the PCS + + //Control inputs + sli_div2_rate, //Divide by 2 control; 0 - Full rate; 1 - Half rate + sli_div11_rate, //Divide by 11 control; 0 - Full rate; 1 - Div by 11 + sli_gear_mode, //Gear mode control for PCS; 0 - 8/10; 1- 16/20 + sli_cpri_mode, //Mode of operation specific to CPRI protocol + sli_pcie_mode, //Mode of operation specific to PCIe mode (2.5G or 5G) + + //LOL Output + slo_plol //Tx PLL Loss of Lock output to the user logic + ); + +// Inputs +input sli_rst; +input sli_refclk; +input sli_pclk; +input sli_div2_rate; +input sli_div11_rate; +input sli_gear_mode; +input [2:0] sli_cpri_mode; +input sli_pcie_mode; + +// Outputs +output slo_plol; + + +// Parameters +parameter PPROTOCOL = "PCIE"; //Protocol selected by the User +parameter PLOL_SETTING = 0; //PLL LOL setting. Possible values are 0,1,2,3 +parameter PDYN_RATE_CTRL = "DISABLED"; //PCS Dynamic Rate control +parameter PPCIE_MAX_RATE = "2.5"; //PCIe max data rate +parameter PDIFF_VAL_LOCK = 20; //Differential count value for Lock +parameter PDIFF_VAL_UNLOCK = 39; //Differential count value for Unlock +parameter PPCLK_TC = 65535; //Terminal count value for counter running on sli_pclk +parameter PDIFF_DIV11_VAL_LOCK = 3; //Differential count value for Lock for SDI Div11 +parameter PDIFF_DIV11_VAL_UNLOCK = 3; //Differential count value for Unlock for SDI Div11 +parameter PPCLK_DIV11_TC = 2383; //Terminal count value (SDI Div11) for counter running on sli_pclk + + +// Local Parameters +localparam [1:0] LPLL_LOSS_ST = 2'b00; //PLL Loss state +localparam [1:0] LPLL_PRELOSS_ST = 2'b01; //PLL Pre-Loss state +localparam [1:0] LPLL_PRELOCK_ST = 2'b10; //PLL Pre-Lock state +localparam [1:0] LPLL_LOCK_ST = 2'b11; //PLL Lock state +`ifdef RSL_SIM_MODE +localparam [15:0] LRCLK_TC = 16'd63; //Terminal count value for counter running on sli_refclk +`else +localparam [15:0] LRCLK_TC = 16'd65535; //Terminal count value for counter running on sli_refclk +`endif +localparam [15:0] LRCLK_TC_PUL_WIDTH = 16'd50; //Pulse width for the Refclk terminal count pulse +localparam [7:0] LHB_WAIT_CNT = 8'd255; //Wait count for the Heartbeat signal + +// Local Parameters related to the CPRI dynamic modes +// Terminal count values for the four CPRI modes +localparam LPCLK_TC_0 = 32768; +localparam LPCLK_TC_1 = 65536; +localparam LPCLK_TC_2 = 131072; +localparam LPCLK_TC_3 = 163840; +localparam LPCLK_TC_4 = 65536; + +// Lock values count values for the four CPRI modes and four PLOL settings (4x5) +// CPRI rate mode 0 CPRI rate mode 1 CPRI rate mode 2 CPRI rate mode 3 CPRI rate mode 4 +localparam LPDIFF_LOCK_00 = 9; localparam LPDIFF_LOCK_10 = 19; localparam LPDIFF_LOCK_20 = 39; localparam LPDIFF_LOCK_30 = 49; localparam LPDIFF_LOCK_40 = 19; +localparam LPDIFF_LOCK_01 = 9; localparam LPDIFF_LOCK_11 = 19; localparam LPDIFF_LOCK_21 = 39; localparam LPDIFF_LOCK_31 = 49; localparam LPDIFF_LOCK_41 = 19; +localparam LPDIFF_LOCK_02 = 49; localparam LPDIFF_LOCK_12 = 98; localparam LPDIFF_LOCK_22 = 196; localparam LPDIFF_LOCK_32 = 245; localparam LPDIFF_LOCK_42 = 98; +localparam LPDIFF_LOCK_03 = 131; localparam LPDIFF_LOCK_13 = 262; localparam LPDIFF_LOCK_23 = 524; localparam LPDIFF_LOCK_33 = 655; localparam LPDIFF_LOCK_43 = 262; + +// Unlock values count values for the four CPRI modes and four PLOL settings (4x5) +// CPRI rate mode 0 CPRI rate mode 1 CPRI rate mode 2 CPRI rate mode 3 CPRI rate mode 4 +localparam LPDIFF_UNLOCK_00 = 19; localparam LPDIFF_UNLOCK_10 = 39; localparam LPDIFF_UNLOCK_20 = 78; localparam LPDIFF_UNLOCK_30 = 98; localparam LPDIFF_UNLOCK_40 = 39; +localparam LPDIFF_UNLOCK_01 = 65; localparam LPDIFF_UNLOCK_11 = 131; localparam LPDIFF_UNLOCK_21 = 262; localparam LPDIFF_UNLOCK_31 = 327; localparam LPDIFF_UNLOCK_41 = 131; +localparam LPDIFF_UNLOCK_02 = 72; localparam LPDIFF_UNLOCK_12 = 144; localparam LPDIFF_UNLOCK_22 = 288; localparam LPDIFF_UNLOCK_32 = 360; localparam LPDIFF_UNLOCK_42 = 144; +localparam LPDIFF_UNLOCK_03 = 196; localparam LPDIFF_UNLOCK_13 = 393; localparam LPDIFF_UNLOCK_23 = 786; localparam LPDIFF_UNLOCK_33 = 983; localparam LPDIFF_UNLOCK_43 = 393; + +// Input and Output reg and wire declarations +wire sli_rst; +wire sli_refclk; +wire sli_pclk; +wire sli_div2_rate; +wire sli_div11_rate; +wire sli_gear_mode; +wire [2:0] sli_cpri_mode; +wire sli_pcie_mode; +wire slo_plol; + +//-------------- Internal signals reg and wire declarations -------------------- + +//Signals running on sli_refclk +reg [15:0] rcount; //16-bit Counter +reg rtc_pul; //Terminal count pulse +reg rtc_pul_p1; //Terminal count pulse pipeline +reg rtc_ctrl; //Terminal count pulse control + +reg [7:0] rhb_wait_cnt; //Heartbeat wait counter + +//Heatbeat synchronization and pipeline registers +wire rhb_sync; +reg rhb_sync_p2; +reg rhb_sync_p1; + +//Pipeling registers for dynamic control mode +wire rgear; +wire rdiv2; +wire rdiv11; +reg rgear_p1; +reg rdiv2_p1; +reg rdiv11_p1; + +reg rstat_pclk; //Pclk presence/absence status + +reg [21:0] rcount_tc; //Tx_pclk terminal count register +reg [15:0] rdiff_comp_lock; //Differential comparison value for Lock +reg [15:0] rdiff_comp_unlock; //Differential compariosn value for Unlock + +wire rpcie_mode; //PCIe mode signal synchronized to refclk +reg rpcie_mode_p1; //PCIe mode pipeline register + +wire rcpri_mod_ch_sync; //CPRI mode change synchronized to refclk +reg rcpri_mod_ch_p1; //CPRI mode change pipeline register +reg rcpri_mod_ch_p2; //CPRI mode change pipeline register +reg rcpri_mod_ch_st; //CPRI mode change status + +reg [1:0] sll_state; //Current-state register for LOL FSM + +reg pll_lock; //PLL Lock signal + +//Signals running on sli_pclk +//Synchronization and pipeline registers +wire ppul_sync; +reg ppul_sync_p1; +reg ppul_sync_p2; +reg ppul_sync_p3; + +wire pdiff_sync; +reg pdiff_sync_p1; + +reg [21:0] pcount; //22-bit counter +reg [21:0] pcount_diff; //Differential value between Tx_pclk counter and theoritical value + +//Heartbeat counter and heartbeat signal running on pclk +reg [2:0] phb_cnt; +reg phb; + +//CPRI dynamic mode releated signals +reg [2:0] pcpri_mode; +reg pcpri_mod_ch; + +//Assignment scheme changed mainly for simulation purpose +wire [15:0] LRCLK_TC_w; +assign LRCLK_TC_w = LRCLK_TC; + +reg unlock; +reg lock; + +//Heartbeat synchronization +sync # (.PDATA_RST_VAL(0)) phb_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (phb), + .data_out(rhb_sync) + ); + + +//Terminal count pulse synchronization +sync # (.PDATA_RST_VAL(0)) rtc_sync_inst ( + .clk (sli_pclk), + .rst (sli_rst), + .data_in (rtc_pul), + .data_out(ppul_sync) + ); + +//Differential value logic update synchronization +sync # (.PDATA_RST_VAL(0)) pdiff_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (ppul_sync), + .data_out(pdiff_sync) + ); + +//Gear mode synchronization +sync # (.PDATA_RST_VAL(0)) gear_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_gear_mode), + .data_out(rgear) + ); + +//Div2 synchronization +sync # (.PDATA_RST_VAL(0)) div2_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_div2_rate), + .data_out(rdiv2) + ); + +//Div11 synchronization +sync # (.PDATA_RST_VAL(0)) div11_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_div11_rate), + .data_out(rdiv11) + ); + +//CPRI mode change synchronization +sync # (.PDATA_RST_VAL(0)) cpri_mod_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (pcpri_mod_ch), + .data_out(rcpri_mod_ch_sync) + ); + +//PCIe mode change synchronization +sync # (.PDATA_RST_VAL(0)) pcie_mod_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_pcie_mode), + .data_out(rpcie_mode) + ); + +// ============================================================================= +// Synchronized Lock/Unlock signals +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + unlock <= 1'b0; + lock <= 1'b0; + pdiff_sync_p1 <= 1'b0; + end + else begin + pdiff_sync_p1 <= pdiff_sync; + if (unlock) begin + unlock <= ~pdiff_sync && pdiff_sync_p1 ? 1'b0 : unlock; + end + else begin + unlock <= pdiff_sync ? (pcount_diff[21:0] > {6'd0, rdiff_comp_unlock}) : 1'b0; + end + if (lock) begin + lock <= ~pdiff_sync && pdiff_sync_p1 ? 1'b0 : lock; + end + else begin + lock <= pdiff_sync ? (pcount_diff[21:0] <= {6'd0, rdiff_comp_lock}) : 1'b0; + end + end +end + +// ============================================================================= +// Refclk Counter, pulse generation logic and Heartbeat monitor logic +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount <= 16'd0; + rtc_pul <= 1'b0; + rtc_ctrl <= 1'b0; + rtc_pul_p1 <= 1'b0; + end + else begin + //Counter logic + if ((rgear_p1^rgear == 1'b1) || (rdiv2_p1^rdiv2 == 1'b1) || (rdiv11_p1^rdiv11 == 1'b1) || (rcpri_mod_ch_p1^rcpri_mod_ch_p2 == 1'b1) || (rpcie_mode_p1^rpcie_mode == 1'b1)) begin + if (rtc_ctrl == 1'b1) begin + rcount <= LRCLK_TC_PUL_WIDTH; + end + end + else begin + if (rcount != LRCLK_TC_w) begin + rcount <= rcount + 1; + end + else begin + rcount <= 16'd0; + end + end + + //Pulse control logic + if (rcount == LRCLK_TC_w - 1) begin + rtc_ctrl <= 1'b1; + end + + //Pulse Generation logic + if (rtc_ctrl == 1'b1) begin + if ((rcount == LRCLK_TC_w) || (rcount < LRCLK_TC_PUL_WIDTH)) begin + rtc_pul <= 1'b1; + end + else begin + rtc_pul <= 1'b0; + end + end + + rtc_pul_p1 <= rtc_pul; + end +end + + +// ============================================================================= +// Heartbeat synchronization & monitor logic and Dynamic mode pipeline logic +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rhb_sync_p1 <= 1'b0; + rhb_sync_p2 <= 1'b0; + rhb_wait_cnt <= 8'd0; + rstat_pclk <= 1'b0; + rgear_p1 <= 1'b0; + rdiv2_p1 <= 1'b0; + rdiv11_p1 <= 1'b0; + rcpri_mod_ch_p1 <= 1'b0; + rcpri_mod_ch_p2 <= 1'b0; + rcpri_mod_ch_st <= 1'b0; + rpcie_mode_p1 <= 1'b0; + + end + else begin + //Pipeline stages for the Heartbeat + rhb_sync_p1 <= rhb_sync; + rhb_sync_p2 <= rhb_sync_p1; + + //Pipeline stages of the Dynamic rate control signals + rgear_p1 <= rgear; + rdiv2_p1 <= rdiv2; + rdiv11_p1 <= rdiv11; + + //Pipeline stage for PCIe mode + rpcie_mode_p1 <= rpcie_mode; + + //Pipeline stage for CPRI mode change + rcpri_mod_ch_p1 <= rcpri_mod_ch_sync; + rcpri_mod_ch_p2 <= rcpri_mod_ch_p1; + + //CPRI mode change status logic + if (rcpri_mod_ch_p1^rcpri_mod_ch_sync == 1'b1) begin + rcpri_mod_ch_st <= 1'b1; + end + + //Heartbeat wait counter and monitor logic + if (rtc_ctrl == 1'b1) begin + if (rhb_sync_p1 == 1'b1 && rhb_sync_p2 == 1'b0) begin + rhb_wait_cnt <= 8'd0; + rstat_pclk <= 1'b1; + end + else if (rhb_wait_cnt == LHB_WAIT_CNT) begin + rhb_wait_cnt <= 8'd0; + rstat_pclk <= 1'b0; + end + else begin + rhb_wait_cnt <= rhb_wait_cnt + 1; + end + end + end +end + + +// ============================================================================= +// Pipleline registers for the TC pulse and CPRI mode change logic +// ============================================================================= +always @(posedge sli_pclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + ppul_sync_p1 <= 1'b0; + ppul_sync_p2 <= 1'b0; + ppul_sync_p3 <= 1'b0; + pcpri_mode <= 3'b0; + pcpri_mod_ch <= 1'b0; + end + else begin + ppul_sync_p1 <= ppul_sync; + ppul_sync_p2 <= ppul_sync_p1; + ppul_sync_p3 <= ppul_sync_p2; + + //CPRI mode change logic + pcpri_mode <= sli_cpri_mode; + + if (pcpri_mode != sli_cpri_mode) begin + pcpri_mod_ch <= ~pcpri_mod_ch; + end + end +end + + +// ============================================================================= +// Terminal count logic +// ============================================================================= + +//For SDI protocol with Dynamic rate control enabled +generate +if ((PDYN_RATE_CTRL == "ENABLED") && (PPROTOCOL == "SDI")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic + //Div by 11 is enabled + if (rdiv11 == 1'b1) begin + //Gear mode is 16/20 + if (rgear == 1'b1) begin + rcount_tc <= PPCLK_DIV11_TC; + rdiff_comp_lock <= PDIFF_DIV11_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_DIV11_VAL_UNLOCK; + end + else begin + rcount_tc <= {PPCLK_DIV11_TC[20:0], 1'b0}; + rdiff_comp_lock <= {PDIFF_DIV11_VAL_LOCK[14:0], 1'b0}; + rdiff_comp_unlock <= {PDIFF_DIV11_VAL_UNLOCK[14:0], 1'b0}; + end + end + //Div by 2 is enabled + else if (rdiv2 == 1'b1) begin + //Gear mode is 16/20 + if (rgear == 1'b1) begin + rcount_tc <= {1'b0,PPCLK_TC[21:1]}; + rdiff_comp_lock <= {1'b0,PDIFF_VAL_LOCK[15:1]}; + rdiff_comp_unlock <= {1'b0,PDIFF_VAL_UNLOCK[15:1]}; + end + else begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + end + //Both div by 11 and div by 2 are disabled + else begin + //Gear mode is 16/20 + if (rgear == 1'b1) begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + else begin + rcount_tc <= {PPCLK_TC[20:0],1'b0}; + rdiff_comp_lock <= {PDIFF_VAL_LOCK[14:0],1'b0}; + rdiff_comp_unlock <= {PDIFF_VAL_UNLOCK[14:0],1'b0}; + end + end + end +end +end +endgenerate + +//For G8B10B protocol with Dynamic rate control enabled +generate +if ((PDYN_RATE_CTRL == "ENABLED") && (PPROTOCOL == "G8B10B")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic + //Div by 2 is enabled + if (rdiv2 == 1'b1) begin + rcount_tc <= {1'b0,PPCLK_TC[21:1]}; + rdiff_comp_lock <= {1'b0,PDIFF_VAL_LOCK[15:1]}; + rdiff_comp_unlock <= {1'b0,PDIFF_VAL_UNLOCK[15:1]}; + end + else begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + end +end +end +endgenerate + + +//For CPRI protocol with Dynamic rate control is disabled +generate +if ((PDYN_RATE_CTRL == "DISABLED") && (PPROTOCOL == "CPRI")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic for CPRI protocol + //Only if there is a change in the rate mode from the default + if (rcpri_mod_ch_st == 1'b1) begin + if (rcpri_mod_ch_p1^rcpri_mod_ch_p2 == 1'b1) begin + case(sli_cpri_mode) + 3'd0 : begin //For 0.6Gbps + rcount_tc <= LPCLK_TC_0; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_00; + rdiff_comp_unlock <= LPDIFF_UNLOCK_00; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_01; + rdiff_comp_unlock <= LPDIFF_UNLOCK_01; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_02; + rdiff_comp_unlock <= LPDIFF_UNLOCK_02; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_03; + rdiff_comp_unlock <= LPDIFF_UNLOCK_03; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_00; + rdiff_comp_unlock <= LPDIFF_UNLOCK_00; + end + endcase + end + + 3'd1 : begin //For 1.2Gbps + rcount_tc <= LPCLK_TC_1; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_10; + rdiff_comp_unlock <= LPDIFF_UNLOCK_10; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_11; + rdiff_comp_unlock <= LPDIFF_UNLOCK_11; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_12; + rdiff_comp_unlock <= LPDIFF_UNLOCK_12; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_13; + rdiff_comp_unlock <= LPDIFF_UNLOCK_13; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_10; + rdiff_comp_unlock <= LPDIFF_UNLOCK_10; + end + endcase + end + + 3'd2 : begin //For 2.4Gbps + rcount_tc <= LPCLK_TC_2; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_20; + rdiff_comp_unlock <= LPDIFF_UNLOCK_20; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_21; + rdiff_comp_unlock <= LPDIFF_UNLOCK_21; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_22; + rdiff_comp_unlock <= LPDIFF_UNLOCK_22; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_23; + rdiff_comp_unlock <= LPDIFF_UNLOCK_23; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_20; + rdiff_comp_unlock <= LPDIFF_UNLOCK_20; + end + endcase + end + + 3'd3 : begin //For 3.07Gbps + rcount_tc <= LPCLK_TC_3; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_30; + rdiff_comp_unlock <= LPDIFF_UNLOCK_30; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_31; + rdiff_comp_unlock <= LPDIFF_UNLOCK_31; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_32; + rdiff_comp_unlock <= LPDIFF_UNLOCK_32; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_33; + rdiff_comp_unlock <= LPDIFF_UNLOCK_33; + end + endcase + end + + 3'd4 : begin //For 4.9125bps + rcount_tc <= LPCLK_TC_4; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_40; + rdiff_comp_unlock <= LPDIFF_UNLOCK_40; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_41; + rdiff_comp_unlock <= LPDIFF_UNLOCK_41; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_42; + rdiff_comp_unlock <= LPDIFF_UNLOCK_42; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_43; + rdiff_comp_unlock <= LPDIFF_UNLOCK_43; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_40; + rdiff_comp_unlock <= LPDIFF_UNLOCK_40; + end + endcase + end + + default : begin + rcount_tc <= LPCLK_TC_0; + rdiff_comp_lock <= LPDIFF_LOCK_00; + rdiff_comp_unlock <= LPDIFF_UNLOCK_00; + end + endcase + end + end + else begin + //If there is no change in the CPRI rate mode from default + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + end +end +end +endgenerate + +//For PCIe protocol with Dynamic rate control disabled +generate +if ((PDYN_RATE_CTRL == "DISABLED") && (PPROTOCOL == "PCIE")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic + if (PPCIE_MAX_RATE == "2.5") begin + //2.5G mode is enabled + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + else begin + //5G mode is enabled + if (rpcie_mode == 1'b1) begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + else begin + //2.5G mode is enabled + rcount_tc <= {1'b0,PPCLK_TC[21:1]}; + rdiff_comp_lock <= {1'b0,PDIFF_VAL_LOCK[15:1]}; + rdiff_comp_unlock <= {1'b0,PDIFF_VAL_UNLOCK[15:1]}; + end + end + end +end +end +endgenerate + +//For all protocols other than CPRI & PCIe +generate +if ((PDYN_RATE_CTRL == "DISABLED") && ((PPROTOCOL != "CPRI") && (PPROTOCOL != "PCIE"))) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic for all protocols other than CPRI & PCIe + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end +end +end +endgenerate + + +// ============================================================================= +// Tx_pclk counter, Heartbeat and Differential value logic +// ============================================================================= +always @(posedge sli_pclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + pcount <= 22'd0; + pcount_diff <= 22'd65535; + phb_cnt <= 3'd0; + phb <= 1'b0; + end + else begin + //Counter logic + if (ppul_sync_p1 == 1'b1 && ppul_sync_p2 == 1'b0) begin + pcount <= 22'd0; + end + else begin + pcount <= pcount + 1; + end + + //Heartbeat logic + phb_cnt <= phb_cnt + 1; + + if ((phb_cnt < 3'd4) && (phb_cnt >= 3'd0)) begin + phb <= 1'b1; + end + else begin + phb <= 1'b0; + end + + //Differential value logic + if (ppul_sync_p1 == 1'b1 && ppul_sync_p2 == 1'b0) begin + pcount_diff <= rcount_tc + ~(pcount) + 1; + end + else if (ppul_sync_p2 == 1'b1 && ppul_sync_p3 == 1'b0) begin + if (pcount_diff[21] == 1'b1) begin + pcount_diff <= ~(pcount_diff) + 1; + end + end + end +end + + +// ============================================================================= +// State transition logic for SLL FSM +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + sll_state <= LPLL_LOSS_ST; + end + else begin + //Reasons to declare an immediate loss - Absence of Tx_pclk, Dynamic rate change for SDI or CPRI + if ((rstat_pclk == 1'b0) || (rgear_p1^rgear == 1'b1) || (rdiv2_p1^rdiv2 == 1'b1) || + (rdiv11_p1^rdiv11 == 1'b1) || (rcpri_mod_ch_p1^rcpri_mod_ch_p2 == 1'b1) || (rpcie_mode_p1^rpcie_mode == 1'b1)) begin + sll_state <= LPLL_LOSS_ST; + end + else begin + case(sll_state) + LPLL_LOSS_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (unlock) begin + sll_state <= LPLL_LOSS_ST; + end + else if (lock) begin + if (PLOL_SETTING == 2'd0) begin + sll_state <= LPLL_PRELOCK_ST; + end + else begin + sll_state <= LPLL_LOCK_ST; + end + end + end + end + + LPLL_LOCK_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (lock) begin + sll_state <= LPLL_LOCK_ST; + end + else begin + if (PLOL_SETTING == 2'd0) begin + sll_state <= LPLL_LOSS_ST; + end + else begin + sll_state <= LPLL_PRELOSS_ST; + end + end + end + end + + LPLL_PRELOCK_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (lock) begin + sll_state <= LPLL_LOCK_ST; + end + else begin + sll_state <= LPLL_PRELOSS_ST; + end + end + end + + LPLL_PRELOSS_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (unlock) begin + sll_state <= LPLL_PRELOSS_ST; + end + else if (lock) begin + sll_state <= LPLL_LOCK_ST; + end + end + end + + default: begin + sll_state <= LPLL_LOSS_ST; + end + endcase + end + end +end + + +// ============================================================================= +// Logic for Tx PLL Lock +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + pll_lock <= 1'b0; + end + else begin + case(sll_state) + LPLL_LOSS_ST : begin + pll_lock <= 1'b0; + end + + LPLL_LOCK_ST : begin + pll_lock <= 1'b1; + end + + LPLL_PRELOSS_ST : begin + pll_lock <= 1'b0; + end + + default: begin + pll_lock <= 1'b0; + end + endcase + end +end + +assign slo_plol = ~(pll_lock); + +endmodule + + +// =========================================================================== +// >>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<< +// --------------------------------------------------------------------------- +// Copyright (c) 2015 by Lattice Semiconductor Corporation +// ALL RIGHTS RESERVED +// ------------------------------------------------------------------ +// +// Permission: +// +// Lattice SG Pte. Ltd. grants permission to use this code +// pursuant to the terms of the Lattice Reference Design License Agreement. +// +// +// Disclaimer: +// +// This VHDL or Verilog source code is intended as a design reference +// which illustrates how these types of functions can be implemented. +// It is the user's responsibility to verify their design for +// consistency and functionality through the use of formal +// verification methods. Lattice provides no warranty +// regarding the use or functionality of this code. +// +// --------------------------------------------------------------------------- +// +// Lattice SG Pte. Ltd. +// 101 Thomson Road, United Square #07-02 +// Singapore 307591 +// +// +// TEL: 1-800-Lattice (USA and Canada) +// +65-6631-2000 (Singapore) +// +1-503-268-8001 (other locations) +// +// web: http://www.latticesemi.com/ +// email: techsupport@latticesemi.com +// +// --------------------------------------------------------------------------- +// +// ============================================================================= +// FILE DETAILS +// Project : Synchronizer Logic +// File : sync.v +// Title : Synchronizer module +// Description : +// ============================================================================= +// REVISION HISTORY +// Version : 1.0 +// Author(s) : AV +// Mod. Date : July 7, 2015 +// Changes Made : Initial Creation +// ----------------------------------------------------------------------------- +// Version : 1.1 +// Author(s) : EB +// Mod. Date : March 21, 2017 +// Changes Made : +// ============================================================================= + +`ifndef PCS_SYNC_MODULE +`define PCS_SYNC_MODULE +module sync ( + clk, + rst, + data_in, + data_out + ); + +input clk; //Clock in which the async data needs to be synchronized to +input rst; //Active high reset +input data_in; //Asynchronous data +output data_out; //Synchronized data + +parameter PDATA_RST_VAL = 0; //Reset value for the registers + +reg data_p1; +reg data_p2; + +// ============================================================================= +// Synchronization logic +// ============================================================================= +always @(posedge clk or posedge rst) begin + if (rst == 1'b1) begin + data_p1 <= PDATA_RST_VAL; + data_p2 <= PDATA_RST_VAL; + end + else begin + data_p1 <= data_in; + data_p2 <= data_p1; + end +end + +assign data_out = data_p2; + +endmodule +`endif + diff --git a/media_interfaces/ecp5/240MHz/serdes_sync_2_softlogic.v b/media_interfaces/ecp5/240MHz/serdes_sync_2_softlogic.v new file mode 100644 index 0000000..11a15d9 --- /dev/null +++ b/media_interfaces/ecp5/240MHz/serdes_sync_2_softlogic.v @@ -0,0 +1,2003 @@ + + +// =========================================================================== +// >>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<< +// --------------------------------------------------------------------------- +// Copyright (c) 2016 by Lattice Semiconductor Corporation +// ALL RIGHTS RESERVED +// ------------------------------------------------------------------ +// +// Permission: +// +// Lattice SG Pte. Ltd. grants permission to use this code +// pursuant to the terms of the Lattice Reference Design License Agreement. +// +// +// Disclaimer: +// +// This VHDL or Verilog source code is intended as a design reference +// which illustrates how these types of functions can be implemented. +// It is the user's responsibility to verify their design for +// consistency and functionality through the use of formal +// verification methods. Lattice provides no warranty +// regarding the use or functionality of this code. +// +// --------------------------------------------------------------------------- +// +// Lattice SG Pte. Ltd. +// 101 Thomson Road, United Square #07-02 +// Singapore 307591 +// +// +// TEL: 1-800-Lattice (USA and Canada) +// +65-6631-2000 (Singapore) +// +1-503-268-8001 (other locations) +// +// web: http://www.latticesemi.com/ +// email: techsupport@latticesemi.com +// +// --------------------------------------------------------------------------- +// +// ============================================================================= +// FILE DETAILS +// Project : RSL- Reset Sequence Logic +// File : rsl_core.v +// Title : Top-level file for RSL +// Dependencies : 1. +// : 2. +// Description : +// ============================================================================= +// REVISION HISTORY +// Version : 1.0 +// Author(s) : BM +// Mod. Date : October 28, 2013 +// Changes Made : Initial Creation +// ----------------------------------------------------------------------------- +// Version : 1.1 +// Author(s) : BM +// Mod. Date : November 06, 2013 +// Changes Made : Tx/Rx separation, ready port code exclusion +// ----------------------------------------------------------------------------- +// Version : 1.2 +// Author(s) : BM +// Mod. Date : June 13, 2014 +// Changes Made : Updated Rx PCS reset method +// ----------------------------------------------------------------------------- +// ----------------------------------------------------------------------------- +// Version : 1.3 +// Author(s) : UA +// Mod. Date : Dec 19, 2014 +// Changes Made : Added new parameter fro PCIE +// ----------------------------------------------------------------------------- +// Version : 1.31 +// Author(s) : BM/UM +// Mod. Date : Feb 23, 2016 +// Changes Made : Behavior of rx_rdy output modified. The output rx_rdy +// and the rx_rdy wait counter are reset to zero on +// LOL or LOS. Reverted back the counter value change for PCIE. +// ----------------------------------------------------------------------------- +// Version : 1.4 +// Author(s) : EB +// Mod. Date: : March 21, 2017 +// Changes Made : +// ----------------------------------------------------------------------------- +// Version : 1.5 +// Author(s) : ES +// Mod. Date: : May 8, 2017 +// Changes Made : Implemented common RSL behaviour as proposed by BM. +// ============================================================================= + +`timescale 1ns/10ps + +module serdes_sync_2rsl_core ( + // ------------ Inputs + // Common + rui_rst, // Active high reset for the RSL module + rui_serdes_rst_dual_c, // SERDES macro reset user command + rui_rst_dual_c, // PCS dual reset user command + rui_rsl_disable, // Active high signal that disables all reset outputs of RSL + // Tx + rui_tx_ref_clk, // Tx reference clock + rui_tx_serdes_rst_c, // Tx SERDES reset user command + rui_tx_pcs_rst_c, // Tx lane reset user command + rdi_pll_lol, // Tx PLL Loss of Lock status input from the SERDES + // Rx + rui_rx_ref_clk, // Rx reference clock + rui_rx_serdes_rst_c, // SERDES Receive channel reset user command + rui_rx_pcs_rst_c, // Rx lane reset user command + rdi_rx_los_low_s, // Receive loss of signal status input from SERDES + rdi_rx_cdr_lol_s, // Receive CDR loss of lock status input from SERDES + + // ------------ Outputs + // Common + rdo_serdes_rst_dual_c, // SERDES macro reset command output + rdo_rst_dual_c, // PCS dual reset command output + // Tx + ruo_tx_rdy, // Tx lane ready status output + rdo_tx_serdes_rst_c, // SERDES Tx reset command output + rdo_tx_pcs_rst_c, // PCS Tx lane reset command output + // Rx + ruo_rx_rdy, // Rx lane ready status output + rdo_rx_serdes_rst_c, // SERDES Rx channel reset command output + rdo_rx_pcs_rst_c // PCS Rx lane reset command output + ); + +// ------------ Module parameters +`ifdef NUM_CHANNELS + parameter pnum_channels = `NUM_CHANNELS; // 1,2,4 +`else + parameter pnum_channels = 1; +`endif + +`ifdef PCIE + parameter pprotocol = "PCIE"; +`else + parameter pprotocol = ""; +`endif + +`ifdef RX_ONLY + parameter pserdes_mode = "RX ONLY"; +`else + `ifdef TX_ONLY + parameter pserdes_mode = "TX ONLY"; + `else + parameter pserdes_mode = "RX AND TX"; + `endif +`endif + +`ifdef PORT_TX_RDY + parameter pport_tx_rdy = "ENABLED"; +`else + parameter pport_tx_rdy = "DISABLED"; +`endif + +`ifdef WAIT_TX_RDY + parameter pwait_tx_rdy = `WAIT_TX_RDY; +`else + parameter pwait_tx_rdy = 3000; +`endif + +`ifdef PORT_RX_RDY + parameter pport_rx_rdy = "ENABLED"; +`else + parameter pport_rx_rdy = "DISABLED"; +`endif + +`ifdef WAIT_RX_RDY + parameter pwait_rx_rdy = `WAIT_RX_RDY; +`else + parameter pwait_rx_rdy = 3000; +`endif + +// ------------ Local parameters + localparam wa_num_cycles = 1024; + localparam dac_num_cycles = 3; + localparam lreset_pwidth = 3; // reset pulse width-1, default=4-1=3 + localparam lwait_b4_trst = 781250; // 5ms wait with worst-case Fmax=156 MHz + localparam lwait_b4_trst_s = 781; // for simulation + localparam lplol_cnt_width = 20; // width for lwait_b4_trst + localparam lwait_after_plol0 = 4; + localparam lwait_b4_rrst = 180224; // total calibration time + localparam lrrst_wait_width = 20; + localparam lwait_after_rrst = 800000; // For CPRI- unused + localparam lwait_b4_rrst_s = 460; // wait cycles provided by design team + localparam lrlol_cnt_width = 19; // width for lwait_b4_rrst + localparam lwait_after_lols = (16384 * dac_num_cycles) + wa_num_cycles; // 16384 cycles * dac_num_cycles + 1024 cycles + localparam lwait_after_lols_s = 150; // wait cycles provided by design team + localparam llols_cnt_width = 18; // lols count width + localparam lrdb_max = 15; // maximum debounce count + localparam ltxr_wait_width = 12; // width of tx ready wait counter + localparam lrxr_wait_width = 12; // width of tx ready wait counter + +// ------------ input ports + input rui_rst; + input rui_serdes_rst_dual_c; + input rui_rst_dual_c; + input rui_rsl_disable; + + input rui_tx_ref_clk; + input rui_tx_serdes_rst_c; + input [3:0] rui_tx_pcs_rst_c; + input rdi_pll_lol; + + input rui_rx_ref_clk; + input [3:0] rui_rx_serdes_rst_c; + input [3:0] rui_rx_pcs_rst_c; + input [3:0] rdi_rx_los_low_s; + input [3:0] rdi_rx_cdr_lol_s; + +// ------------ output ports + output rdo_serdes_rst_dual_c; + output rdo_rst_dual_c; + + output ruo_tx_rdy; + output rdo_tx_serdes_rst_c; + output [3:0] rdo_tx_pcs_rst_c; + + output ruo_rx_rdy; + output [3:0] rdo_rx_serdes_rst_c; + output [3:0] rdo_rx_pcs_rst_c; + +// ------------ Internal registers and wires + // inputs + wire rui_rst; + wire rui_serdes_rst_dual_c; + wire rui_rst_dual_c; + wire rui_rsl_disable; + wire rui_tx_ref_clk; + wire rui_tx_serdes_rst_c; + wire [3:0] rui_tx_pcs_rst_c; + wire rdi_pll_lol; + wire rui_rx_ref_clk; + wire [3:0] rui_rx_serdes_rst_c; + wire [3:0] rui_rx_pcs_rst_c; + wire [3:0] rdi_rx_los_low_s; + wire [3:0] rdi_rx_cdr_lol_s; + + // outputs + wire rdo_serdes_rst_dual_c; + wire rdo_rst_dual_c; + wire ruo_tx_rdy; + wire rdo_tx_serdes_rst_c; + wire [3:0] rdo_tx_pcs_rst_c; + wire ruo_rx_rdy; + wire [3:0] rdo_rx_serdes_rst_c; + wire [3:0] rdo_rx_pcs_rst_c; + + // internal signals + // common + wire rsl_enable; + wire [lplol_cnt_width-1:0] wait_b4_trst; + wire [lrlol_cnt_width-1:0] wait_b4_rrst; + wire [llols_cnt_width-1:0] wait_after_lols; + reg pll_lol_p1; + reg pll_lol_p2; + reg pll_lol_p3; + // ------------ Tx + // rdo_tx_serdes_rst_c + reg [lplol_cnt_width-1:0] plol_cnt; + wire plol_cnt_tc; + + reg [2:0] txs_cnt; + reg txs_rst; + wire txs_cnt_tc; + // rdo_tx_pcs_rst_c + wire plol_fedge; + wire plol_redge; + reg waita_plol0; + reg [2:0] plol0_cnt; + wire plol0_cnt_tc; + reg [2:0] txp_cnt; + reg txp_rst; + wire txp_cnt_tc; + // ruo_tx_rdy + wire dual_or_serd_rst; + wire tx_any_pcs_rst; + wire tx_any_rst; + reg txsr_appd /* synthesis syn_keep=1 */; + reg txdpr_appd; + reg [pnum_channels-1:0] txpr_appd; + reg txr_wt_en; + reg [ltxr_wait_width-1:0] txr_wt_cnt; + wire txr_wt_tc; + reg ruo_tx_rdyr; + + // ------------ Rx + wire comb_rlos; + wire comb_rlol; + //wire rlols; + wire rx_all_well; + + //reg rlols_p1; + //reg rlols_p2; + //reg rlols_p3; + + reg rlol_p1; + reg rlol_p2; + reg rlol_p3; + reg rlos_p1; + reg rlos_p2; + reg rlos_p3; + + //reg [3:0] rdb_cnt; + //wire rdb_cnt_max; + //wire rdb_cnt_zero; + //reg rlols_db; + //reg rlols_db_p1; + + reg [3:0] rlol_db_cnt; + wire rlol_db_cnt_max; + wire rlol_db_cnt_zero; + reg rlol_db; + reg rlol_db_p1; + + reg [3:0] rlos_db_cnt; + wire rlos_db_cnt_max; + wire rlos_db_cnt_zero; + reg rlos_db; + reg rlos_db_p1; + + // rdo_rx_serdes_rst_c + reg [lrlol_cnt_width-1:0] rlol1_cnt; + wire rlol1_cnt_tc; + reg [2:0] rxs_cnt; + reg rxs_rst; + wire rxs_cnt_tc; + reg [lrrst_wait_width-1:0] rrst_cnt; + wire rrst_cnt_tc; + reg rrst_wait; + // rdo_rx_pcs_rst_c + //wire rlols_fedge; + //wire rlols_redge; + wire rlol_fedge; + wire rlol_redge; + wire rlos_fedge; + wire rlos_redge; + + reg wait_calib; + reg waita_rlols0; + reg [llols_cnt_width-1:0] rlols0_cnt; + wire rlols0_cnt_tc; + reg [2:0] rxp_cnt; + reg rxp_rst; + wire rxp_cnt_tc; + + wire rx_any_serd_rst; + reg [llols_cnt_width-1:0] rlolsz_cnt; + wire rlolsz_cnt_tc; + reg [2:0] rxp_cnt2; + reg rxp_rst2; + wire rxp_cnt2_tc; + reg [15:0] data_loop_b_cnt; + reg data_loop_b; + wire data_loop_b_tc; + + // ruo_rx_rdy + reg [pnum_channels-1:0] rxsr_appd; + reg [pnum_channels-1:0] rxpr_appd; + reg rxsdr_appd /* synthesis syn_keep=1 */; + reg rxdpr_appd; + wire rxsdr_or_sr_appd; + wire dual_or_rserd_rst; + wire rx_any_pcs_rst; + wire rx_any_rst; + reg rxr_wt_en; + reg [lrxr_wait_width-1:0] rxr_wt_cnt; + wire rxr_wt_tc; + reg ruo_rx_rdyr; + +// ================================================================== +// Start of code +// ================================================================== + assign rsl_enable = ~rui_rsl_disable; + +// ------------ rdo_serdes_rst_dual_c + assign rdo_serdes_rst_dual_c = (rui_rst&rsl_enable) | rui_serdes_rst_dual_c; + +// ------------ rdo_rst_dual_c + assign rdo_rst_dual_c = rui_rst_dual_c; + +// ------------ Setting counter values for RSL_SIM_MODE + `ifdef RSL_SIM_MODE + assign wait_b4_trst = lwait_b4_trst_s; + assign wait_b4_rrst = lwait_b4_rrst_s; + assign wait_after_lols = lwait_after_lols_s; + `else + assign wait_b4_trst = lwait_b4_trst; + assign wait_b4_rrst = lwait_b4_rrst; + assign wait_after_lols = lwait_after_lols; + `endif + +// ================================================================== +// Tx +// ================================================================== + generate + if((pserdes_mode=="RX AND TX")||(pserdes_mode=="TX ONLY")) begin + +// ------------ Synchronizing pll_lol to the tx clock + always @(posedge rui_tx_ref_clk or posedge rui_rst) begin + if(rui_rst==1'b1) begin + pll_lol_p1 <= 1'd0; + pll_lol_p2 <= 1'd0; + pll_lol_p3 <= 1'd0; + end + else begin + pll_lol_p1 <= rdi_pll_lol; + pll_lol_p2 <= pll_lol_p1; + pll_lol_p3 <= pll_lol_p2; + end + end + +// ------------ rdo_tx_serdes_rst_c + always @(posedge rui_tx_ref_clk or posedge rui_rst) begin + if(rui_rst==1'b1) + plol_cnt <= 'd0; + else if((pll_lol_p2==0)||(plol_cnt_tc==1)||(rdo_tx_serdes_rst_c==1)) + plol_cnt <= 'd0; + else + plol_cnt <= plol_cnt+1; + end + assign plol_cnt_tc = (plol_cnt==wait_b4_trst)?1'b1:1'b0; + + always @(posedge rui_tx_ref_clk or posedge rui_rst) begin + if(rui_rst==1'b1) begin + txs_cnt <= 'd0; // tx serdes reset pulse count + txs_rst <= 1'b0; // tx serdes reset + end + else if(plol_cnt_tc==1) + txs_rst <= 1'b1; + else if(txs_cnt_tc==1) begin + txs_cnt <= 'd0; + txs_rst <= 1'b0; + end + else if(txs_rst==1) + txs_cnt <= txs_cnt+1; + end + assign txs_cnt_tc = (txs_cnt==lreset_pwidth)?1'b1:1'b0; + + assign rdo_tx_serdes_rst_c = (rsl_enable&txs_rst)| rui_tx_serdes_rst_c; + +// ------------ rdo_tx_pcs_rst_c + assign plol_fedge = ~pll_lol_p2 & pll_lol_p3; + assign plol_redge = pll_lol_p2 & ~pll_lol_p3; + always @(posedge rui_tx_ref_clk or posedge rui_rst) begin + if(rui_rst==1'b1) + waita_plol0 <= 1'd0; + else if(plol_fedge==1'b1) + waita_plol0 <= 1'b1; + else if((plol0_cnt_tc==1)||(plol_redge==1)) + waita_plol0 <= 1'd0; + end + always @(posedge rui_tx_ref_clk or posedge rui_rst) begin + if(rui_rst==1'b1) + plol0_cnt <= 'd0; + else if((pll_lol_p2==1)||(plol0_cnt_tc==1)) + plol0_cnt <= 'd0; + else if(waita_plol0==1'b1) + plol0_cnt <= plol0_cnt+1; + end + assign plol0_cnt_tc = (plol0_cnt==lwait_after_plol0)?1'b1:1'b0; + + always @(posedge rui_tx_ref_clk or posedge rui_rst) begin + if(rui_rst==1'b1) begin + txp_cnt <= 'd0; // tx serdes reset pulse count + txp_rst <= 1'b0; // tx serdes reset + end + else if(plol0_cnt_tc==1) + txp_rst <= 1'b1; + else if(txp_cnt_tc==1) begin + txp_cnt <= 'd0; + txp_rst <= 1'b0; + end + else if(txp_rst==1) + txp_cnt <= txp_cnt+1; + end + assign txp_cnt_tc = (txp_cnt==lreset_pwidth)?1'b1:1'b0; + + genvar i; + for(i=0;i>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<< +// --------------------------------------------------------------------------- +// Copyright (c) 2015 by Lattice Semiconductor Corporation +// ALL RIGHTS RESERVED +// ------------------------------------------------------------------ +// +// Permission: +// +// Lattice SG Pte. Ltd. grants permission to use this code +// pursuant to the terms of the Lattice Reference Design License Agreement. +// +// +// Disclaimer: +// +// This VHDL or Verilog source code is intended as a design reference +// which illustrates how these types of functions can be implemented. +// It is the user's responsibility to verify their design for +// consistency and functionality through the use of formal +// verification methods. Lattice provides no warranty +// regarding the use or functionality of this code. +// +// --------------------------------------------------------------------------- +// +// Lattice SG Pte. Ltd. +// 101 Thomson Road, United Square #07-02 +// Singapore 307591 +// +// +// TEL: 1-800-Lattice (USA and Canada) +// +65-6631-2000 (Singapore) +// +1-503-268-8001 (other locations) +// +// web: http://www.latticesemi.com/ +// email: techsupport@latticesemi.com +// +// --------------------------------------------------------------------------- +// +// ============================================================================= +// FILE DETAILS +// Project : SLL - Soft Loss Of Lock(LOL) Logic +// File : sll_core.v +// Title : Top-level file for SLL +// Dependencies : 1. +// : 2. +// Description : +// ============================================================================= +// REVISION HISTORY +// Version : 1.0 +// Author(s) : AV +// Mod. Date : March 2, 2015 +// Changes Made : Initial Creation +// ============================================================================= +// REVISION HISTORY +// Version : 1.1 +// Author(s) : AV +// Mod. Date : June 8, 2015 +// Changes Made : Following updates were made +// : 1. Changed all the PLOL status logic and FSM to run +// : on sli_refclk. +// : 2. Added the HB logic for presence of tx_pclk +// : 3. Changed the lparam assignment scheme for +// : simulation purposes. +// ============================================================================= +// REVISION HISTORY +// Version : 1.2 +// Author(s) : AV +// Mod. Date : June 24, 2015 +// Changes Made : Updated the gearing logic for SDI dynamic rate change +// ============================================================================= +// REVISION HISTORY +// Version : 1.3 +// Author(s) : AV +// Mod. Date : July 14, 2015 +// Changes Made : Added the logic for dynamic rate change in CPRI +// ============================================================================= +// REVISION HISTORY +// Version : 1.4 +// Author(s) : AV +// Mod. Date : August 21, 2015 +// Changes Made : Added the logic for dynamic rate change of 5G CPRI & +// PCIe. +// ============================================================================= +// REVISION HISTORY +// Version : 1.5 +// Author(s) : ES/EB +// Mod. Date : March 21, 2017 +// Changes Made : 1. Added pdiff_sync signal to syncrhonize pcount_diff +// : to sli_refclk. +// : 2. Updated terminal count logic for PCIe 5G +// : 3. Modified checking of pcount_diff in SLL state +// : machine to cover actual count +// : (from 16-bits to 22-bits) +// ============================================================================= +// REVISION HISTORY +// Version : 1.6 +// Author(s) : ES +// Mod. Date : April 19, 2017 +// Changes Made : 1. Added registered lock and unlock signal from +// pdiff_sync to totally decouple pcount_diff from +// SLL state machine. +// : 2. Modified LPCLK_TC_4 to 1:1 clock ratio when CPRI +// is operating @ 4.9125Gbps data rate. +// ============================================================================= +`timescale 1ns/10ps + +module serdes_sync_2sll_core ( + //Reset and Clock inputs + sli_rst, //Active high asynchronous reset input + sli_refclk, //Refclk input to the Tx PLL + sli_pclk, //Tx pclk output from the PCS + + //Control inputs + sli_div2_rate, //Divide by 2 control; 0 - Full rate; 1 - Half rate + sli_div11_rate, //Divide by 11 control; 0 - Full rate; 1 - Div by 11 + sli_gear_mode, //Gear mode control for PCS; 0 - 8/10; 1- 16/20 + sli_cpri_mode, //Mode of operation specific to CPRI protocol + sli_pcie_mode, //Mode of operation specific to PCIe mode (2.5G or 5G) + + //LOL Output + slo_plol //Tx PLL Loss of Lock output to the user logic + ); + +// Inputs +input sli_rst; +input sli_refclk; +input sli_pclk; +input sli_div2_rate; +input sli_div11_rate; +input sli_gear_mode; +input [2:0] sli_cpri_mode; +input sli_pcie_mode; + +// Outputs +output slo_plol; + + +// Parameters +parameter PPROTOCOL = "PCIE"; //Protocol selected by the User +parameter PLOL_SETTING = 0; //PLL LOL setting. Possible values are 0,1,2,3 +parameter PDYN_RATE_CTRL = "DISABLED"; //PCS Dynamic Rate control +parameter PPCIE_MAX_RATE = "2.5"; //PCIe max data rate +parameter PDIFF_VAL_LOCK = 20; //Differential count value for Lock +parameter PDIFF_VAL_UNLOCK = 39; //Differential count value for Unlock +parameter PPCLK_TC = 65535; //Terminal count value for counter running on sli_pclk +parameter PDIFF_DIV11_VAL_LOCK = 3; //Differential count value for Lock for SDI Div11 +parameter PDIFF_DIV11_VAL_UNLOCK = 3; //Differential count value for Unlock for SDI Div11 +parameter PPCLK_DIV11_TC = 2383; //Terminal count value (SDI Div11) for counter running on sli_pclk + + +// Local Parameters +localparam [1:0] LPLL_LOSS_ST = 2'b00; //PLL Loss state +localparam [1:0] LPLL_PRELOSS_ST = 2'b01; //PLL Pre-Loss state +localparam [1:0] LPLL_PRELOCK_ST = 2'b10; //PLL Pre-Lock state +localparam [1:0] LPLL_LOCK_ST = 2'b11; //PLL Lock state +`ifdef RSL_SIM_MODE +localparam [15:0] LRCLK_TC = 16'd63; //Terminal count value for counter running on sli_refclk +`else +localparam [15:0] LRCLK_TC = 16'd65535; //Terminal count value for counter running on sli_refclk +`endif +localparam [15:0] LRCLK_TC_PUL_WIDTH = 16'd50; //Pulse width for the Refclk terminal count pulse +localparam [7:0] LHB_WAIT_CNT = 8'd255; //Wait count for the Heartbeat signal + +// Local Parameters related to the CPRI dynamic modes +// Terminal count values for the four CPRI modes +localparam LPCLK_TC_0 = 32768; +localparam LPCLK_TC_1 = 65536; +localparam LPCLK_TC_2 = 131072; +localparam LPCLK_TC_3 = 163840; +localparam LPCLK_TC_4 = 65536; + +// Lock values count values for the four CPRI modes and four PLOL settings (4x5) +// CPRI rate mode 0 CPRI rate mode 1 CPRI rate mode 2 CPRI rate mode 3 CPRI rate mode 4 +localparam LPDIFF_LOCK_00 = 9; localparam LPDIFF_LOCK_10 = 19; localparam LPDIFF_LOCK_20 = 39; localparam LPDIFF_LOCK_30 = 49; localparam LPDIFF_LOCK_40 = 19; +localparam LPDIFF_LOCK_01 = 9; localparam LPDIFF_LOCK_11 = 19; localparam LPDIFF_LOCK_21 = 39; localparam LPDIFF_LOCK_31 = 49; localparam LPDIFF_LOCK_41 = 19; +localparam LPDIFF_LOCK_02 = 49; localparam LPDIFF_LOCK_12 = 98; localparam LPDIFF_LOCK_22 = 196; localparam LPDIFF_LOCK_32 = 245; localparam LPDIFF_LOCK_42 = 98; +localparam LPDIFF_LOCK_03 = 131; localparam LPDIFF_LOCK_13 = 262; localparam LPDIFF_LOCK_23 = 524; localparam LPDIFF_LOCK_33 = 655; localparam LPDIFF_LOCK_43 = 262; + +// Unlock values count values for the four CPRI modes and four PLOL settings (4x5) +// CPRI rate mode 0 CPRI rate mode 1 CPRI rate mode 2 CPRI rate mode 3 CPRI rate mode 4 +localparam LPDIFF_UNLOCK_00 = 19; localparam LPDIFF_UNLOCK_10 = 39; localparam LPDIFF_UNLOCK_20 = 78; localparam LPDIFF_UNLOCK_30 = 98; localparam LPDIFF_UNLOCK_40 = 39; +localparam LPDIFF_UNLOCK_01 = 65; localparam LPDIFF_UNLOCK_11 = 131; localparam LPDIFF_UNLOCK_21 = 262; localparam LPDIFF_UNLOCK_31 = 327; localparam LPDIFF_UNLOCK_41 = 131; +localparam LPDIFF_UNLOCK_02 = 72; localparam LPDIFF_UNLOCK_12 = 144; localparam LPDIFF_UNLOCK_22 = 288; localparam LPDIFF_UNLOCK_32 = 360; localparam LPDIFF_UNLOCK_42 = 144; +localparam LPDIFF_UNLOCK_03 = 196; localparam LPDIFF_UNLOCK_13 = 393; localparam LPDIFF_UNLOCK_23 = 786; localparam LPDIFF_UNLOCK_33 = 983; localparam LPDIFF_UNLOCK_43 = 393; + +// Input and Output reg and wire declarations +wire sli_rst; +wire sli_refclk; +wire sli_pclk; +wire sli_div2_rate; +wire sli_div11_rate; +wire sli_gear_mode; +wire [2:0] sli_cpri_mode; +wire sli_pcie_mode; +wire slo_plol; + +//-------------- Internal signals reg and wire declarations -------------------- + +//Signals running on sli_refclk +reg [15:0] rcount; //16-bit Counter +reg rtc_pul; //Terminal count pulse +reg rtc_pul_p1; //Terminal count pulse pipeline +reg rtc_ctrl; //Terminal count pulse control + +reg [7:0] rhb_wait_cnt; //Heartbeat wait counter + +//Heatbeat synchronization and pipeline registers +wire rhb_sync; +reg rhb_sync_p2; +reg rhb_sync_p1; + +//Pipeling registers for dynamic control mode +wire rgear; +wire rdiv2; +wire rdiv11; +reg rgear_p1; +reg rdiv2_p1; +reg rdiv11_p1; + +reg rstat_pclk; //Pclk presence/absence status + +reg [21:0] rcount_tc; //Tx_pclk terminal count register +reg [15:0] rdiff_comp_lock; //Differential comparison value for Lock +reg [15:0] rdiff_comp_unlock; //Differential compariosn value for Unlock + +wire rpcie_mode; //PCIe mode signal synchronized to refclk +reg rpcie_mode_p1; //PCIe mode pipeline register + +wire rcpri_mod_ch_sync; //CPRI mode change synchronized to refclk +reg rcpri_mod_ch_p1; //CPRI mode change pipeline register +reg rcpri_mod_ch_p2; //CPRI mode change pipeline register +reg rcpri_mod_ch_st; //CPRI mode change status + +reg [1:0] sll_state; //Current-state register for LOL FSM + +reg pll_lock; //PLL Lock signal + +//Signals running on sli_pclk +//Synchronization and pipeline registers +wire ppul_sync; +reg ppul_sync_p1; +reg ppul_sync_p2; +reg ppul_sync_p3; + +wire pdiff_sync; +reg pdiff_sync_p1; + +reg [21:0] pcount; //22-bit counter +reg [21:0] pcount_diff; //Differential value between Tx_pclk counter and theoritical value + +//Heartbeat counter and heartbeat signal running on pclk +reg [2:0] phb_cnt; +reg phb; + +//CPRI dynamic mode releated signals +reg [2:0] pcpri_mode; +reg pcpri_mod_ch; + +//Assignment scheme changed mainly for simulation purpose +wire [15:0] LRCLK_TC_w; +assign LRCLK_TC_w = LRCLK_TC; + +reg unlock; +reg lock; + +//Heartbeat synchronization +sync # (.PDATA_RST_VAL(0)) phb_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (phb), + .data_out(rhb_sync) + ); + + +//Terminal count pulse synchronization +sync # (.PDATA_RST_VAL(0)) rtc_sync_inst ( + .clk (sli_pclk), + .rst (sli_rst), + .data_in (rtc_pul), + .data_out(ppul_sync) + ); + +//Differential value logic update synchronization +sync # (.PDATA_RST_VAL(0)) pdiff_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (ppul_sync), + .data_out(pdiff_sync) + ); + +//Gear mode synchronization +sync # (.PDATA_RST_VAL(0)) gear_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_gear_mode), + .data_out(rgear) + ); + +//Div2 synchronization +sync # (.PDATA_RST_VAL(0)) div2_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_div2_rate), + .data_out(rdiv2) + ); + +//Div11 synchronization +sync # (.PDATA_RST_VAL(0)) div11_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_div11_rate), + .data_out(rdiv11) + ); + +//CPRI mode change synchronization +sync # (.PDATA_RST_VAL(0)) cpri_mod_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (pcpri_mod_ch), + .data_out(rcpri_mod_ch_sync) + ); + +//PCIe mode change synchronization +sync # (.PDATA_RST_VAL(0)) pcie_mod_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_pcie_mode), + .data_out(rpcie_mode) + ); + +// ============================================================================= +// Synchronized Lock/Unlock signals +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + unlock <= 1'b0; + lock <= 1'b0; + pdiff_sync_p1 <= 1'b0; + end + else begin + pdiff_sync_p1 <= pdiff_sync; + if (unlock) begin + unlock <= ~pdiff_sync && pdiff_sync_p1 ? 1'b0 : unlock; + end + else begin + unlock <= pdiff_sync ? (pcount_diff[21:0] > {6'd0, rdiff_comp_unlock}) : 1'b0; + end + if (lock) begin + lock <= ~pdiff_sync && pdiff_sync_p1 ? 1'b0 : lock; + end + else begin + lock <= pdiff_sync ? (pcount_diff[21:0] <= {6'd0, rdiff_comp_lock}) : 1'b0; + end + end +end + +// ============================================================================= +// Refclk Counter, pulse generation logic and Heartbeat monitor logic +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount <= 16'd0; + rtc_pul <= 1'b0; + rtc_ctrl <= 1'b0; + rtc_pul_p1 <= 1'b0; + end + else begin + //Counter logic + if ((rgear_p1^rgear == 1'b1) || (rdiv2_p1^rdiv2 == 1'b1) || (rdiv11_p1^rdiv11 == 1'b1) || (rcpri_mod_ch_p1^rcpri_mod_ch_p2 == 1'b1) || (rpcie_mode_p1^rpcie_mode == 1'b1)) begin + if (rtc_ctrl == 1'b1) begin + rcount <= LRCLK_TC_PUL_WIDTH; + end + end + else begin + if (rcount != LRCLK_TC_w) begin + rcount <= rcount + 1; + end + else begin + rcount <= 16'd0; + end + end + + //Pulse control logic + if (rcount == LRCLK_TC_w - 1) begin + rtc_ctrl <= 1'b1; + end + + //Pulse Generation logic + if (rtc_ctrl == 1'b1) begin + if ((rcount == LRCLK_TC_w) || (rcount < LRCLK_TC_PUL_WIDTH)) begin + rtc_pul <= 1'b1; + end + else begin + rtc_pul <= 1'b0; + end + end + + rtc_pul_p1 <= rtc_pul; + end +end + + +// ============================================================================= +// Heartbeat synchronization & monitor logic and Dynamic mode pipeline logic +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rhb_sync_p1 <= 1'b0; + rhb_sync_p2 <= 1'b0; + rhb_wait_cnt <= 8'd0; + rstat_pclk <= 1'b0; + rgear_p1 <= 1'b0; + rdiv2_p1 <= 1'b0; + rdiv11_p1 <= 1'b0; + rcpri_mod_ch_p1 <= 1'b0; + rcpri_mod_ch_p2 <= 1'b0; + rcpri_mod_ch_st <= 1'b0; + rpcie_mode_p1 <= 1'b0; + + end + else begin + //Pipeline stages for the Heartbeat + rhb_sync_p1 <= rhb_sync; + rhb_sync_p2 <= rhb_sync_p1; + + //Pipeline stages of the Dynamic rate control signals + rgear_p1 <= rgear; + rdiv2_p1 <= rdiv2; + rdiv11_p1 <= rdiv11; + + //Pipeline stage for PCIe mode + rpcie_mode_p1 <= rpcie_mode; + + //Pipeline stage for CPRI mode change + rcpri_mod_ch_p1 <= rcpri_mod_ch_sync; + rcpri_mod_ch_p2 <= rcpri_mod_ch_p1; + + //CPRI mode change status logic + if (rcpri_mod_ch_p1^rcpri_mod_ch_sync == 1'b1) begin + rcpri_mod_ch_st <= 1'b1; + end + + //Heartbeat wait counter and monitor logic + if (rtc_ctrl == 1'b1) begin + if (rhb_sync_p1 == 1'b1 && rhb_sync_p2 == 1'b0) begin + rhb_wait_cnt <= 8'd0; + rstat_pclk <= 1'b1; + end + else if (rhb_wait_cnt == LHB_WAIT_CNT) begin + rhb_wait_cnt <= 8'd0; + rstat_pclk <= 1'b0; + end + else begin + rhb_wait_cnt <= rhb_wait_cnt + 1; + end + end + end +end + + +// ============================================================================= +// Pipleline registers for the TC pulse and CPRI mode change logic +// ============================================================================= +always @(posedge sli_pclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + ppul_sync_p1 <= 1'b0; + ppul_sync_p2 <= 1'b0; + ppul_sync_p3 <= 1'b0; + pcpri_mode <= 3'b0; + pcpri_mod_ch <= 1'b0; + end + else begin + ppul_sync_p1 <= ppul_sync; + ppul_sync_p2 <= ppul_sync_p1; + ppul_sync_p3 <= ppul_sync_p2; + + //CPRI mode change logic + pcpri_mode <= sli_cpri_mode; + + if (pcpri_mode != sli_cpri_mode) begin + pcpri_mod_ch <= ~pcpri_mod_ch; + end + end +end + + +// ============================================================================= +// Terminal count logic +// ============================================================================= + +//For SDI protocol with Dynamic rate control enabled +generate +if ((PDYN_RATE_CTRL == "ENABLED") && (PPROTOCOL == "SDI")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic + //Div by 11 is enabled + if (rdiv11 == 1'b1) begin + //Gear mode is 16/20 + if (rgear == 1'b1) begin + rcount_tc <= PPCLK_DIV11_TC; + rdiff_comp_lock <= PDIFF_DIV11_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_DIV11_VAL_UNLOCK; + end + else begin + rcount_tc <= {PPCLK_DIV11_TC[20:0], 1'b0}; + rdiff_comp_lock <= {PDIFF_DIV11_VAL_LOCK[14:0], 1'b0}; + rdiff_comp_unlock <= {PDIFF_DIV11_VAL_UNLOCK[14:0], 1'b0}; + end + end + //Div by 2 is enabled + else if (rdiv2 == 1'b1) begin + //Gear mode is 16/20 + if (rgear == 1'b1) begin + rcount_tc <= {1'b0,PPCLK_TC[21:1]}; + rdiff_comp_lock <= {1'b0,PDIFF_VAL_LOCK[15:1]}; + rdiff_comp_unlock <= {1'b0,PDIFF_VAL_UNLOCK[15:1]}; + end + else begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + end + //Both div by 11 and div by 2 are disabled + else begin + //Gear mode is 16/20 + if (rgear == 1'b1) begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + else begin + rcount_tc <= {PPCLK_TC[20:0],1'b0}; + rdiff_comp_lock <= {PDIFF_VAL_LOCK[14:0],1'b0}; + rdiff_comp_unlock <= {PDIFF_VAL_UNLOCK[14:0],1'b0}; + end + end + end +end +end +endgenerate + +//For G8B10B protocol with Dynamic rate control enabled +generate +if ((PDYN_RATE_CTRL == "ENABLED") && (PPROTOCOL == "G8B10B")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic + //Div by 2 is enabled + if (rdiv2 == 1'b1) begin + rcount_tc <= {1'b0,PPCLK_TC[21:1]}; + rdiff_comp_lock <= {1'b0,PDIFF_VAL_LOCK[15:1]}; + rdiff_comp_unlock <= {1'b0,PDIFF_VAL_UNLOCK[15:1]}; + end + else begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + end +end +end +endgenerate + + +//For CPRI protocol with Dynamic rate control is disabled +generate +if ((PDYN_RATE_CTRL == "DISABLED") && (PPROTOCOL == "CPRI")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic for CPRI protocol + //Only if there is a change in the rate mode from the default + if (rcpri_mod_ch_st == 1'b1) begin + if (rcpri_mod_ch_p1^rcpri_mod_ch_p2 == 1'b1) begin + case(sli_cpri_mode) + 3'd0 : begin //For 0.6Gbps + rcount_tc <= LPCLK_TC_0; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_00; + rdiff_comp_unlock <= LPDIFF_UNLOCK_00; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_01; + rdiff_comp_unlock <= LPDIFF_UNLOCK_01; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_02; + rdiff_comp_unlock <= LPDIFF_UNLOCK_02; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_03; + rdiff_comp_unlock <= LPDIFF_UNLOCK_03; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_00; + rdiff_comp_unlock <= LPDIFF_UNLOCK_00; + end + endcase + end + + 3'd1 : begin //For 1.2Gbps + rcount_tc <= LPCLK_TC_1; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_10; + rdiff_comp_unlock <= LPDIFF_UNLOCK_10; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_11; + rdiff_comp_unlock <= LPDIFF_UNLOCK_11; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_12; + rdiff_comp_unlock <= LPDIFF_UNLOCK_12; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_13; + rdiff_comp_unlock <= LPDIFF_UNLOCK_13; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_10; + rdiff_comp_unlock <= LPDIFF_UNLOCK_10; + end + endcase + end + + 3'd2 : begin //For 2.4Gbps + rcount_tc <= LPCLK_TC_2; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_20; + rdiff_comp_unlock <= LPDIFF_UNLOCK_20; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_21; + rdiff_comp_unlock <= LPDIFF_UNLOCK_21; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_22; + rdiff_comp_unlock <= LPDIFF_UNLOCK_22; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_23; + rdiff_comp_unlock <= LPDIFF_UNLOCK_23; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_20; + rdiff_comp_unlock <= LPDIFF_UNLOCK_20; + end + endcase + end + + 3'd3 : begin //For 3.07Gbps + rcount_tc <= LPCLK_TC_3; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_30; + rdiff_comp_unlock <= LPDIFF_UNLOCK_30; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_31; + rdiff_comp_unlock <= LPDIFF_UNLOCK_31; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_32; + rdiff_comp_unlock <= LPDIFF_UNLOCK_32; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_33; + rdiff_comp_unlock <= LPDIFF_UNLOCK_33; + end + endcase + end + + 3'd4 : begin //For 4.9125bps + rcount_tc <= LPCLK_TC_4; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_40; + rdiff_comp_unlock <= LPDIFF_UNLOCK_40; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_41; + rdiff_comp_unlock <= LPDIFF_UNLOCK_41; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_42; + rdiff_comp_unlock <= LPDIFF_UNLOCK_42; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_43; + rdiff_comp_unlock <= LPDIFF_UNLOCK_43; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_40; + rdiff_comp_unlock <= LPDIFF_UNLOCK_40; + end + endcase + end + + default : begin + rcount_tc <= LPCLK_TC_0; + rdiff_comp_lock <= LPDIFF_LOCK_00; + rdiff_comp_unlock <= LPDIFF_UNLOCK_00; + end + endcase + end + end + else begin + //If there is no change in the CPRI rate mode from default + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + end +end +end +endgenerate + +//For PCIe protocol with Dynamic rate control disabled +generate +if ((PDYN_RATE_CTRL == "DISABLED") && (PPROTOCOL == "PCIE")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic + if (PPCIE_MAX_RATE == "2.5") begin + //2.5G mode is enabled + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + else begin + //5G mode is enabled + if (rpcie_mode == 1'b1) begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + else begin + //2.5G mode is enabled + rcount_tc <= {1'b0,PPCLK_TC[21:1]}; + rdiff_comp_lock <= {1'b0,PDIFF_VAL_LOCK[15:1]}; + rdiff_comp_unlock <= {1'b0,PDIFF_VAL_UNLOCK[15:1]}; + end + end + end +end +end +endgenerate + +//For all protocols other than CPRI & PCIe +generate +if ((PDYN_RATE_CTRL == "DISABLED") && ((PPROTOCOL != "CPRI") && (PPROTOCOL != "PCIE"))) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic for all protocols other than CPRI & PCIe + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end +end +end +endgenerate + + +// ============================================================================= +// Tx_pclk counter, Heartbeat and Differential value logic +// ============================================================================= +always @(posedge sli_pclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + pcount <= 22'd0; + pcount_diff <= 22'd65535; + phb_cnt <= 3'd0; + phb <= 1'b0; + end + else begin + //Counter logic + if (ppul_sync_p1 == 1'b1 && ppul_sync_p2 == 1'b0) begin + pcount <= 22'd0; + end + else begin + pcount <= pcount + 1; + end + + //Heartbeat logic + phb_cnt <= phb_cnt + 1; + + if ((phb_cnt < 3'd4) && (phb_cnt >= 3'd0)) begin + phb <= 1'b1; + end + else begin + phb <= 1'b0; + end + + //Differential value logic + if (ppul_sync_p1 == 1'b1 && ppul_sync_p2 == 1'b0) begin + pcount_diff <= rcount_tc + ~(pcount) + 1; + end + else if (ppul_sync_p2 == 1'b1 && ppul_sync_p3 == 1'b0) begin + if (pcount_diff[21] == 1'b1) begin + pcount_diff <= ~(pcount_diff) + 1; + end + end + end +end + + +// ============================================================================= +// State transition logic for SLL FSM +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + sll_state <= LPLL_LOSS_ST; + end + else begin + //Reasons to declare an immediate loss - Absence of Tx_pclk, Dynamic rate change for SDI or CPRI + if ((rstat_pclk == 1'b0) || (rgear_p1^rgear == 1'b1) || (rdiv2_p1^rdiv2 == 1'b1) || + (rdiv11_p1^rdiv11 == 1'b1) || (rcpri_mod_ch_p1^rcpri_mod_ch_p2 == 1'b1) || (rpcie_mode_p1^rpcie_mode == 1'b1)) begin + sll_state <= LPLL_LOSS_ST; + end + else begin + case(sll_state) + LPLL_LOSS_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (unlock) begin + sll_state <= LPLL_LOSS_ST; + end + else if (lock) begin + if (PLOL_SETTING == 2'd0) begin + sll_state <= LPLL_PRELOCK_ST; + end + else begin + sll_state <= LPLL_LOCK_ST; + end + end + end + end + + LPLL_LOCK_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (lock) begin + sll_state <= LPLL_LOCK_ST; + end + else begin + if (PLOL_SETTING == 2'd0) begin + sll_state <= LPLL_LOSS_ST; + end + else begin + sll_state <= LPLL_PRELOSS_ST; + end + end + end + end + + LPLL_PRELOCK_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (lock) begin + sll_state <= LPLL_LOCK_ST; + end + else begin + sll_state <= LPLL_PRELOSS_ST; + end + end + end + + LPLL_PRELOSS_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (unlock) begin + sll_state <= LPLL_PRELOSS_ST; + end + else if (lock) begin + sll_state <= LPLL_LOCK_ST; + end + end + end + + default: begin + sll_state <= LPLL_LOSS_ST; + end + endcase + end + end +end + + +// ============================================================================= +// Logic for Tx PLL Lock +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + pll_lock <= 1'b0; + end + else begin + case(sll_state) + LPLL_LOSS_ST : begin + pll_lock <= 1'b0; + end + + LPLL_LOCK_ST : begin + pll_lock <= 1'b1; + end + + LPLL_PRELOSS_ST : begin + pll_lock <= 1'b0; + end + + default: begin + pll_lock <= 1'b0; + end + endcase + end +end + +assign slo_plol = ~(pll_lock); + +endmodule + + +// =========================================================================== +// >>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<< +// --------------------------------------------------------------------------- +// Copyright (c) 2015 by Lattice Semiconductor Corporation +// ALL RIGHTS RESERVED +// ------------------------------------------------------------------ +// +// Permission: +// +// Lattice SG Pte. Ltd. grants permission to use this code +// pursuant to the terms of the Lattice Reference Design License Agreement. +// +// +// Disclaimer: +// +// This VHDL or Verilog source code is intended as a design reference +// which illustrates how these types of functions can be implemented. +// It is the user's responsibility to verify their design for +// consistency and functionality through the use of formal +// verification methods. Lattice provides no warranty +// regarding the use or functionality of this code. +// +// --------------------------------------------------------------------------- +// +// Lattice SG Pte. Ltd. +// 101 Thomson Road, United Square #07-02 +// Singapore 307591 +// +// +// TEL: 1-800-Lattice (USA and Canada) +// +65-6631-2000 (Singapore) +// +1-503-268-8001 (other locations) +// +// web: http://www.latticesemi.com/ +// email: techsupport@latticesemi.com +// +// --------------------------------------------------------------------------- +// +// ============================================================================= +// FILE DETAILS +// Project : Synchronizer Logic +// File : sync.v +// Title : Synchronizer module +// Description : +// ============================================================================= +// REVISION HISTORY +// Version : 1.0 +// Author(s) : AV +// Mod. Date : July 7, 2015 +// Changes Made : Initial Creation +// ----------------------------------------------------------------------------- +// Version : 1.1 +// Author(s) : EB +// Mod. Date : March 21, 2017 +// Changes Made : +// ============================================================================= + +`ifndef PCS_SYNC_MODULE +`define PCS_SYNC_MODULE +module sync ( + clk, + rst, + data_in, + data_out + ); + +input clk; //Clock in which the async data needs to be synchronized to +input rst; //Active high reset +input data_in; //Asynchronous data +output data_out; //Synchronized data + +parameter PDATA_RST_VAL = 0; //Reset value for the registers + +reg data_p1; +reg data_p2; + +// ============================================================================= +// Synchronization logic +// ============================================================================= +always @(posedge clk or posedge rst) begin + if (rst == 1'b1) begin + data_p1 <= PDATA_RST_VAL; + data_p2 <= PDATA_RST_VAL; + end + else begin + data_p1 <= data_in; + data_p2 <= data_p1; + end +end + +assign data_out = data_p2; + +endmodule +`endif + diff --git a/media_interfaces/med_ecp5_sfp_sync_240.vhd b/media_interfaces/med_ecp5_sfp_sync_240.vhd new file mode 100644 index 0000000..6756952 --- /dev/null +++ b/media_interfaces/med_ecp5_sfp_sync_240.vhd @@ -0,0 +1,401 @@ +--Media interface for Lattice ECP5 using PCS at 2.4GHz + + +LIBRARY IEEE; +USE IEEE.std_logic_1164.ALL; +USE IEEE.numeric_std.all; + +library work; +use work.trb_net_std.all; +use work.config.all; +use work.trb_net_components.all; +use work.med_sync_define.all; + +entity med_ecp5_sfp_sync_240 is + generic( + SERDES_NUM : integer range 0 to 3 := 0; + IS_SYNC_SLAVE : integer := c_YES --select slave mode + ); + port( + CLK_REF_FULL : in std_logic; -- 240 MHz reference clock + CLK_INTERNAL_FULL : in std_logic; -- internal 240 MHz, always on + SYSCLK : in std_logic; -- 100 MHz main clock net, synchronous to RX clock + RESET : in std_logic; -- synchronous reset + CLEAR : in std_logic; -- asynchronous reset + --Internal Connection TX + MEDIA_MED2INT : out MED2INT; + MEDIA_INT2MED : in INT2MED; + + --Sync operation + RX_DLM : out std_logic := '0'; + RX_DLM_WORD : out std_logic_vector(7 downto 0) := x"00"; + TX_DLM : in std_logic := '0'; + TX_DLM_WORD : in std_logic_vector(7 downto 0) := x"00"; + + --SFP Connection + SD_PRSNT_N_IN : in std_logic; -- SFP Present ('0' = SFP in place, '1' = no SFP mounted) + SD_LOS_IN : in std_logic; -- SFP Loss Of Signal ('0' = OK, '1' = no signal) + SD_TXDIS_OUT : out std_logic := '0'; -- SFP disable + --Control Interface + BUS_RX : in CTRLBUS_RX; + BUS_TX : out CTRLBUS_TX; + + -- Status and control port + STAT_DEBUG : out std_logic_vector (63 downto 0); + CTRL_DEBUG : in std_logic_vector (63 downto 0) := (others => '0') + ); +end entity; + + +architecture med_ecp5_sfp_sync_240_arch of med_ecp5_sfp_sync_240 is + +-- Placer Directives +attribute HGROUP : string; +-- for whole architecture +attribute HGROUP of med_ecp5_sfp_sync_240_arch : architecture is "media_interface_group"; +attribute syn_sharing : string; +attribute syn_sharing of med_ecp5_sfp_sync_240_arch : architecture is "off"; +attribute syn_hier : string; +attribute syn_hier of med_ecp5_sfp_sync_240_arch : architecture is "hard"; + +signal clk_240_ref : std_logic; +signal clk_rx_full : std_logic; +signal clk_tx_full : std_logic; +signal reset_n : std_logic; + +signal tx_data : std_logic_vector(7 downto 0); +signal tx_k : std_logic; +signal rx_data : std_logic_vector(7 downto 0); +signal rx_k : std_logic; +signal rx_error : std_logic; + +signal rst_n : std_logic; +signal rx_serdes_rst : std_logic; +signal tx_serdes_rst : std_logic; +signal tx_pcs_rst : std_logic; +signal rx_pcs_rst : std_logic; +signal rst_qd : std_logic; +signal serdes_rst_qd : std_logic; + +signal rx_los_low : std_logic; +signal lsm_status : std_logic; +signal rx_cdr_lol : std_logic; +signal tx_pll_lol : std_logic; + +signal sci_ch_i : std_logic_vector(4 downto 0); +signal sci_addr_i : std_logic_vector(5 downto 0); +signal sci_data_in_i : std_logic_vector(7 downto 0); +signal sci_data_out_i : std_logic_vector(7 downto 0); +signal sci_read_i : std_logic; +signal sci_write_i : std_logic; + +signal wa_position : std_logic_vector(15 downto 0) := x"FFFF"; +signal wa_position_sel : std_logic_vector(3 downto 0); + +signal stat_rx_control_i : std_logic_vector(31 downto 0); +signal stat_tx_control_i : std_logic_vector(31 downto 0); +signal debug_rx_control_i : std_logic_vector(31 downto 0); +signal debug_tx_control_i : std_logic_vector(31 downto 0); +signal stat_fsm_reset_i : std_logic_vector(31 downto 0); +signal debug_med_sync_control_i : std_logic_vector(31 downto 0); +signal rx_ready : std_logic; +signal tx_ready : std_logic; +signal hdinp : std_logic; +signal hdinn : std_logic; +signal hdoutp : std_logic; +signal hdoutn : std_logic; +attribute nopad : string; +attribute nopad of hdinp, hdinn, hdoutp, hdoutn : signal is "true"; + +signal stat_med : std_logic_vector(31 downto 0); + +begin + +reset_n <= not RESET; +clk_240_ref <= CLK_REF_FULL; + +SD_TXDIS_OUT <= not rx_ready when IS_SYNC_SLAVE = 1 else '0'; --slave only switches on when RX is ready + +-- gen_slave_clock : if IS_SYNC_SLAVE = c_YES generate +-- clk_200_i <= clk_rx_full; +-- end generate; +-- +-- gen_master_clock : if IS_SYNC_SLAVE = c_NO generate +-- clk_200_i <= clk_200_internal; +-- end generate; + +------------------------------------------------- +-- Serdes +------------------------------------------------- +gen_pcs0 : if SERDES_NUM = 0 or SERDES_NUM = 1 generate -- same entity in any case + THE_SERDES : entity work.pcs_240 + port map( + serdes_sync_0_hdinp => hdinp, + serdes_sync_0_hdinn => hdinn, + serdes_sync_0_hdoutp => hdoutp, + serdes_sync_0_hdoutn => hdoutn, + serdes_sync_0_rxrefclk => CLK_INTERNAL_FULL, + serdes_sync_0_rx_pclk => clk_rx_full, + serdes_sync_0_tx_pclk => clk_tx_full, + + serdes_sync_0_txdata => tx_data, + serdes_sync_0_tx_k(0) => tx_k, + serdes_sync_0_tx_force_disp(0) => '0', + serdes_sync_0_tx_disp_sel(0) => '0', + serdes_sync_0_rxdata => rx_data, + serdes_sync_0_rx_k(0) => rx_k, + serdes_sync_0_rx_disp_err(0) => open, + serdes_sync_0_rx_cv_err(0) => rx_error, + + serdes_sync_0_tx_idle_c => '0', + serdes_sync_0_signal_detect_c => '0', + serdes_sync_0_rx_los_low_s => rx_los_low, + serdes_sync_0_lsm_status_s => lsm_status, + serdes_sync_0_rx_cdr_lol_s => rx_cdr_lol, + serdes_sync_0_rx_pcs_rst_c => rx_pcs_rst, + serdes_sync_0_tx_pcs_rst_c => tx_pcs_rst, + serdes_sync_0_rx_serdes_rst_c => rx_serdes_rst, + + + serdes_sync_0_sci_wrdata => sci_data_in_i, + serdes_sync_0_sci_rddata => sci_data_out_i, + serdes_sync_0_sci_addr => sci_addr_i, + serdes_sync_0_sci_en_dual => reset_n, + serdes_sync_0_sci_sel_dual => sci_ch_i(4), + serdes_sync_0_sci_en => reset_n, + serdes_sync_0_sci_sel => sci_ch_i(0), + serdes_sync_0_sci_rd => sci_read_i, + serdes_sync_0_sci_wrn => sci_write_i, + serdes_sync_0_sci_int => open, + + serdes_sync_0_cyawstn => '0', --? + serdes_sync_0_rst_dual_c => rst_qd, + serdes_sync_0_serdes_rst_dual_c => '0', + serdes_sync_0_tx_pwrup_c => '1', + serdes_sync_0_rx_pwrup_c => '1', + serdes_sync_0_serdes_pdb => '1', + serdes_sync_0_tx_serdes_rst_c => tx_serdes_rst, + + serdes_sync_0_pll_refclki => CLK_REF_FULL, + serdes_sync_0_pll_lol => tx_pll_lol, + serdes_sync_0_rsl_disable => '1', + serdes_sync_0_rsl_rst => '0', + serdes_sync_0_rsl_rx_rdy => rx_ready, + serdes_sync_0_rsl_tx_rdy => tx_ready + ); +end generate; +-- gen_pcs1 : if SERDES_NUM = 1 generate +-- THE_SERDES : entity work.pcs1 +-- port map( +-- serdes_sync_0_hdinp => hdinp, +-- serdes_sync_0_hdinn => hdinn, +-- serdes_sync_0_hdoutp => hdoutp, +-- serdes_sync_0_hdoutn => hdoutn, +-- serdes_sync_0_rxrefclk => CLK_INTERNAL_FULL, +-- serdes_sync_0_rx_pclk => clk_rx_full, +-- serdes_sync_0_tx_pclk => clk_tx_full, +-- +-- serdes_sync_0_txdata => tx_data, +-- serdes_sync_0_tx_k(0) => tx_k, +-- serdes_sync_0_tx_force_disp(0) => '0', +-- serdes_sync_0_tx_disp_sel(0) => '0', +-- serdes_sync_0_rxdata => rx_data, +-- serdes_sync_0_rx_k(0) => rx_k, +-- serdes_sync_0_rx_disp_err(0) => open, +-- serdes_sync_0_rx_cv_err(0) => rx_error, +-- +-- serdes_sync_0_tx_idle_c => '0', +-- serdes_sync_0_signal_detect_c => '0', +-- serdes_sync_0_rx_los_low_s => rx_los_low, +-- serdes_sync_0_lsm_status_s => lsm_status, +-- serdes_sync_0_rx_cdr_lol_s => rx_cdr_lol, +-- serdes_sync_0_rx_pcs_rst_c => rx_pcs_rst, +-- serdes_sync_0_tx_pcs_rst_c => tx_pcs_rst, +-- serdes_sync_0_rx_serdes_rst_c => rx_serdes_rst, +-- +-- +-- serdes_sync_0_sci_wrdata => sci_data_in_i, +-- serdes_sync_0_sci_rddata => sci_data_out_i, +-- serdes_sync_0_sci_addr => sci_addr_i, +-- serdes_sync_0_sci_en_dual => reset_n, +-- serdes_sync_0_sci_sel_dual => sci_ch_i(4), +-- serdes_sync_0_sci_en => reset_n, +-- serdes_sync_0_sci_sel => sci_ch_i(0), +-- serdes_sync_0_sci_rd => sci_read_i, +-- serdes_sync_0_sci_wrn => sci_write_i, +-- serdes_sync_0_sci_int => open, +-- +-- serdes_sync_0_cyawstn => '0', --? +-- serdes_sync_0_rst_dual_c => rst_qd, +-- serdes_sync_0_serdes_rst_dual_c => '0', +-- serdes_sync_0_tx_pwrup_c => '1', +-- serdes_sync_0_rx_pwrup_c => '1', +-- serdes_sync_0_serdes_pdb => '1', +-- serdes_sync_0_tx_serdes_rst_c => tx_serdes_rst, +-- +-- serdes_sync_0_pll_refclki => CLK_REF_FULL, +-- serdes_sync_0_pll_lol => tx_pll_lol, +-- serdes_sync_0_rsl_disable => '1', +-- serdes_sync_0_rsl_rst => '0', +-- serdes_sync_0_rsl_rx_rdy => rx_ready, +-- serdes_sync_0_rsl_tx_rdy => tx_ready +-- ); +-- end generate; +gen_pcs2 : if SERDES_NUM = 2 generate + THE_SERDES : entity work.pcs2_240 + port map( + serdes_sync_0_hdinp => hdinp, + serdes_sync_0_hdinn => hdinn, + serdes_sync_0_hdoutp => hdoutp, + serdes_sync_0_hdoutn => hdoutn, + serdes_sync_0_rxrefclk => CLK_INTERNAL_FULL, + serdes_sync_0_rx_pclk => clk_rx_full, + serdes_sync_0_tx_pclk => clk_tx_full, + + serdes_sync_0_txdata => tx_data, + serdes_sync_0_tx_k(0) => tx_k, + serdes_sync_0_tx_force_disp(0) => '0', + serdes_sync_0_tx_disp_sel(0) => '0', + serdes_sync_0_rxdata => rx_data, + serdes_sync_0_rx_k(0) => rx_k, + serdes_sync_0_rx_disp_err(0) => open, + serdes_sync_0_rx_cv_err(0) => rx_error, + + serdes_sync_0_tx_idle_c => '0', + serdes_sync_0_signal_detect_c => '0', + serdes_sync_0_rx_los_low_s => rx_los_low, + serdes_sync_0_lsm_status_s => lsm_status, + serdes_sync_0_rx_cdr_lol_s => rx_cdr_lol, + serdes_sync_0_rx_pcs_rst_c => rx_pcs_rst, + serdes_sync_0_tx_pcs_rst_c => tx_pcs_rst, + serdes_sync_0_rx_serdes_rst_c => rx_serdes_rst, + + + serdes_sync_0_sci_wrdata => sci_data_in_i, + serdes_sync_0_sci_rddata => sci_data_out_i, + serdes_sync_0_sci_addr => sci_addr_i, + serdes_sync_0_sci_en_dual => reset_n, + serdes_sync_0_sci_sel_dual => sci_ch_i(4), + serdes_sync_0_sci_en => reset_n, + serdes_sync_0_sci_sel => sci_ch_i(0), + serdes_sync_0_sci_rd => sci_read_i, + serdes_sync_0_sci_wrn => sci_write_i, + serdes_sync_0_sci_int => open, + + serdes_sync_0_cyawstn => '0', --? + serdes_sync_0_rst_dual_c => rst_qd, + serdes_sync_0_serdes_rst_dual_c => '0', + serdes_sync_0_tx_pwrup_c => '1', + serdes_sync_0_rx_pwrup_c => '1', + serdes_sync_0_serdes_pdb => '1', + serdes_sync_0_tx_serdes_rst_c => tx_serdes_rst, + + serdes_sync_0_pll_refclki => CLK_REF_FULL, + serdes_sync_0_pll_lol => tx_pll_lol, + serdes_sync_0_rsl_disable => '1', + serdes_sync_0_rsl_rst => '0', + serdes_sync_0_rsl_rx_rdy => rx_ready, + serdes_sync_0_rsl_tx_rdy => tx_ready + ); +end generate; + tx_serdes_rst <= '0'; + serdes_rst_qd <= '0'; +-- wa_position_sel <= x"0"; + wa_position_sel <= wa_position(3 downto 0) when SERDES_NUM = 0 + else wa_position(15 downto 12) when SERDES_NUM = 3; + +THE_MED_CONTROL : entity work.med_sync_control + generic map( + IS_SYNC_SLAVE => IS_SYNC_SLAVE, + IS_TX_RESET => 1 + ) + port map( + CLK_SYS => SYSCLK, + CLK_RXI => clk_rx_full, + CLK_RXHALF => '0', + CLK_TXI => clk_tx_full, + CLK_REF => CLK_INTERNAL_FULL, + RESET => RESET, + CLEAR => CLEAR, + + SFP_LOS => SD_LOS_IN, + TX_LOL => tx_pll_lol, + RX_CDR_LOL => rx_cdr_lol, + RX_LOS => rx_los_low, + WA_POSITION => wa_position_sel, + + RX_SERDES_RST => rx_serdes_rst, + RX_PCS_RST => rx_pcs_rst, + QUAD_RST => rst_qd, + TX_PCS_RST => tx_pcs_rst, + + MEDIA_MED2INT => MEDIA_MED2INT, + MEDIA_INT2MED => MEDIA_INT2MED, + + TX_DATA => tx_data, + TX_K => tx_k, + RX_DATA => rx_data, + RX_K => rx_k, + + TX_DLM_WORD => TX_DLM_WORD, + TX_DLM => TX_DLM, + RX_DLM_WORD => RX_DLM_WORD, + RX_DLM => RX_DLM, + + SERDES_RX_READY_IN => rx_ready, + SERDES_TX_READY_IN => tx_ready, + + STAT_TX_CONTROL => stat_tx_control_i, + STAT_RX_CONTROL => stat_rx_control_i, + DEBUG_TX_CONTROL => debug_tx_control_i, + DEBUG_RX_CONTROL => debug_rx_control_i, + STAT_RESET => stat_fsm_reset_i, + DEBUG_OUT => debug_med_sync_control_i + ); + +THE_SCI_READER : entity work.sci_reader + port map( + CLK => SYSCLK, + RESET => RESET, + + --SCI + SCI_WRDATA => sci_data_in_i, + SCI_RDDATA => sci_data_out_i, + SCI_ADDR => sci_addr_i, + SCI_SEL => sci_ch_i, + SCI_RD => sci_read_i, + SCI_WR => sci_write_i, + + WA_POS_OUT => wa_position, + + --Slowcontrol + BUS_RX => BUS_RX, + BUS_TX => BUS_TX, + + MEDIA_STATUS_REG_IN(31 downto 0) => stat_rx_control_i, + MEDIA_STATUS_REG_IN(63 downto 32) => stat_tx_control_i, + MEDIA_STATUS_REG_IN(95 downto 64) => stat_fsm_reset_i, + MEDIA_STATUS_REG_IN(127 downto 96) => stat_med, + MEDIA_STATUS_REG_IN(255 downto 128) => (others => '0'), + DEBUG_OUT => open + ); + +STAT_DEBUG(11 downto 0) <= debug_med_sync_control_i(11 downto 0); +STAT_DEBUG(15 downto 12) <= (others => '0'); +STAT_DEBUG(31 downto 16) <= wa_position; +STAT_DEBUG(63 downto 32) <= (others => '0'); + +stat_med(0) <= rst_qd; +stat_med(1) <= rx_pcs_rst; +stat_med(2) <= tx_pcs_rst; +stat_med(3) <= rx_serdes_rst; +stat_med(4) <= tx_pll_lol; +stat_med(5) <= rx_cdr_lol; +stat_med(6) <= rx_los_low; +stat_med(7) <= rx_ready; +stat_med(8) <= tx_ready; +stat_med(9) <= lsm_status; +stat_med(31 downto 10) <= (others => '0'); + +end architecture; + -- 2.43.0

lZv z=vvT+pW+}7^RjC4s7TIb#|A=qDLRF*YLP;!bu+MF)iSzPhHGUJkQ?z1kQ-J!q5KvvsWu49Em0`H zRU&Kn3fb~ou{FF>lPOQN-h zbY=c;XF~Z%1j=hVUeMHJZ`k<9;6I%D9ud!hVstooP%jKyDE}ye1uLwhK|aB?PjGD`7pVNR zWFXY17eZ*-h^9?CiN9IFPX;J8DdjCbDE}gkdpQrWeJw-zjs#Q;lX0!$HY~%U(Dh-$ zq~b0EDk3s;4UjFUxF>=IcT3;VwUHj^JueAaJ4uwRKjKh4HUXvB#U99RjKk*I7*xEj zl6tS}ko8v-N{=Pk_LLs?UZfTbgNipbEa+T^HvTTI;VfHvJV~nI?3yh_q2fKdwo-?R zl|HDznFejE&~p_|j&(@m6>C(e_#g=tAM-w_Sm*t_yk8@P6sY(Jx4fRh+E&hpU$*SW zJD}pz2o|jUnZ`^NiRg26Z{LrQ7b-U5jBzFpsGRNFyY=^csMw6{Y{u5Nd3dcaz3}&{ zo1tQB6pI8(eIGL^4UBHlX2YRkJGQkQ+xnIVtk{{@`{fH)BcwpZH^}f@gV$=PoIiH{ z(@?R?2NmDNp<=JhOcnc-Hsf3T02SXSu&AIk65DlQe8uRI8=zu;42ujEKZ~{wd3*ld zbpS%x)=$`0V{TF|_Dvl43lRb76C8Pf8Ch#3_=+2!Wa~^ z^0Hd_uSd0U+ZRBkgsL#KcR^{|PEP7+4}ud8NWkl~<`xG^zg>R9=CmD-iru;5v};}`d>fy!(Qn2l}a zi?#~X`(Hnl3zd0j%0u;UjwK%I)pfDGh4;q=;O(X3YzJ>&*;YT_f=2V62X7y2s}HvI zoI}*go*p_@dthDg_Q!zzv8}sgTX#G56^(5L-ofY^jBSmvh+17wDuE*-hkY`fVbCyM$`!$B_%Y)DvPt|U3stDKZP5oDovQGf-^T_-;0}8u_gsZTJ zQBq;40`DxOHxn4I=-$v^OL!3M{Fe{BbIl;$*DZCA zwqA!O2Y6qLfEUx+VrLWHH;m@rY-x!mtT8VN-WOloWt&F%%KYPy~xGmP&!259s3U43{HejJo!r)m%jB#Z4evfVJR7@hf zED!$r-rwLg&}E=&p_MhgCx|l!+HW@t?lcN%7C&5*s7_PFwP37watiXZDZrIHvK@Yg|1rYs%u76&kVPm{LmCMIY6xw zf#O4c7UOIzgrl-rKPpy6J92e{Gc{K3QMf4+J!pKc2FBz&1#Lq ziy9u>3Th*CHL~M|qk>H^{-p+}SIGD_!`*w?S!fF2Yz6fy$?@uNWvTX1J#Z$J665P+?~{R(c?$1osA|tsBOb2 zik(HgIe;_9=pW;45u<6Q+gfGwDh$aQp96TWYX4X=UMLWKD$0$(q?V!4F;~$~$g;r1%9SX-r z7{?L^sKpT|EY#VON5>g2=uS~d6@A_asCcm*+e6jL(4y7at7yWII9?XEU>!#7Z3FcV z+!sdq*;3yC&Q>UFMgF-#P zmY&3VPhym+5L*iBINPCc7)5!;4(ik}sL#^UvkWPq(j6dmI+mP{{+SV0Z&m>i{ z0o8Zxm%Ogzfx0+^vjfznW?Sm}ifiw}7NEWt#MuVw3R&v|`%49X{|V}HthF3#p^#$3 zt+LLBUl)P85^Jr*T5Al`^-=Ww+V9{tUE{~u0;)VAR@X=R9dzD|CI!^B2B@FvX06Yn zZ6{ZKk0v{)8^WNw6!=AJ8+8$`~<7@#H?{0`y-W^)m^2VcR z!nW{)Sluhz+86x1#qxD%!nS_Iwhmg%T0dEv+NXtINPDhygyK)5srb_{j63{6(hEIMds_)J%w(6^oMz74e6QJe#yonBkD zg|=58Kj8xH0;F&O*1Xv4^V+q6*P_3uXhI4XTS3E=pV&sxuJ<*a+V4`(t}}2(MS6uz zR~w(rb!a(gH$-v9nr)@)rig757g(dpM!P_Hq=o^pTd?p zVUSJ%&~7DPb`Z2|8)&!d%-6+-2>sn?sXH!p!=;|Il!Hq>ajC11m2^gMMoSM`DlkAR z@Z%DdEW}cU0nl;{Rx%3rdZihxeqiAOBdVNQk5TP{Ohr^r8}^s zPY10RMd=d)t&an=eme8rsUR{y>mOxH1F_@)9kfAywlp}1vkkPn!)$4YA7}hKG{Tnr zQJe#y4O7_CaP$qwzayxHkyvk}4Yd0x=4dNuqjb>5P|W+$cR%_bq?lu|-dLKqx&}P|K$=PV16#?x9oh`i>##sSvj-4&dF>v;S z_KJfoz3M|01?{ykTbhf$x#*im?ad1#!g_B|%mofa5zy$cp}mcz-wJ}ZkYX;xdJD1M zVv4!MhbRi#I~4O>^u3F|_bKN4VMGdO%YCf96#<;BpnYJlrIk2;7z8a$zE$X3g}&9~ z`v`q&(6^S_TWcWlgT`J51nm&n zukApDzKcR^>0%$ED3mt}v!%uc&VDGrG{Bac=s4S<{PGA}!qdZYJUuM88EmO3&Q}JZ z{3;(?x*B~~qpumoZ0*#>;TXeQ`E7rReBUtdk zbnVz>UeyDsK$1`)a~Qcik%I`k-tyGqI=I{Mkvbx?%SGgxLW9b=|15 zahN?dau2lZdiixUX0-wLvjO(Rhm~Rz*2WKkN3lFU=MfVc`3Ju70gte;klCXH>`4;+ zwDQ*uGxqQS*KEc}H-h^)JWER%dzwwek+G+nHWs_f?|QW_|7UB_2hJ=XhOyg%`*}Sv zw)mA=d@d9p`y(BL;RB&~+iGlyJqe1p&Bq1y2q@mRN@uo3R$(Jvor2YPbt?;&#V zylw*bOn(|)@7P5gw$7Xv8)5jwY@FAMaJ-H4+K__RmZ&Jlcy-;br{eX)*zX=eUhTmB zf+G#D?Fk$>uOAE%J9A#U!=f(f)eXt>cFG{mNdM>qzIFc8;1;r<3%S^Afil|GxmWEUD8Z%}*p4Vn|0{6SYGr8L!*IqAv0Jz?zEJu|+SOgS>77_c9|5FGoPck-WME<87Jo%JGZ3#A}r= z6|VyWF2Yh-ox#1*o`#pxF5*aDZehxNoWSS8qB8ONIGT#rOZy!dG!GIu5{zqO92r_@_OYo^un8|v59!?52fl~^;YX+kXKJ| z?+v8UYn~zENM3J7<87Js`-QrwOS}&HtMPLG5^n7}s|E7P0ryYo#;+v-5l8Y`dK%kt zxmCm?UWY8=*Ph%*V#$-{3AOu3=(a&qn;^kla39iB3s;(W5y4ON6E8MMI7}uH4YL)Y z3lPtS1RGr#VJ?X%M+AS1R1*$=d+%}2rR$Ja9=MPCQ}e*MQ zcww%Jn26VLhq&&|{v-rAdxjuSIds_&1qs+im&sa$%bnKpQ5#uw^z8oj;>YCU(t?*)IITsPo>C-Z0o41G&I`DrtYL9oqz$E<-`^ zKU#ew0}hx^BkB@|zv)IUyA^;<&)G2vhyJX5aQ~C8>G#d*nK!*ciEo-^^u+E$;MSPi zto2-Mx&RcgR_h#mYka7S>gLw)BzBIBwnmv;6{ZBse2URQC7xM-{7t0eMzb%Gj=2$J z-^y5tINH?onb=h9!uXTWi`E`^LWwuKlrtTPP2+KbE3qnJBT&DL3z;z;%#ISu5}mUZ z(JwI*%H;Lo%(gD%Rfw5V!8E+qnH#ufw$P{k1YY&SCa?4DCa-(%`r%LHRRqd;mNdM+ zF!#Hf;k7xMzzegvV*4>>bD6v%TMvJZyoxc~%b$kVH$f4nW_WE))aydSo9#Aez*S~(LVZ9Ff6L?`(o#irknj%Ap2m#%*uvx+!1 zqu24Mh(~%|rJKB($^KQ z6|)DEWD+AerWcCpjLv++^4}lQy>+oPpQ!1U*0`pRPHQ<9o7OOmQAt$7Y`UIVJ@cj| zZEE^@tGP99i1l7v{a=cv%|j05_;N%#4(FKaWy~S&ZaU+@(kWv-71JqsPel&PUVW+! za;O01hG-fcthShnnV->M!ltOpII#CaVjS@O5R=2@&3vp^R)W$dl7>SgGfw6@$R`bq z1Iq%9alkB4lfwt!EW}gytSV62hSG3oViW69O8gCXoL=T zMNAG)-FO$f8ovXSj!GI1t^V&iU>>fhOdM7kCWj9#CWqrzUw!1z89dH!wb5}p{ny1e4?Ax2b9~aX*hHeVKVOzm?JDIGaXnyaEt@y1DhQFbMkdI zPWA<*b2JTyu4bIfb?6z6=fJXyV;nHM*yQl#=KE$Lhkl?qB561{%{ZBJaGU&@4lGwW z#sPDcO%8trhPFozcY@L-l!imG87FfNWhQ^(FyAUTVCJ*QVZ_ApH;_YrP`U-va40w9 zWX_?NZi!9A;W>xkfO*s=ha5QLY2+{flpg*x9Qqg{PUal?heciDFa|eB?8)^>#pKYf zRj295VIU|uN*WG>%{ZBJ7^=r}U^(8RKOFX(9PIVmj6)8CK*_VG;V|5clR1a`O#Vy< z_MVZv{@vs_xCEOX42sK|x@mm9QLKX5bSyuC*_kcvjSzgBNz|pL=fvNH2!{^!$NG1H zk{?aebmHx+`3BPeZ<~&z&6;K}XUes92F5;yP2UZQJCeF-Os^NrGhXYsyKb!M+uPXU znx5l%^%OQe1eC&1>ZarF+?Y+r5jLJp`a88 zQ**!ij#uR`{~PeOgq!={IUqS{k89hZ2M zans%x{em`Yn!UCmo1Rkto(0(Sa8Syvshh^vJw$c0>HoF|;>#hTE^E5WEQe6O%Pb#P zK6_*IoXL0WLJs$WQV~t$Antv|-D+kWmf&^(kGwx_@=EHJZ(Ffks(Z3=x3ftSk5BNz zH!95@aiB*%cBVE0lwL{qOw22}xz)8v;*fqgl(*R#2lk?9tXJZTq9%t;jjFyt4kJOi zBk4Yb$zi@vXq--m^c>!din@$L*S_)$<;$qKeflkJ%pNV=2TJcW*Y@7G{31@xY@d0C zh)3FN2ncPuzHc>o_4UpB5qXUQrC(Ct75&D$P#1A(h8Lbr$0o*$y%QYUX82C9xy?$K zOdE>4MuXDdk=UQjZMH-gaWda#iTf0LojJwPypaRwwYv=o|>& zjZffk++lJ!9xyo!)sLNx9L9ojPrAOl)+XX)-gh4fiMmXO?#rWsL-!SSv)>e2TQMER zfigU)@0#0ZgI&a_nf-d4A>vWLS#K4*dahSYUR4V`SS%|5$_OQK`9 z%1j%X2Sgl}$?X#gncHX6`bP?p!+200^rzwQljHx+LFPyihkAyoL%!AAKARqY*+34D zf-){$-#t{r+s9|F6Y(kxn!G%*zKdh=E?bAL$ZG;94<+?ov)>#E$7^%?V{s`8!6)L? z*lzM_7%;cl@>4wm$m=ms@I{!^{pMIW7DnD7`>)Ua)P!-6eG^9PQ)B!lhn+3X$6{F% zL3uP?-#y{@zjJVls&wbrF;a5q6*c?K)?ZglK@O8Zc`T{#n%n0uMFgsu{n}|4@!0ms zJ{}O-WbZUgUN^t0H9%gEgEC1^+&+RAzSS(^)C@02K*S?nTXexonG)O2aJ=!p>_%_a z6QDd1N#rHQ8?{yfugu1qZozmC?8`;5expp4{pRX-hdYqNWKeLIOWAkTx>$fuOq;6mob5zs8o-Z_KgfDu;-ZF$esfo2X0M;uEREXOUPR`6P7CBEY+fS<^t- zkfeh-?lxCMoJ{&i+ItlE2|5vvcx?=rePmN?`(P&7WBy+^Bd=#c*%(gTJ|?g0&GoAp zUh+L4;`OE6W?vgzFWhDwcXYiAc|C_8-b=U5>bv;qJ~0u8Z-b^bTOB5cc14$- zi5#BCZw5vZwK4n6O?D9{^L}&C+}^}tTh!$6gWc>mrA@52BZujrY_}(JFt^Xmfp`x8 z?Ut*5blelWy35r-e;__jZ` zeX#EiDzV>!9A<*@W77C$YLo2~acZXTZnuhf#Or|EWqo>%tfLWj%Ot;Ot z1Vx;h;q{JP#3Nq6>gF~(teE}zl`*@=Ag|f@HBKYVHtU|iE3WXW zesfjdv)OsX3-~n_M;Z>fiddJ7_bL3Ii>ON+{*XGH)XjbBR>vN8pYcUd{z%fn>^Fs0 z5vNABk7r3h#ACb)Emo!t%OlS2js2Ou+gVsEvN?QUFYdv zItEkw2I6h2ZOqm`fj{NJ;s<8p%dOI}T-k^CKc9$K9ba``^^C`q)fXc#9SZAO((oD{ z6md*mNr_^_%UjooFGsxM@@ZLJ&TCablp|i}hC~j3q18whu7RU?%*@6}a1IpKPbb{S zun1@pOlp`2COzm0p3uCbrbP;$Gf1^};U=iP+4>JKDnuHr~-54ab+G4I1~Z z0`a=Cx?afZu@-e2A+J}VuxTJQuOtq92T0_=c_nh-ye0`+aeB#}f_SxXRMV@lRk+9B ztJsOaYxq&8>H`MfF`o#E;HF-2sdlDbNiW$GFRx8hjpNnZG!m~H{MC4&Ub*8RP>|PL zD70Hs>xCb$6v0hi_-V>`8`tZ}NW6{f71ZNxv>jEsqZ6-odNp2MC;GNqUwj#P{Rax$ zJ5uwCOSdw4#ic))da2LY<2f*1@e?b@dC38fcy+Rw14ecrdBAWOlcz5J4-$MG3Oj{U z6I4})XvicO_YQ+29OEaS3 zhrvZalVIEfdy`<&=P1%D826B#2WWwa9Be6gt!JN_y-~duZI_Y?D{q z>pjetmRH;>M8vDGdXFnC4L=%vtQ>j00fj|rdR(dvn#7g%ge0zMdEp1)g#N_Kt5nkq z_t2kTo>z*z7C@mln!2MUjS^fhbJNm@zhF6D# z_BgL>!xEd!evhAYjkj@LZX@2tdC8+1@fucLuk69r=EwVWLtY{LR9`y168jD3m8cEZ zD^VNHD^>rJBQo(CRb4OS^>*vw!;sfPD8%n*CEWHAr?N>pa9)Wza9)YqoAXNC-dwM~ z0ihxB8XKv$9lPZz2O`&RL0*fXaGXC4FWn*Hux&=X)Mvx-HpVM{68mGajq@sT2s`n5 z)Simhr!V^1t{Gv#ib2q%nQFEDb|5_P1VgK`E!=!Bl+`=8!ZoxK!Wc;A$}k&Wk>rj50s)& z_V-_fi_54Zf-|ajw89snQ+}?0J_dRh3e$eq=*^I5QwldviMM6e(c~#8@zMj;HYn}@ zO)k2WWskoHg>!7F^}=tE$8+F2rhI28^9?FbEr}PNc-7+1!SUOmNgEVDaI|V^&I3qr zDSkv$Pfai`3C$EP>D_A@Ig)xIjT}k6mG7bR%%c?%T&jp)+bLY?=LF@iUM>owWpWrg z&k7-!ZN$JV{5aEMUxU7{%|Pz&L*b%uBKLEk^Gv@5lkc`W;>E?jgBR3FE^LlmL^dQ>sV``SzM0Fi#!|$^S8(_Stj0=94HNoab z8-BK0+VCdFdY`G|`arUdi`k}6r(@x((>ZY{4l!#wgL)wMKjP* zCT-P>jxwPra~-8-Qpe>f`s3OZIvPfTj>jXWj>ls+cIEi^ulx0#Ex*7Gll1`<{$|NQ z#~~)qn$fYZRjg0uI!eu?jw^#Ap4_kZg%fPJ+JGOYmo^I&ooNUii_WrS)E}=oe&12l z@k1!8rDUL^TEjZZM3~HVl$uE$*Pu##k~+#{A+nKewi1>e$$tk&b&N>lCSvI9|)-8B@o&WEOVbQ-n8mV&h}cwYH3O z{Ql-=-bEe5P;`|)107}3N6qNiFd)`Da~-8-QpZp2BA(eF@i!R~Y^*=x4=zX>>e$h0 z>e$hqk&dhSt~(QTTm?mK0~zReRz$R4BRa+$_d3QU1=Ng=2hH`)Tt}&y)bUHZ)JN>s_E3V2bi669 z9O|f==Z{5dX6KJLTaJxG9oIlnaR%p)_$!QpQ_bl3zBN8xM%Od=YYGW<9c+L7){H0n zD1Vw!^IDd?Ln4PhX$=8_yT<;`X zW;#mEWPjWmP0$RN*l(>Q*!;}41OMj}={P!Qj*p{5N&EG`{JL^?PiWZD)=!|0A4Ac- zY0e*$){$Rd%V&HU>zMS=d`1A*C+;&Iq=ivk+w|Ah9YZlG=qA&22h&I1EtmaquT_*Y z`y>8Bo3!D6JxMopoD{C6BU3iz^)>1^s^L@TppI*yXuLJe_?V8MA=`edwQoMy5=9tetfrjGd2bqO|9ko_>7w4shObMSyrr9#k z(WFFu;PiD&ypfpMel0bVI{tzx@k#2~#3U{ICPXM`cH)QpTwJ`r>$e$XGk*dk5V(K<6%VzBz0_&LdRC37}s%`Jbzqf z&!|8CaObtxqmG|I(UNrMk12FadKQ2BIwn5#%B(+1&7_XUQuN2Rh7e8m$4jMmiq-=p6Pmc>@%El3wEM+AL69 z&pdxDt`|xGnj{kZ;+)>e#oj-m7#TTYK ze@xO0S+k$a7VX!Jj$3i9_(Xlmz&4V$U)udTPBW_Oz`FRecRU(m@w^a!0X)HmEwbPD zmNwL}W!TiQCEJVR_iKE(nr^>F*VxOmR-=yio2u8?(~OU)bd=X!HKSuk4aBA)DzzbFYdTm$yI?9zrhI?eOPVy7diKmPmuo+%$)`3>s08H&57 zJAX{kALBmEnz4>a`|If+XQXCQ#~aM;B6U0!l$v25Wjf+dQA!)?SZ=eNku0Cbnr?S4 zuCTOg*}5;v_azjUra5g)Ufl>bn{2aJx1~>`kSQP}eV}^XjJjcYyO5AT%GVxQ^2wAB zf0;AE=4bMCib@;GHz-<7zT&~`IFs@$2VGBWdEJKceFeq+{Hgb1&q7@^L92_zPD?(| ze?&NbMhY+X>P8TqD)a5W^KluF9i#U~n(@~uF@_$1|9sY@Hm_jn*f`8u?kdlKdQ28zdr z)AYW_e4>e(k?%nfu7>23Qc3x`o1CS58|ow4r=6Eg8yp+tT_=P`+=Wcv>Kh ze8WsCH6z~u!MKLxlTu0fa!k%rzCDRMH0`{H;%q42yi9vvtpS%^f%0vE;#VzcfiWjHreL2_= zpK3Q$NEfr-?d|2@}PWMp%{NGJ|P)d z%<+K}=!FuQ@2??PRc!``>WkwV{jL=!b4Uvm0m0OeCF?D1{z6PH@Scw73*VJVfA4_^R^O;WxlN`lSL+HD?Z zL;3bwGm>v`tK`zevF3E2&$(_m$L*rn~CE$M%=+X~9lhc0dV!2q)#(mz>WKVXM0YSDH^G zshw@fSqZkZ7j4NGd{`^_Du(a8i8=S_cU~!#l&=cK;ggiF(3)Vw5}@4?XG8feid5@; z|B~8Ja&hQu&kHPN=?5q|-;r9rG@b}w=EKdszCCX<&$(8Ajyz>mv1ogDY>B{#~AR!(ur9O20 zx4lnFCFL6&6m3ZPhM-`4A{#D&=8sAn%GbX7k@w%_+y2)3`0zAqCzRMjX?ou@Lo`t{ z^5KPLY@&F$bb9hhsib_v6Qx3tKpPnp?MnGZTcr)<>mI7M^Ztu`=-O#He;dlT3rZZe zH1drzL=!b5-v~!Sya29R(g2a!*e9iu^4*^(70EZ&FWQyz1<=DMI&_rUGL&zhe%E&> z-)<;zr#Wnvu`vNQf6WUHPO`Qoct*q7Aw8P6#I0P)yJ!S)~noU*BMc^1Xku z+tVoD9w_ORZtN>HL=!b5pVOWYFL1i@NvWiKPb5keWl~M?i*{x2d)nkez3<+P#y;G6 z{U04}j`IBoB}4pac3uarAfIYRzV_CHc!ATEPf8`_dnP2>kiBn4IKhTuf;QVBZP@#8 zOC;Z{|M#))r^nwKi}LM-lCkN=zDsSQiJFnmYOWf^3!JWeQYtCmi($c8$~VVIu#tSP z`lJozdnVJd@1L!|{)Y1HgOVrHjeT`Q3;h0Er26V+blw;DUBH@=Pf8`_n`;QtQoecN z1RKe>z#(lY-^-bfeV@+muma`V4<#?88T(2P+eH(!x~DDQ-atY;TsplYuarv4_qJ$4 zK0((O8p0^Yz9l|sL-`h2GTeFh*^aRn8xKIqf;3}a>2|AVqGsfiU#g>cfzy>wN+soc z*ASd#?|VO-V58o*A|P!j->T|kA3lvtch!MU+P-aY;Rh(+K`2>nq&ajfF`K9n`I64@ zPWz%wlTu0fRti<(dSCnp*<#OmG*bzkbatrIPZUN|cIv-#-p1RqVX4 zJS!}1D4)y7@X)d4=eJ%*`F?}ap6SNEI--UD(Q95Ql__6&ZHFLjj(z19`x0!d_mwv` zqz&ck70yt;vybL3MEQ1%^N3`l&^^{Wr^*)H$+uL8ilug3BHwcKEek`oO1=-!_kkTsuMDz!VGHE; z^g+cM2U95f4h*^``t6;cKLZu`RkDiJ=)$!Zu63cMd)^ua6>Eby+n{1Y*mQmB->tl| zA598Wd}2Vw#(?Si!hR%h{bV#*q2hBLDmKfuzO>)h4(Gp4nFAIrg(ksy;-;#g-OI+7u8%^_IQ*#q zFZOh(wA-Nah7fdSS0zw+V*qjWDqqLiO4Qp|U-e?iezu+~VsL8GH;) z4ye320+o2`6YB((oo!1Gt{8?UjM@on-cG-?%=&%h?Z%J6erH3aBaE{GmEEFdt?s%# z+-wS(0ytZtGDo(Rs~^0mcqy8&t)3C6bV*mfZ+KwoS7<_)6J3hm3|MHpXzY7O&}4y1 zd>mL=CMEV-$E_Z97%Dwcs4P~XvRq1B9@+Ixy$7LE3*n4Gs|+(>ugIeH+pu(%A7>2O zTe|uL3!2Poj3#vTM%SIXS*ySDUZZxep~()F{lZW=$Y;6+TSpuW4~5EsQJe!%ImBkV zhD4_MJ8p!^yF)lTpzni>W&paCQ8?&;Eq;BP0@s|iRgMFYzBN%89w>w0;rs5rxVb0VrIUwLTZ?y%vS+3I*#q4+WrX6z)G278Yf` z9dfaYXO~gTH+_&h*p8!ofcf6GK|yyM^W9Olw9o-L`)rVnJrI``Tc98(0@)h1w)_n3qc6mKcvLAkA7hqV z+0x1Y6kKS9?5l%pX_XE+qp+kJVM}XpUmk+%|1q`xu@CZJ3PI)CF!QZ5aP~vxCl0o> z!G{Rd_{_qVKDQyll6YXjr7v`x?NGUie4Ej?34LFZ4`0Ks{0e>FkndaceS^L&VOH-u z17|-}Zga4u?LI_NsKf&ZF6}_y4)hu1+lf8{eY?oF8-2Uc_hW#S+^gekhf2IRgi8l( zh(b_#kbFO(?j-w9` z1C=MpcM^Rk(RYe`f1&Rb`u?$Cy()_hQ3$HC0&EF%ob6Cmn|x>35TUOQ`Rbw%hx@9t z$X5@2XQA)xFspZtfwLc~>O0udc|Jr@sIrppeDs}Ylqwfat+0l0c`fjwadTnipLQr*6fGxGtakfKM2lCyF zz7FW?NWNRpcMJMDk?%J2bwXd~Fsqks;OvL0+Z}AFiw{v0s=AV|8~VDTuLt>hqOS+~ za>$p9zFhP<1FW7)$Jq{51s1mCwjl~Zl|sHk^cAA7n0zJZD@I=_`8??JppW*(D%FQ5 z3RPN|MJYFM_Cr;rgDu?=M1;QHQMT0A3RQh{sOsluOLwC0P8(DWu(PFs3L*ol28Gzt z;0Vq>sJfecL(q3O`i7Fvk3K*8hLLYL`i7zJ-YBa#(h5~0bf~(|&z5jZsv2d3s?p@T zAAMuc_W=1GMBjtx8%w?b`o^K}p%AP0a0F)`RE@W@rAHM+22@QT-(%=|41JTx_c;0{ zq3;RuO-A2j^s!6{sCp`hvkj_(QMNSI3RO?*Q1uM?rlD^d`ko~pj?Pujp>I0*W}t5d z`exZ#z1a#P1FBvKu_YX#t8j#_(#bameLDJHCf_UQdj);3k#8>gUPIr1qO9ILD^$I% zL)CmgTY4jivkj^iknb(@y@|fJ$rnOj2z?95w-|kk(6=PS>b(=e*#}kc+1b)k1(5+& z?~`vC`j(+@1^GTe-wO17NWPWmTZz6^epYXF5N8`yt%(IBJ zd>hdB3Hm-I-)HFi%m&$Y%|l~V#8$82Z*HjiLV>D{22_1%V<9((z{Sc@o@p0H)WY8S-LrrG8G6+XvbD`^UnqeSGh*4DJ1HH!6Xbh@#}DGUgA8S+e5z)|tFyeNnO} z9-d>$_qfqwmh_DJZUQgaAQX#9myq1njBMDu=|Ym*NC4L3>2i|WuJgz0(nTe=T`yXu zOG|FE+hXPD0+ZWr46wf#k1jL0?IvH$Mi-mh);<`s72?4mwkEe<=@V;G_xRXnc#C)m z7b{uP-qbO!WSth%A3DKfD(nwyyKtbXPF%?|u4{C|N?y|C5GCt{QnX%s`$ewzc*z`5 zvba?$p0zHV82y=->=s~uvGw9EdWx9f3gi!GCD^qw`^!q!x1}hVHCl1}!Ah_TY&K!Z z+t0G5C~0|W*s)ry1iMOSf3aj~BeP^_4^z)GUa9wCFIIwGaEg-S3=uP~WbGjjU-Jkr zInY#orz1tlTJKD3`x7sDr(MJ>j;1IHea5t^%S-n5i;|sfDN1II*|m8GFIi=l91u!T z(y}Y>+ikq07K+6z*=nwPVON{km);z8^T)j8WQG3XL+p>tm~kcREbRSSOnG*b1l7Wl z%gi;3D|yCeOTPP)#~g2#e9l~>xRSM-NB`K!O9mn$=7V;zMsX!;owclXOr-~{qU3AA z6eXeC_$z4OWLNvKlBKxg$M&PRm{~`UJY9#kKEmW_v!*C%xogRguDs+ue$jePh#?G& z7x3&a_Ak1G=eDW7n2j#vxouh`W~0k_ZhJluv(ZI8x6O#gY{g9-46!z5``BM>gD&tH zW*7PN7yI?2L57%Zj*b1ra&)oJFkbA7cy!6nZF7C>FP5VVf3o4lziDFtCw2>f{bl9K zbcUGiO&|NqY-)fZW_#Pl{xX}JT4T0_4q;RL3^Chc`itf8)QupX&*5nxPS~I5h5_Nq zC8n*+jQ6gM`B)q5a)nLo1_JxbY~?1kQl$i#VzW+3jh)EaySb zLss%yq31DfJ0E(U(8<;S&pdgJ3m|8(jckn|X97o!A?I;2SuTN`rvd~og`DZ!)&z29 zh6r8;IdgPEmqX60VM13x&V1gk4RYQLknKvyS?D9w6ms6P5xNR;KIP@EhMX_LWV;4( zzETJ^gPdobSVgT0+jj2%+mB=NFDzLCz75u7{j|$Z2T}xxk~`0J(K+ zWW*akXBmXrK<))mLN`M0MZ9iX$i2cxwwoaLDhHuMu1Qk$bE}@yFzYEp>B}7#6jNfkoz7l z*8_5w`N-B2azEg<9LNoGTQ1~&6ee#TxQ; zS`I=g`aqtY*X;{=?Hpw62YH=zLU%%*gM0f!UN?hm10XM#M;-`y`8Ki*g1kZ= zc`)Ra>SVhM@>E{;Zpf=N$TkG>dh@zNA@5Ef+5C_?ei0Q9P)nh5qbjh4)Hc7L*8#X*`9>F<90$*An%lo z&{N>F@VY^8*7lL@X>gv&>rMsdIR@FD0q6O=?lf>-Xd~OR;B3t6J_pXrd}MnboL5E( zO$X;S2B8_?Y{~1+1ZQg-*=B+BMqYO|INR%FdjXuc@VYO8v$H|Am%!PT*Tq}5J#A#0 z15Rg%(97U->x5nbXECq)Dmcpwvb_e*3SM_EIDI~{{Rf&^$K zpG=lFz&YGOZ~-{)!K^H~Sk zmVk2xNAH01g(%tH1?L=&-UH`cAK8|Ib3RA!gYzvOWf?dZTgkf|oUyTK1vr-n$o2s^ zSMn$yf|K1i!D%Hp*XxAB;QWlERp8vjqpSw!*Bq?@=XX9T_c1ts@Do}K&fVO*4xD>K zWLpo;19n0iz{QWQmQTTT4!3;b{pD+LwIP$`8*sHV2!0E$j=b&`a20U$9k_}D8CiFeHdPfQE09Sv7&=24m>>y--Yp70WC%A_5$h*Kb%1*Z3;CjGDXb)aq z1PJ{IuJL|Cd%-m^LTDejo+Mz|53Z+u1P_4gS%uI+aLou2`UzYw=!AX-*UJtobMC ze}F6EAaopDU+IKSfNKkH?IgIiN6GdlxOUnIodVa70YZO)>i}=i8t`ig`3&8bPglx4SA3}s`L;e|ILUkbjY=ux=$gdwHbSC7V&%I|senXvX z^&r0y1D33_A^%c?&^eHQHLrUvDF zAb$WymqGsBJj&&ee~*J|TmktbLWJ<~)o6~cg#58KvNeVLhdH_m@+WZb)sR0aOx|lC ze=$(x67pwrTPw(a%|>;vhx~W!gjz%XQU)wpH$eV!2O&G; ze-I(m2J%<=3Ec?!Uxf&@h5R45_a?~SrI4*1t@LRnb+tD z`GD93^xsIC_J7=&(v{FA&!XUP96Otx$&u!IQV<2<^+vSi&31!w!o z)&&ac2MBeCf=1ld0}3wH$<`AJF6Sr*3a;cR7YeSik~a?uS_B9=p`cZekP8azHbVJO z(3aZ@pr8XYS+d+vaEpVG0tL542^B(t!%nCO3c7M{85Aho=7j>)MqU*PDml`iz{l&B zLqT7TDxhEhN0m^(k4#xrP~hjbUQjT?PEmYNFq)$~px{A{dPBiOR`T|Ng7FbTeW73y zxAlX9$vWBYgn}SP{h?qQM+2Z>I!6PcV76hwDQgfE=o}4(f>$}Z3kqK6=x!)jz|jyW z2!$*-WetS_e*T>0hk~UJvfTp(%Q=@}P_UAt;ZX1qXLT`a5v*<61e#h zEbDP_x8}AdzEN!2kZlIIdj$y11b1&Mp;_SWYY>_Z z?*2T=3*a8aOqQ${!F^Yd&`aPRY9oZndG|yK&4JPSD^Hn5TRG0^m&e6 zgVI^N+<%}{50LkDD2?^2c~BbbSM#CtO$T}3fYOCJp#@O7BuwZ{C|$~JZ$aq_g=}v_ z=>`X(5R`5S5LyVO+jK&Up!5enp~X1KfhWU4HH@l zrALE=-iOlTJjyaC{nJji}Jz~itI+5nzz zHbS3*Cx-#{ZE{aun9%3oDF_nU2%aJyLBkX@Ki(zZ3a)T2%#^*(?=)t z6?pmw34INoLA>ra;2Gi|+qd9}^^GmyxtG`d4m_g_@@@ss1HA4w@QmYiw}a;qUiW+O zOo&ps9pHJ~O6UjhJZTUz!1FY(yAwRqc->v#neHI(Zt%>u6WRlwmw4SD!Sk{~w!Pq) z%j@m~&pcjtKmIIffV>C5vyj(4h@ZXTb$G8n|Q~S;ry!))1i+(D@`UcM{6p zv6AgiD0`oyQ;==r-oK#iLpyo@hO$-M`wx_@i834B_bOX!5X!=jaWh~6fU=D`p<4K% z>HwkI_^D?bp);UtD+3m&17+VEgz7@s&M=`fq3lN=p|haufP+vyDErwUbT*V7X21gH zK-p29(78}{JV2;El%28>IuE?;FP5`{x0XTZeDK!w6KVk7dK_H<*`4iVYY5)+LWC{^ z?*$P;7lHR;jxGl8rQF*HyjKLt+Zeo8adZiIn{)4_;JuF9nt=BPKb5--ylpwU9K0PA zvRwh*TX{Jfc(b|pO7QZr7@C5&Cogvuc%2+w4PG}dcMbl~07uQhTgJW3!CTHz3-I=` zQybTUw+}DZ61@ES1Fi$_U|y~jc!%K&U-*{>w+G19)GH5V{$>^P+@0g7+;3EN}~crqxd9R`4$2 zs1tbK3z6+M@UCD0?|_1LCHH27cQr>2@P5q8-45PQ!c?vcc)#}(>Iz+y05sP(N>qY%^v4B(SzP%pF-D#o7+f_eq7;Q_U2gluJ?Hsf9|s4cly z1=a2#uLf${Frjk%@EP}3fO;$UR)X3&O5Q3^yVwZz0<}9wK2UQEvfTlyD@>?2sESUg z52(eQL0?ckcCz&Y)yr*nf?6IXTYpgR2oV|pYF{g%fuP>06B-2SKtG|upbobYx(n2i z3Zc6}9nF9RhJZTGM`$Rh4|C)P^-*5#9#AI+$vX_xCv1d&2gR)99S!R1yxbW4QEP6yAJn(G?Ez31JE+`)puT4#G!|5T#RB6% zUCC_$P}eZ01s(!5HvT;f>V`1c9szZuL1;Xvo4M^#P`}a1HUZSF0YZ;~x`P1=OawJH z{!Ie)N1besgL=S5=m}7NVZZ{DL5&)Oo&@z60~VM9>T!e6Q=py-6AFT6(Fr{bTI~R# zsrcg$4nog>c6ON1G|a#`DZot7+WW{h z3$%_pq1m8y3J`h$v}_xp7eTu{O6Vofx^bj~){~<-pgDcyeHpX@-r6gm6$Z%mDrhA^ zLa%{VrW2YAn#Sw?2ec|?vcT)0`E)|_Khf{oC7 zpiR^XEd?#sci+bk*f5g?mVp)w6Iu@1GYKzq(c=mXGZMF@Qe+KURIm7vY>6AFX& z3fEv2Xmh!3HE8pAxiz4@5utJ)f%aCA(8r)H#7jY@0#*lH0xn zjo)>KuR#0GPTsFU+ZH194QM-fxo<(+X(ihh&~`@%eFxfJUT!OB2kd0q2HH>DwjH!z zgJk<2w8ILa9iaUdA@l=izw>eiXeaDs+X>pA+_np}zj?XcP@bibcMp`;;}ybA@nEUn=FJ*0lv6G=r6$cR0#deUP~eL4|@xRAPoyXp~AY^4PSr9s(y?I09_@=i8>oW0&a=nD2W z10frGd4bTC>|F&yn03!bvszcNHxbBoHGA=Z&^3VX84zm5UMnEfoV`Urs0DjzfY7z< z9RWfu*((8ru48Wi5NgE|{s~>r^7{$3X6gKdZeXeWgzPMhpHLf?!cXW%mcCD@Elb@e zbQ56OKB0CjWuH)cmab2z154E>bTdoSC)AOp=o7-Hs=OE1!l$Z(?PTl3(((!2#!~VL zb!O@Kgz(-RKO3&)U}^Yd!zZonIl_B$`C+nkWvTasy0Nr-LU_OKLMx#jEZv?^PnK#= zD2Jul6Ut>N_Js0SdOaa0U}`-f7fY)rl+RM?2^Fw(dO~iNN>4~(Y4n5&0aNG+6|wYr zLd7g~o=^!(nURPpB75g(u`=Y4C*ZU@7o~db9L*LVZ~3JE6WT?VV6Rmhw*MPL}RYs6R_}Cp3Vi zxf2@5Qrrm*V(IOK2D8+5LU*yWc0zXprnD0p!qV9Z4P~k9g#0XxozOijg`LnamcCAC zI7?k8bT3O=Cp3bktP>i^($xvw$5Pb^jbdr)ghsOzbwXoUdOD%|S!z0=2UuD"0b1",D_IB_PWDNB=>"0b1", + D_XGE_MODE=>"0b0",D_LOW_MARK=>"0d4",D_HIGH_MARK=>"0d12",D_BUS8BIT_SEL=>"0b0", + D_CDR_LOL_SET=>"0b11",D_TXPLL_PWDNB=>"0b1",D_BITCLK_LOCAL_EN=>"0b1", + D_BITCLK_ND_EN=>"0b0",D_BITCLK_FROM_ND_EN=>"0b0",D_SYNC_LOCAL_EN=>"0b1", + D_SYNC_ND_EN=>"0b0",CH1_UC_MODE=>"0b1",CH1_PCIE_MODE=>"0b0",CH1_RIO_MODE=>"0b0", + CH1_WA_MODE=>"0b0",CH1_INVERT_RX=>"0b0",CH1_INVERT_TX=>"0b0",CH1_PRBS_SELECTION=>"0b0", + CH1_GE_AN_ENABLE=>"0b0",CH1_PRBS_LOCK=>"0b0",CH1_PRBS_ENABLE=>"0b0", + CH1_ENABLE_CG_ALIGN=>"0b1",CH1_TX_GEAR_MODE=>"0b0",CH1_RX_GEAR_MODE=>"0b0", + CH1_PCS_DET_TIME_SEL=>"0b00",CH1_PCIE_EI_EN=>"0b0",CH1_TX_GEAR_BYPASS=>"0b0", + CH1_ENC_BYPASS=>"0b0",CH1_SB_BYPASS=>"0b0",CH1_RX_SB_BYPASS=>"0b0", + CH1_WA_BYPASS=>"0b0",CH1_DEC_BYPASS=>"0b0",CH1_CTC_BYPASS=>"0b1", + CH1_RX_GEAR_BYPASS=>"0b0",CH1_LSM_DISABLE=>"0b0",CH1_MATCH_2_ENABLE=>"0b0", + CH1_MATCH_4_ENABLE=>"0b1",CH1_MIN_IPG_CNT=>"0b11",CH1_CC_MATCH_1=>"0x1BC", + CH1_CC_MATCH_2=>"0x11C",CH1_CC_MATCH_3=>"0x11C",CH1_CC_MATCH_4=>"0x11C", + CH1_UDF_COMMA_MASK=>"0x0ff",CH1_UDF_COMMA_A=>"0x083",CH1_UDF_COMMA_B=>"0x07C", + CH1_RX_DCO_CK_DIV=>"0b000",CH1_RCV_DCC_EN=>"0b0",CH1_TPWDNB=>"0b1", + CH1_RATE_MODE_TX=>"0b0",CH1_RTERM_TX=>"0d19",CH1_TX_CM_SEL=>"0b00", + CH1_TDRV_PRE_EN=>"0b0",CH1_TDRV_SLICE0_SEL=>"0b00",CH1_TDRV_SLICE1_SEL=>"0b00", + CH1_TDRV_SLICE2_SEL=>"0b01",CH1_TDRV_SLICE3_SEL=>"0b01",CH1_TDRV_SLICE4_SEL=>"0b01", + CH1_TDRV_SLICE5_SEL=>"0b00",CH1_TDRV_SLICE0_CUR=>"0b000",CH1_TDRV_SLICE1_CUR=>"0b000", + CH1_TDRV_SLICE2_CUR=>"0b11",CH1_TDRV_SLICE3_CUR=>"0b11",CH1_TDRV_SLICE4_CUR=>"0b01", + CH1_TDRV_SLICE5_CUR=>"0b00",CH1_TDRV_DAT_SEL=>"0b00",CH1_TX_DIV11_SEL=>"0b0", + CH1_RPWDNB=>"0b1",CH1_RATE_MODE_RX=>"0b0",CH1_RX_DIV11_SEL=>"0b0", + CH1_SEL_SD_RX_CLK=>"0b1",CH1_FF_RX_H_CLK_EN=>"0b0",CH1_FF_RX_F_CLK_DIS=>"0b0", + CH1_FF_TX_H_CLK_EN=>"0b0",CH1_FF_TX_F_CLK_DIS=>"0b0",CH1_TDRV_POST_EN=>"0b0", + CH1_TX_POST_SIGN=>"0b0",CH1_TX_PRE_SIGN=>"0b0",CH1_REQ_LVL_SET=>"0b00", + CH1_REQ_EN=>"0b0",CH1_RTERM_RX=>"0d22",CH1_RXTERM_CM=>"0b11",CH1_PDEN_SEL=>"0b1", + CH1_RXIN_CM=>"0b11",CH1_LEQ_OFFSET_SEL=>"0b0",CH1_LEQ_OFFSET_TRIM=>"0b000", + CH1_RLOS_SEL=>"0b1",CH1_RX_LOS_LVL=>"0b000",CH1_RX_LOS_CEQ=>"0b11", + CH1_RX_LOS_HYST_EN=>"0b0",CH1_RX_LOS_EN=>"0b1",CH1_LDR_RX2CORE_SEL=>"0b0", + CH1_LDR_CORE2TX_SEL=>"0b0",D_TX_MAX_RATE=>"2.4",CH1_CDR_MAX_RATE=>"2.4", + CH1_TXAMPLITUDE=>"0d800",CH1_TXDEPRE=>"DISABLED",CH1_TXDEPOST=>"DISABLED", + CH1_PROTOCOL=>"G8B10B",D_ISETLOS=>"0d0",D_SETIRPOLY_AUX=>"0b10",D_SETICONST_AUX=>"0b01", + D_SETIRPOLY_CH=>"0b10",D_SETICONST_CH=>"0b10",D_REQ_ISET=>"0b001", + D_PD_ISET=>"0b00",D_DCO_CALIB_TIME_SEL=>"0b00",CH1_CDR_CNT4SEL=>"0b00", + CH1_CDR_CNT8SEL=>"0b00",CH1_DCOATDCFG=>"0b00",CH1_DCOATDDLY=>"0b00", + CH1_DCOBYPSATD=>"0b1",CH1_DCOCALDIV=>"0b000",CH1_DCOCTLGI=>"0b011", + CH1_DCODISBDAVOID=>"0b0",CH1_DCOFLTDAC=>"0b00",CH1_DCOFTNRG=>"0b001", + CH1_DCOIOSTUNE=>"0b010",CH1_DCOITUNE=>"0b00",CH1_DCOITUNE4LSB=>"0b010", + CH1_DCOIUPDNX2=>"0b1",CH1_DCONUOFLSB=>"0b100",CH1_DCOSCALEI=>"0b01", + CH1_DCOSTARTVAL=>"0b010",CH1_DCOSTEP=>"0b11",CH1_BAND_THRESHOLD=>"0d0", + CH1_AUTO_FACQ_EN=>"0b1",CH1_AUTO_CALIB_EN=>"0b1",CH1_CALIB_CK_MODE=>"0b0", + CH1_REG_BAND_OFFSET=>"0d0",CH1_REG_BAND_SEL=>"0d0",CH1_REG_IDAC_SEL=>"0d0", + CH1_REG_IDAC_EN=>"0b0",D_CMUSETISCL4VCO=>"0b000",D_CMUSETI4VCO=>"0b00", + D_CMUSETINITVCT=>"0b00",D_CMUSETZGM=>"0b000",D_CMUSETP2AGM=>"0b000", + D_CMUSETP1GM=>"0b000",D_CMUSETI4CPZ=>"0d3",D_CMUSETI4CPP=>"0d3",D_CMUSETICP4Z=>"0b101", + D_CMUSETICP4P=>"0b01",D_CMUSETBIASI=>"0b00",D_SETPLLRC=>"0d1",CH1_RX_RATE_SEL=>"0d10", + D_REFCK_MODE=>"0b001",D_TX_VCO_CK_DIV=>"0b000",D_PLL_LOL_SET=>"0b01", + D_RG_EN=>"0b0",D_RG_SET=>"0b00") + port map (CH0_HDINP=>n106,CH1_HDINP=>hdinp,CH0_HDINN=>n106,CH1_HDINN=>hdinn, + D_TXBIT_CLKP_FROM_ND=>n47,D_TXBIT_CLKN_FROM_ND=>n47,D_SYNC_ND=>n47,D_TXPLL_LOL_FROM_ND=>n47, + CH0_RX_REFCLK=>n106,CH1_RX_REFCLK=>rxrefclk,CH0_FF_RXI_CLK=>n105,CH1_FF_RXI_CLK=>rx_pclk_c, + CH0_FF_TXI_CLK=>n105,CH1_FF_TXI_CLK=>tx_pclk_c,CH0_FF_EBRD_CLK=>n105, + CH1_FF_EBRD_CLK=>n48,CH0_FF_TX_D_0=>n106,CH1_FF_TX_D_0=>txdata(0),CH0_FF_TX_D_1=>n106, + CH1_FF_TX_D_1=>txdata(1),CH0_FF_TX_D_2=>n106,CH1_FF_TX_D_2=>txdata(2), + CH0_FF_TX_D_3=>n106,CH1_FF_TX_D_3=>txdata(3),CH0_FF_TX_D_4=>n106,CH1_FF_TX_D_4=>txdata(4), + CH0_FF_TX_D_5=>n106,CH1_FF_TX_D_5=>txdata(5),CH0_FF_TX_D_6=>n106,CH1_FF_TX_D_6=>txdata(6), + CH0_FF_TX_D_7=>n106,CH1_FF_TX_D_7=>txdata(7),CH0_FF_TX_D_8=>n106,CH1_FF_TX_D_8=>tx_k(0), + CH0_FF_TX_D_9=>n106,CH1_FF_TX_D_9=>tx_force_disp(0),CH0_FF_TX_D_10=>n106, + CH1_FF_TX_D_10=>tx_disp_sel(0),CH0_FF_TX_D_11=>n106,CH1_FF_TX_D_11=>n47, + CH0_FF_TX_D_12=>n106,CH1_FF_TX_D_12=>n106,CH0_FF_TX_D_13=>n106,CH1_FF_TX_D_13=>n106, + CH0_FF_TX_D_14=>n106,CH1_FF_TX_D_14=>n106,CH0_FF_TX_D_15=>n106,CH1_FF_TX_D_15=>n106, + CH0_FF_TX_D_16=>n106,CH1_FF_TX_D_16=>n106,CH0_FF_TX_D_17=>n106,CH1_FF_TX_D_17=>n106, + CH0_FF_TX_D_18=>n106,CH1_FF_TX_D_18=>n106,CH0_FF_TX_D_19=>n106,CH1_FF_TX_D_19=>n106, + CH0_FF_TX_D_20=>n106,CH1_FF_TX_D_20=>n106,CH0_FF_TX_D_21=>n106,CH1_FF_TX_D_21=>n106, + CH0_FF_TX_D_22=>n106,CH1_FF_TX_D_22=>n106,CH0_FF_TX_D_23=>n106,CH1_FF_TX_D_23=>n47, + CH0_FFC_EI_EN=>n106,CH1_FFC_EI_EN=>tx_idle_c,CH0_FFC_PCIE_DET_EN=>n106, + CH1_FFC_PCIE_DET_EN=>n47,CH0_FFC_PCIE_CT=>n106,CH1_FFC_PCIE_CT=>n47,CH0_FFC_SB_INV_RX=>n106, + CH1_FFC_SB_INV_RX=>n106,CH0_FFC_ENABLE_CGALIGN=>n106,CH1_FFC_ENABLE_CGALIGN=>n106, + CH0_FFC_SIGNAL_DETECT=>n106,CH1_FFC_SIGNAL_DETECT=>signal_detect_c,CH0_FFC_FB_LOOPBACK=>n106, + CH1_FFC_FB_LOOPBACK=>n47,CH0_FFC_SB_PFIFO_LP=>n106,CH1_FFC_SB_PFIFO_LP=>n47, + CH0_FFC_PFIFO_CLR=>n106,CH1_FFC_PFIFO_CLR=>n47,CH0_FFC_RATE_MODE_RX=>n106, + CH1_FFC_RATE_MODE_RX=>n106,CH0_FFC_RATE_MODE_TX=>n106,CH1_FFC_RATE_MODE_TX=>n106, + CH0_FFC_DIV11_MODE_RX=>n106,CH1_FFC_DIV11_MODE_RX=>n47,CH0_FFC_DIV11_MODE_TX=>n106, + CH1_FFC_DIV11_MODE_TX=>n47,CH0_FFC_RX_GEAR_MODE=>n106,CH1_FFC_RX_GEAR_MODE=>n47, + CH0_FFC_TX_GEAR_MODE=>n106,CH1_FFC_TX_GEAR_MODE=>n47,CH0_FFC_LDR_CORE2TX_EN=>n106, + CH1_FFC_LDR_CORE2TX_EN=>n106,CH0_FFC_LANE_TX_RST=>n106,CH1_FFC_LANE_TX_RST=>rsl_tx_pcs_rst_c, + CH0_FFC_LANE_RX_RST=>n106,CH1_FFC_LANE_RX_RST=>rsl_rx_pcs_rst_c,CH0_FFC_RRST=>n106, + CH1_FFC_RRST=>rsl_rx_serdes_rst_c,CH0_FFC_TXPWDNB=>n106,CH1_FFC_TXPWDNB=>tx_pwrup_c, + CH0_FFC_RXPWDNB=>n106,CH1_FFC_RXPWDNB=>rx_pwrup_c,CH0_LDR_CORE2TX=>n106, + CH1_LDR_CORE2TX=>n106,D_SCIWDATA0=>sci_wrdata(0),D_SCIWDATA1=>sci_wrdata(1), + D_SCIWDATA2=>sci_wrdata(2),D_SCIWDATA3=>sci_wrdata(3),D_SCIWDATA4=>sci_wrdata(4), + D_SCIWDATA5=>sci_wrdata(5),D_SCIWDATA6=>sci_wrdata(6),D_SCIWDATA7=>sci_wrdata(7), + D_SCIADDR0=>sci_addr(0),D_SCIADDR1=>sci_addr(1),D_SCIADDR2=>sci_addr(2), + D_SCIADDR3=>sci_addr(3),D_SCIADDR4=>sci_addr(4),D_SCIADDR5=>sci_addr(5), + D_SCIENAUX=>sci_en_dual,D_SCISELAUX=>sci_sel_dual,CH0_SCIEN=>n106,CH1_SCIEN=>sci_en, + CH0_SCISEL=>n106,CH1_SCISEL=>sci_sel,D_SCIRD=>sci_rd,D_SCIWSTN=>sci_wrn, + D_CYAWSTN=>cyawstn,D_FFC_SYNC_TOGGLE=>n106,D_FFC_DUAL_RST=>rsl_rst_dual_c, + D_FFC_MACRO_RST=>rsl_serdes_rst_dual_c,D_FFC_MACROPDB=>serdes_pdb,D_FFC_TRST=>rsl_tx_serdes_rst_c, + CH0_FFC_CDR_EN_BITSLIP=>n106,CH1_FFC_CDR_EN_BITSLIP=>n47,D_SCAN_ENABLE=>n47, + D_SCAN_IN_0=>n47,D_SCAN_IN_1=>n47,D_SCAN_IN_2=>n47,D_SCAN_IN_3=>n47, + D_SCAN_IN_4=>n47,D_SCAN_IN_5=>n47,D_SCAN_IN_6=>n47,D_SCAN_IN_7=>n47, + D_SCAN_MODE=>n47,D_SCAN_RESET=>n47,D_CIN0=>n47,D_CIN1=>n47,D_CIN2=>n47, + D_CIN3=>n47,D_CIN4=>n47,D_CIN5=>n47,D_CIN6=>n47,D_CIN7=>n47,D_CIN8=>n47, + D_CIN9=>n47,D_CIN10=>n47,D_CIN11=>n47,CH0_HDOUTP=>n50,CH1_HDOUTP=>hdoutp, + CH0_HDOUTN=>n51,CH1_HDOUTN=>hdoutn,D_TXBIT_CLKP_TO_ND=>n1,D_TXBIT_CLKN_TO_ND=>n2, + D_SYNC_PULSE2ND=>n3,D_TXPLL_LOL_TO_ND=>n4,CH0_FF_RX_F_CLK=>n52,CH1_FF_RX_F_CLK=>n5, + CH0_FF_RX_H_CLK=>n53,CH1_FF_RX_H_CLK=>n6,CH0_FF_TX_F_CLK=>n54,CH1_FF_TX_F_CLK=>n7, + CH0_FF_TX_H_CLK=>n55,CH1_FF_TX_H_CLK=>n8,CH0_FF_RX_PCLK=>n56,CH1_FF_RX_PCLK=>rx_pclk_c, + CH0_FF_TX_PCLK=>n57,CH1_FF_TX_PCLK=>tx_pclk_c,CH0_FF_RX_D_0=>n58,CH1_FF_RX_D_0=>rxdata(0), + CH0_FF_RX_D_1=>n59,CH1_FF_RX_D_1=>rxdata(1),CH0_FF_RX_D_2=>n60,CH1_FF_RX_D_2=>rxdata(2), + CH0_FF_RX_D_3=>n61,CH1_FF_RX_D_3=>rxdata(3),CH0_FF_RX_D_4=>n62,CH1_FF_RX_D_4=>rxdata(4), + CH0_FF_RX_D_5=>n63,CH1_FF_RX_D_5=>rxdata(5),CH0_FF_RX_D_6=>n64,CH1_FF_RX_D_6=>rxdata(6), + CH0_FF_RX_D_7=>n65,CH1_FF_RX_D_7=>rxdata(7),CH0_FF_RX_D_8=>n66,CH1_FF_RX_D_8=>rx_k(0), + CH0_FF_RX_D_9=>n67,CH1_FF_RX_D_9=>rx_disp_err(0),CH0_FF_RX_D_10=>n68, + CH1_FF_RX_D_10=>rx_cv_err(0),CH0_FF_RX_D_11=>n69,CH1_FF_RX_D_11=>n9,CH0_FF_RX_D_12=>n70, + CH1_FF_RX_D_12=>n71,CH0_FF_RX_D_13=>n72,CH1_FF_RX_D_13=>n73,CH0_FF_RX_D_14=>n74, + CH1_FF_RX_D_14=>n75,CH0_FF_RX_D_15=>n76,CH1_FF_RX_D_15=>n77,CH0_FF_RX_D_16=>n78, + CH1_FF_RX_D_16=>n79,CH0_FF_RX_D_17=>n80,CH1_FF_RX_D_17=>n81,CH0_FF_RX_D_18=>n82, + CH1_FF_RX_D_18=>n83,CH0_FF_RX_D_19=>n84,CH1_FF_RX_D_19=>n85,CH0_FF_RX_D_20=>n86, + CH1_FF_RX_D_20=>n87,CH0_FF_RX_D_21=>n88,CH1_FF_RX_D_21=>n89,CH0_FF_RX_D_22=>n90, + CH1_FF_RX_D_22=>n91,CH0_FF_RX_D_23=>n92,CH1_FF_RX_D_23=>n10,CH0_FFS_PCIE_DONE=>n93, + CH1_FFS_PCIE_DONE=>n11,CH0_FFS_PCIE_CON=>n94,CH1_FFS_PCIE_CON=>n12,CH0_FFS_RLOS=>n95, + CH1_FFS_RLOS=>rx_los_low_s_c,CH0_FFS_LS_SYNC_STATUS=>n96,CH1_FFS_LS_SYNC_STATUS=>lsm_status_s, + CH0_FFS_CC_UNDERRUN=>n97,CH1_FFS_CC_UNDERRUN=>n13,CH0_FFS_CC_OVERRUN=>n98, + CH1_FFS_CC_OVERRUN=>n14,CH0_FFS_RXFBFIFO_ERROR=>n99,CH1_FFS_RXFBFIFO_ERROR=>n15, + CH0_FFS_TXFBFIFO_ERROR=>n100,CH1_FFS_TXFBFIFO_ERROR=>n16,CH0_FFS_RLOL=>n101, + CH1_FFS_RLOL=>rx_cdr_lol_s_c,CH0_FFS_SKP_ADDED=>n102,CH1_FFS_SKP_ADDED=>n17, + CH0_FFS_SKP_DELETED=>n103,CH1_FFS_SKP_DELETED=>n18,CH0_LDR_RX2CORE=>n104, + CH1_LDR_RX2CORE=>n115,D_SCIRDATA0=>sci_rddata(0),D_SCIRDATA1=>sci_rddata(1), + D_SCIRDATA2=>sci_rddata(2),D_SCIRDATA3=>sci_rddata(3),D_SCIRDATA4=>sci_rddata(4), + D_SCIRDATA5=>sci_rddata(5),D_SCIRDATA6=>sci_rddata(6),D_SCIRDATA7=>sci_rddata(7), + D_SCIINT=>sci_int,D_SCAN_OUT_0=>n19,D_SCAN_OUT_1=>n20,D_SCAN_OUT_2=>n21, + D_SCAN_OUT_3=>n22,D_SCAN_OUT_4=>n23,D_SCAN_OUT_5=>n24,D_SCAN_OUT_6=>n25, + D_SCAN_OUT_7=>n26,D_COUT0=>n27,D_COUT1=>n28,D_COUT2=>n29,D_COUT3=>n30, + D_COUT4=>n31,D_COUT5=>n32,D_COUT6=>n33,D_COUT7=>n34,D_COUT8=>n35,D_COUT9=>n36, + D_COUT10=>n37,D_COUT11=>n38,D_COUT12=>n39,D_COUT13=>n40,D_COUT14=>n41, + D_COUT15=>n42,D_COUT16=>n43,D_COUT17=>n44,D_COUT18=>n45,D_COUT19=>n46, + D_REFCLKI=>pll_refclki,D_FFS_PLOL=>n49); + n48 <= '1' ; + n47 <= '0' ; + n1 <= 'Z' ; + n2 <= 'Z' ; + n3 <= 'Z' ; + n4 <= 'Z' ; + n5 <= 'Z' ; + n6 <= 'Z' ; + n7 <= 'Z' ; + n8 <= 'Z' ; + n9 <= 'Z' ; + n10 <= 'Z' ; + n11 <= 'Z' ; + n12 <= 'Z' ; + n13 <= 'Z' ; + n14 <= 'Z' ; + n15 <= 'Z' ; + n16 <= 'Z' ; + n17 <= 'Z' ; + n18 <= 'Z' ; + n19 <= 'Z' ; + n20 <= 'Z' ; + n21 <= 'Z' ; + n22 <= 'Z' ; + n23 <= 'Z' ; + n24 <= 'Z' ; + n25 <= 'Z' ; + n26 <= 'Z' ; + n27 <= 'Z' ; + n28 <= 'Z' ; + n29 <= 'Z' ; + n30 <= 'Z' ; + n31 <= 'Z' ; + n32 <= 'Z' ; + n33 <= 'Z' ; + n34 <= 'Z' ; + n35 <= 'Z' ; + n36 <= 'Z' ; + n37 <= 'Z' ; + n38 <= 'Z' ; + n39 <= 'Z' ; + n40 <= 'Z' ; + n41 <= 'Z' ; + n42 <= 'Z' ; + n43 <= 'Z' ; + n44 <= 'Z' ; + n45 <= 'Z' ; + n46 <= 'Z' ; + n49 <= 'Z' ; + n106 <= '0' ; + n105 <= '1' ; + n50 <= 'Z' ; + n51 <= 'Z' ; + n52 <= 'Z' ; + n53 <= 'Z' ; + n54 <= 'Z' ; + n55 <= 'Z' ; + n56 <= 'Z' ; + n57 <= 'Z' ; + n58 <= 'Z' ; + n59 <= 'Z' ; + n60 <= 'Z' ; + n61 <= 'Z' ; + n62 <= 'Z' ; + n63 <= 'Z' ; + n64 <= 'Z' ; + n65 <= 'Z' ; + n66 <= 'Z' ; + n67 <= 'Z' ; + n68 <= 'Z' ; + n69 <= 'Z' ; + n70 <= 'Z' ; + n71 <= 'Z' ; + n72 <= 'Z' ; + n73 <= 'Z' ; + n74 <= 'Z' ; + n75 <= 'Z' ; + n76 <= 'Z' ; + n77 <= 'Z' ; + n78 <= 'Z' ; + n79 <= 'Z' ; + n80 <= 'Z' ; + n81 <= 'Z' ; + n82 <= 'Z' ; + n83 <= 'Z' ; + n84 <= 'Z' ; + n85 <= 'Z' ; + n86 <= 'Z' ; + n87 <= 'Z' ; + n88 <= 'Z' ; + n89 <= 'Z' ; + n90 <= 'Z' ; + n91 <= 'Z' ; + n92 <= 'Z' ; + n93 <= 'Z' ; + n94 <= 'Z' ; + n95 <= 'Z' ; + n96 <= 'Z' ; + n97 <= 'Z' ; + n98 <= 'Z' ; + n99 <= 'Z' ; + n100 <= 'Z' ; + n101 <= 'Z' ; + n102 <= 'Z' ; + n103 <= 'Z' ; + n104 <= 'Z' ; + n115 <= 'Z' ; + rsl_inst: component serdes_sync_0rsl_core port map (rui_rst=>rsl_rst,rui_serdes_rst_dual_c=>serdes_rst_dual_c, + rui_rst_dual_c=>rst_dual_c,rui_rsl_disable=>rsl_disable,rui_tx_ref_clk=>pll_refclki, + rui_tx_serdes_rst_c=>tx_serdes_rst_c,rui_tx_pcs_rst_c(3)=>n125, + rui_tx_pcs_rst_c(2)=>n125,rui_tx_pcs_rst_c(1)=>n125,rui_tx_pcs_rst_c(0)=>tx_pcs_rst_c, + rdi_pll_lol=>pll_lol_c,rui_rx_ref_clk=>rxrefclk,rui_rx_serdes_rst_c(3)=>n125, + rui_rx_serdes_rst_c(2)=>n125,rui_rx_serdes_rst_c(1)=>n125,rui_rx_serdes_rst_c(0)=>rx_serdes_rst_c, + rui_rx_pcs_rst_c(3)=>n125,rui_rx_pcs_rst_c(2)=>n125,rui_rx_pcs_rst_c(1)=>n125, + rui_rx_pcs_rst_c(0)=>rx_pcs_rst_c,rdi_rx_los_low_s(3)=>n125,rdi_rx_los_low_s(2)=>n125, + rdi_rx_los_low_s(1)=>n125,rdi_rx_los_low_s(0)=>rx_los_low_s_c, + rdi_rx_cdr_lol_s(3)=>n125,rdi_rx_cdr_lol_s(2)=>n125,rdi_rx_cdr_lol_s(1)=>n125, + rdi_rx_cdr_lol_s(0)=>rx_cdr_lol_s_c,rdo_serdes_rst_dual_c=>rsl_serdes_rst_dual_c, + rdo_rst_dual_c=>rsl_rst_dual_c,ruo_tx_rdy=>rsl_tx_rdy,rdo_tx_serdes_rst_c=>rsl_tx_serdes_rst_c, + rdo_tx_pcs_rst_c(3)=>n116,rdo_tx_pcs_rst_c(2)=>n117,rdo_tx_pcs_rst_c(1)=>n118, + rdo_tx_pcs_rst_c(0)=>rsl_tx_pcs_rst_c,ruo_rx_rdy=>rsl_rx_rdy,rdo_rx_serdes_rst_c(3)=>n119, + rdo_rx_serdes_rst_c(2)=>n120,rdo_rx_serdes_rst_c(1)=>n121,rdo_rx_serdes_rst_c(0)=>rsl_rx_serdes_rst_c, + rdo_rx_pcs_rst_c(3)=>n122,rdo_rx_pcs_rst_c(2)=>n123,rdo_rx_pcs_rst_c(1)=>\_Z\, + rdo_rx_pcs_rst_c(0)=>rsl_rx_pcs_rst_c); + n114 <= '1' ; + n113 <= '0' ; + n125 <= '0' ; + n124 <= '1' ; + n116 <= 'Z' ; + n117 <= 'Z' ; + n118 <= 'Z' ; + n119 <= 'Z' ; + n120 <= 'Z' ; + n121 <= 'Z' ; + n122 <= 'Z' ; + n123 <= 'Z' ; + \_Z\ <= 'Z' ; + sll_inst: component serdes_sync_0sll_core port map (sli_rst=>sli_rst,sli_refclk=>pll_refclki, + sli_pclk=>tx_pclk_c,sli_div2_rate=>gnd,sli_div11_rate=>gnd,sli_gear_mode=>gnd, + sli_cpri_mode(2)=>gnd,sli_cpri_mode(1)=>gnd,sli_cpri_mode(0)=>gnd, + sli_pcie_mode=>gnd,slo_plol=>pll_lol_c); + n127 <= '1' ; + n126 <= '0' ; + gnd <= '0' ; + pwr <= '1' ; + +end architecture v1; + diff --git a/media_interfaces/ecp5/240MHz/chan0_1/serdes_sync_0_ngd.asd b/media_interfaces/ecp5/240MHz/chan0_1/serdes_sync_0_ngd.asd new file mode 100644 index 0000000..c265c78 --- /dev/null +++ b/media_interfaces/ecp5/240MHz/chan0_1/serdes_sync_0_ngd.asd @@ -0,0 +1 @@ +[ActiveSupport NGD] diff --git a/media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2.lpc b/media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2.lpc new file mode 100644 index 0000000..8557969 --- /dev/null +++ b/media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2.lpc @@ -0,0 +1,97 @@ +[Device] +Family=ecp5um +OperatingCondition=COM +Package=CABGA756 +PartName=LFE5UM-85F-8BG756C +PartType=LFE5UM-85F +SpeedGrade=8 +Status=P +[IP] +CoreName=PCS +CoreRevision=8.2 +CoreStatus=Demo +CoreType=LPM +Date=05/04/2022 +ModuleName=serdes_sync_2 +ParameterFileVersion=1.0 +SourceFormat=VHDL +Time=11:10:31 +VendorName=Lattice Semiconductor Corporation +[Parameters] +;ACHARA=0 00H +;ACHARB=0 00H +;ACHARM=0 00H +;RXMCAENABLE=Disabled +CDRLOLACTION=Full Recalibration +CDRLOLRANGE=3 +CDR_MAX_RATE=2.4 +CDR_MULT=10X +CDR_REF_RATE=240.0000 +CH_MODE=Rx and Tx +Destination=Synplicity +EDIF=1 +Expression=BusA(0 to 7) +IO=0 +IO_TYPE=G8B10B +LEQ=Disabled +LOOPBACK=Disabled +LOSPORT=Enabled +NUM_CHS=1 +Order=Big Endian [MSB:LSB] +PPORT_RX_RDY=Enabled +PPORT_TX_RDY=Enabled +PROTOCOL=G8B10B +PWAIT_RX_RDY=3000 +PWAIT_TX_RDY=3000 +RCSRC=Disabled +REFCLK_RATE=240.0000 +RSTSEQSEL=Enabled +RX8B10B=Enabled +RXCOMMAA=0010000011 +RXCOMMAB=0001111100 +RXCOMMAM=0011111111 +RXCOUPLING=AC +RXCTC=Disabled +RXCTCBYTEN=0 00H +RXCTCBYTEN1=0 00H +RXCTCBYTEN2=0 00H +RXCTCBYTEN3=0 00H +RXCTCMATCHPATTERN=M4-S4 +RXDIFFTERM=50 ohms +RXFIFO_ENABLE=Enabled +RXINVPOL=Non-invert +RXLDR=Off +RXLOSTHRESHOLD=0 +RXLSM=Enabled +RXSC=K28P157 +RXWA=Barrel Shift +RX_DATA_WIDTH=8/10-Bit +RX_FICLK_RATE=240.0000 +RX_LINE_RATE=2.4000 +RX_RATE_DIV=Full Rate +SCIPORT=Enabled +SOFTLOL=Enabled +TX8B10B=Enabled +TXAMPLITUDE=800 +TXDEPOST=Disabled +TXDEPRE=Disabled +TXDIFFTERM=50 ohms +TXFIFO_ENABLE=Enabled +TXINVPOL=Non-invert +TXLDR=Off +TXPLLLOLTHRESHOLD=1 +TXPLLMULT=10X +TX_DATA_WIDTH=8/10-Bit +TX_FICLK_RATE=240.0000 +TX_LINE_RATE=2.4000 +TX_MAX_RATE=2.4 +TX_RATE_DIV=Full Rate +VHDL=1 +Verilog=0 +[FilesGenerated] +serdes_sync_2.pp=pp +serdes_sync_2.sym=sym +serdes_sync_2.tft=tft +serdes_sync_2.txt=pcs_module +[SYSTEMPNR] +LN0=DCU1_CH0 diff --git a/media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2.ngd b/media_interfaces/ecp5/240MHz/chan1_0/serdes_sync_2.ngd new file mode 100644 index 0000000000000000000000000000000000000000..02b780b1170586d51819874a0205c38bc99b61b4 GIT binary patch literal 389838 zcma%E2YgjU_P#G*Z`iv&JBZ>-Lbs6yL_-pjfFSC7G!+w)kbq*xUUpUNU7x)xcGtG- zuDt?wU&&kBR`$>8+7yS*kB!Gq znA6icx2rQ=J9oysuFl!kXFh7BJ+5@wb|tzmrKh{==o9X(<^ zpl*iht6QpCrqT7+Y`L~>N_|ZoE=d~1YFg^5+Uk;R^^@va+o~otlLuEYuX=KQLv3gCN&44rT;fvy$(1_*E@66RBS6hIL}7er8Y276aH# z>I5o~f7FZ^Op<8J0qhC&$AAFFxTDC6*gt=yZrkwWApg4pYCd*O=gf}w@?qltD~2st z)RR1ML2_2-g6X4=qUyejgC)^9ok6g&It^rDXL(ZUBzro#dXuwfLMb_`=cHsJnIPV_ zA+LK@*FqA_o_owO$#Q0lB2G(V6Z6&$d1R-fH^F8W%%Zw~jfBJdx$(I=&b7ul#GN2i3iM(6!Lr9we|EXSt z!4Dc!palLHQiVl$PVmnRFYFv6Y({SX3?pR=J3G2&wNrb0=g(WXXhw1_8oS~bC@C4m z_KIILGF`5LoH}2t5mm5WQEf4j8$iywJZ7SkljU%IP^2p6 zdpS+=8I?RX8%8qBWSJ`vBf{*4X)>$YWyq7VT`PVpPEldDe$iSe61nLc6=%rK*{FCm z7=R5R7JYZz%%sRNoeRn0W6 zC-4@AlEjnc7>+F?k>(Vq+Lc=v=Aycw7Kt=9O4Z2<1Z_>zRKOPCr>RqfE95qP{` z2_xl|BCd$GYYAHzGPRl5ri3|ZjT6DPCG3sR?Cns(9(4qHwqprPa+?EI2|K8dJ9MWK zrf7Gva;N@`z@K;tBj^FHY3C9oa)-?9+)rI1PU0n4NJ6`msE3_FRlAljg3Pm9X(M2i zun@)2?j`J0z>(ccm_d+n*X>cl3X@=IXemn~Zgwd}kqMP7?b#oV)6gEJSUjG4#sihv zjg*IZFDDSywpS@un5*_GRhzyR3@%0FJc7;|QmRrUk|CwcP<=$%yOa&C37L3se=HHz zdzY$D&S})T;yVy|U3PDA%Jnodv{c36{NJY(3khH!)F+l_cM#bO`XW)vOqc=^{n#Qy z<)sYC=7Cnq60$%=DLY{rs3>Jd&SD?ySTr+&&+t6u456*JkB_$$4~@p0sV&Kh ztktHiT8%>x8?yRS$lp#gXqYI3b zVpJxz@Dw}MBb96v9g*?rFrTX(S&&9Y)2UtrAEnqD%xU;251;e@(LN2#nWL44Rxz7O zDh*wLB$f1Oz*I^JjP^lghO%Wyu#4r@eTG+&#Yi4Ai)lmVRSmbjv%IR|UUj-xn|57f zc~&u*SlMTLEu$M|7gvPcW4vOlAIEx4a{59yba@rA1twvKUx?11>otqTVvbh{$1@~6 zwy01H+Hqd9B9R|gTvwj^9PicUb$V_QWjO5B68;|VRl|;rW30WHsu<3BesLJ0^ZeGs z80{>oPi}Ykg<|G+`Ynec+*MRrc;4-o^PLL9rrU2HkvhMGDnj4{uN;zIztO0-{qk@r zp6{1q7W8;4h8P+#EF6@hetPcaOZ3w?^n zwHFpugRd9)q+yU<YiuSezs6>wx36O` ze1Cu+r(S6jF{sztCgJ+EHWR*IZ!>{eL|D9$c~mt&d!#es>E%Zed|e3BO9ga&gSr-P zIN|1yfZ}`ZUby~%ep0=gEoT_e>WV z-J;UdSH#ZkE_)Qgcerds#T_o2kM!pKT`rqnX}H_Pad;};cevZNg>k#f zg~bzPp>>bnXrfRdN_WxW1+{5UQ{10D|Wg9YBP>rrSVtzODm^!8bG& z(cDpJ*BcsdI)}=e27-R605O*i!VVDn!vW{xHavo1hg}J{&taDjp&iJdmPJOQ9SDN) zlb=(Oh__6i{9MowOqx%A(K!h0Pkzxkm_nbd(K&2+jn1K{jkUkMEC`zzxk2i&_V+dQ zNQD0Sb>YYq74&3ob0HzihEBs#JA6oVGvGDrDo`sa>Xqw-W zBFaFbXz!BG3S#r7H4{#E8U~KL4U$cVjw2(ju2suD64A1bBbCK&QMoYIaa2L7C>VAg zPn)1@Pb@_+ob8@PZ?)4~@9;DU5Z;8KbTg%#i3G6yf891;I5_X*$WTW++Xymcz}8~p z9qlJm7nT(W5Va9$Zs##`dg%4~ill)A>oFEdaR%fu1ux;Esnc~TVZY5%J!;3T2efTZw+lVHc#L9&*)vpeR{j|ixj zI>y9J3?m<8)>0;;6vmFNRUkG3O<16gcpy#)`bi+NE7nd)G}0Fh-G~Lf^rMd61v3`R zNp|+~3)b^0c_GQHqx6t8DI`0>#2IVqtQS`3pH|-}sV!3LLlb&jWCdDZH%daUi z=)BRol$_wvjm;aA)(irMr7xOIP@32+#V|LnQ%1)I1;WaD1xD`gzFOzN*k2PGB8Os2 zXvKtBn2nO$w0-0TZ1G_r}i znvMlUt7MN(8CR@I?B+W<$I{J_+4vb@Y0zVR1M+?$Mc!dz+3Wi+v&<(W`xa zV`j#>Ui3K;-IV>Y;5RA~V8?|%NBKUs>jken>b|Y(`MA&UaJjwhIMwSGf}!i#Wj;-H zv)1)Y$|tT6hH3sm%;}jnnv|Zm8K>xUEtY_exyuwL<|xN9Hgd6!E^mVog z*HbCKQMmx@QXuiV(E`AAl7Aa6R%-B%7e%q)?+OLg5Ec-7V}QJjglw!hnQj}F3)r?{ zbHE2RpU|k%x7|_-6UmS>&Ct|7c*~OusD#>Wp@`Z48v?w z+=;_%Q=GDY98}B{C#0-t?QNC8RZg%aR#l^I-qRiV_6Z5Y8 zqh=2uPZ44ruPpb7aG%!kRku%q)#q2uet0xUyXM%uwG%!atUlj+>%r>tzE=mUPbTfN zhSle7uLoFtzG?R8aJujK*;f%C1yEv!@6Mw%2gQr zWRRwe#gdH%nF`GUDQ~_^qd_mLSyL(n#o?O*tXb75K=QqLJk;u?civ+iH>}o~gGcCZ zZe&IkbfGxL7;a*uaMWO44$4zHnG!{isWc%hh?RzV63gLmlZC}_gaJ+GN_b%zVW?yF z2APC~sRtLCksd3&sEzb!@ZvPeqrvOXXj4E5GRTZJt?=42#^muf{+{K53?~dm)Ws}( zVzpn$$^JRRTBOO%9%~524)5V{eruf2Z;ShdG{?nPdCIEP=Zj(;kI?vuIS@K6mW5kW zj(``1hZegyQuVWG7spcZ=Rq!xr2m9lF-1wN1yA8Gw5*S@%=c)_heZ0_H3YaoG` z!Ch~-)sQ(UeBGm|_U;|Gdo($H-nZECTqMs|JsM%^e5Kpfl8H0Oj46%EkWspb$WMq8B@%33Fwkb9@?mpot+Z#oBLNN<^f$ImgfwB}&ji z=3wwt!$h;jr8I~u7^joie3i}-#&3uVHECQV_Jbvl-*v$UsWMdsq776&P-gxeT}MvI z{;mc~OT=?XvnFL?l$^&9++46=^=?k4!BOD1WioZP1|8V*XGHj=ugrK?jPW_hg)u@k zE{t)iO$9~+$XVPe)w*n~I}=Kv&!qN;a|!LdUey6jnL?hr?f-)zbp>ge$sZka<}KHrEmxHzvYlUzHz3`}zQygD6b z<9HPdqq>7@i0^e8U7TNi%QV`Sdc{tl$)muQT5JKTM&z{EmLM2Sf>xgfew4&>wAH7E zxNY;PaTrbZsEFY`%%_6^Zu6+{52HltnBvhBBRs{UC}!hipAL`a;XVn!B9&>jM540~ zw=03mQ!Ofw?=+igsqO5J>6zvNT%CNO!gyfCXdmPHkBv( zQ4W_39A#0(#_wnw#T#vzq-8)%x1(*8CtK3Cz{d(Ho68d_WpjBTXV_dmW|=9`+2MO# znVC{uy}pO%g&4zGwgH|(vm{%+NI6}^#%!A`X6S5-jd7eKF;ySxI!3B14DZBob#s&e zYjFhz_ZU-M(2g}|#F`;l{L}|&`dA%+o#UhmGZ2Z#=?uiyT%CbvnX5C9v5wan2(k{1 zA)e86=oB=y-Jsx>fS>Tp(|F>9ji2M30d`r>f?3J#g&p{v3VkjP-Y*25R&;u%+DrdC zG1*I7jqlH7>CzDn?fJSYPmCTN;T`Wbivz2N&=-6-wv$GV zPAqYr(y^&mL~g{|*LV%`4b(ud7H)Xj|GOmcxIqCQYyUp(6S4Dy9D}%B+0jAqOl9|R zwi%pgZN1n=abq)}V57J_THoQ)%})C-4vNXu1Ph2p?MX8o0JMJvTn|VDS*&9tng=FK zkrwON&VhMg;&x|=M=W=xY$M@2Z+DW!w66}gIyPT!i!h8`x2IfK-df#864RKv>*@#` zhk4-Fb{xi_ccs&|A$5n=b;~$gr0%xbe{dW|EOgyeur<`#Ro9J+ZIODtY2Ms!Au`5E z9tZWNNVcw)Jm^HHT~==LakTSQ!edF^M=UIm4ABt2L`H)1;tt%H-=@ z^qPJB_}T>h!Y|e_Ixc0iPDrXTVLwk*NXGB$yv!ExX~wAq zf-&7gzo>bqr3lpbEnV0^odzoAkNO@pVW$hG{_foAf+jXS$!@GLXMh#MK8Rm9!z*Qk zF4l>1cQg_>*m~`>Yr>B1BH#n?9u>cys$PftPXct^W*EYxkMP|ORK=8nbONsU0-6m5+@w_?91k5qeGy5bw zGMP84V1dHwMS#hw;*!Qi~B;Ua&;*Nr39u@``VDzR~U=b3-W8 z1nR}TuK%qz4a#Pnu+)TkYNq1^vj3uT^5>95$dfOFPaLEpqT#$(5sEa?VqO1;tkb)k z_+>$mU6Y2M{#i#%y_@4~4QrhIhPC`wQ|4A7q0W@E_vW-tX|znH7SJ6JBw(F59?%Zcf_bteG?#ar(-%4!}wiZZT@Hnje6!TuQrRJ zeYanmM*6@)fQhJmSX=%s8aaKrQd57JpnN!?FW6|WICy%@!MQ_kN(W-xl@ZE4>=W=9 z$Ajo-u~WDwZo!;O?y<>iTJ90FfP~lgI$TtDpKVt-eVI?IjTqM3 zlEjA-G7l&XDVhTebk#(}6NrKepb-XlEi(#3NIc>D*S5IIHG z^#|9edinzOXB>5LB>k+TCJvULb@}*pZss|c&rN;C#rbUMIoA#!?!4gQe4z7^jdS`# zSKky5te6#<;*Ty~&Ie7;+ot5RgFkw3Zo{8E0zP+I<`Hn~o{tB%PvzXaWgY>y?@t~9 z=afHt1bjaFqAfs=Am(382WI6EAn0H6X<&Z8>=W=jdD&+Rz5a?<1=at>BM~F=noq+0 z^%q|?nD4K61?nNps~&^=w+}L}7SofSc*G*^U-y_4k^hEIA|mPypE-_@*L{+57QN}U z$KCO!SBppeEn5p+{+7+hpuTN0k+R>mnTU&bY$g)JJ2n$(aJda46js>kNQ^6NCWq5X zn~IO$WL7#{)b(@7r9X>=cZ0-={ACEMT_)lrYnhM_KtBk{;!h`u)%(vDnYR${T2%3H z`dypKi}`yF7ya6EU^6yZta@38&EDl4m=j{oF~U{$g|HfaWH)qwA(HT({{8S; zjJ^2eUnXzCA|d4Cfsr%iv#q~cFz+=AAqW@v=H;*HK&p%DPYsHAvyEOJ5qAlnMr89A z0{hUY_1MWmL0IBbr@ ze>iN;#Qz3txghrXt6;~GAW^S^4*SDy&mrhPUNTz#ACMh0=)eE->L9WFA9SJ$=%5e@ zel_?8iUne|!^H~mUx$kn{a=TR6#XxU3m?96P`)qx$}z#o|7(Yh7JluJ5h4F`xaDZb z{~R(eHs82xIQ@;wMsI)XvQg!?E*t)R=fXf;8|aYlZL&!BKiF)M?|*REobZ2i*qrgd zcVN!qKRQM@*Z%Cli16%Y7K}8PjaeY_1zPh@3-1*f^p|*G#+bbS_{pL3g7S+C^P3WD zT%0H2Pw~Ju&n;Tx;=Bg^>f+onzos2K@FyF%e5^Owz~y81X9rq*&ZacivSpS61T^)t z13U`g5Ag6QsIvn-I7MD|Ef22VO3IeS1EZJNE7tM};MUqc0S$9@?X+u3yK&5}<57ek z91YoZifW6n$Zlw?Rfw**81LVj@-sSwu>M*-b4T@@{rB8${ikTG~j(+0AVb^=xjdqoQG{01>PoIQHVT zm+V$1n-}uz))vSq@t-D$q?O&m!f>vV-69o z$g#MsrOr8bTZ_r@w4KG|@Y~X2iYc+3jdE4nTLyUNo!#E%@=Vyl=CTVr*j#Scjy9Lu zFsu;h9Z2opFJ&MyzV|bE;^r)yu(&))5`t=uKSHQnuuTC%c%(Fo*a(ex~m zO>hnJOsy}FL8FWKE<1aO%jZx##N~4^92(;M{s+!mvJIgPfuWNdz#D*B0gP@`Zj2Ma zEz)24NS2F1pOo@TxxLK=C8W;K+bjFyuuxeO%IEY!_ORmGqV5)M165&Oj^i#}bLKhmJm5-1EM zM2{xV)InNtc*Y%NTI76}GBIAQXPC;IE@qfKwl~wnMEho$$~>iKSwya7jtO!OIm%LI z?b#N{ejj6jtUgBv)w+I6AwXJ))>+JgV{H(j*lvSjfuHTd;zvQ*<5GcX8js<#$Ju<2 z>A4OUVR*dFP2fj&+2d_;w40dgYG9^!xG>MlcGnUnVuuU!A<#SzPV}SWIYQWhe~ZgkV>45~@W zm7Y@oPeB|Z=NK%Wi0A4o4w!Qd5<};K6w|B3yV8?Kj;Nuk&u6|SM0}hN4c$qM(}iH_ zEkxk_4on?HNM2-vNQ@Vyh*`!Rbj+OD$IgL8n}-1Z9eBD$>~nr^gNV_KZ4jA_5z2B9=X(}^1Qgz;i3gg94?x0nS-JYOB@Sm#N{?Bc0L^H zS2%V^;R;)UkJ7HRsbZUireA3*s8eJJuX6RoyG!iAWtOU#Cs)}h-{4(sSrQwht8FgZ zy~gJ9Jif-}^31)~=JLe6*5>lWx!&fMb9L9-WS)yRIAqQ^4~OIgKbd6iq!1Ke`Nw>? z)6}Q!jaXf7F}c|G@EPm{CSN?Z$=+zAVrzJv19P))Fm2ODlKcKf2j;H3$uYu>xyfO3 zBD&dObDl|?Y_*HK)no(4JipatBlgoS8+qn77v?#9i;H8v-sT$TmGE|#&U5y5mydlL z-(lY2(Lo(|_;tk1-kpw6tXp?E#zgerZ{o-pH@hado9}XQF}8Pm1RSV$rvg2tBK~+B z?{RT)VttRt635BC9u0QtK2v}JzRxs*9CE)2VQxHNLI{C-O$fvPKq}B%fYkY*2_Zv1 zXex4mJY>>1#V<85@qFS@OBeb55rdcHNO{EKVWb|lcyRAA3xj`;S-NQK69y*k3zr%g z2jSx;1n(YC1$v)wa(~jmL})!}sERw>Crln^jHgVD)5TM!E~n0?O&-U^(klE1 z(<6P71zx|I4m7Xj#{QX*DiSHz{YKnmawxx%HbKtXZ(2-VBi^z=ZsXe)$g%r}LSVSW z-oKSLL2mln7RX8Row&}VCca~`xQ^u^Np%JWf2D<@gO-Qdk}2YIg+=8L++`+ht?f6C)M@k5f>yaN|A zN}v4}-@%zHeq@vVP_XHZb#5=x#0P0Xm5|7&AAq+$zECdp=?5kUHw$8j;b$jZUoN#! z`CFLx(+a1`@N1ITyfZ>7L-<<&*$+(`Cho^^(i|YZj^07nADI;T$zj)btBFF}R(wER zetIqY3DhJus~wx}k%t_L27Uy=K<#VmR7IK~+8&D!uoLGK0Ysh4r$gB|(YSBX<#RbV zWWdxg3~R>ZiDAu}MA5G~gDJl&kVQ3G>V|F43^jgd~RU;8g%wwrYf&pe>Zvf zfG{%)#b_4$VhA%?#&A@txsOj{M``G1)hub2NcRe2r!r^Q1)__xJF`~Pk6 z;N2>V2VYiMJh=QHi--3A$K=7~|1)XwriFX!|1371*0Nx=sRPTaUGDS>&hY;=$q8ZN zzc!z5hlIvgmWDL+wE>Ie`D??BSf2l9@_0S_&cwu#?e`{F!D;?;3p|P%_rG+Yg}S)? z_{QY%0{M-}<5ll_6B8}`&IHBn(+{Ra?z|r?A~)toi^yyHj~0`60pIE%&%tn=`N=ZE z)%~RN)$#ey7L)fZKU+**Ie#&k+@HT#L*Kchbc;_M)I9!_UxtPPHtd$$!pvXVD0gegG z*Ib#yMuyA{bYXPsS}u%S^iUzNT8J9gcFE|aSlT8d@8#BVVYG2=7evx!6J)SBDW#knUp zb8)1T+-9zQB&6KtE}wg6bC=J4ZmHw)3?;XfZbY7-S~{KgSGmD9o9FWon=G=_5Sz>= zY`MK1HYbsx4$S`T3Rtb{m_tL;8h}vSS7%U6uJ{(fI$b?;kLCN7WY;mo8kr_g+7U5S zt|5HDl}p4UPLdbdVLFFb<9&1vvd+Fb1yf}|oq^aMrURJT`{^p2q!K!U8;@LtuEZ&2 z7lVVzS79KiyIj}8e6G|1JS@*u8cOhQxWR#gBMbx-LkWgQX%rEPqco0~jR)!+5m#e$ z636vOoy2ovw2ttiJX+V{U>>7$IDW?Jh*&S`RR`x_(EzC2;2j7mYB#R3>I%7 z4mMb9{s4o;ZXIYq+?s<7h?_jlu*H5IWI)_i2kVMV8V5vfT+8uGH++OASH)=Os8PH# zo*=G9^F>V@3Y}vhifzRKAM5HFaclx(S|gZa#xS*3Qo)>*O_AQ!opZWo;h-p2E1AOR zc**2r&Rn&WjbJNvQYLokOUeT*YRNtY3Ia<_kh>(pI?W>ko z!k3AXDcVpkuY@m$$SdK?p;Axy(jcyc$p%Rjj@3(|aBPyi5{^w0%u%B9Mj;y|DsL3B zk-}!PWQry<$t&Slv(yug9VV}YV=dxJh?=BGICfY%z+jFNjuJuY{E&Om=(gYW5tyq9xp}0blCCoO8DF^uY@b@QcqavkTT(P zhm;A&I)$uUxY8+PzLig{8dTG1u1L@T=Gm1xDW@=Dn3P6u3tXhn~_Di>zv%gcnQ zqc<+N31O&LNue9-m{@?cqjw(vo!y1K>brZn1#t+d6{2qz;<8NVk=CJvS;}~huymXa ziw6n06XGNgeMX;VZ9kKyr0mmf@lYdoLRvAXixe)q|pI{=Qf4q&N`a>InLtn)9Y~?xZv^ z*iSfi(lYSjMW^iHljA_7g<+NjaX)jKMyW_H>?~Kkfd>`~5Zb4^j77ctJcGrjq@y}2 zlIYOntQj41XCluY+R{9_oJ^eTa8#$0@D#(oJ|ZJYda5H0%{P&Ffn!;SNp!j)TG%<7 zj{Y>iPqW0_@ZKc9RK;`i(o_(3Uf46?m)_>hSVVPPR?s;;i@N#K-FSuv*VCp@R4yM4 zI-tY zE4^x@aHU59_1tgc9pXy{eD8}N66J0&P0|xU@gWO7ZoS@7mqMXmNjGcXqyufldD=n3e0#{5yHo8w-?n# zH{DTG7p=Xcs4kqov#2gQxm6Gu8qJ|NL_Y{*tc%36u856bM>y_e{Rk^!d z5x%CAyQdI%JC}p~UPmYr#(l0a`NbRb+XJqal%fR>7S)BD4>?jjdm?-va2WhanB0R- zt-2;g``RK5p0oEA5hAo7ajF!i;m$)v^h9oA(+?L>mlU}6a7ioZ)TO13z|H$hQ(&ZI zH9X{xl%!w)A1zW1+VN-+Z6u|~is)gQK2}5z5&C!$J@m@sMf5O1pC}^ZHRZ`7M)?Ty ziDE+Z@{`5Pq8(2a(}Y9M8$z*N#e>>j`IpLw@&_-4Hb16}$c5-R#bF)v8=j9~=3 zei5!bzRwg>L{~psOcUe&tf8sgw|v7uKUc&Gj6C;Sj3AO;FpQ|$qR!&Y((}K;8rk|| z5nI+1a*XaDy%y!ebo}A9+|#8Qa#Q?5|192iE-U34{lQMUyEtroVj@!GvQj3oS&Q~m ze=21aRNh;`=%0R@QH;o+e;XCk@-O|>FH>I-qL(1&gA#zWkjPw67pE)UhI#B6!LO^B*e$7sURG5?<*^us$T8S z6cWlS{hA`uYeh)v++ioa1w-n{Ou zq-P=jLZ7}T-y>u04X<(fb1u>gGWAA(rik;Vm!o{7j2it#&D`tWDqXI+yML=6zR_RY zV{hvk!}Mbia`kQ9RYQnGC{L~4(Jh2iG4fH>iRgHzs6ot)<+>%VE2nAHH@GQ z;*X@}-t#HbNBhNVzC!supE7mKhlVa6QtRXXX$mpb_K7Kw{bD>i$(W}3R0zvv*2P7yh?g5a@rwCAEaR<|)?|JGIrm*W zh%zIubs|{A_tJ6&TU*`=i^s{?B2uw45S^7T&A~4uaygrW)s*mjDlnZ3S6P0t+GmT^ zeLC$n#J6yAeYRyq(bn=@F*Ab050VR|IsAb5t9W2~Yu3fThs%AHE=}U6&;Kn=;>Ld+ zFKvjQMt@zzka+h0LCSAJM8^N(MWpQF|I$UI++E)k)8!WfzAYx@Zv3X0WqwxwLoum% zHRt=HV)pC%Vl{JbeOFYO-TtAdGJE!8Q871bjU$$MO-z%YT`{MxZ_|M{s6>+bv6z%U z2blZGF{&mvxA*5F+PoS1rHHOPLHo(kMRPdg{NjojU*qC3hw+OUzd9AF4&wfMzYtg* zA#%j8MXh3*le{PjOW)YBIH*Z z^BWYg%Wpj8Hz=lykjP?tKUHehchCqG|E5EDekmpo(IQhJrX0@DOh?$YZ(JlINH%+}_m>Qd? zJ+emO-tNT=$r0RLGX`HOxSH_Ioctb!{=&{N!i|Wqr$s2&4J7fUkNloi9TugMD5+Es zj$^qzcB)7sF6k?jIJG0r{zgsVK{mQ1;TOq+@N!}dP3sjbpqFfr!{td^eu$yJK%Nmn zZzo4W$2WxUW#EX;&>@^W<@ZVlT7xV(|I9c)*lR+3X+ZpCj{FeAit8Rj4>QmiZF%$fNxhEA<0ML^$H`U&XPN`Jj0Ni^KAg>D$`*FqnT^lKqKjPz@9ulYszK}vpv-y%QM z8eK$&E*)LOCfYWlhzyMzQ^Y1(H@1ij%^Oq1Cfc`u5gCT>fFd?YG^G$|lR~Tj6_hFE zmX{dSmNI_|gHJ5-2d8yqObC&3Q0IX~WR-XzoIjw56!{48bYR@Jj3i5ED04}8kRue; zR&o-C`LRXJLv~ORStai-#uc#*r^Z>DvM12{Rem)D*TI%ZYLP3sYYKt4^TS6_`Kq*! zL2JNhHAa-)5mME^g^0P{n(E&oXodc1c)=mx=CwyFhYEw z^)+?XZN!{hiiyP-lXFU`NEbEP!N>wK^RYdFv-Ec3PU@U5^OnCW z=9eP)|Rk%i9o3$d>|)ey=1#9`s)oEYbL8J=5}vkapAwxYP9JSP=IYc}mFqI;$MxrRtN zV424@^?WZ;U%SuqGE7rs=&avB<6EF}3xVdfaxJWpZ+Oou!ZB}w&hM9{ZhQQ@ zUU+xIZ#m^J`fXfZMJ_7k0qpXE!_(u!{-|PM;rac$-{t~O_uv0EF30-sf13~N@5TMt z6${6A@qjwEHd!-ia%){%bNPfx6bd&`H3Ls=o7P+x#16Qb8AlvA`1G2+2cKTOch%79 zy-%+iGE`m-8A1wIreH;cLb*IxuNa2SCrv||HpapF1zxh8TUbB1sTUM{CN&nP;EVfX zaW1~3zZ!YaF6qw}57(vr+2WjaX@9mjnJ?+jmRM$&^kx`)LHH7|yl=Xqh$S^|asj$3_jI9exmF+0el?LkCw6WkHa?u0VpaStrdnv6p_J9^|jZ z_1d#%&@bEZK2!d>6kQJx*Z5j*B^#1_{Puia`5vi40lF8bd z$;pPMnq+-rYa5;7#im}~90?jHPhvqU{iuDyxCn2qX-(EltZHnmYfy^8fALgIS)5p3 z*OF|knpCI6v5J!iK^*qSP@eunh77IU`}7*>ld=0Qi{z(Q5sXfwg3)u^{|&4yg_Vd^ zO)T_n5Sx7mw=1k>?`qV*{a-y)WEw%7@WfKGRHhMC5)vs1YSl3K8aFHCVX$BURt?ui z_bfIIqJbKdk{u8J>?f8JFX{;7K^lIlo&Hlx{|RD)uhl6mB{WqbQEqaNqZ{`hL>$9O+sDa28Wq4gs)s$~b(PX#nd}|%wP8;r zeY*#1ukY3>tr;qYPBo6K?DkShC&-#qz0{4yfQ+h5J8aod1YFafT(KP2)2U8w1i2pk z^5#<0j2Kn2kb0`wln5P;3>79sn{x3WMWZN%lNjUKcZ4WLjaNa@A))=&^YofS3cL$B zvtL;q8dq=-Yxyuv=l}$5)Fo8s?cE`nJCC}9+@b#lu{p6sBvaFlBRz;6zIq(Vc&^Cd z4VP`G*4-1As%r2F9CBltz=7mK6csWUMjQ=3d&lhvVmoiNm=K;5WI~1yX5XPFrVW8` zSk0^;Hf%nLLq*a5L2Scs7Hg>JIKy$YH$F~rctTU}yt+gokrSAla65?AEJEjTC%_{0 z0NQ?N+aRe_OEIIxYU4|xHNl({U#-j&AUZIJwSKyc&~?yF`brSn=`65Oo4`3oz>V4W zYZXdAfe(HZhSnkl(w{&P~LLHMbI+zlKy}t*uzrZwA`hnh1iQ_U{g;+)_sy=pa~g^dP!;x}|OckuGWhC76dvH4PX$>Yh+ z{VBQ{P~SKOHc=+tA$2uv{K={O0|r8_{JLlvak8-0+E&$uFnXXs2wNxlnu7+>RYg6b z?_LN}<7>-XD-m4zhj1O6FuJ-tLD8^gU7{1S8aILuLR2;ZEn8E&gvzTifgVaxDMl#o z6bX1(0F4xM`3F@Q1Gc7mDNzSbV2{>JfQ9+!)#TyzwQUngK8~Q+Eewg<&9y4W5h*(! zeQj2lOJzHwfEQ6){jp%)K#IbN1#A;O`TAeoYYi{4tyjfpth;WNmWymEuvc= zjnFAIP05-=leP62q(=*i-Q3VX+w+Fd*T+H)NSY6?ZN#K|%;Ar3X__Qjmrn~@turx1##STH({{3Jlv&LxGWhU1`&y zL_TWwP41r zh$tKxsB)#wk?yXvcxcwU77u;;uEm3E?^!%F^*xIR-`vA#M?o}WvoxmJ4*vLiLMyAgog}4^?^n zO9?hK9Zr4HawvK6rPSswYOY0o{+ndMiH4?DAd-Z>Y!lu1cS#yQzBSoQIiFa6m#m5P z6DBJ2{|IR{Nlt!kO%oCa${{>OUvX& zvhcICKwJIM*wKhVxH=VxCf7G&48BXtOEz0SoHiMRejhi`n&#n05c-XYw$?N#dfK4V zu5e0C8!7x?Dv+6GpeB2GO*7CRO)jCR@<)SPO}9))9zQFzzLCfE7o}U@h*A9|5+kP9 zL{g0Cnn;TA{56tdG=GhxG?slqB&Ct;i$#}|boycu8DrKLMAx`1Q|#In-l1`l=o=_W zG$fP@)%J$dQQrVl7VV|_21;8}OKxZS1^}L4+tk=rQ`J&Ob*=@VthT-t39pubwFOW! zIU)ZBS6^A0C-6Z&m8cn42iBxuF4T|fg37%hr zn}xo02^K~VuS!-=Yp!Z-C3FLcRuSdb^_COm(;A9{zV*`@TdrR}h1g_TgLrG*w?Tx} zj!)J!O`1f;Nq@t*(w`jSld4+b)P^1v^w%b#3R>MlsZBI`&k%2+rG(d(pF*g$rXEP& zMj_Hx+cG8D+Ca}6E5*F*+bA8V?v#7>zCjUvDm^ii#Eo@yLS0o$)K8m4Hl`+7Bs*Y` zE}$C-dJe+bpl?&nSZy6fk=)!=V-v`6wV6h&n$+A--!>U{Eq$9s+^|W*rSZ)polrJH z$vk=^k~NcC$mSLvz2Qpc(WAd_g7u|uOOLEV%C_>@tdusl^vF~PZRNEo{M_2BCuOD{ z?ct>(+n7oSA&!l0Oa+b&`m1c*A=_Fym~5=HZKy;&kZi3@wost({Ms&5q2LqUvwcV= zmKiuZg=`Yh_9NLa1s%GB4vW1Tp*!kmYjqTUJA@VJ=uRO@wsBW0J7Q-YN1fcF9c`2j zp=4usjt6pvNGEIR4kLHsI;;nMJTisjHA+*&-bH8gE=Ar^_w5qVr{X3%oFltxDr~3* zEAOrvop!{GZ67h|*hS90-6Bv;?A;<=HrN8sc8_@I4KZeWModa8G%AE+iXIr^NK}BwKdgXbKJLG#HS3Ltg9Ez+gpQMleN@G(I&NTXe6wyYn06xs#&MrQ@0a+`$RY@ zkR!fth)$|%tC>irj-kT7p>-h|9*KrUS`<(9%@dL}xLNJnFI3S6wXLNdLAYP2)6hDJ zf|gf(!iR@CB0QQ!Qm71JI_aaMNYULDkrCRc)HJo!Rd8?b6S5l!Z<$&F5gAVye2L4B zsxWkJAuP7u_>5DvsK8QTQy31CjkaX9IQQ-wZ5gXgjv;i6!yQFi z(yI!AoLtKNib{|j6T=A|>zEh;)ZxOyK@JyxsHpEChg^Z=accL2GJUHkwo8 zveALHE}PIg9i@&^@ityJLb!^zIt!O`c)V_=ZEC%Y&IvlKtcd8GU>VY*v(}Q;;#{b2 zqGe3P>_mr)Zm)N^==DP!6ytn|V*x{PsKZ5X13W8(cEj z4K5pl+~lxva?;o6!sxmt#|X|9`kGxfI;7cUqel*N+1w=>OdAAxK2b|6U>o119p+eq z?=6}J_J+0mgXX>#hm70kzE+nFR43O}x6sRboG05%whF{HjowOIlkwH#>&G`G=}@An zg{)0B#4UV?)i*^m)k=O^I#UcCw407-xz&f8ihOX^cep`s#==bJ@>KU!gUtfEbH7Z{es z-AlBgUSKF|Qr>|r45f7x^x>h)i6Kn4Ni}T^6Y8m+MLJkNxw*D+Y6ZdbQi0r}5SJb! zHq?Q9f`PWx!O;^U19f_3Cbg=iZ3Ogr2UGiC8lpo}3YK5d(CGFk0MbEe_ehqX)=+ zXG8*(iH4J%#kQT{!p@m4y^`p^mvAB(om{D7o^zzBL^3*Y>j*JA$CLB@Oo^3?8^pGW z^tfwcQv-V7tWYE#8iY?z`p!xPGP1%%ilyr85U!d`59!BO)rdp4zOxI8&jMkNC*QeJ zh1|3c`_7e0iKKq&c%Db0it0WuWJiV&loy5kwyEJ++W8u2tr6#kb|`=72dEe5I@%fI z1-gQs&o9&!!h^yKb-I3_agioa=X>WwCe#A+y9k=9ANl=0Qg@E^E{=3GnK;GTKOV?c zG(3{J)I(RNdA}>;!y1Q1V0~Lpe*Xd;K{O?*F2R%CJyUw;GPWrCbVLkpI4o#^$NfHxI_QYB` zxdZfINYalS9}J25QQ$)%m({Udd?+NU)4_*B#5|r`8Y&B19+xZ)>DDpfBNksf&U+-( z5vJ5h&7+ozevtAhS%zwp=)&h56bs`r3sv#`C(E=R-+#7bwRi`t@6VPcaZvadhl`PT z+(9|q{^FRx_`K+lF+49iTn^1wTo^ISc$`GxAl3jgf!VC8OQ1IplK0;A<`$ zEq~o*!@t*EHk$s1%SPMZaM^J1O_vSSB_l}Qa>zhG4bkux3x2#G!u;wNKM;-9;+G7m zdFu04AqW!|y{Lu*IC;+Ywx%UcFx5%k+lG#FZ1|3*nA8p--!at8BhlrW4xK8}=80Oh z+)!|i8duml4WzTe(4kI>j>}dWeCObBrJ*U#^!O<9T|3!1 zz4r_y`!w)1p3Y3BL?e>R;2914q7Wkp1rZ%=885+^Hj`w>XnM&bi zkoSBa8=Cqd;m3v=pTm)#;xO$KLrdENiX8fhp(=)@jRrbnsu@Rn8AHc8pUWDm=80j} zRFzMmKMOVJ9wIu!x*{a&M`^i`9M&8j9Ops}OjPG+FdwQ~^O!q7A1WJ%e|@16ybwos zeId10Ke{WJ)bQx;^N4O9#(ic8!ZXCrbvw~f-xoT}XL>YH-^T-)VaUDkcVEpCSeQobOk_Wi=C#=zoq=ncmn-xCd}QgT|t84ZsrhOQ;dTSag1g z*!+%fbX>P4l-S2_zlNsF6T3o;RAQ~waBvNAVvA~O=`Fuqn*;h?PEB0{CE!8;-A`+3 zCRfyuXeeFK`+{^uqB4jER`V~T`uR3S*iY7I4_P=?~8q*)k1rC@_zz3vC(7k3Ir+BC%rZ0wbO>Mch+3`__9ve5ZYylMKi{*BkSQZRvtQ% zY#*EuZmgRIv0~V8s&ZXsjg%}HoiTfM&)^Y)xel1*kZ25~C-&%7uFu-#k;BQ^b9=j! zb9#DIUF)$0oe{dRz9X7-LZlkows~9-1iPTKHjqr!r=phLIUTB&4dO)A+e+UBnKg%L zin1YW3`{JVQ=WjyjaVbGXy)()x?xjXu9a9cB9WLyQTf*tT{a{ZjZP#mE*quka_z*T zF$wP3jpKB=1$A^HLA$2H#*mUOkr4o!;&K3+I)*L>;c|1n1bMSKUGB-3Aa4fg(8QwQ zqN2?qC0)9ty?kMJNMnO{F@6Oc6t)ArZek`kWNYRmW@ATN*fLHMip9hz1n}lUv?y^5 z9N7XgvRz&d*%ne(UX80Q6>~Iv+yqx;iP3C0d%&7WUa((2)2WOnuMFf!uGh>oGz%m1Ih!a4A%B(x`^Qd zS3BT}L0c&mv%A?wBTc3o-?} zJAtzSF8B%})Iz--8}ygOboCtYo#RwW5KiTIn#${#Kh`D&&`qTe)p6;;E^$I*;O?S+ zlMN4cNh_Ef4#~R$9T1vOt=KIt$f|YH^d5lA@SAFCG5wgFTTRhiC=fmnR(3IaW4AO3 z$d^!nU;f8;!H>Fw!X6N^HFk%PJ>!I|75$W)5O4${D=X{;(g6PA1gY!=LQD<MYXd^|~5U|$bp1>6tF)~U$K$m+%NSCOSE{8!{M$?4bLElo~Ay*wRv^Gv3 z4G0SR2qmy20pIIj6$%8iWA=tcOg!dB;WJdTA-}r;6C6iW#ZXO+KU6{LlExlrV&S6l zK#UlE8y^(*)#}h$Y)M7Hei4bi6Ahud!bsm;W8h07+)~Y%eQjr8nppG|jG(YzBn-{- zfT{Wuk#&SI;*GU;Vf93$E`nUz)`l3hjFv`}WozJwF$|22)X3@+xm@as0gPr$d73oD z$RXGxWQBr zY8n|D+J(MvBf^osZ^I+6dV563kyfM;EwPP2WwPBE3~5S?jAd>lxLcxEqbe~2q~F7( zDd{B%c>auvlNQy0I8@Zp4wWjzBt^@{ghHNQ-2KCq5fRxUM@jdj78ulPq6g$8CI+jI z3QY?#B%_2&=qY(vKv_*l|8c|rBl7-FUvaqQVZK@Dj`33pJf-Ib>3a6(ea+)g4Q-zZhXOTiq zQOIekaFP-&QpgDkIZ+i(R-%&?a*8S}R-!Xh;Y?LHTXD`-$XTi|I4*srU6W|n9%+Th z!isN6RF^BeoSM>O2`j!+ze3Rdn#-~$pJsKr0qKueguNTcU0I3qHjsWg>O{h zdn&MvKZ5a0M06(mwPLtD{%BF7z`OJ|)hMWGDY` ziR3?*oc!m6aJRfcg&n|oT@_v;4)@_}RAABHNW?9@qkzw(P^A~8@QY3qXt=rXyA*E2 z2*J3onx!UalnJ|WDxTotY((KfJyF=Y`fbKy^_ zuuK)MpaS>CjS9J5A=fJ8x`M3qS}Jfq-%JJ8x}6HbLxo(ZkjqoDS1(qDOQ^s@a0zj^ z6-$7i6P6IdmM>F<-&27H<@eyAITsVch2N>drHXSYahQA=71*B(6>%^|t?7Yl;E@;H@O{b!j#ph@pnq=3yy(|`FNun}=8n!Nlg zX%~Cd!+X`kd#vE-_FbJq_4NDg8HK;i3sxO7jwt*O4(t$@!Ylr_{Z^JIcynH8e)+R=Zy973gETlzx)sO#-yoe*}F0YY@XrY*tp9RW8Jx!B>WHdMy07}*?Y7A ztjq9kOx)!?mIBsYp#Sne*c%`Qcz^Kl#-&}|XHqV9 zu!r}Ahc_ONI)v4cIlr&BKPAP?_}f`^xOv8PfFCVmxZ#X+_J-dW@5zx)sJ(vza1 z#e2WtV)H$`Pdq%1Zp+?>9^G~imhsr@^YTKQ*g1DJUq@K zmc5TXyiSk3k376?5B8Bqx68xJd$0u_>;sRz4iE1=4>m0wIQ{p&hqs{M@;>qK=6iS< z=J6^`MgpufeVvS%>&M3h60Uk+IsKRaf!CX&qBR~LF!ta$lJGz9x(ZaZc<(ZH?h+=^ zf51DQF|*ze89PtWn74nLE-iakGSAelh&w#-rMXq-b(4huQN7|zbF0oz=-69vx>|KX zO2^(#1G`Z7S$uMD)kVt;UL3sN8}{Bv1EU)R`49EJnWl?Xml*bzGj{1RlJGz9K8jOu z)soc$;l2_de_KU&9`YY}AExPI)fI-l_v65>Tt@%pf8c$PrsArr414c0c59p@{13e4 zaVoC5br}QnAHw>sNl{_dZTK($1MjCe6|M2upRwD=k%a$2ce^+hO`iBv->TaSOrrn5 z-kWhMth!?{{g?j%`&XKZtL|LJ0R0EpKjKud?ENzh?A~VjFaHCt5U1j*2bT&&=>Chb zyV5%LH(afHD6V0BxdJdVuI9Oz_;#Dg6CaGTv9x1Pd_>OT^?7)C57rlV?PWc@yoZ#B#l6$tE=I9;uJ zxS(S%r-3bP{(r)*1I&r4?Y=>Z1vMfTP+=^e1zSW#1jK-%f{GDQH!I56z>4`Sh**fA zh=>^JAZ0*BK*WeN0Wly=MF@*1kbtu(2x>w;1VnZh|8vXa-i;>zeICNhdFP(@o^#72 zli7@p&9yle(J*#1EQU2c+td%-j1L5#dGuf>Lk3SQU%wPVOAmf!%HWubrjDh|T(=&) z!IHt3+WpZT!Jr=e%*ok%#aetqnVZvt9;c>n$fKW7=5tdILZfKyiVyBQ0>P*rY-Pw` zzk%nxPMLXLJ@}PVvt6L!^ZF+-W^^Yf=d}~hz63SHdd;vYX_Mcc`l0?wjCYY!b3(_f zc2H)%q1XK0#9rpvT5Pvl44WUqD=&;>or%rNb2bO?!mv9GY-AQV5XaV<413<~fb6(- z2E!IuI`*~Auzp@0yV+pa!dlo$lVLB^YF`(FVGC`%^1^Z~x3QV&&t7?9SQiVMnMFYk z;Dupdo7l*_RI7d0TMYZcz$-88xD_TgGcS8NfER{!Hn5TTB7#?5_;EHiGp_^$B96Ps zVAx_)$Cla*8^B(9VRdh^u$fsR0K776oz1XUYqhVN!LTJ3UU^~1eQRPfv((E0yfCbr zg^di)#9@YgXEW@}AP4Zmuv;x`WR@9t<%RRv*vu@8Z~!k1yWPM>26IRj&d2sL5s8T7 zdsHW{|ut7ATkW44Zc!}c;Of;!gKVA$8}l^4dh*2ZS$>j($%!m!&cY-IQd z;V{F#F&Vas@3R+%b+xdOS;by?Vc2RLo0-*K4&a6J8Q9412!|QA#$?!7ch!U4Q6>}Cra8QvleGi;v8uy6T3d*OTrHZtE@c;$s*D{X9MzVmVb zFAVE!VI#wPl*0`B-e%akAP4ZmurdQ1neW*vFAS@&v6=Zk!U4Q6>~0Gine`@Kd0|-8 z#AaqtkOO$(#~IkjY;YisRhSGLlyg9KT$#nN4eXT{hHWyjnTdKifER|{X<#E0weiXe z!#3L3%xnyD051%C%)~~f!oVvp4CA#j6%h&X%#K@cGwcVx&t4eT-NHuZ z2lmPf!#0}O%)G{4d12U{7B(}Ry&S*`=QFX9`O&~DFATfO!e-{j2nX=OunjggGC!Gk z<%MCl8raNi5ddBpHq+*qg;!n}c9Dh6%+??W@B&Qyq;h7gp<|mY>}AG&M`7B{e&iJ2V03&UatHZpMs5}3s?+X2~eTMdS759-)9i(xwq9os6f zh>jgFu$TGS)UhnbY_E>xEbL`$TgUcrtTL!$IRkr{1bgL$wQskD%}gS~0lY9QV__qc zWUst1>~{;BnUt3Uc;Uwx*vO=8yz;`ZUkz+#(m@X3g<<ZUcB<+0=CP*X6ARB19-u4RPnG)4RE>t zJ$xlV>`Q{#*f@cI0bt_s4mLxajgJv>TX@%~eF;$cT4P36hwPti+GV=2-B8}o+w)$V zDk};1`J#@i=j|3%Zua87Qk(5{3yQr42HSho%lGVh7TfD3j9u}4f1BOV(N67|H9 z!2sXmKaT3^`Kphy)n4)2tFE2{J(R5p$w!Fv9OS0#VR$gsW*M-?X1@**ipyy(?fTB` zVom0tXf*BG9N-NX7;n13(0qw80Rn>v6c}ySv#`PILJA7Qm>7XU5DLSXB!NMez<#c0 zVT0F&I20Twek%6Y>kTeZVnuI1_8-D%f0!6<`= z4Q%Y%hQ0tV3XbGYccI;Hn4BG09p~XM>;PHd34e5_qjfAB&P;3A3?b|MBZ*XmwwajpD`Fs>%2V6VAXYHf02juHoL)YY^m zZ^QRwpx~gUb?)3N(v(O?T#Qi!#ZzV~_Xjzz#3tL=NPg^fF?N(#Zmbno}NLX>=H+C&sQUQ=RRcXojVNmmN2s*xW4S% z-LHE&AqNE|?S9>MFY$%L++0}QH624(m6d?!qM%HY&k9t(wZH{K0U z;;KS7o`iA`qOg(6C~4Qa9H$kSa^pqcuqCv+HB=&t2#O)Whd**jj1P%jLvS22q7-wZ z!fntI(uAodHfEam?iaSO=jPx`+>d_0<^ZZUpvpd>ya%?+V5*1tC~-FquWPZrpiPNe z4Ec8@_xi(>xHac21tEhHw?*Wmr+V%PQZ|w!6gJ&$mw~NakJ_9NoA7{B(yqsVfmUL5 zENtw0!tG+@0^_rfc0K8J^+XDR?U-O=WB2HgizPx~v%KOcgOB`)CRH>rq~1w3@ASOZ z%4Nn=IQB@Yd^VS#;C3AYO>(b*)~p8&V|z`SLEZ0I%=o#gNf(?gu6GM{bZB;v68pX2 zfsneQ0wouVN*t7bos(SR;j{u1=Z-|3fQ!)yOejgt2ys$ie7%}u^0k>QQ=iyJu%}YF zPWBj3@`A6noCo?hyA+jQbS znqfe&g~`=(f~imW_q<#aDyy>_EO9Xk4r(j@9_#$`Src81Q3e?&lfrogCKfwLcJ%~1 zO0nt9I-sP)d-~jdBI+Mcm2bnZ+7id-xcyS%yQE8;iam7#pJs3~K%_~015SW~gPO#5 zUV5oYNCcw{Dv96~n3TwT0y|1EiLn9Zzl=w7(ZP;v^q)YL??wv8<47-MFY!>Uj)@~G zN9Fi(Eyv>+j~I_)1dfSv3u4dM?8vdOXiXGbd^`$p*NzAea8MtksESg1Jc3*tCsJb_ z=jjFZ|BuJd>^rMkOKvZ zi?q&qtKpD)Srak#q;4_Bsd9|R)f2p;g6ym@QCCl}qZI3`|93o2>$}E_`X^E)PJ;y! zXNI`_QsUIGE-@U`$Kz+1oG=4L8;@fHuAZRapeAwk#4}b4iC~mLF&>#j@Cr;yWIll% zrI^I{X5*jZ@hJO_Lw$yz)=5-}G~$C$J^7g8%J(B^uH~$c9|rXGak^WK$6IXFuACmG z`TB_T0{j2R z<2_5ST#RE+rpk{aT$eT;!)~rgcGfI(mRcVdnR;h^5#*Y9()@UQA4?l3L`xV68i^Cq z@Po@*Q{{)~NifQw=&b2(S5NQ?Om^1vu&XE7QHpie|2rP<*tO(m)IWtPao%NJr%7BW z?3WTh^XL*IwWi#1tdHnm6m2|ylymh21qZe9Xm%ZagOCVD8B`J@3}R+TiOi?v2E&R; zj7?SJu@T-dun#z~6U}v@(;A{y6aGer-+AX6L9uI0V3qTTvX{CkcCAe=RG4T|%xeaA zp46Nw=X((fQmliiLiWP0=3uH^h#tQ*hr?~gvB;*Z2Nh3O9PQ0(ZfLZODi^~IPn2Ts zS?s_iIog9~h;BT<_r45Z&r7lAOcq;)VRIZ7>X&S`_Z5yj9?j~daz9{yHeuaLs$A}& z>}9An*hMo{H9Ai3P8_)q-6@37e7p*_sq#EXR}hChNGp9mZ4TdU$fB zhvHEc`ob)4F#*Xo*a%rv(Zgh^H-;(O3ff+_+1@4(_JXvr%3^yz1hAK**efR6+l(Wx zGHK&s9{Vwey(q;VvRP~k>a~UqZ}PpZaL+KPqMpTK+i+y-FvWg1*j^k*UTskP5}WO9 z4`44u8~?J{-i|P3+YrSj@jV+ywh2&dGvBMkk!@^PYp^2|II^t|1I1)}$sG0~6zgcS zy)^2z^FSZp+leFFSrn_!_kO{V*SIMzICta7YoPXTgVp;rfV~{WJgS%5*xwweo=TO! zfuy}jvD-LFCPI78L{p~lYI`u@n&Cs&2PPxQp(oo%A&AHRht9j!OBF|2EVeg-Js8o! zW_$a*w5Lv#Viu3>5Ax6I8xI*QcEF&l7Y5enu|G}h!K8yccF>}12clS8lO2iUvmF8$ zNBqbt90~T=HTiKAYiHl@4PhKrqgqEFRS+jR46Pj_6zk1N{)RmKm40j*BT2YC*s~}$ zmG9NTk=MI1zzr5V!i~Kk#XiaptJn;qX|MV1C{fpkP>y2n^8@RnU7bvd;jHY;2jK@bQdlO+4DaKEY&U;6FOoXlf#4p_U4LZe2P1sFx{mx`(niyD9dgBHU;!Uv|AkiDraQkYbBfC~Vw( z@@dG`Jc>|`Vp~<{dt--n(y^2{6S{6ODYjJQnrodp^x8^FoDE&KL@BmK)!JZvXtmr+ ziT^;?tzP6(wZhi^(?3{3iSv92g;7g|)>|`Aw$Wi17?ka5AeSPXYqVUy{b@>E2wh!6 z(4}f^Fq-XsDME>hq3brH*b-IiGvn#g|2Tybmq6ET0g7Sqb4Jnk*2s=$Zl;9CLdZrf zMfjQ3`mAM1O0*16*5^Yl6S_>vNID-obln+( zE)}Yc5?tKYz%58~0$CPFr9S^2J< zXD#x%?xTbcy6*O&mI_rEU(T`7_-@d3cZ6b!+S%N@T1zj2E~$`MREvMHt{LM#of19J;`@kV zDb9GG2YUe=s4-f*JwM}uOwTYvHpLSB!25%g?dd~mjnU}st6EBl2ORgAwG=3|>iQR3k!LKej`{J`EeW$(vHOFJ?BYMdKAvmPZLH4q9?tV(hGX{GzV zwuKUphSjJNhZF@zF*(5MHZ6mOaj#ofm1 zuLNGBM8Kl#gI?59x&E-uy#6<=t4{|I3RAp^R?AxB`KBo)p7m1pA%kKE^P$*pR{GRy z=Tf4t8=(+tcZ^81!Fqr}V5)yqfmQ}dztvDTd}I%4G?U?LPjE+aoO|7T2CzZ9$S zs~*bs_E7wkd?g09EHsAcDCHL^OVdhejb2%_xc zcz;HQ6N(+MG9C9Gpv1^1LLrKulqZazXw|#vk~=6d8oHh!iXF~}Vh0TWf`J<-F&4U> z2vGctJYoDq8z`Z6Ids2Nps>iq)uEd#&Up6R)Mj^Z-IRil3Gb#gDfRj_de2C1yZZz(lP) zVQimqdHD`3gR`J35JjzgD1L(R^-Bv3O3a3?|9bJp&L*s9pX9I?riyz^w)d%rvQHcM zP-8m6Vx8?_Lyo49Y`W|08z?ak?RW;u znNQWa*PPL;9Pb#=mh3Y&#cold8|>+gPs3DMh(fwAXrT)E z&5DN4Wht@AM##p86BW9_{NtscF{Cy_*NZ-k4V9~h>CgQ%j1oUV*NYL14OQz#yK?Et zb11PDx?b|&qn!%%u)WhyZBB`piI7FH2l%Mm?xF0*cip9w>@V?@M+3odp{%f z%Rzi*R;eGhKQfnLiK%4OFmr~Q?7$>Z_7#&VPBhqF%8NbB54``yUK*dYnz5M0_I9G) z054V4<$J%N-T+LH@g|GyLcO3tJKne1-tHjw@PS}bK6%A^_Ah1UW8nXWYOfMiOw5a` zm}swAboH(HVkL@DfGQ@d&?IwM`4biR2F60jrj6AGqt68>JJ3fJjV-qKzcBV9RI$ip zdw-yjgFLk3L%z2UM-H;6Vzr`NX%5U)bwZvn zKGJ;qIN$Y@_#1u<_EJ2o2x~e_$EncUcB`(<4^Xl`bPXYjFI1sV%vRC9`zd)ObPWkme5?w+X|_Ij zM@vc`ZBTZY8@bH9u9^0gKQ!+{$zyT|1(8dIhMD#I)x1r~cFQTQu4$AWruswLKS+;e)GVCJt=vT z7ojk6DZ-EKL9gI1NRy{P*E=mp3+ntiqg+8~NcDeH~B`<)kkwJ>9$rlgV7nVO$pOP0s*T@{jzgM|FH+NNx zUQEf0p=*?hT8i);^R@|d)>86P6QL-@vEIrLi1E+NPUhBkDS4TPvZK8e$NJ!eLT00L z@yDyl%b{y@7`cpmXs)^Xz|b+2yb`*`7!-d`%Vn=yd*erxYz19oLKI)D2tTt!jf{ho zY#pZTSVAsUYnXlc#CnF*^wwa-h*0JUe_G+&eL1Xr{r}}ge;0z zsL)2^Kh2(cgp!@0Yl55N8&xQ344dccPstmhYeJCXKNxw!O-7>6bAu__8M-Fqa9z?O zqU6m!%0fnb3*WmXguN*C3>NF^rak2bRUnSN+i>JWFIAL_y&U$!*t1#eb`NDI8MN_F zgY9(-U@t@!mgyv~u39b54vMfs3DL$(BN`;o#`<|{CLCJE9lDC>vFo*0(pKNub_aj@k&;hCS7$eB+4)@a?Jg}(KaG;l zMkv|EMlBVZW*>J;d#t~GLzKM5fG$P2z|79sP)5mq(B+9x#T*s-!2UaNnjynY#V(Or%>`G=(@tf*ifPA=3PsBwWs7OZcO(8dfCpmaEbZ%2WO=zIl#tr_u>k< z!G_+ekkSTH>hL|B_mgeW`mb#kdkv|t$x(&NVtcQLvD6a9hVs~8e4}tC61WT&8-gRx zj#9-6m2SCt-76!HqvV^Y_8+|An#K=&D~RP4?*iYj3Dt(--O>35PWU`F9LNP|*kQi+ z4vxIghxbZ+FBHKl3hyqq2uF>;ze~`tdOSALi*p;{!Z44G3R1Fh6c=20Yz&S(8QJIX z*jOBSYM5f5@V#+3vMJu+&EZFmkKokg!}VSsdk^)R85GO%*hC!JJcm6Vn}j3l621=L zdsA>^eK)Rj@FOiRB^$uNWBA@w)H^Cn6^;1b`#AELAXVTLjja!God zMMERsU&mV6hhB71a(V=3bq~J}N1g_7AI`DUKf8k1{VnWM7CgpYjzimlBzBeup`eAA+jDY*l>HhU@doeHhC7W7?sEhTN}`pHMJZxrE5 zV`!^!c;B0Vu5S&5RIQa(?`8e(r)0{FkLezYAuM&pRvB-#%$-Qdw2hDH=;n2*)>>oU z((%7h@)zieL{UqHR#}7RUHl~_cSF|-=vt=;*BE2F{XU11zd_f^FdDBytBvW_B=mB| z#K-g?#lBF4Ul`9cb4{e=9uGdIL)Su8Yms$mcFzrz#86Hw!IYb8JB_cHWA|v+AA{`= z=$eC>idpF_3}O47!=3TYd>?d$i7GHDozQ3Iu3XzoD0v`^%PN?RpQ~D*nw{Doi8o6J zgOvE(Mo88A)Xp6K`wdDSg0A_PEAv#Xu(|x451ylBHFPZuQpJ1~`pjOi`sRBnc^JCB zz`U8E2&dcE9Np}7N)h1-5o*m)wLUUeEn9mHrCd=;SRq{5$=8bAZXP$Te}76H0bSEf zigj0^JIn{pAAJj@>Ot2B9*W(ih3pxv|9X*94WMf}dil*FxR?pC4hX7^pQKcm#~&@~yo+(m^t z*$p2`{X!`>biE5*omJ>2^T34tcT=j7g^QCWKKR&9f5oSmM&q_`(DL!4*nrgadM^B*C84*gn6QTG_75czj7;WB(Qq7@jV2I+XE92AbF2kDq zMX58P>op4@mFs5@y8)1pO!?*N&?^+z$2Um+Mlf}F^@~IrI9r3*mK}tM?*fg8PI-*{gjU)Nq^*FLT z3>-JYG?u5g%*R1~isPU>0cK`-D7n%U2g*}qW@dzvD{P60lV}D#%TA;yE=Zihw%G4b zu(uc}b$|^uv5}c=;w_1dEsnjxwlZ@J{uWSTLpe6fqU7KlAa+V$L_F^$317V;|2wZ-Gd`AafeRk z6Xg9ez%bUn_bhC%-#uXW@d%8zk29T{t+&5q$(yb7HwLo0d48z{Kt3*meqz6G_-TODquzRMU=TR$k#Z@}8<4 zd4-oKg%9_;@;)4Y8l~xKJ_kb{n1^b(_$n9z&fWaI)9^sLue3V}(z%RO`miBOo{?LXOhz3=`* z?>S0u`^K-ojpI+JR2hZ@?@>o@)j&hjTlK0>(_0mc@H@7o-bG=4$ChYH)!)SL*aEHA zztGDFIjHGnv=S3~zs61OAQza_yD~_JkV0Tm@2Uu~L?~SEW;KEr{zI?UzyEF3b|>nb zL8%@XOttk6@#uQrFm=6yan+-xA^#etYG97vg(mg>;N^Frfwn*|2sxfPj_Dx?sY)cXUDLn0Kex4D(q`)#3K{K|J;@8n2559%0{dN?Qb$`zTas-X8OQ#P*Z z5XLM`Y2M>MiWZ{g9%B?Z@*|??tzFta5n|`9i*Vu^kU#KLJm55 z*}w&@#Drcpa6v9GZQvq>z@*-7sEb4>Oz-w>`GslwyZ_L8rIGL7^>dqlkK@m#)KmXB z@vM*XdtIg8^(5X{@Mcwg4^5Ya9lhUR4})4XrK-Zm?}`PjrZ?`PDiCte(K`?Q23m;; zz3jvTa)C*`E79jjAuy?TJA6SR6s~u@()&Er@xMmRzp*%1>1{B0(DkTu4yB%PPCWUE znhf##cctDW#%!B_GoCkC{Qh03a8HomzsnnxpQw2ASp^CXItp#529d;s!b-FpbOI9! z*^Vq~q9(wHL?}$*j>c*!iu|KT&r}K63`2SF|m8zk2wc#!}&KQ_Nq{qq}l^ z%*qj*^77d%^=8qd?L4e0T8}1kR0To~I(j#w&7hT-(94!tkPA%qD4W?xAu!paX&i?{ zC|vKze2?yUz%J3FUqriKf;#6?>ILUilkd?<5q|fy)H}(|@1B+`)NjP^o|Xz%82s*O z-k^Ms?nEm=!9hpiFF9at2NMc+qvfCz$Cc>OUjtNyL?~Qgb3>h6{?Vfssvcc6H2pm4 zoJXmboRf>w#HtTWervZ>_3Mo^d&bw{}-ex5OPrd0WrJHozV(R{RK_0BmZ**|KP~7$lzfE8|(un%Z0+` zE-vB#V`~92q7?h{lI`Ey`QHk7)^Q_j|BD-4tnY##x`0xH%={@>y738yv=GbA`#YdYEjJDr5aJe~|yV0X|W9o1H(kXMdVd1wsxwZdAby&_45vFuwru{Etqo3!i7eI6&rQWpi?>pt#_zHdO!E89%Krc7B+0yh^ zH^5?$*I#`kj=VGo9=;gJ3B4HlLBT<-=UZ$!c`wt-8D%i9mGKHpYMt*T#*R{4tLpg? zPS5|V)|E5NF(A5#Qo{m;S{Gs+=;(9$dO2o(ejTVjo^-8l9EocnC~V=ATB|J#P_Ff=IqvlWspCXitxmbNF_Eax7iSR#@0j;(Iu8I&N7p@Kjv<*EGTe8&3TqfVq(t!9j0nzL@DaVPimBpEZOs?-nFhXh%TYj2xkS9e@{-; zr@Hx{`^Z^7$D{ZBnU=2qtRVkWAP}jZXMZikC^)F~d|-OTxuWO6D1&O0gI8dp=ke$psy73v)LFA#- zI4ab70mgMp!x`6Sxw%$3t}iomtu0OdQ3OPq)|Hr`px~gU^}?kC#d)h5j3TIwVem>! zjNz~0GT2dyYTcocRSZebCX`!ql~1jb2uBxDDq1^*Poe zrIr0vTQw*+sA)asi8hyueg&flYFfc7F|L*U{aZEIQHpB)(aw+I(IvIsz94!Oh+0x= zQsG&ty0y*!vQEs`>dSJv){aoFv|fk#+9}Ffq-m{h5ToFrrnOn(n_WUH7)4Oi3SNl` ztqm-y20Kbotudu_j5A-u=D&>LcLsJ|45G^^Wfh*4sypQP9|uaUZ7^zaR+3}5ORf2O zGv@0JVb&r|>rnw>6dcsF+S|HcD71o61U0STm6*_abeO8aj#5->T#ez;CAH4-eRMU5 zuAtQW&RHqH4tK$Py)i#uud6j*?;yQjyBqw^9eFFAGjO6I<|`;TsA+ss=ksQLWqa{kn0#CEr>7>lwIRmyg(mkt-=R-CcMF?uJ>|2|dZ*byweP z@;}&=yI}^Op0pZ-9F&v^tkR5DV2l{&TKpA%*Jj=E*S!0@ zRE;bmsJVe$660=Eu7st?h*H#z16F=U&v0h+r>OFePh`)YylfSST2X4Ib5?S?uKFGi z|EpB##wl)oX+*m5kcYeR^I-$Es~^Uma-&y(|H&!>nj0s$i4k(pc|U>+A=RK2m~!LX zwWHn-%Mcp`PBdt*-Hm|7{}h+E+4&5@{&cq*gdEh}0Ik538}Hq4@iD>; zWRXGT2672Zx^Xhb1~Q@)b>m01DoiOkHmV!0IRZqjDfOwd0_MlYQy2|hJkCtHBdoj8 z&!>-#7ix`-{yzRE#-P>4#;GBy1|bJ^H$W>e<;JIxbW7m|vdExv1Gxky-Dql3H8P?U zbpxM`o%e6kN{)?NjU^X@=xR#M4Hb@!ei$1!yB#-r1avnBlJ3SpTOS**5&zR^-sb$+ zINd|lAmpI#251GQ+-UyLQ?!WhZv;^r zO3e=!x-k&?Z_YVxU_xm#WoTG;<1Or|bz@kL|4BG#wXxAWO4T6bpza2nr|dxOobt%B zrtL^>5-q!lv4JcysN6sBbAT?#AR=V`EB4cf$(vKj8Om@|1<$_bAD`Gh;;*m9Ms(at-zEUuSWW97j7Vn z3@SH}OJLFsEPK_+h*H!I+s?0|R!KLmnmuC(h}u(Xg{RPs85kS41!U8!hhhAyGwfWq z?#A4lJ~rmL`A;r@RvR0aVBG*A2X!| z{!nAXDmhaIXJ_D|Aze$URlY(u=0d;E=eRKj8kHO0)|x5bMRhm6$C17WYoF#u%K%k_ zkb}A#pcR;M!#{iAA>jtH$e?lqxdbNNxB}}2GNKf9(ygU8vBFA9DQHJ>P!QD>&CtL4UCkM71FZrzQ29{y7;pw-3(zI3SuAqRCgKr1liM)0D;ap4BC z$e?lqxdbNNxXw$}$cR$ZjlYx|AC_D4@B2f>W5sR8+)O@d)RT~M`%=I8-HqUl$<|%NarXKNM1(I(3>ek&jP9GaJ$47KG+&2HoAl~Nu*tjJ`jF5x68=w`Ka%0H( z+tHSNUaCPB8B}f{m%yYOT}`S%MwFs%98_+6P;zWMc2VU|Ai9xKJA8$19Pi`5b|l^S zBci*359sQgQq!c?*k~H!KZOKZZEW}gR0Bc|>TZBmV9E`%#nz;716gEHxq(~)lWuf_ zYsiRF)QxJjZcKOFm}&pZwXffQN72fV{ViX+IKB10T`e~(D6~HKiN-KB;Cbiz_qZ+WI6xCXzdVZ!e5B^o_ zZM*h90iw>7N)=w=t#PA2k9SM0HBAj&>jl_T>p%;WyTV)PTnb`$OEU@%YFhuEchYjv zuV9oxr4_salUkW!U`HvcwMx_K^lKPZ{&7un!M4Y)1yL7D{o*d{*Ym^thDA2~2=1C( ztGL!$bD2-q+7jd1@5WJDzgDh6B~Wls)B51Vl_{YWj3TJ6-!fi_O}4SYeiEz(>?lRG z{;jmmcKY>SwO%(n`3;C}rqph`P^$;)l;8RGspbNVTD&imTH8Rm>eseG{@Y_9(#CKZ zx&#y))U5t#+Wvdq$MNrcUUWo~y zdze%Mc9h~;Rlklesddt`k9Gyot(4jmEY#Z3%YX7sYHjP*`}JnhwccXzUw{LV*01+@ zs0I`q)U+OF{2{KM)qqh1HLc*4n9$lYOf_IfDXR5v)vvQkYHhK4OlJ^vrPN;Mtdw7e zyI>afbgr}4Tvuzpb_?iQ?+EiBu>+B&_5L8$fP#aX*66NL{9YIImnnmqR`8;@a2JHo z`apzgz>ZQ>>t;JYhG*xs+W)dP^)oIz5k$993YXKIzZVcUtJHKOJ~G7_xaMZ)F`Y9| zO?g!B<$JKFX79ajzNUdzI|H-92tp3ZGjQUe5HVVTsWWh&A0O-`Mme&`3S&)duSN5`pFc-E zB*uaGzNO}2>?t>TS^TF5L94m(a1=sJV7yx=v8_aJ8*ekc*kYJ+}-!9xh78yKj zV1v7XTqtU6JZex4GNKf91E(5iefz>LbqCy^hZ|La=ypm~nT2jVl;gjeDBUQBH_DAZ zVg-0+Vh$i{p?HrKlT6shKjbbYnb5!@~i`ji+qgjY+od#$;`#xXPxm zdNx>fH(toO%0S3L-3`zROu4bB*K2nQH;_dJl^e(4Hx*IQtTxB5Spza1}1*Y6+ zazkCea06LnP`QCz0+VhGFnLB`Mcr_zu`#!#8;c(pat4U*ru1<}p&RcL{}ow2Q!rnn zx*H#PbvI@hx*IcDJqxV58?Sm?Wgz6Ba)Z+fOu5l}+2`wo8^|Jq$_?Zam~;cr{wYI7 zl%j4l(A+3FQ{JBQVto*mQThaLp&K8C`LE+jHzoviH$KkkZp@DAZhXS(1zG#FRrGbN z8zAJM?gnTDrrfAG{q;|T8^|Jq$_?Zam~>+>)(vDtDe6Xj<;G_v$HwqFJv<=tQ@XKP z=*Dc5|LU)FV~R(2BW&qzEXTZY&akes6#@Q}z`V`*GwhJCs|PU%yEg>Ec2_^%mDH{Q2(H&&Ut z8>=yIlpAYM?=de}^|3Jw>jnrpsJj7LfhjlEowMpL;RdqEpmGDb1SZ`Wj&%bWQHr{8 ztQs4OOS73IIuEZvw9(%tyht-J9p=8baWJJfrew@;fXA*>r9 zL}72LCz;qt^u{0ut-zEUhlbU!5pE!h3@SH}OJLHC5#%aEMwFs%U`ce|nJ+H6Zv1H` z?g3E`N}mxabmQ9~|Mh9<#wT9gjr9@TjSZML%8e-Mu}gBb#>U8~s|+_EJa3?qHY|kX3DsdZfxoD8v6`;FQpB;(2Wfq{;S&3jTNN3 zu_>Ut@q7E~v-W9YV=UGU5OPp=1GEBDZfw5(p+Uk8WRXGT2672Zx-mZJDnmw; zqHd6KV`0gea_j@yVIaDX(&td28=GwYYvIz3)nVO@Er#yKR?^+r%IZZ}`!qM+^SR1E z$U)r=&zJIfsli`8=w`KawBE` z@uhGBS!7VTfm{NUZp^^Cfs80c-8e?glm#VcO0SB~>VoJ2N_&EZZtS%9uklMawgz-J zezkQsev9aC{EmA6^|JP9Zp^~E0YVPyZh%%`%8f4?-7rSDfh;np+(0gYNjGL=-9Sc^ zqHdg^-1yRQBW(W5mB2;ke$JR4r1a&MbVFVPc9s3+W2N=0lJj8n zp(fXY=pjnC3KaJIFG2iyeok}~-1YFsD@f&k1ofWZXX?uLd+~j3gtbrgJf4$=;Tja6 z)AOHtI4wU=bA$T!!9(`gwH#!TLDlofB{0$Rc(9qP3>i_1x^bFX71ow?V^X)xOF{H7 zrLPVZy732Q_tWxPj;kyYDeB7j7Vn3@SH}OJH&y%niEAkP)S*8%@>NSmVrtPwju12PQcZD=J z{B;7F8~!6$y$EZcnkjgIh^q{Q9Ms(at-zEU*WPkB{|?{9vdCcG4VFt_(hWT4nq@>O z>PAyFQ&yLBqt~Ku?f}svl)k|zaKm4R__Iu;8~dWV8}+@q8x0KIjU!n-i?vU4V@cG- z2sx;`0a}46H!gdv?KnO*{Kz7M$_?Zam~`Vy%jHK#l%j4lQf{m&IaA)SPktCgk5am` zx6qCH7!7^hqUo5MLEVj`bGjSHM0GbBvU)+*KFy7<0xmxYIjFkbVu+R;+n?F-WxZ$s3>uxmm>293l(cL&1_4;{P`!qLJ=Ujde za!_-F(F#ntap}n|>x!{~EHbFvKrVqvH`Yd6eq=-`>c%N*Y%D4{HYPT^l)dkMg3{fr zLN^*m__Knf8x2Cb8%;=eqlvA%(G>MwFj)IEH@*$I{2=6@?gnTDrrfZ)T#ffWc)#IC z78z7-AeX?T8|y5W9~n`Kx^b#UDYML=Zhm={qBZZZrw-XCO&88hUj%&Is#n zGz;l&G)KMuta-W{>#=Ttkb}A#pcR;MBX!ObTqv&m-5Hx~mme8Xin?*Sa$`+NH?E&`-6jw{Md=>M zHkb8#$(jP-$*##<~GQ4(e`zR$$7FMq569 zNVtJ4GN{}@E`iCh@l(X*M@E$5Zm5~Ew&YAX_T20515tp|J&i&)t_tyIaY;9t`gAwi zMs+vZVcsB;{~(=Q*I1ede+6l4P=o)B&%CT{FnExoGbfc3;cjE@P?#2x{{-8F{s{teJxl4weT6;WAPHq)LEQht<!h zFxm5!AVx-%qHf%2I^PWKINK<7&z61G{s|y@j?#S!ujTl=Vs^h`il$>7GWZh&r5oL_ zDl{|2uTHuAchp)Hx})9|5!OC64-!c%B_QOW<3X;T8_V4jz1+)j*VMQ{**-N#@!y> zjWV}BHp);hXt4HaZtO%Cf{=rb8^6FI&lf`i(s(C(&lRtT+NltHBxyaJP2e}iFQM=7cmi@W@WbjJlHwN5?y?CU|)kJ8Wo zV+Fh;%Aa2;`?{>w$bX>LI`CkKKhBc3GOzV_3{X&TP}BOwxA=)!KFYx;gGwuS1tzs- zEtencC`GkCskFARO3nGJM_n@nL@!Xf|36m12QYUB`kXo6gZLvjrSe`my_b7O^n3;0zCtS)Wl(7aufU|%{V)vdC`GkCrF#CNl3GJUr~Cw>7bzWd-UH^fK4|cV zqsqR1#H(w43VZ53aDW||V=YqW`NW?=3{XRWn%1NHhcZGd7*SZKE3M!anAG~0&*cX@ zN>QyiAvrUe{mgms)vMvo(^wl{qVynl;p)`~Gx~L$y~I1*$0NGdXFR&rXWje>uOQO2 zR+%n8C^)ET9WxwPz$k-CD|iJawbsRa1v^Snt&eM3OU~D`9%}JF5DlR8TmLvK^|$y# ze`UWuAJVlBAYJPKn?D1Xx6lr1rc5QX(IuHdZJ={4f<=3WHLi|z1QtOLBUF*P{u60n9Ke-r0TE8A`xcs2t zpr-Y!_HTSAw1QCvl~(WyOlmzQ=kkLcrKr}vO6wIRwLaN>`_&+NmD2ATh4Xb_kUwBq zY8?>JwGOs*t#3s5^Okul^Zj}px%{Bupr$p_^g;P95{xpaw1QV)QtR=UuV6YQ^hz3%6WUf%_-~fLtwA4Dt)U^(c>RR6l^QT0ENbA=VESDb?9MrUqDZlt- z(XU{XL8TSE0+U)#47mJYM=7fHX{}$4QssUX6Xb`2xL<+SIHTlD=rPeomy4K+l zUF$m*f3`JmWxiie^1A$>;Gm{;*<;u47Fxk5gGwuS1tztgg82$|l%iUnQ~lbqWWO$5 zeP=xoy+-Nrp+c>g!R!&-QtMk@UF(Rju61OHKam?mTE8~Id<6vuHLVxzUGEcG!6<`D zD|iJawKm0k1v^SntI!$e$z5 zTbb|I(<3fFC^)EVZM^R_p%siWsI-DtU{Y(dkjoEtl%iT+QCi!U?AJb_rprJyn9{ht zSzJTTuftqtY_Iw7QMeJ{YDehwn7Uk%JxP;gMw+G#~-zt9Rs8B|)qD=?|` zEX-H1qZHNJU1`0tWWRQrvt%WR-k|g}D%3i`;16k+TF1I|t&@Yg)+r``W;<_XzF*J5 zd<6vuHLcwr8krVa!6<`DD|iJawVvyC`N57-RO?G-{`}geq}Ja;Cqg6*q4WpQLameC z{L%7K>wBbYofgowzVG8tng@~Aujkn=KPWh;X+14mCbfc529;Lu3QTIf0P_{>C`Glt zsQUHll4Cd*k9`NCH!1y*Rj3svWN{{vS|{gptqlP7jxt$`G_4n6zA_&fsA*mL z?c3jreg&fpDy`rZnACbP<}27yifZkz`n7e*e(iJMj{ksYD5Ylw3blTigMz4|b(*bf zo#oTDe(a&_Xx<`C>!qg44+@l8T9*biQ?D{}~*2+Y9xzGwm z8B|)qD=?|`O3YWVqZHNJUyb3`CCBjk#mBMt62mARb{A^>5?aUP&#$v0y4Dq5yQ!PE3NF2bHzWaFP!u7 zxgdI*(sS)Xt;?fO5ODf+SuL%rJ-XI4ZX9W|7HR$3Cg}2mf`gjYSDKwGKhuFx29;Lu z3QTHkhxrP2l%iT+P-FP2l4E#P>g!4n4X5<{aG};!5z3D9Ia*hQ^?v=<(6wTD%Z`h% z7HL}BW4?ldgPPWbR~$1+^eY%;P-z9Pz@%0$<||t~OKDa8dR57O9Wecv@gRDK(u;zH zTE7WXcD%>Yy4upUu6OHN*XMAg#ag6k?MN;^C^)ETozm~|tAtiC%AnE;UV%xi*F{}^ zu%i_1*OyhlURzRY^EOLbfG9-iCB8zf-&>TO;C8fr8`8B_kgm1D=1)@Ot<1ld=!E$S z3Jz*oSDx-ZMQ8=13@WYQ6`0Ukx!&#agB_)))>l=(dP|PsF$aP>LG&)Aml=gxH-`Ar zALaRVeNflBIj3v=F^X@Ud5cuPR&Ib+P@vR0=S)h~lh+Z!D1%BXcm*c4eiL;0!H!Z? z>j0&-P04=!;b{M*AR0mGNUl)p<{yF7@r!ldUiQ8bo6# zz0M=G%8qgQ(_YF>3_IU$B|Ma!lvlnxrz`(8sw@8uBYF~VpZazyd0UPXN+FX>Y$R`I zo#w$-?HT=Szu$irqa0aeP`QCz0+ViZ3%LBqh*H#zA!?N0U($`Mdz{FwAdjW=2Is7l zcjH%#^2zc~30(eOLF@&bF`u<`H}(W|H*&0=&Dy8AaR=N0AqVAmeaSl`oK|3L;lMI? zXndgTEx12!kDIbn z^51;!uI0ubm?O9U?>WK^WRXGT2672Zx^W-QeaMJX z+zmB0?khPqdagJz4WbE@-V!bx8wUfFyu<6b@taq7qdKg+Q4`YLIE;FC%3gKu3rdEwZ{f%1*Y7%x<$(w!VP4RLFEQ=2~4{2fZ_5ZBT7*>hA21gEa}FiIiKwX(R-AR zMGM`iF(`Rg$Z=y&PIIH&WomAe*YRp@lple5WhPklvGE|*4G?lr9~+<*m~!KX8(N9; zC(9y(c{f-tfk`)dk&9(SDeA^><;J}wXUfcP{~iFMiIm=vD{!OS6{Tdk$8lqyrMpqz zt-Db_r@PSr^{$Pu_G#-z@2HCra!_{zv;tFZ44!<8`1YzCS!7VTfm{NUZaiwa%8?PJ zs2gu9H+qzGW5$m)7lCLJr4#N#H|hr|*&#nR{_^Q=939c!IL6Z5Xoz~(nPAo3cs$@L z2O$S_H$W>e<;MIu_-h099c?+X$e?lqxdbNNc+%@CM@E#QZVWf`*HwFzoGC4`EzSec zWJ;$!g>D?PD*$42{8@2Ll(DU{yjD|F*{o06T~(v9*u zcuzIidB0KK*wEcLiF7wkLcK0FYoF%E(>Yf;2sx;^!Dt1h+*q18_6p$!vdExv1Gxky z-FOyb2pLg|y79Ie8~2nP8_&1;&j=7%l>RMH=tkoZC2uhtHyW6_8>dEfH=2ZXH=3fJ zC&JpNxzRV|DhDA4bvHmOFy+QGH#8RCqLm|y3@SH}OJLHCewM2o8BvP5@vd^CXUVZ~ z(YZf&0?|}TXG4WTckDn7U`B9CxoIudrDAG&lNV-2fp6 zbvHmOFy+SU&%PzTKP^WV8B}f{m%yYOFJaw4MwFs%gfurwj*UNBEVDo~jne-M7rJpq zj*_hc&e(8AbT`iO=x&_t*4;Q8^;&zus=M)u+f@!i4(e`zR$$7FWueeEF;kF529+Dg zB{1p60NYiLj3`Cj7@^!SOS%ylF=7jd-lz1wXrUWtS(I#(UpGz)P_nHpKc|$p%IR)g z71iBn9j4?pQh5H|*Q;1JK!~E=eGT$p$_FH-+*osd)BA)Q$RaAJd)La5OJLHC*D&ai z5v8ab@7nouidk}|jJWI8H6Z$c(tqX(-MGr60j-sj%Nf` z)QwSUY&=-fjV^mnXJg|-N>{lH-Dv05$40X#CC_%Q+mw5Kx*Hulx*Hu)?>`n;^_jxP z1_(Lm%#xt@=!2Q&Wf`i0KD1rOQ8Ii;o6(w-VR(XN=@=Xo8yT@37no&KE&^&KOGqV!hU=r<5@Q0h&-g+2nU#JJwdF9WV} zkPA%ctz43Gl_Q0~gx<=-I1Y(Wc>fMo{d@O6^m>eZ|Bkrj+{aL7Cha`Z`SKv&zthCD zS6sC9kro7(m@7RU?d!FjwrPKJ2M9-p~#{>#z>=!ow z>1(O?ivWKNtJFIUv8xS7;g=?5I|NvAtw+~jh=78Fj>47bQ4mQ?^k^I{2c5u#LbfAI ze#TZFGF;_Igu)d@)d+qOdWw$VHj8(CgE}A6&f}b~4e~uYGlIw3yPXj{1LLv-IU{%m zG(jP6kgI%gh>vdBqoYvoem851rgt9nf{=rb-h-B_9JCTsdOq+9LI4~ zQ;uE?1dfg|gqGcnb{xFx1U4pg2=M>vm1HSi$n)oio+nRcz9YTTcRrG)dUl;A(erau zT{j^scO1(8i4ZJmhdkFHkk(Y6y{zQ5Bb?HHpJ??8^4d`m5)-X79d=I|EE=Ly@?JZ_ zYEAjQQff)9DZjn4SECA*PZ90KtNHDy2ubx|J4VkMvz^B~n$EVho( z3-hku3uCU|N3hL~(QB}0627dw#UVnl=sH9ot=T?{a7z1qqP^exUOOs6;y!zA(_zmc zqP5RDN0}qLW*>|)4^eFuYMw+1&qrI^uf(jozHj>M6$y9Ny}ZcB6>gB%ei2ThY!AM= z$fsKfYyDOWB@W1<@hf{Z!fH+Vl}8i=SDNx$mAx8OC`}Qq$`deDgv5TA_RU#D_w$4O zg0Uw0>MHRCQKm?>)sn4#4*t9--Qrjn)x+7}|Yn z!T3ECqjKxe`0a?JcjIDGoj075<4bDV2cKJZ z&w~$-xo5tU&}Yxq4L5z3&-4)j39aOAL6JQ3MIf!QLq75#oYH>ZVbq5vp&}&duzPOU z9HaLPVz{i$?&vc(e%q)(LIu!4!(&3;X-7kh;T~#eTTi@1ztNU zLQ)QcCbfz1Gw<1tGxD}rV#Nx|JWjRI@m7Zu<1V$c;9^X|I6S57GW-EK$LL0ye#s0G z0;$(&poEPRVOWMM%wZZ|Jc=bpkt(dTQR%#&2xqTEV! zZoofm6{^qv1cv9u4bdq@^)mz)(i%IA@KfP- zgj3q@6OHhb+ICcgq#RnJ>kaC&&OL=C?mgy0lzED3+xRU+Q$x@b&yT6bc_}nU{8{5_z+b{Xy70CJw)wUmW zr1+@pjL6i&N%#2vRi1B_qNrmw!S-E}sw?HqVEeX2)m568Rv!mcUDZr3 z?Xz<{wIZywS?x@CG9ZiEX7vV4rUCr4HE7(IthgH1fp)+26~Pt}ElIquJD z@G%l$9h2&*+^^LAK5aHVORVZv)i6-v`K<m{sxBEAzkQgC&Q2hz>-P@$ zML-sf-+#gz!fH+Vy$jwDTxr_xzfcxcC{52W@@_6FLXv(f2R4rxmF7Ez&AXQJu88i& zr@s2KY634A3FWD`qdUwfd zM^VnGI)_{~X}%*e8W;0Ys0fKYjkBJ1z(Aarq2p=i3Fk~knG)6ZX`N+K%YnrXrq8C9 z78HGxFrL~m=j@P2N!Qa*ctRl-4X1kw@F|S;hh~{*2t1)8B2{oGZcM&4D@cEf0qFSeGjD$A1vWQj_;mWe66HL%gAzLLjZN zLwQ_FA)L}wPn0Vw&X&kOj{Q9|?X;Q0T?WTqyx_^UT>_%AYw|XymG9ZiEZ||E0PlnYR z`|ZWs=P3kNnjU$0OIucEj`h3kAiwf=7TWI>l#ip#%T&vlvr}{T zF|{_@EgcRG(el*VdHykhnpsn8#QC#KT)CGz5NkKZWaZW&yFmyRjYIryKnBv9a)@s( z$#6>heWKMJ1y_W8?C?74@X(-j9LitJYlqjS-})EIOrcs}jvY;h>tin6ra2A|#5nw3 z7^3ThG#ky*4)+TAt`;}aTwzr4ls1JBENX|<`x0oSFd93o-iMYW9FjNRV?XY8rBD$P zJG{V-%6$hpbgrTHZM-d?fxJSsL(TbU)8T#v}jW{ZlD*yf~QzBX@~Ic0xjeT{0z7F#FN zzvo~qRBiH7dxS8ZFgEvqY0%_$c&Q_cuFczu_?}`QTVQEcAMm{t0}hBW&%nTjH?ZJQYoC5$C^9%+2yrn}__@rFEa(7=y8+WQguk!ut!_ zhBq4_MSq2Z>Aga38v}RaLgNm% z7Ud~9l1Di1$O6QzK_M2=%~^Xg&S90tp(xrf$>Efyo!kv~>V73V!EVr_ud?9$$ldjJtx#kIX`&h8rv1~@)Hi8CrYR)!ekaOqeSiA|R1fK_p>h#n|o{S?P zi-z1np(n#?O_5s^hANb%{o>Ceq)-u(^xOSGb1Zfjg4UiYKaZnNY}Vai zg|m?V9jf7mlllFL@O(*VqVM+9vyyI4J+tKYRCy!S_S9QL{yW8Z_|tI99_^0t>kMM&)Tee3ti`T6aQa(AN4yHvX{X!Uy@dSsJt>Rh<6 ze9w)!e9_Y#zMV-L*hRL)^(*-zAdAK?_{y+avwRU;X%1ghp)~Dx$+DM1MM&)TU19oj z_nCwGv;1_7=6mA;KR=B!WvX3LZ1sB~7>?G{hL@TQPY1?Kf4(Ws4+gkFUh4b=KN#Sq zc&TfOd=s6UXJ#V&5pyqvfGirn`-2g}YK{H&PRXO6#WC|4_Nr%%g$n^|0ZEMl$P=0Vj z6MbOgmp?=P3e~O}bo9I(?H-j^jq_5s`&erjqL(H4wHL)OJrditOUlaa814OU0)?7x zhKAVM`$UWbaYhx2dhyar3gMLY`wn~OhMueli5af$8;9L*1+5O(unZTwbL>?p^FGzC z4O{!{V{ox;U>rUQqMO36-0@!Oks|-CD&1!x$#X;PcX)yyI3uj%cNPdBAd8x4@B48t zg|J#2&;e)()MloA4JqE$SIP7hB=jfvhD4nDD!U;fk}3zr1fAnONI>vRsB zo{xy>HOn-xztA?*YbI#mfReHKUz`MfnJ~uI&GBtl1hU4WJp&HGqBa)ojm9C6)|9d5 zgqK1%rTsogdGj@mijWxO;dU?%ho5-{<8&dK4wsmF3U&@nrP|Gd4ld7>__KII_A)Q^ zbb~(}r$Ig)@TVjc$n;tvMRW4T?;$i$8;iV9eMeVmLCrpIZn(~_fTm)B| z2Kj>T$tsklhGrFaCDW(~i9vqh4+81hT(W)2zmfGrs@>LlOiANLs{9MB%4T{P`s*>I zL8cdj=@ZP04yk7g6!n#jP29n$E+i1uu^Hhd4jGU|gY`P$$*@{uo6-HSgy2fkHcRNk zs6uJlCT=#T)vY3Fv$Fng^w;%me_gEo-kp%`BdXnzZyA>9WkC~1ENycc7@KGgCFx~A z6N6OKT*Bv1((7UAm-$T>Zk0KdM7?oO24vCL?1qN0T4S4XsLJ3P)U1s(%N09W&xL97bY;3Mn=J&T%r%f+cz*^Dp zTQ$#Dp9o~TY42<_1R+?|#^mSJ(+H$B)lFXvJsD1E%9vcysX5=sE73G6LSkdP&)?Xl z{nyJ=flsLR?^5fb@kLl^8cV&H;P;lbv6bbevpJbfuU6u_2M9E;u@pkEXo&SEJQ+x9 z%GgT+JD{kw-!~>7*~yBKc>LYcJaBaVJ!qM4Ty%#Xchd?e^C{Kt6|D|mi@Og07dlUk zQ!j;hb63yeUb+oAakm7i#UVnlXdKEXrU;}pNGmUBw##m&I0BKZ& zBpvn~(RA1&pLWd4&^hkkHGJxV$lp!1$I7h?yGY$19~z?NscAWW9-ziy>O-vE)G!Xo z=Mz>0avaJ#;|Rf`arkW`Pp_kdPY$BRDo}p3r_%KVgjm*%a%y ziZ3z9fGldi)d`q85LRo-FMczaMsTGmzg7HJOje;ZeWZ}Lk5Ca3`#rxoDtpGl-MmDd z+dVtp^NYM3pH8)Ba;<)=IPu&ssr{yY#a#_N`_n{!$?*@awOU_ znK4>D*!NNh$fEI!UzN+ST2p?H3cVD9D^2^wZ)#JhLTTEsyfuc3kl619mhX0h{BGbk z`JVUunU|rApxX21NYdon=kv!W#L!UoVBD;fA79XZf5f)!19MAuMvQ+bsr`Nx=bL*7 z>+o$y`w);t;}>68kYTl^{2rTt=4(y+#V;dMs6yq_e&uaHRD{HSV`1}xFoeZlr zlESG{HAu!ImkAHYHy)4^98LLw&@el zag!xuGY2bs=k>5nqag$PG_l474eV>C<@97+wS1XCRM+Olc~1sp(b(Jy%|}?RDVt+L zXi`F9wHGPcK7m~%w5Dz1GF4WD#5N!Njq4Q0=8kjQ#vvE4#r^Xvu=86=;t;e_UbeuoxqRMBBOr^$=1PH=Mp&&Wo2!zSMsTHRo2v^ijVhF;ZQ_lu^aXLL zDVo30X`Q+Ep^M&K8(I6PHnnvwN^e%ejaOl4ZVF?2HEnJh(7=Aav3ahK`zd5>9)qLO zD%w$V?p+#9ML;Aw_ipZcX@u39vbnR=0^aIFTjekD z<~^4f?l+IE*=uV#o#Tn)F?N|OK)D_4^(nf zy!6o}zJr3W7Hf4Vu9OjwMUAzJzn&n&YK{F?@ukr;f-6n=t>P<{vI?bXzw$l`Dneqv zM+h_b_N+O`uXC(9OuRf4W$IL$X3mD2lhRIbcx_<#?g&rUC5+!4i9eaE{U)&1+2lJS z|l;#_xu4FO9HTQ-1Nq+%$qKP5a%v=%o`z(|+;RTN)K1vEL&t-?cz< zUcQds{N!amN12&an?7iEN`?HHea*KWMA0@Oo8_h3;jkb~f8IIHANbaMk3fH3ERU$J zUwm0Vjesl~zbiEm$gt$VxOrIM;3}W?yH?CgqY9;IzyCxdP!W>yYx&BXC-eH<(DvtD z?pdz`WoA(=YCShjj~D#sU9{ivN&bTh+Aj_a16P%d--8SMk#FtykUYPk$jvk3SN=s8 z8IVQecbOctq|p@L;n37k2V+(HUAN(-QH3h2{oa-E(x?bY`kglWH>N4)pmWgupTB`@ zpHuC#QtPliyg>s;gr*xG9?-y%d4shhPXm}5bT=+zhm22{Y3jWs-w{Mu+l{01&@2RG zQQM3r3uuAS*k*J|-b*7mQqyL1Mc}1Th0?T5+!suvA|$psFn^og;jh+_^$V)?i&mSL z!pfn(v3W_61`Z=*^I{k~tl4`nBpNupWNdyS_}yECb!<*ZcxeP=(bzmycxi;ynzDIj z$x9=+(iF|;Y*<1SO4By+PHq|%At{^TpnHJs9o-Y(*?CE19iSSJud@qfFEE=1~z!Ji0P1($aUK+ubrfuE> zOUCu4y)>#& znzng6@}VLmX|pG9=OX!r{=9RM{40Cf?@KrB`3dqjs5U2|{hBv~(>KJOJ1^;LO3s^! z=_v_*XA?zj50zgpmW5cl~_mZ?3+YRNg}4N0aG=|I6`1X>H}xBtKU` zQO61%L#I)QMMGsu-b(MiP z--8}Twr{9!0drE)wDRx3`AKB@pK<5ShxE&^vbJuancRA1_d+40CLZ}lgDk4GKV}7= zg^(2?Nh=lljXB&IJx8Ul=t8z{sjqF&L~wtRW-p0n0J6)VjSZT;MBGp*#rQYZDC$so z0X+kSSTt0gFLF_>{V^-o!U`%vVk-+ZM^9y8Ol9-V)6U6M{Nw-4L7DHUZ(%bdG_MJ8 z+rqx2lYUq@FX^NoPC75?q#y8|mvquE#_)q;O~jy+FJYzmK8QsZ;Uygz7D?h{zY$)- zlEIaxk4tz7OID#YHL|MD#4)n0-(lA8;`8%+@*dYeg*e|+->^Za8&6|oWqiZ%sj~AD zR{E)g^AcA2(US8LR{90vSMRuaO~3e6OBw-L)O_XZf@y@+n(~X+1!ZuhX}@?~P*$Nd z?H4cO$%>F9-=6cDGfB_bL4G&1!|#%Bzxe?9f1ti4ldb0+xFv7DR+8=t_?ZV|@Y2tK z{b+L*nK=^MHZlD4=q6TmaqJMgbc1UTWdhJS$Q2`h7cqcJ< zX+b>saD%*bcfvXPWddy55*gg_8{vhkGy<|{#;-ggBCOV!FHcWGN^&1)zf-UeP=(U8 zU;KhyR)oZSuL+Fbo`Zr`zw&QnYQMub8nze8{6u}rHCp^;4n@1SF>_Mp5OvpI`OO?0 z@-q+ZH_Gu7A8v}5IiNw&rw!Q@XTHLl){{mR zO4I%MRg^_VNbGmPkQRo`TLS8SSo0MGn?3gn5=+U2djsmZM{c^`EZm3w*&EFJifrk~ zHEu-AxzzU;bKW_OM4JyUnL|N59+2=txi&7q?+FX~71_*zAdYR*7vh}lzRKCgIaAn= z$SH>KwlucMixM0x5G9jWQ`A+$2l)cI)`x99 z7{{GLuGJAUKrSqkZZ7pci9LiSv#1(Pz1`>~;{vUzS8_SufeE8Y1FCGhT#~&`w1rsU zvMDSmO`4$y7eyHuLX!EUB~=!-kYtZ-3$eg;WaScT3(KV$J0#em))tXk^);W3VsQbO zlUAwvdV}q1O&X@?urixg8ufsPp?_Zh#5W5xM$-r4|8M&5Z#jB1#Qd51Rx?NFCjCrI zQB?HX3w$xDUXad=Q$0h`Pxluc`srihF8z)eUv?_`>2Csue)?Orrs;ndFmFx&d#s5j z{U4C?Ae^-+`acS^MT2hAm!#2TBuPd#nj&1TX3%h@IrPzdtu^UO6G{_pAr>TkX$48f zQ}l7En}H#%HR(%RO0)E(Iju2$CJl>PQ}o|LSB6ofCjDqU=)IP_BqpYNY&`YFX*)BiE&(*IVM8{%Wf zrN778VS1o=nS~W^-br3&k%Tk$GmHAnThY%fhP9}m$9`rQ${kYF^fQYKw55P{1B^>w zHkn03ANOfw!BEH5L|cdjrVlGfmcG2l14CMC(wDZBX6Z|FT2u5vT3Xba zqW^Z;lSYw1RgL}VMD$|V)mo>(LUc;Zlctf7k9rpH?b!b_^w*uT{tk%uEA_1xZ>9g8 zrl`h#Hm>fF^$N+f7E@zb?mRRs=g`kAQgp}uVhz5Rrf2?1OSX-(0Wq+wBOivGKymw{0vOaJtSmw{cabqXv*6ANAjrj-WzcI-d! zXXy8Bxz~F`jZ97NQpH|AGVa{(1$s#Df*H$ENV^Be=p}{U=+#HKPT>GU{`CM z0t?XvF)sttO2f==$Nq!zDF1(*`9~$+JQ7ws>f5~BN`HM#QH}kD8tNeckNwQTWtaYT zp-X>T;nE-L^Wy?N_Wx0I=_gebb==SFRc79r{@z&o#5eT!je&Q=(BH3swv^@0n0MyS zpvf#6`gp*QLBo}1>C5J8&C-VnrHQr>3rrtYkSu*XoXCvzjb`b?783F~^kGhGioPTb zi&|6k-%ofM7)7%5FDiN&*wtF6z(RCc!OOt3(x_*srC2v* zQ&jXv62BtOyU@#w#5Ozj_XA;hbNzKxSEu}g1VNPp`z9bEcT2u6=CcO-dB3b%{INQ~l zqJK>pn$Y>Er_Ij%5B?eY|2kvA4`5{o_3fBzrN1}WY=J8`MStrOKWEYOx556m^iRmS z^pC@cmgVFQgE@@TV@qmRd6`pvm;Pzw(mzcwZ%zO75=HOmQz0*NMu^&ThW?p(#7xSa z(Lx_hX3@~c^PCJCt~7@}ny)oWA10J0+CnTaeON)V^zkf68q%7j4_iu`-WFnkulQgN zN%k;BUy?pe7){at2=PuYNzKylEV5m#bqXv*H=`^}BOxEgzNKIMGc*5Rvgf~xCJv>( z-Ak?Xk1x9P|B+Bn(&Pa0GReTDe`d_3e_GC^e@2|2x#^idUvlZ66T0-z%`r zcgtq%pD$~V8~PXch#A9vXr+%PvuNnwfu^G2N^|I=`C41Z#{$!W38jg)5DQEnR*)?H zyF!qO8_m*(EtSuq4|7^m^d)In)S9CIal^};7f8+0zdi0{U{`CM0t?ZdWiJEMNKC)Y z&ioJk0s3NzaNfH;N1lJ~GqBf2eS61R>7Sl-=^qQixxn1*Ikw@_KfmbGKNmUe*gvn# zKOEBZF9}@wmx3u~5Iyr><}+_i|8kgqFEsQo7vSA6^sgwPEoHegTE;$_%%Y)>$Iclv zTxkw{G+%3$K1?W0w1rq;`mlmz>E926Fr+n0AGVa{(1$s#Df*H$ENV^B|Fq;~U=+#H zzdHv_7_Cz-MfWDX3``?Q`rTjJv42x5eGvx}++n=y%4{%bbe-t)WZ*!GcTwp&awpW50+izK@cI{==vbe`rG8>&rYM zW5(squw$QTp~)fabA8;Ue{n;-gxf2SKXXahrT?&ED%wK1?IG;C7ko2lxY8W@Xuj4g zeV9<1XbZ8x^kD_b(#Ole85q)}su3 zU?Ezq>}6nDY1BR4j{RHy4E;%OefkXSEkb=qCtK-1BwYH}6!1FBd3!E^g>gL4A9a4gFV=XbbO*7W!y1 zlBDIKDHN@l_cCa>(j59|zSb;#m{6K%3$eiTVFk(3$D8sQ7}A=hUutO0q5oppXo`LW z(k~T_rs&Uzd6}0BQnU2eD0-PGIiqz7EJbT4z04~~tx5Wm;Rf=rT=!Qe%+wP{s(cF z{!}ov^rtqMx2FGLnW7R#yQ2S55w#}`{f`TX$vdruKAMbV>Ej1I88lpJ4t+FVYnDDt zC{475SYZ0Ff@H@&epiu!A+1^Zu%$GIKFn!N(U+uQQEQ66d=CIdku3di)`a9`|qkGh=_s4Nvb3d&8)2QlgdqTP2r%3555~o3p+b1DF2CzDs{< z-lhLhf`5Xg$9{L&rQZXlmj3ht^Val5j-r>ESA4xm)E+bRqd3+Ya%Z&AN0V7JGyhg4 zFN20F&7qIxYt7P!38jg)5DQEnR*)=x{Ol_OLt3-+VM}QaeVEglqAy9qqSh4sI@$-L zNS6K<$OpSx>l9dswkdlVm{uBf&vEGgnX!LMG-^B8Tb%mNFSOGCFmUPPh%A3P$GgzW zyh$$o-jYjyy6@5#f`7}T>G$Pa`u$*P>DS}TThpHzqi9OejQyDn^dZaya%Xs%S!J}P zD0fB+eKeUxLx1~(mqEjo=Fms;wPxwVgwjM?hy|t(D@c|;em|9gA+1^Zu%$GIKFn!N z(U+uQQEQ6+tb&(;Q6x)$Yz|t(Xq^H}(T*`Tt@43>*c|(}{h6`9_dT!v8}^oHdKN9OCFaOr3w-w%R*;(XS%V?1S^7T~wdTMN#fNG&WR(+d{cESLM|7e=e!7Wib8hvVlWCyIjJdpIzRk z=umUTmt6r-9xQ44*%bsuMO*>Mo#ACylrc#rOP|T0$t-I6)mMd=LBo~i(C-sQv-DvC zNs?|0vA|_v1*u72eyt+|Lt1Onm$sB<=}U83WBN13<~?aqYijI&74xJ~q$d69*8-X_ znlwz+eHv^Ui^vE1!i@c%cF}Uh_eb9AYujVU=CHRE_1#)-r9T&Y9K1FC24;f42ZsKa zd53;>#iT<&yBy|hS&qkkc7>e!dWNFED!KGm6)yeNO3Yi0{j48S^hnImUmf+`ZpMCg zctBNLe;`@BE-N9QrV)H8u7nX;{>nqW^8tlSYv&{c&Y43%goV^wY=((@LYBi|yDy@Xye{Jbv$M zu(vez-Q~B?&n{nZ>HnDEFTm)T|0mz2zk0)^zZ&LjOMms4`c{nGRbF=O(51f)m^Nqr z?79K-*7QdtD0);F`XhY|fP@+Q>jBRG1-Uckr7xSzqM?7V?`6<%r8)G`e63mfFrhTj z7Gig0_kk+In?oHAblI%@wa!K~EEX*NEP0^R6VNq*}{`crjFp6a92T3mr zyISiMSg0P-@Uk$CgnZOHA5r9Q<*0N1?1l-K{zj?~sb_rI(Zswp{n3J={}v7XjZ0K*j~n`% zgj5|{kUOJ=KAOy;p?_59$%ZS<(wEKGnxzjDN)v4%7MMP)AX)nOK2H{gv}WnUmeL&h zFsC&|Uy_DJttt9H7N7~KS^7tmy)5i%ty5s3dUVdqZW3Zi(ZATv{Nw%%{ZZGScopm| zOMS&sEB$rLF8#k2_?u2@>}OZax%4-QyYx3Ixb!zps_$Z{vA?Bo>2FoyHBEo(ka=tR z+vF*FB5&w#8&Gw}CjBu9{P-m%cSZ|+G?_(1{{-L5qTxz&=%e{sv-Dv?X`(H}0@H^T zBujrn31pH+v-Dw0<#Xu6oYoY5Ng5Wlrs&TNyey0&S^CE(y)5i%ty5s3dSb)N!ZZ?( zeLPUs@ALPJ`!h5Dy1Q+^J?t$5tC2^w*B7@0qEwzfR1hzirv2zjfTDzfFU` zjHSo^4tbaUj)6;mrv&rX^mq0tdJ0GS+XA#Ny9;)~9=;j-y9lZpFy@VJriCUWNm}wU zm8z$OIM~Fs=Fms;k>spw>BEH5L|cdjjt479mOj42m4zX#S^7KVwdT-=IV4$!qAy9q zqSh4sU*cXCMv*N2Q_xFbS8JUD3)M4n(BzV)Z^!t zX(VXWvy5-Xe)mg*=>LJYJKoxQ>!E1E3e@*+q9}JB z8WvN8+d{d9=Pxp+Q1thTtMADv`bU&q`bQRB`bXi2aePBRG&}5`0 z{Rm&DlMPpzLm$o8nxzjDN)v4%7C0WPAT`H+^fYz^3~9~Mhb^T!^kGhG3x`;kAxXoc z))f7P@?I83k(%_Q$CA(*M(Y$3rrtYkSzVzN+9DK&C-W0m2Y}mhy^|h!5k9Gs0ek|i5p5~R zozX%cO=i*1$G23oXt>fG`e?q^EPa?znrI8L!1Q4S$SrGHhx-;UK|zq8@ezX41w{TqwSThqU(K+$b^L;vO+ zYR?(^w(LNvAEWno%r zpl@gX7YEJ!f8ZJ4^cjmjizfb+`s#&N`UMcS^v?(3vqD4v0^g;7vvBF(h@4=`I}Aoh zt*NnpM?sZq3sGzKPB69f|Cwaon*LpJimoac`u~cd_PC+{uLece1lSj?^wDG%4gG0> zmqo*s=Fms;wPxwVgwjM?hy^AHD@aZHtih1hEPdEgnnNGvw5Gl9d+v2+Nnp*89`IWRMS&-#ArS>GRc##dT;>+!I+D)r44t@LkFg!Nh9 z<$|i~CJg;6N{XV~d1zQ(5pE0R+MOz=rhivR0~eIlK=HEABwYH>`Y!$F(8-T$KI3~% z;AeB-eOn-7K3}4!GhyhzAY($u7ITTBXrak0YWmeHP!tVUnnNGW*P5jd6G{_pAr`nS ztROY%M?c3wD>Ry=4_ipc=g@~attt9r&=y$KnxemK4w{f!ll~06g)Z%Cjp;`}1zr}W zl}0_sSo#~a(*Gm(`F99E`UTBijrzWgx6=P-iFs@Koq7J2Depordqd#Te8)Jt4Yp8E>lm6=o#6)zt!_D1N#uQf{_CX^=HLM(7RSV3yim){hYhO}ns!!LHVreszJ+%fhtMs0XJl=1%YWVawS6BlKTO>^cB@KK0Gb zx6*$u=hDB!=Wj7<`gf8`|FyD9|JArl|9=hjSFjZQck-%STPXX&yMar;oM7IX{(C+} zH#N`sE2!@xGGo6Y5Hm(dE%ec377hJ{v3KwAwdT-A^O59Owe(>^X`(H}0@H^TBugKE zg)0j~TC?$nA4h~FG<6q))f7fd@uW+FSRE9>VkPM3%gqD6j-P(ihMAQgnZO< zyrn3%pT_Ex9<1OK=?}E(0?WA((j77 z^gqeD^rywu_q`SU-jYi{0#i%BnrGgc{)~X4D@%s{X9@Hn^aZ&yyll-!TQr1uW_~mo zNz(E{EL8Dz@GKgxG>1N#uQf{_CX^=HLM$+SSV6M%@n!KW3~9~M?=5M~p&x}tQ}iY2 zYTjsy{$ER8c19pIOMkh9m;EeZv`&Gg>R)^|jYX!PbLjt>u|JpowkPbZLH$eSTIqk9 zbm_kX!UbXIzteE(e^zwqS7R>y8D;*yxxV6?6}a?22UAP`3!iyw`U6DKxdlUiK%n-V zp+CEXm`S;VTE;$_%%Y*cYQf8*;YxGpqxo91^kG72qAkP%(}xu#OMiF{w8}=a^kGZo zbLhjI))ak78Wy#t=;Ie!Sr|pK^jASX*wtF6T&nt^mxXC0rhlTH`Qv|P>>u#Kn!Cf^ z->84NQY-yx!=?Wb2wxo<`X3iu`m>WR{m+Xo{ec{R&tB92hFtpJfT^YbZHakn`rm~V zogW(d-{(<#+|d6aKuis0p0ST6BiXUPR?N$y;YxGpqxo91^kG72qAkP%(}xu#OMl%4 z$mEP>>BE-F=g@~atttAF^tUCWDf+8}DvTmo`hQD!S=iNDr@%sWZQ*5M8j0youw!3- zYxMs-^B++-T;Dk1To8 zuGTsQ7OJBHPnt$z`X^cX@jpZV#_dMG4SQ=*|L~xd{&#Vg{!9?QzIoiARd(oiEKqRi z|JrcqcX&nqTP14jcPyN6=y%{;!=b+@F>g(OQ9;o~2}6Ie68ccl&>t3}Ed{wVTIi$6 zEE@Wo#JnsTt~7@}nyaC zDf(+Bpb4p2`lF%2uGTsQ7OI;HuLGu$6n#7M%fCJHe;)g{za~F`>a9)v>l9n)cTm}- z|1}7sDZC54>^C`={;;@1zhjYtOMkH>|7~MUfAxk-e|XuYzebUHYx;jHP;^Pm&|fo$ z+A$Nzo#Az?C1WP#&X||JY%+_6KK_Nv+9U<#miK zsB&$*wqB0c)Vn<$>nEAFroTa)qDzILKMM8T7&r7sH7L5gK}aq1(PS14{q4(M77bUL zLm$o8nxzjDN)v4%7UW&s4p>2I(q|2Zv}Wm#ENIQ4zn*D}zRxd7ub(ve6n*?6ykmp7 z)GYn&u$xB3jMgczG-E^qT0?78*(o%4dn((t&iwQHa?9f3yuam{`s{c)_SdEUO_Htj z|K=-h^5V(sSg5SH^$NMRa8a$vori|yRn9ifxt>s8t5@_l2r2p|L3-?O5?AF^&P~D8 z9``o|(_@nQ-JXuk$`s|z6<^2ZMT$-;8~R%mC^{K+q3-6rJ|=@Ev#9B_hK4K6(wEKG znxzjDN)v4%7UXyGJ75K=Nxyne0klG+S^BW0@;UTjPHSrHZ&UI*U{Py|{(8`06sbvn z#s=7-u&cFBfrS~P5?%*PD~&1#1Wo$e528OzD)$Dz@r`aF4<7D!dmh_+qa9#x1odwf zw$fj}q_`>itAp?kF+KBl3=jA(5b&)sG|YGDZ<<%-6#dN;Tu#&97JX=^qPiXKb&OGc zNRRyln1Z(+`(twyor<|$o%L;(M1621cZS!oeH>8|*cT3cCW9uksOd)waLXsNO=X|iGG>1OSX-(0Wq+wBOivC8}B`}I)=?}|8YZ$FlU}=Ut z6NG6bTI3)M?Uz|p|G+Z_3x5sr9YMwH@PFdj*S&z-i2PrCZVDz zcODuRsGMz_b64cd_=f)OdEBUso0)&_m@21o?hU4v{ytzjK5xeUzD0bT7`)Zk->*Q? zq=uote~zLPNv4*WA5CUa(`PbhxYC@NAI;aAr4JKI6Kx?DBK*aW4p>2I(y!iK0-3zg zEPdEg`5gK%r!_@?YY>D*tttBWxZ;$=fng7(`vtER~^{Ic)LM#26t%ORDZRlEtK2R1($vXOu<`^{cI9{lmK(RqTdlmk181YK@3rNXIT1722Dni zv}B_x+7ATLa3pAY=1&JWB9t)EZY`hf#bmnlGLOb6%U4xq^4$m{JoeC*ixE9 zALg{C=u6VDs5M1@b7(M%)TAG!e6Is`wbm)H5M|?D2TUuCD&w57KbXE$ew%*l%)i+? z&rE@p4XA%ytd;)0Aly+hGyg6iJg!N97r}%z{f^M3pFvJL^JhzHP0jp=C3%f^lGkxK znA)*_M2vZB`bRV7(IDP5Mj~&DWZx z4--lgZ6OwT-hdUPCVkdmNNaZN!sPO}Cq-hv#p(*E!UGSe&8`{As=} z8?eh?_3^GHss9Lc(1T*s^+|{p_M`F9!Aa`kM_>I%y=b%AJZI#!IS#1L^jHtm5@dAsR!@$~Z3Z>IiZVif%ytv|=v6wDde{B!C*HbK!p zN;C}t(T?MC6zx@}$~A#pJHA2DJ|T5)<(ta7M}^l9f9+oCKOs)hzJj_gJnQ zLLrMyK*-&aRKaO6)=tjjJ1Ra^&I{z)smM7dPTgDEkn!-JZ|rso^`C}fV{we$!j~D( zK$EvaORg7k4d*P;4zeX-vn6r=$AK4ir~b1F6z!Cw%C=l=Qb^G*c@zs|v9kq5TP3M` z6I*Qc@bRv-ZlnHle0@P$t_Tla(Rjr^yJ>;Fpq z7ZfQPU8eWG<6;-)DcVF(rIU+YRHA6JB8u7CHVT){&Y4907Y7t=fo5&X#V$qs^$I8! z5Nf*&Ay*5qOKhfEDDf&wTwfQpR9eIjYE>Y!5F7{8NXi1;CH?!VGhEs!BhWhVHP&5SI zHs@k@$0%xpw*nXYcaow78z^RL8}45rmK#m|_Y`m?Kvd!D&;ENuiWWtaFAoTH-zO*< zhCR8dt!;hZKV}yU`v-iA7Ee-T%TQ)~uz?d}1i6}v730+VeVn>Cx5d^AzkX+#cItn) zNWDMgP|TOb9?etlk8paGkZX^XsCTX`W*fPFc+QMVzNG%gF@^qu_!w`<_(Yj{e?=p& z=3@AjUPR!wg)O$8-!*j2hp7MQ0`-22_*;as*s}rk_LopwAlIG?sdpy9n=LlVpL+O* zUs3<_f_i5m{?@+CSZYx37cp?-VlTz1_e<;&_%_@&@MrvZ+4j``a*lfEBvCAs#a>BJ z?^gw?+{DFR%~NlmY@{u=iT`uqc1#$r5%u<g^@!n#@7o zEK+ZT>z9zXz15)JDng=DnwD(nKfT?O4eEazL1w_|O`P%Fka}xGm8F{*E6e#;uXEQz z)L$0(kTCwnqnfNLh+oOmv~#((_YwaC!eq}ursk-3D(b$Qw|$tP-VgKi-p@Xvl^^A) z_oEWMH#d}PAD5{26SVn5-u5Z}Chn>MO&b=-ZJ%QPUQ(6|c@4EsD^brKLat3i?e8UM z+LFTfnYKhwi=F=j^~)E2d0PJx0^c;Wd$<-ZunI!?c2s zy?N?=3zc8QYZ1!59a7g8%?i3U6W2^i;rYZ2qTY9N)U|V9>h9WBy!GnDEcMr7)cXn! zKwH{P8;X5TIedTW@56b^LviZb%?8{_T=4C>A5(w5NY!EyrR=8B!6}a{`w{ie%2V~> z26gRfH|;3KEZXT){})NBK8k>w+PXFhGVhH3l=^1})cZ^xb=gfj1^1pY{ZZ=w5_{mK z0(EU}18yXqe`E65)c+NHy66s|BYfSNq^@1;rZK_zIji6lVlI6B69G4~ zn>Gw4J--(M{^C>hUkJF1XzuwPg0QyqHq`%X182<$xVs?yQF}};)q8yE+9Qx_LlRWI zt$;P&HWXD~hn$DmARWQ|BaVH5>O&C((|6Y)w(4=>%3J6TsxOFE-4LU$b8V@K;)4a2 zyPE0?2UNWg0W)^f{=taTc6);Ai^i#XQ-Qh;ayE$(ch~)n^6}!rXG=9X9T?; zUbF?(7cW!wRs;;}rUQaovzP5f^(8~9UK>Nepjql4;)u`FBdESqk*XIbs4HtX?H4Rv zK6X#4F9XY$qSQHd({Vu~o!gG;%fZ)WvM#%6-{63IWA9OY1s@L|%G7nX-E>;;<_^6N zQ+>q-RSPJU70qVsD`s7?DH^{rd|g#QDZA;^;Ab&@SE{c9UsoewhuySyaN*Xsy+-v_ z;cGH_Y~I#&syJ)%=)VJc; zvvYuAHys$P{#f=Ls;>oK=U_)ovYRFbBjbClM)kGf>)aA`9cninC|+A|@r9_qZi%Yr z6Lp<0nwHNHAB;QfFshF%Q1t=?JlK}nPuw_e>m8`RK75@aOW93l1utH4|GHEk1z*R& z*C96G0pdSbKRk=-8^YIdAv&8ab!M>2cyAl3kB(6_S43U5)VScKXZrwUV}YOE=W)Jk zH=QY>fd_Y{`lj$TAxYB~2nge0^AgP-hnn}~wJqXQJ;kT4p0H`GJN)ZRQKmW$K-E(l zIFt9An}ok&;a_V}eaiyA@&IE!cGIWf**9Fb0@b%hscek8B41XvZH}q{-t`bywk_It z5Sm}*ZDW#DJp?Vrk-?0Cf$-zYE?a`?V;WRFEJNAP5b>L^Sy|mbdihQa)13u2;SjjG zFJtW5pn-c4(dV_@aiw@~NL^psVtwI~ON{<2)%VEJz?;Vpvqr)ZLb0i+#gWa92@bo@P>1@U7qTDm$9i#l~uUveM1^}Ac3lF{62rR z``eeM`hIBf1K5vW*-bTn=C-eoq51(u8hFsB%E}z$z!KI9R9TVNQbYp}VfTDxtFDEM z&0X+5s;3j!lmiR9X-4?QS?@eeb-)~WNKge60?YvBKru;`(Y%Ik%sL=^+R*m6jEj5P)0l)RX>9L_>+?{ykg7iFt;9&$0qE@ zjkvO-3RuH_jPu&~G7UV6{W#ZFJ=1^qn8n|x`Y~wnqu7t@ar|Qi4LpYZ_?^x8c{t*p zi+`Z{aftsI_T!JX>Y3q<;>{UU&!MvaVn2@L%1#WhhW)q>M?5K{fyc2Qf3U?q_piTV zL6Dz-${xpl{Lxl6%fI3S?_X3urHD<~k0UtZX(bwXB8gVn7_-9LR=u@Kb<7w8Pn4*# z7FUMJZ{W!|Ro3QW6JhPikh;FN@$2EnFKyRN^+`z@cnbURdmFJoJZHDJF%RVfY{L29 zmd#S#8-)2e;rCQOH;zr%smpWt^HKHF0d-?W0GSICSSwTa$sw-^8h9pwZM-(Qgf;BC zeMECS?Jd^c|LD%Wan- z=X2OWxM0HC<;eLw?!4SB}5{vF7*LXrkvh~w;&w_SyDFNC=I=52TvYoLUa zyz_Y5wa8h@Bc@L%cO7z;8q{+qZ@WHD11|^^Erpgk$c0+>(UP{okvxVGt z6LP*(q>6HMGjhJ{Q{^b$c1sa!1+S2gYg1Y}=H?8C^utcyW)$c@QuOz7(J zrmkhff7ezVM)mtj*i@wMb#1A?_=|0@?F6bnP@sV~efYAaRtQ&}aMKW~W7Z#dvq0Tz z+EOd}i4~u{hUyQ)*IR^uw$zH@X0-{^sQxH?y_JJyyJ-dg*W6PZQT@O0^_HNnFPj0o zX8YUu`9r9Vp*8R}22{h@6t<5z>J+L!S;VFib)6CzUtJS|S=UcFi0V(n*E@0Q8gDlp zDsFir17GO01Mgs{ooqK95&W?1ZJ$v6dH8x4L+vDIlX&jIX=q_7j!k*$!bD&WYKH}X z?H{oL)n6iPYM_+ebhz04l8r8<`V{yo2WX+)bX2g*O0WNy>aW08xlCOr+D%6WCw$oO zsQy3rdM|+%I-5jqY2t!Ze?5;)Me1s|o6^As6KE5vznP?gicejspxMHdSYhGguB7_g z@Kq^L*Y>v581d|Dd*b-{E_}UD)U}N*wM9@J|Lo>ee-FOi&r#QQcGK3u!o%0RgzA+t zHVJI90k;aazUknkhD%r^=?j+}0zo)}YF8-X@Uq zqW}kdUh759k1!3a$~mKu20l*UXv*8FDEBd@fz5c^XUO@9k0T*(t0Ctn1*&YwYkkQ1 zDd7mjIqN>wa#TTIN9{9F?o)wN7G9f$oYRt2SuT{@zCg}tCCtXWHh`R6aom^* z6PVa|+xN&hy@+kRhC|o@_D$ss&iNB^iUL*6;afr^LcF;a@G>q#yJ;9&RUTw7xT6ykh9On z8gGmFSSwKFB3@ex<@$*#7jVv{k+VNXl?!=oS>)^&SmT__A!j{Fm2-Fv+y?3;l;gD( zP;O?NDw6|3JO6^5GefH6dD}|JIV*;8ylrLVoRy~vW+>$RtBiHLM+l{a$sOK(T+a2Y8#R*WzIrl)$ zUvUETPhQ&-x5_Av6B%CnM*?dhA$c@ZU*>)BMTsx8 zMSccE)P@IPKD3TEOZ=!s3=QSlQ!#$JA{OAa8?p9xe4Te8UVEXy&ro`95;EtJC%*I-6M#K-ww9VcEKO56D zdtuDd{IKNOJRr}{&A3%fn`b7y4g_S;*gUJ?bs((Ptj%6uYmQA+frPQCZB7ck02Lvz z&2{|wcG}p+m(51$&1mL9@m8CCX#7@5)h4f_4~#Yl49%KwZALlQ<~Wp#$7Ktgv3a&Y zh>{YG&2xMX%NbQ*>X)4s!IkFNL={TYHqUK%0V+b0HszzjK~s=3Hve_om*1c{o72og zldU#qhx{N?)0`dWXOEiZ0IXP={n!Pz(;g7x=aQ)1v3WkmAp){!Y+g`=ruTbUcLB zTGOTst~AFcs!*D?d1c89P!ST_95sKN+uilx9MrrO%{;c)YV(vhKbY1wPcHGZXl?W4 zq+>J4z?ki{mtYs*IW>}F^D6QJ1Z2_BygK0p2&*+~6Ty{cZOST?rfpuE^a50b#5OmX zf2TcuV67$4oULhQuH0&KB8-j5t2TMTL>T)kUip-*@q)92Yx9)QwRtXV#*k|so7WW( zqNGG)^ZGJ}<&4hQl);te*hCdd(>8Aiy#N&2T~yy`@miZ#Ch0~6v3W(F?oAMy7Z+Tc7v)@=*D1Phfm#*WoR-xd z0+JZgytSY`gcZ%&L~zy|o2Y^{vH6dj_D~TD*<5XSo3oCYbt7!6IHM#Ht&jS z4;7)1%~glD`Of$FgpSfF)rZ`x{!Qy0TA~|4#O9$Xy7xn9{t?@v^QJd7@7kPEp!-6o zRT0g*)7k_iF|>(C89am)&Dun8)*PFtf;F)@-Pax}LLr-L4xgKgHy=I?Hg{8fxooY? zTN8BSirAcn#xH~4M5Z;`n+DU?=AV4m=5qnv$3m@&Y~B}W4*^LGZ9b4dAZn_~S8Ef& zc|XS{s$flQK3LHnDnenJ!`n=By*nDs-d*)wkgv5_EYXcNVzY=_1b)bdHvd&|ZQhD5 zu!Hsk?D=EGw7?m(g{1Znki^jD!v$zws9Bo`&igqwQ3Y#a^U<94P!S5*TzmLIJN28@ zUqN&BP<@yNYX{y=-PY>Hh)Q(7C1H^D{2n`Nepd1 zp(w0q)+U0p=Ga6PtclGhOWH$4C}eY$;cY&B{o<#gIeV(UOG>pi-^IXGxzJ{*K=&?* z%@VfRLHlZz%`fA0UlX+|8njQRwTFNthBlwcYY$;Xvo;Z&HOD5ZU`=d3=V{!GV>Ggf z>rlh}bZ^|-{BfuEQhk?KYHfZT(2Z9zXg|)RNs9Bo`&igqwQ3Y#avslsI7rxQR<~qaY=5s5acouB#t@`ruTAM+RZWI%n z0T_J~O+j;g*XAb}!ZtU*E7E;qXn`|mUrcHb0Z9yPzLbR)HlO;B{t)vJocD8Vq6*f; z=F2JVp&}Hrxxw%@8>Tfpj^^y6`mRmZ+U(8KjcsDHH$nHZ3C$i%I(E>`tFpNO9Wpm( zTHx56nbRHuk{H^2RY6OHnx%>0yq{weRj?*DUn^-36`>fLv!?{V9<-at@r)zC~Q=uF2#O601-H0bPzpl78`!Iwp&3)2zlODAy8nkc3wTFNthBn_QK=VS) z+C*^P&#{RrSQDFXR2b=q=zMG3;bEI6!^qvjq zjz_V1AYi>8S$P=9Kg^*5cZ7BU6AmIVwDKOhABT!YR?LbN{61hSp@9EG6DuF4w1?AIQ#(BcWV$Kqwx4if!2RiRG;4BVGr-|9NmRE zcXYz6-IJrcFz5bEYxnwe7v`taP=)rU17LSip=hQa}M>WPwTHS;jA2t7w18^F;s|{C;erGfoI*U83sj89FKz&p-ncgy zqBl;yrf((0K2Y`DR+8RWEF6~iCh2Zc@&9<-^jr0pAW>Pb ztDJiMJ z)6=fSB|I1LC=7yOs#AQt^&P{3$(NXGJJjq%d za!l{CV)i|>;b7HwPpWoIFOD319mzmr`q-aKl%HaF`J`)j3h^vh{X02Rkqhixwh9#PzO=tBF<)tK?o8Z&U4NkoX-{!Q8rqOh|8K- zG;S=aE!dAg;W zni|br^KulBM2EA7u&i0m4M$%d<4!;=ve9+|>S9egVSZ72s1c=JCzu<^W$wZaV;kl6#S9fL5dx?6()FK zQkxo4>h{Jyc5Li9?AW;Wj_Z(!`f%0ve5$558kSV_w1@P@HtyJHSh1=%R;ucavBmG#+TK82tVwVDyQCXXBTC)g*w2oQy@nkd zu~C2fFIxR))mQXtdt)U{f9yr6G}aGNoZ$(#e#Db<*^R3$-~K8{hf50Rc&L zdjnxvv%PW8i&x*ly@6U}qwNjU#hUcS_XTZgM5)^w``X^vb=cl`^uZ~+qc{Gd`d-S_ z_C^AC*x!bKyXRT-XV2}8O{>Pn@2kefW*8f{m$=PQZ~U0k4G4&Wte}vbDG1A&?Ty@& zPx+kEfLdgu?G4n$n)JrPv~EC+DD`{8$&_JxW9<*;eTD`eq55X}wY~9sjEy_v(i;t< ztLBaEtH#C-RbykvI6aa?ZH{{5=Y(!RKoXtaFkxAUak-{(fjcEwa(}2I^u> zdgGUhZa|GFb$jDL`=e{fzaQ>?q~TF?!e3S2YengV@ONdy_81cPBxsIDgT}f)EE*1r zyD4#4g`T#e#)ZEt@jw<_6iw@@rp5!ep0Ng7L`2zWEg~*!Y!T0K=>|kcscZ27`}f0; z7QguPKR8Y5BURs<;T#ZI+$=#4h8X~8?GC=1^~bos78;KAs57W>k;TPw7>+o!#^RSN zX0Bk1h$tJaMZ{%IESi2obd2>EPPM?9s9Hsi+QMEY#R-z}}#Oq-> z*K1oh_caoMO@Zo#Nx)S21G}x>vfX#dd{$3 z*Zs!FU%f*dm-Z5hWwq1_eL?-V=AuKlS=N;JsCsyhBUV_^13*t8H7No8oHUw zpS*#+BBE@xUJ;iy;X2CG4Tz3X*Xsp#=01H`uUl`sVFr!)o9cT%oU0IQ@$(cyZ@p*y75DlJZX6Rx;PuL03f>UzbMjPNg? zGsAg#$T2+S=q<;>>oKbDqipRMKHH}!D240ERb%*~s(if|`Fcy5TN!y>8mWd5C{uwI`!;(!h`=2+D?3%%{d5j5gD1zfL- z#_%~scMM-$aL4d9xcquZ(X_~3p~7RMx&a|bbhxgP_!t)u%ozp|Wux_qxU30R+(6lY z=qPo)<{YoXj^W=QJZVR?&{KVL;%i0kqN-GC4z zI$pm$^aIR-#w#MqM(Y)ESrf0gfwTe9QR;d<-{$La!*czsf7grPwL$gG4QjpKjFEX+ z#Pu4_<$70DzTTar2X(2Hk=GS+x&a|bbiCfM#%ph}S45PJ)+^$&CS!P|v~ECjl)7He zvtCah*6TLAoQFudQT5F))Ox)W`FdrEnu*@Hr7B+wRr&fbdL^GWEpo>2$_d?o5F|QY zlSiKTANGofve9})T-L=hAZqxFiotclk(O1c5jQR;flS+1uI zo3ER0yx)OnVYBM%N!NOPM$xm|lCOoTe4SY}hF`%LK1*yxV|XoJHy{K`)ja%O&TC+= zh$tJaSHxvaye6``0nt(FdcDwkJ$qQMCp>aAE*j|;)mKi`dVLvV_#8hR!_Ow%e0?YF zaxG=)0d#6*RLh^>@fuL`SLXb+XOZGl%8+P-Xu=Aa<+js}yU!;@`Z@)BWPLq+G6_ zVMJT6U&QHQe`;mKb)%wgKnM~YuWO$?XIJ)$h_caoMO@az>n3^Kfaoaoy;`nk56kt< zlgG@2*EZGnZNAp)XE}N&fq0#jb-m&rz#Xp@6fB0 z9jfn#WUbf#A`4Hl zZd}?;)5c}vbk_wMVu!AI^uUB5(Mi)!GmW#&qf!)6Hd>2_%Nkqk!Oa&YI!axO7yTa= zH@N)a9yDx%>igNRwYW6jOu^aNI5O#4T*V!+jjLv8rX=;ir znuxN|T0~sd#G>gZL`SJ_@&CBcvg~t5&xOT_s;pDB7FWhVJvAW%wQ(6FrKLD7?+(;; z3Up@)H7>N+yF*epA_R$!#eDjfd)Oi(%0_Duaaj|Krk@ZUrLM(K{Neu@_Jz!g<6-eQ zRUR4CS{#R~gfqk6e~qhRpswYG0~P;xW#`z&-zVsuh19svBHm%88xev;$KvPxC;WwS z(L|Ju)*|AvCKlUD+C)dGYjJKO`a68CKiu6DOW(Da2d~Gg^5VH#ubbrQ9gH$!*GaiJ zx5fBfF8rO)xLulF(V;uPqo8h>lX%>pbf< zNDSw7 zO>bkO#zho&3A718qGNHNnF|i(nFtYOqqT^*tck^4)4CDSQR-Qov!u<=2g6@Lg}+MtMloQ*GF4FUwJ-SeTpit{HwWYe1%@2#r_+{1#bSH;=BG& zMZbMsG%d1&8*ku(oeGN%`IQDY+Mm3dh;#&>*VrrKvL^Y9yEGdS9i^_<9jw=FhMkvZ z9sFZAT6n4|ulB3C3aM${2_~#3#$B)HRn1j7{M+uQDbpgy>ux|7X1C+@M*qwIFjqvR zBV4bD%bIw_&Bu+1j#Ag_lGf{}(Cd(+{Fj}2w?hk0Q{^?nNh=!V=XvzTGa2Qlrrl9~ znQ}+@Wnga4zE>!^`_}OoB{`!jB z8=Y0-U@FGJ*FrVwjXg135fH`9&*q=MRgcMegk{b4#(5uayA$^YYT=DeZ=f#GxHoW5 zej{o`soNVn+OZLSKy~PxKPZ)*g$ACX%Ik(RVEFF@jhNlcOYS5OF3r1na9h$H4Yy<5 ze3Rx@Mzj6iacx4NRGqUA{O+eS*()N-MmyUhE^Cqpc-Ej1(NXGp-PV#1KO8l**Q1Zw zU`sUSOjX_hD7-j=MqV$^!)r10IyL8dy}xRHdjRuWIb~Ypt zBBE@xUJ;iy@ruVs8WA0(u2=ju68>A)cf;oVocA}xodNnRRo<{vJIe1*(mM%dl;0M( zqx`A3JIbG`xRjqkKJ=O9!Fe>w_rr)rKoZ?ija$gOlV8n%PvONV(|v9_=G>gy8#AhUV@6eP;Ht5C zXbQ#YjRTOH2uPya8wksq?TvrkbrW9FXl6jv!W*65KwYA7Z{Vq_M!rs6w>NgMy|HQ7 z8$+JwAMN|#?`ZWos=RqP14el;1HExyJj{b9G4k!7)9<9+(NId#V`9|GDCZAEx*`OL zP9DtguEd+Yj8{aIjW!Pumo>?QXY#rc(NXGp-QIc)KMp^1&X=;sj)2#5Re6h`Hs_!A z>AjjVzr9@L_2azj^^*cU`A4mcydIp=jR--ajn*sT@&V%Y38fnm z9i^_vs=RffHs?Q1&|5<#=ik8`X3uP&72TZwylS@l0(&kb z7S1S7$8{qDqEw&R5SBGN%D;?niC1)*-asw9(HZ5aOEezkcto-hHKNq*jnPqW%>H@U z-Z;0^(t`%(RC&8!&48a{2E5-3bN&IGy=-qR$ho~y@!WCnHD-tJYkT957_JD2Qr#N} z%bM+twn=Z|O^c>CPz!H#dINQd#=U{(VjEE-O5NVrKI)A*=6N!DerL#>|M11f&qM>y zSLGeU88FKE1r>TxtmMIG$a0(WKbBnoKUL^KUutEP2Zxqmr(&83|JLg(mu{61@=-_F zJV0DNK=R<>f^I}~l)7GVQ9;gqb5uCL4fzYN`~JHTNl#Mcor|@3@I{(lgDZLPb=)D} zv=Y2Vf7LaOEjZ4bR>pOyrQ_V@=-hXhkKvkT(VguP7Nx2;j@bR_9QB5&g*RIArY_N# z{C$BoHKNq*jcqM?^JHCp@})HWlhLGkkBSNN?otpS@P=)>vdGIcp(}y zS(Wz)XTWHb|AaH!g9$maHLaX*y>3#)deaiUoPb&xdHstSpgGgbDzDR;pSzE_BBE@x zUJ;iy@rrj8G$A@lU9U?;UT6OjdL43~Ro**SGs>Gb0oMofGQTyAL)J#~ zTN4J3Gs?Hixs)-Tn6GtEo1;ARB=!|mIB^r-% zyv3mjHKNq-jcAn5{>7J3K4fpa@M>{+^u`ob-p{Y?jqT#}qH50hrj0ZdX0V2>WftQz;Ff(^Pp)DMepY3oNqGM)T7zH>7bJ9|KI|>i-cMk z<-yS@Z9<;q?+#KGdrn<$uAMtq|U4-*j-w9pz0G zca%3*QEsWwYj&v3QO+M5=q3at(H-Ro%bFeKmyg-zTkZ|i!W*4Yj=DtSQI7ZUG@(Y6 zy1g;R>5Y*5kU9U*^v@4RZ(OR%hyQ8@Z1(6?@{;qLR^|M0dAB!?&${Cvi<}>m-xd*cRlGipSs+Z(&sJlHB6 z8$;&&AD6BC2@SkVm5&H#z$g!nN6tT-4D+A~bH2^_Gpa_znHUW#rc8^Rs~e5JZbAqW zot(d5>|fs&^0{!M&3VM-10)Y_LMI|RN?otJTCZCV>$ULlxU0<{n%Fo1KC-yv_kGrEhUvZsZ6Q>uYQJbSt zo=NE@1SHWNa@in@}T4-QL*6=KNM+&JQ`t z#~t_C6X=aARkG;V3@>d2L7ejh^fOrX0Nik6Ia#Z_U9@&NQ=%e0tfj z|75R-C>t$##AQv$<3)H)h>lX%>+;s?;-S|e$^Y{6E-@(9bCC4cFslQ!qxKrOt{A&l$Ho?6Zw#3SU9Yxo zjaFZ+${oL&0UtKKQ3~_mj=;@>=SuEqc)ma{yrfn}d4Sv1n-GFTX9j%Xm`V7IfysG9 zl#MnI5SKN{1HAXL3DHsNdfnN2-Evs32V7cs4_>cP-7)TYceE1q}PL{Y_Si#cB=Au ziCV9p`t+G6@!IR=e6xx>UYm82UMx ziH=g&Yux7ih|uehqkQ3E7o7^PQ&su=O6@5B1zw+w{(}83@8o>*Qpy?S%}as#a^bbf z=4C*6Pv5l9$@$ZZx(NYEbVoVDvSvs5=&|qMbA33onObC{?G4n$n)C+Vz;0?psoNVn z+1^MFJIV*Azi}E`eS<1r7|wv+P@$e&fhqeVq%#<`u}Ojy<|`48V*^8_Z3 zT4bZ`4b;V&^u}rEQq+i2w>OrxdfXO{#pEs_l(&0ezK?XZz;SRlV{1 zg4-LL<=x)c9JBqOeA7OsH_nOcW&|YB?G1!w&GyFe$DPMla+*<#Y_z?Bx>%Fmz;`8@ zQ6oy--uQ#`s(fYb4A{I`f<8pYdC)wrY983W;`-mAL?6naRz@@6 zxky)pAkmot?^}G|w2(L3C`qhW#AQvqo{-edh>lX%>yFmzX2W_t`0X{;M}4=bGX74k zz6+&!T}5Bk6R(>i%Wcl@k#N24nW7KvQ7a>_IS&KWw36(MPMH7UdgVtyp3ZlkH6x;I zv|bUHHTH^6_~~XuN2%*|S)21CL$5>5_HzzBw;7F@rpnhAYe)I+_zU(KFUA36sk4btVCbc>GO*0v(iGU=!qa0ybv!nd>RW_c*UEHN#*r->i+T(ANz4WtYtd z7wKz;{Eo%uLwx$0A-%j&H{Xvr;q^eFm>ECN2`u5$h!hZuz)m5}2NEf)Xd`^H-(|3b z;3(LS-#UnoC2CUzYx0K3AVn2H8-f42FCO9@rsi)Mv3c+0`_0k5D&J@)v_L1IHRC6o z`S>EWNjIN`+bi!$$lIcu&%!Nt)AI82#O9;$r~6yJeCVb5&I-Mp&BV1fuYe^4Br&uJ zHYTiSY!ff|Fu_@4n>~1snyG>{v5A+qnTk-z=CT3Z0e-WGuN(#Pms<3hA~TDL-w)?M zdJEe14^_S;oU(+3Za%@I&r6EmEL^~oV7<4<4|6Qxo1Qr*%^xDaF)(YVW$D$CXo}bpuX)U)8Npc-zj)`Rse(1}+g+fFpc%hiOZmTkhv|+M>sf2Pd?lK5 zn=1R+XtoM;!Zh~+j7f|2f~1S}M63a;jMupd`obpabBq~~2tlF?5`jdsAQ6r=HfC0& zU<|V{e8y8ZqaqXnIc13Py0+za-imKNissy|%D3mEsVUG2W2`AhpNkTHO>z1lsPJoq z=^}2BVt$j8Sj&YrKL*XNoI=UVIv(8C9?* z{O}^!W>kbi{FYDrnxA9ymluCJ2FmqN&@?j=DncQe=Xk%iX|5Vc zHYO&0comv+rz+oDs7Lz{RXOEbcXMmEjI#hMYEHLl94<%zIGsz_Z%b2&&N^s{la^D8x)}jU3_*Sh4Piy&@j4fN z5u7#lOJ@{R!J2@?*~nCcV*Hvfwuf*0o&|T+Z~Wfg`pr*U&qurNRplpgwM1XQpRdQF z<9QmwG-JL6FMgk{(8FNjaQ_57M23p3!yY`X*NhM(ibL~MTQdTQ#ts`i^hBr)Lllpi zwV)ytuLuBN3i3sG(_2wRr=1f=Rr^AUS9I7q2>%lxN#l~6=^5}^uF@|h3@4gme zqk;7kQ>A097f(x>5G01ic1=U`LXC}?lRU!le)Wx+w`jMZA{1k6&M89}+sPVB&777% zbM8~+=fbHbGIm(b8KEtQAqS038lf$?L*TQf@Qm0p0vY*i3e~yBrl27RL1Jjkm_;Db z$XKs2i*T&fH`aS0jzvW%##r~1Ato1R4n3lItyR&S`&AjI@#^n=v@BlWlLHOKmc?P^ zmUL(=2AY?rWe#mQJV{Tz3%|c*>1;_Yjiwr$7EC}ALz_4Sn6RR;O}sLv1;JTko4t7S z-BiJvq#n+kEvN{^*fd`jAMRAK#^j&Qfz1b0`K4dYFqJ&Lu2%-8SESd~3O_GRuWO}t z=$0isdR?j5R7pBiHBbz+~Xg-3o#x{HKeo|8fYhn|p zj}}yfLNq4@!`j^Un>ROy%?DL^X1a!E^ABJ&smN{8&ELc3g{g32`5rbWL}$dW^Yp$3 zvAGv${>wKlu+vO0ULIlsk{Ht51k(({ipDfI&qAxV*^8GpG@}aMPi*4%QVS|VA)6Nt zKWO*w`{oQZ=OI;oEn8!=Wj|QSW0>-w-4`~mDTRX;7dgyJa+qfGk4bus4BKp8P^2Gx z)T)rCIX{|!B!)I|er!Ql(by*5k<)_UtjVCoyJSoitcgwY6A%@lkj?XkplKdZlGEbp zn~i%LHVdl!X1>N|^JfLhKepNYS%UcSplzOyZP`L-vp4JJ<}PXaQ9!MVY$E4OKoUcn zh8)6*#x@N(1ZPcbA~%~+1#4mxKNnh15enJN4R7jtvrzU=#n;Ti0Umd;v#%RtXs@zo)o8k8_TGlVQ=f*uSvAmQBt!#vW z`Ao^w;^dz>LEs<~Ln}AI9u5_ate6!kI=s3O3iv-Xv2tNbx1b^vW98ld4R575;;XfB z^rNc$L8aEpW*Cb0G`|5jycGW7+p=YvK8=AIYOLTO5<@FD7jz2_740`!F`vvZ6`>H7 zls^O&KgzMh#leMW&SR?lNxas|GWeI2{iCU5vpl^MggQ*OtW#2hGfX9p74wFW792#P zSTQd~X~ChQ{U$5Dx1^~e9BZu1#y?$!KQHINLG{neKUh!CKe)pYXxHPaJUdzIX-p75TA7F2{{JatbV zVs6;UTA8(R1M1OFsPf$KmvWRes}|{XjMOIGvH~u59~Ayk-m-Rr{>r5mMpp2a#TFby zVu)u#oDLQ3w^_kozosG-W2I}k;gjZt>;LpJtURg8^Zi;Xc}!lnN7LMD;BZ$u%>Q!& z`fC+6*re%MnAR;gh{Vv!FBRQ_Lq+>-R`8c=3o1e(E67I~XV)&Drk2YTGAnDE;`qgb{U#uZ zq2ISk(5n4Xwjnt0$5S_DC8}Ue=DTS)78RiwzjM~{)hO7!*;8}Y5WfLERdau!g5`}e z-NR?)11#JhF5DNWx$``_kt3Q-PS4!gfp$Nw%Dv%iva||f31}_&={E0!lnS(O*8YwG ziuq&iyuhqokH2`ZZSE4ZC$5aso-x|9vq*aeBWc@IZ2P>Z0!7Ytre~UYw-V{xIMwJ(Mk_{34srVHQ-a`NSEWIf~=wDZG>EE7n>EGeG^l@E{K3~W5 zv%X9J1U^papJa#G#SN^F+*1M;3W(#XgF&Q zeKcP*OCKg!3t~PNC?2e!n0O--Sm?pS>@6^aVl+!1wperM!<=YDpGd=^XiOiEQM8;y znjy{fcPr`^*hMi~XMlxXJc-`|(ndnC?Z{2%yhQUwv-DwtwIJqW zf#SgmilzTdUbn!IXqG-~vF6Z+InkKDAq|V7G5xzh6-H4k{e1%60=uGh23Y7l5TovK zA4^PsZJYb6`^fa00`#vL2AKJOOYVQPr?)KZJ*UbGF|<9?xG47@QV#u=8%p%_w9vo3 z;?kcHr?nvFBUa0dic9}x9QQ*uq(8I3$MK%8mY!WZ2veLRiZ0=plC|_RBXSP? z%t((v_inmMXBJC2^fR%9LqD^4l6W)y%n}$2uNQ=VW)#N4Ls^;mGfQGDpgkxx^wDGz zL;7a64R>^B{uw#hj|>ofV*(H^o7h&T>7gP=*cY3{mg24m;UNmm;M@Q;w|*o z#29)b5z=3)Ku^7K?q}8x=(#X-L^XZWWD-OAcu>tWoHZx+(R|U20j3WVtOYS23q&7Q zP%M2s43>c*(JXz~V$GosbD}YQLmC!EWBL!|bp}RJEd54bXJA*f&HxL&c#_SSMj`s^ zI`kK1=Km)3)T^*pRQ)TZYH~lbYRaWQpy(+prk@!Rcj<5Dx%4-e<3=XT$VevWsT85V zg>va{5xDfXED~>_zZJ&b8~Kp_HVJwfOz3Zmaq?)%bVdz*G?~PZJ|5s|LBmWsEPdEw&7lu-qK)#g&}&G;A`0ToW%>_!Is>C9mOh?b z%fPN^OdpqdGBC}WnzNpr`PWz!`kl{watoUCg6dyAUrT>;cnUT0WwL?yR^Ji9J z-ZJy=S#;^|<-57RcaEN25&HYcaWNmYX7)|F^!Ec{@D}>GZaMfSPJHIiAe}iNN6!Tb z{R7dm#}f*rhCZ51Vn`p4@|cFR=Fms;MYHr_g0&##V}a=JWtJTJcw8m}L!w#wu*I4~ zALc}3`i3+tipKOG&gu+|qKpu$su#~N8M~r&23Y9LV&uRyYiiEAmj0THGV{;*<}c5~ z-ixY#Tu@7Y@3>2UHRLeTLvnw!66dh#Je@g;XYe5Ao3*3C&5W9nwC!*BwYYcqn?G}m z%o)7rF%_5ou_fXy^cxh`aHePajY)b!MCdnp^bCbEV8W|CL<>zOF{FQfLTAu$)*Sk1 zzG#*{Ot2Qjd@N8rSV6J5e>Nz)PB8mNnyH zx;Mm?-XQK*U+LX`_j5a7Z-(mMELThaB;=c&`S-5Sz3D>#DCR9Q|HUbn{v`=sWBQjW zUNc>#GndM7F z&7qIxi)QJ=1ZzRe$AY<9n}HP+OW$ZPB$}lUTdXv>6*#`$TS9^fCF8winHT~_n#vF&{ysY}SF4fY% zB+uM5j=_WnHqQrP?w^>ZZ9;#V&wIvb&s%e}XTbD*kG>5kx&Mz8AIE#%mY_AEe>0!+e>EDIxzSmQyS^7*XuTTsv(`aSxe>V+BF+FakEvAa*i)QJ= z1ZzRe#{wM-D=3yeK1Y~=A<-;-*kaA04|Ads{l0_IiLfXd=l(NAoq6hQ(;(&}R_2L>OaDnlH{uHYCj*!MQ$?5l)5v!4mfU|p7-ech01`5&ijTmnoMFyA9re*hO_3-NApFq^kIUvAm(F%=)($%rH`9`GcY8Y zrT=6gnnNGvP|T>~+&83QQ8cFiPqYt4Q7nCYNiqYwqICvX=pCIT)2yl4$9oa|@ryz~ zv*o|mMiXCA{kvsr=|7Qo>0g?qJLZJ`t#OzBo4gjpe8kGUDWisS|1JEja7anhPV9EJY-ioSBFOy^e7N0Uhm>EoWf3>wawLm$l- z&C-Vn)`FOi1)>itD3(6{(LDo0qFMT|#hODO=0s!qhBPdS#`KGz3Zp2N{?h0|*cGia zz=HWMV+N*Cu%DWpwYfh&ScKd^!2k3H*n3s=?^CR${}yIjoBKW}9#jcu{>O!)={%j8 zpCgJv%r|SF$#G2o^Az9NWx7gdzDV$>;XS`pF8wb9;w|)h;#jMM^m`HIl2n-ceHe+C z#T7~oeKeUwq2FWvPDaC7bLgY_q8S5xHh>8fLptVTf#`qcVad|(#hqmt7!u9Whb`6| z`YJ7HQ8cFiBDw@dQ7rxC3pxY4qICvX=*8C?Gce5>a^KQ#UljVy7oW2i?7gP? z502N;|E%QFe-b^OPlxp1WZrV#|Dod2|51)(`ac!;hDD~okh7gSNoW3-b?N_{Cf-8- z7p#>$q2H>~^gT$<{njy<`LE8K&Zwb}CX*QEK5lo+py8}J^wE6LEPa?@Er|J8Ao{R^ zVn&29Lyr<7i?q%F8+-AMM`IcV z`yu!3%-^vn^gCzVvjXhBuKEx2YU%%!a_PT~>^loLn$8Qbe`Y@OX8Ns(q(i@TT%3<1 z`mN(Ce1jv=Z(XNEdoumjbqfyt*7fqlo9VZ%U&LA|q`v{8Ou>1;bcSx-FhSo{L`T%n zN0Uhm>Ergu3>wawLm$l-EuwEsuolF8EExL63W}wVTPuwr(IWcB7HgKiF((?+2Wewb zG^YP@pp8)!OCMjjY=vFXIs+{9u9G6utf|>2TKW?f<-EVd!N)HJdvB=zBMUY3TgT;G z`ky0*Cly2bKYC2jbe?YAme+!qZ`QVxQN#4N2dN8Fa^7#2fF&_&= zA68H-ecUkH3PYk<`mn{CLm%cuWBP_PEQ-eTUn%HT7)7!4H%Mq>S2U)N??oEZtl>Am zLw`|ne^lb~4`J_3)!%^AU)8_ww{BN(=`RGu31RNHCVZFvfkj#iV!ok&kniUH!620_ zg!B*b_&DA(opR~_k$DUKL(`yN2xKq3phD5XUVT(0~KFo>6^bKiP6piV>meZ{;iel;GYniRE zD_UoOh2AYvx)r8bQ?pTB_!r;NzoNfFqVC`OaliWS{j2ue`WV=IOZB&=Yw6>cfc@3i zx{gP8+w;udx~DHDatx4`@d|Knb_gh#=^el7jxEOXO7_GsNcPfvCW{ezNBk?Eh2<2_@v z=b0Yu88H2`Qv3)K(?2`G$MK%$C|VQx=LBl-m!kZ=-+CShzlUs}=9$`aDXe9~+&>>J zdnc<OZMcOaIIgQ)K!F<9An~Am{zoro2o4s=%e6FS_)v_UR!rzS`4zP0po%t>@Ce z&Jb21{l90i7KHS#N0f(>A^pyh`t0F~=?qJsX_Z(M`sU%ZRy3S;bm^n{qFMSd!CDaW zu|V-)1;x@Iz=(h$(JXz~V$Gp{tru#X`-U_uqL?{?>35ZMD~zH<^n1PvbSvzN))`=- zr<~KRFwGk1+uYwdSOoe<{xdrT%_*t=GgGznuTHx3ThjD!pyd7;6_@^9%ruDkh}C+x z=hD9?#ZRhp?%$hm=}%WK{pkVmmYM&4Lab7p=tUo=Y}CRht%J{E{Rte{x>{{}4>63x6=vG^YQ) zuUlah#nNBk=~mbktuw$v&$sASm}U(#zs>!f7KQ#s>uq0vy?0fAE?-Ok?yB5B3%|7f z5f5`8fBD;cdRw0hXf25OhW_(ax&KdO?d_%TSKq&KF8!kC(tiQD58gumMdbeb#gP6> zh;nNwq(1|>e_I-T0Wi_EJ~LCJ$s~sK@eYGlG@LbuKAJC@r4JLV1u-8BL?2dAEPXtc z(h5VOS^BWWnnNGvL}U7fG%Sk7^gl%VU=+pD{|@`Xu4tVB7J7cn=~kF#P0e1@kIwts z)YAVg|Hk*~?!EJ1uS@k$32N#8vnuzmiPIB1lKXd6<^Fqlm;U?6%n$H4-pGU*3m@<} zk(vL)q)Y#!xJ&<|3h@^DpCr`a2bk;mygw_gKD#p>((le;n{PU!hCZ51Vo3j&if%>2 zS##*4`J!3+@8v}cVm_AWcf|WyEIIVeFToGep=RlSm=w*S|4}^Dn7$zmizpaPGV^~7 zsxXRT>HnP2t*|RvXMly?S!vx0(FzvMz6D7erE z^Z2nBrThX+93KKRL$6>!d>Bpm-(Wy|DE$|vJVQd`s8eOaH;~Y9)*R?)zGxOYOt2Qj zd@S@%E0Gl}+LSl(U`R9z9ky6=pu?PK1ikM70ER`;IOS&pFpQ!^(0k{2x)pXs>kP2a z`)QzCVH$;?uRjFzWfK?%)4ieoHGf$gi5Kuj&uz8sf877z>V4IJZM+tG8FTX794C!# z{a4(D{=VWh(`WFKptT_8W36p@PtE%_FVNe@q<9-aZ(D))q`uK@E2e21|H5xu3Hb}m zoat?23u^Eqcp&I)D+m02Qqu>zZB@)VM=MioruV#NAmvyLGu=Q!!)ZtNHy)ZVnuQJ% ztOYS23q3EV$O;y#pc_M?MbM2c)+}^mPBhMR05%pyW9R`i7)7zr@%2<=SF}#E)QfM3 z8q=()u5}Z?&h*~^eayf2T_3JKQ2kSDf7rJzkE|SshtNMLGG@;7KA+bJdfV@KTM+XN zk`1w~rx-%tDCa`o*yH1P&rMRaCeSxU{sOZ=|9u*3IGr=}%`hOQW3o4WpxZXbfS8WD z438+&ixogBvFJia!)ZqsI+`z91l^cmEr|J8=y}c~D_E?C4nv|@=&;3_10Ci>8|7o6 z*8qk^6vUJ1eIDw7Q4|Y(Klp%M(Tri$i;qYe)2ykkwHFO~Tjq@4p%ovh{%NI}OmEu= zx%~^y%{;yL3oe4*7O%L_cTFC4`>hJ^7s8wp+=CzI(xizDJ%g3-mp) z_HinNz8BW+tAx<^!P@;Ey1<40B(ecbCeekChO=g;chh{)EOeM)Er|J8=y^0pRQb43?1zv-Xz+ z4+zsky6p(%LO&vKq5ri=m<9TgW~~rHKMHFPUipJ1C3v?Td zqFCsMVL#Xv%@|g___Ufaje`AD*J_KF>3=DXe+#ZYR{hiSwb1`uQ1fGj5c)JVw ztIunu&ve^(9uPsyH)|7cC+F(X^gc05drGDsm*(Sm&*PJ{CewR1PM8Jy2`M#*AGR{R zpP0pSI4XpGlCR8nt56*1c;c-MO(u~W&Ct9D><7D|G4xDIx4|@Ps%z^-%k&k3?QepsS*rhubS?A?a2L*oQKtVT&$#9V*uQOp zz*{0=)^6anAm*F38!=ecO@+{J3|#0p6DQ$Jsl=iS9Sx@)ogZ?h`Jx#E3>_v=EcC02WJNSHf_Xm}LNWVU=&;3_ z10Ci>W9SAjEQ-d^zY264jG|cR_=b|PD_W;n>g_1$Hkf8jbtQjWrZ1DI{*&#P{2FM! z?pFQJC2OJIm{s#P&4<(bM208l^hp(l$IuIL1{?DctF2IR9=>RMxP&*JCgjpw+aow- zY!mRmTvf&OSd)EN3#0Q^`s)EIhi zLbt&viiJKA`@ybgodFhlPxN#fOtYrCHu-JPmrYbde>pqw0bI>i{V&FAp+AgiI++Zi zPcAU#c>(rsyMb}>#hJF52^ab+$n<@4VWz*rgGJ8iuNFB<%r{Y9^Jz_>zm7}?W`X`j z0c$}B{Y_;06Uckh2fFR;IDN@OVcGtWn^XZ14_Xa$G@LaDI+`zNvrQ^^qef6N-{GW{H7`k8S6$+GBX zIvP$py3;$FFPeo86RZU>9}7LFjpmCMK{qB? z3t~PNOja8!C{@snA<-h}#ujT9x-lmjLkD1EQ8b1=kkG~`$_Pwj(>yHnPKH6)MKM}u zfQ8;ck4&?sx~>R*eMN4#$7&MQ&`(VDT>@8gRsVa18tCn@B;zvur`ulTtQ6>jDTYUx z-oARA_l%i6+gGpfYsf~TF5SLHiMKKIH4A(k@3~f<)&%<6!2EL{(|daYm}mPT^l`vE zCoY-ZzOKSrPN7)n1PM(hF@$cWV>FyKXL?8TMYGUhg0&##W1;6ApR8c98afP#W}(9t zYYudn6OEwv?T^lYMbQ}g0@MMcC>DAp(Cx4*T4#WT-fui@Oru~w)pha^(8tuz^kw{N z=r6pzmCiF-o6!3 zK8MMkp>Hk6@t)fxUFh4!3A1GScF6lVl@R*&i1MiyLf-)c;#2Au2l~t$Mwq8iY^EFN zXgF&Yx@o>>7CKC@7Q}ok^t_lPD_D%6n;&wkP2a`&~k}!!!y(KhOJhrvC=$>u%rhEnLl0{d2Rm(6<8SKA9;oF~?o# ztLI$k`(k*Qe@u<}2Ks&}HD6({j7*rd{Sz+q17zs%o(BXj^aG28S)dP)|I-y@sh zYQF0KB3%o8zk-^#F#Pr2zGljWzGcFNek`L5Vm@NE9}AS<<2Z)y6?s5#rZ@O}9Pinf zqcxe{n>@lS(3|rBn-8J4U_i{1Q=D$kU_i{vDijC$V?{tJu;@(hrs1@s^Fyv3%@@r= zhY8k#n2&{?0x}&|P$KAb3V|WfEOgjnZEnmg(S9(8f@9^mIRm)K3pIxR6Eqk_vCtR# zx*c{!>kP2a`@eupv!=Sv`fbyDE%e6I2d+cQK2!bWWG!?rt>!O{X(wZ0T%i+yumaI_ETMFEE@FJyi+E^ z)#s`oXW{C*<=aog-`mUKY|PO2&oeH7P)GqrDZk#YNHEx#Z?;{D<5mtr=vVS!k#qW0 zMHhPBccEXMBg_K*8mxVm4543Z*8C9q-?8?&^j|c+-;S!$WE2C*G@4ibl};MkaJSjG|cRi(x<56|FPC zLSHP*c zLPx_{bD*R7qFLxL!CDaWvC#APyl#gTlxpZOB$|Z|TdXOA(C_fo{Pm;h{WQ*4fqq4v z;h8?u?N4*Y1~K2PJ(HvF&<7)F+q0ev{kfD2{rQ9o{hx|33-o^_0k#xEFM4Y5%Tx&c zg*?`%UmWOHqiQr6#Xz!|j)t@5Ku7aMv(RCJwIJqWq37}fS;1m8bQluNLWeEZ9Oy77 z8bddLVNo=OK046tFp6TKFYW1e*cGiaz(U_LzHWzU)>PNU-f#N#UVBde;_bcv3|C*N zff0pT=+9Kt{Ot;1reBq2T=UQh-F|zK*BE*!OKU;QH{0G#)2GS>dRLOSai+f)=i_+K z_bRj|=kzb)gjt{i@!(g95c*eHtmQ-KJ-!<3QKmT1FD?R7g+&)S8csX9KjhGS(JXYB zU@eIGSm?nw(2W(8YUnT|nuQKqtU1tOPBeyY0K=ka41HN>Fp6TK#}m38c17z9u+X=> zr`ur~1^cP4$%~fh_q@LHYH-z~21ey;p}$+CFRRNr{mvZYV(8BZJcj~wIclnHKUV#1E|66pSugCct#C&Y)Sf7VSFfw7*HYm`Z0{wS+ zK92X?FiUGZy?1PsCd>kTW2}|qA@ohmS}uf+fABS5%|UUdcU)&TO(xNWj)t@5WICEJ znuQJ%tOYS23+8WaV+ExeIt+Sy@2Xtry9T)S9{$s6$8K?8u7uEcPpQFvFND5F7HcJi z;y^c$&}0%_=x8`=7P@J^Xcqb(a-sz>A4}%iyRm{&4SnZSs9ET{Bt)AV^RYw&W>+Jb zWBKAt-@ZlN0gIwB^feRO7)7zr*Yb47?x|4g46xLMYlRT{kx4Z;m{2GVbXSq`oS)WG_cTIhcYoNMnLzmpuDhgKlBD=zf* zf(yMP?_7KD7@y^BoaqzNd|b>oQ6?s7O`snaC(HuN+Y|q(9jX-FA8ct_Ib>CZ$^F<1zUz z41dUV?95=P=g_;@W-~qKGuW7qSRLo*oNMnLlRVzW&@V{walGf`1g#153l(7&=u@z^ zAPAvfgtbaKgnqGED=HKx({b&+15GB;g^q@^=0HdDMYGUzzGy+rESYQX#tKR`bQls% z`B7&w)NU5o!$G0KU*jv>!v?5E_i4Sm+xkbO-E;#?UwMbO%hcrnHT^h5JAjGtd34(`r;M& zldWTF)`fmU+J$~&(uIDL$>#9i(>rcXsll(4A@p0aSo1^Z(|k4fO&nd|LdUiD4m6oW zfzH!$Q8WiSnvY^2S?DmqS`hQGV6MFzD=6467@07d`P~3RqFLy$#hL>h=0s!Y1~4p& z#?Uu~2BRnz`eq5;0lT7g23Y9Z+|wN}je`ADSK|=ShdHO$Lf>o3+Ffu}Q3KoMYoSk7 z&b9ZB@d4wS7hwO6^W(f``b>8`z}teDZ`K~f-}fsAA@qlQ+EaeL7jk?Y@A<^&YA-q%@@r=hY8k#n2!Z> z?cG>GsfG?iqFLy$#hL>h=0s!Y1~4p&#?X_#?toDg3w;ah2fLzm23Y9Z%F`V%&6?`^ zePWTO_k(7=j#&C@HL!EG7WzZD$P!(9@0e6#TnxQ4!D|eC2BQpOzJdO-=UjX5n3WL)P#oyE_TGUeljuT6!&!5n zqxqs41DwG#a#$k3F&_)&+PkrWQVku3M6=Lgi!}#2%!$U(4PaOljiGN14MtHc^ljh+ zc17z9u+X<%LU+J4YpUy{Ma%Rvw=En7SKp|CJ<_$%UoJV<-aDoSF7yXwnl^o=JGyzA z4r0DpD;Jz=?;ZVl7y3Y!qwt=Cz6*VU$={OPF4gh1QiI>)EI!RMF~3P-tr$Z8)>DH& zBo&GS9oODF&}0%_=x8`=4s;4D2j!?LqK*#>kP2a_lJb;_}0S`Pw&SEihq#-eBpeJ5xziejPf>}z9Jw9WtvefU7LG0mFlny_e@ zzJ76VO}P5E8aO0g1AY8R-?{eQF|*)8e>LkuU&V8wubOhMy^mil!P`s^>G7*8J}$;< z0j=>lef%0l!Yt6&tN<*|;tYN5gc|(G3!x{{SSz6mTXcjtbvF1QuJqR_1ZUDm~3gYpj%6%zlFp6TK@8;|Auq#?; zfQ7!@;SHu)Q(ea`8uU|+S@F+k#edYmVU=3wt74jtuDy2*2(Ec(g&sem$nZ>`>G7NA z8EniqYssv0?S1?fY2L=rw@mVJyysSNS`+A7F=m0j4KOdnS)8G73(N}(A@uEXYVd!k z8MWDa-B>}Xh7LobS?I9Engbo?L}Ta% zFf5A3nZ9R2kB3nd3w_U`9uK>sbp}}I+dHMl!!!!^Q}2FSP|M&G)gyfsEQy<7XxqsBqJgp)xvDMu*B0P~QD6VQS-7onT>;)sET}{qbKlaBRV}2FuIp z@srKMKvP~V&~ZKf(xO>w_OO=IlaUHRC}|hx?cB=y#GD?J8)|Pn+j^yLT6KO;@s8u20BnzOyMXS@Ri`B#N9ipf$;%0W!0?z*-fu` z*BrPU+B*?-#R6=y0bli=-uLs#YT!83wRl=}z2R&Mo=!YIUkx0Ox)v|0?vc@^?!~-P z$Ithufh_7;BB{C;kLv1r(+|Nvo1BEYM#WY4iT0=o z-rF~xKU)o)jJihUu*n|P5L}!4_fcx#6x21UqPmZ_M|F6||2S%{8aNGgE$ON56YNp# z-l#`zT1gF@p2DUQj&e2y%}X~OqXy1EU87St$_8u=j$8QQp=#hv)HS-Gy0dmuoA>RP zzg(pT&PH8JB~c1!B!pikttX@sw1&f$De4J( z<;~g!IkjNfq@Hj9Rx zpQQ$-p{`Z)s(VAbX+7`pwaR}~1Gl2C)s&t%s$|C3KM;Pkte&`RKx;T`wLni?p=j1_ z^VEXX(|Y2nwCC+9tQGadwR2|M?SWdbMp92)uVU8j$YIUb6X6!kI>Qwy|K!CFyuU+S5)`#rT_-K6Ti)u*)*)_k16XzhWNTJSs2x{22cSOcJo z0)^uqOsEALCe)lGY1@N&tmW05za`AJhm=~d5x(cuO4}aFVlAuY{FT=NtOaUL!~bLL zP2iiTzPSB+TMDSC0R;gSN8AA=ZioUpASxniM9?m2JGdZ9z_=kG>WGND#bizmc{EsaV9jCWgZ;IcAy(Hd1lt@2<7V2hX0!4^ z!L~H4rCE8AzxJWU>fl5yzre5jFa>KV*ygW&WU{)GOjh34uly(pYf13**FH8_U3Y_( z=Lc&ESWCc~!(hHo7^@32@C?=*Sc|jrA%5kj&@=3@@+aWeRVaH{k6F^thr$ASI#q7-I-z77Oc6j=D;!X zE5ET=9lWxXU+8c9mcg3M$}jS_ee1wl8rJ-6-@(_Y2lsR@cX1fjk%ex1Lz*oHNim7njgEiqV~8D`~ihrv0q#Dz5nw)tyIEmqez1TOyCG6ril z%x8$oWe%*RS^16rwv@^0`dO^}Tz^~2gS8a&^w*Z#tnOSBjlmvWXtMGf{B7$zSW7~szpdP6br%_|e1yNY-hj0PR0eAR(^G`=D}JT#`$YiHmi$Ru+1M=ZNORzu8RJ)8pi4_GgRngz}tIg`J2(xm_U#qoX&4D$)ax-IfSB6-5 ziN9^L18X*1KmBdLnXs0IZNZwy>hdkNHb=iS=6FwD_4+XO`|mDnO0o6tTMQ1vAFx&c z?-^h8#9EyNYjBxAPz_tR#<*|L5wIy8W_5+&Jl0?g-sO3GbBqVk)IoU2_A z?`qCRx(WCXHh*KNP4lgBUv+Z$$nVndpUp=ubk(M%Y{1#8f8-;Vz^ElKYPp_krIQ-{ z@ESgHWtflr!Q~@=(xcY0LlU1Y<0F5DQ9r{dICFz{WPD_WwR2YUEBQzd=JH^!DyBAV zO05|&<_SJ>lf_5YK-1rJ8tKHm__mMu$TT$j!{H;hcxu$Y#<>FzAIC@jljI|}!hHXw z)TSNw^N(%2o{!uS;v?(fCYz~-v0Sg^!mfaF<2;rV;j!ik92MKwp1Sa{c09IEipN?e zd2D|@D&!4JPZ`f+AsBTaj5_ioBESy^O&cGTT3tK$a zJn^)!}LzjzprodM0@wQOykIKN`>31F;Gn5}JXiM4aXJk~G7*52l?T>uR( zfM?HbhS+v7jJp`_b|(1ahT1$f6e?T!l|>eh6{gu*?r*bFJZ8Z&YmC2bxXEMJ0=ZsW zjxv69tH$tH3|fv%@YszhWqC_#Xzi+TJa!9oyctH0G1aE==H|uSFXypw&}=M>oRCzT z?Bt+*-#>@PY#4F3!DIItYSaD3@y#E34=zweL!Eg?2c5NQ}M$a`rb^hda19@z|#bfZC zjxEx2Ew#&DKYRd>Ern)FVB~U7HCz>*rFpvEV zoBv3tOzAUw1SVybK$=TTYI=Tzeeqsg8$%b>nzT_QLV##RLEs(Pw}_GQ*Kl{ z2i_X{Ylp$O!(d!@f30(zkLt|W+Wq`-$9a6zadFt@uffx2)Ugq^{&|0`2W;yB+Ya*A zdMEg(-UeF-_XdoQ>YIfBOn86buU%m9QRgSwTE`LFE{3&1u-3*BYnQ{?V3_YgPpsuz zd=$JEt$5krRst@?5qSRiYgdQ)sHxc7c227 z*zJz^n_%rz{v$B)qUHa6

F?Un)NxXRj1 z!-)G2m2;CSu1IC+WBeUw_OYOH7Oj~FE1uMijLMpKbXDzT%{w~Kta!7hx&tTXvUV#) znWLw+m#xUMRla`uq|-p<&9t^xfwWy7Q3a67w5v$1versrPIzfqh!ZMn-qi(}a&ac| zRao)SQ`$>s<9}RG`9E6oJgA)E=nCfOful=qT4l{kYpbkz$wF~b<d)H&v<@YBQ3As|`pJBxnsq8l>QsPWh zclH54g38&n=1-rr-IP-WjLMoXJVhFnJruaNb#tUL zn^RSd$`vl=*oxzg`BvJ?r8>G;W#E@kd1bKCj-c`mTC*rY+U|&$X6hKPS>`CxsBEz@ z*DaN`V_lWImdbDmmD?#;_3)m2-b1u8vS>xM|%BU+I8#rN7{HOUmccCw}q0_QW*i*v(h8_N1KR;5S(3 zk8~L~qJX(*z_?M4;mUMu{NT551IO;6wFebQ+fz_lZR%h2sf~JyGLAKN;_eAp_hImV zu^GosNh5ASv&Z7hTYKYJ=XajMvHf!>S2`BK^`v9{QYcRROBl8NHD??j(6PdGL`P$a9@ z?6KS#en@V>Nyo1AFeeC(%=u?J~w0OzsybOGepTuW6oj!jQsPB=ElMVxf3!$O=qD)WlsN1&Loe4t3y zLpb)4k2vYr#~$LOW1l23CmdUxR-AF{(*Sc(ctmSI4;!vb$NGIesXsXO5UpLAByDZB zDu5izcXCG@m5)bMAlpOX*jqXoIO*6ADIC}AF_kMi)f0~W=-@cvSONEtj{OuyaniA$ z3YZg){bDK3IQFaVVP@>Nq=_rjv2%C4{3LMfVd`uGN989eRRB4*B2c86v3ZI@XZ{YI ztQ~XA7j-PR*#pO%ikwPj9CyrFCyhDon6qxDdLnnd1d0=mIqN%^tMSu~k*$*hg5UoUs)ujJYUg%-P;kq;YJA zB<6%;JGz(?j`gw;CuYp)Z6QuNwv(b*UvWL=SP?}U$98rx7Y*3z?UFKFnT`!P;;bRy z*rU`r%qMMM>qf}29SW+daqLGQbNr}uDqO@#$NGg4Cugi*r+UJ%{y7{c96KP5IO*7d zDa1*~4)QQ3X6#^H&o~C(Jju<>n7IpxmV0$^&Co@?z_G`uDciJ#7W0aa4;tv8xp~saBOI&dd(i2iJ=7)CmpNIVNN(!ttj|JRbG!d zHM)m!4Bi{ct!&4pjlFk2aO`pFoCat9Uv+cj*a^O>Y8=~92Qp4L)-Q?Tq+=sG#Wj0u zuScd)oIH<>N?}eoX1>ma9&*M`c2Jyj?34)Rgkz_=iZhOl);)}4V|)`==23Zl_B-}h z7oMQbMGk5E%Tfi9W1YUU6IZa#0k#S>jvXH$PC9l@0&#N2&c%Bz9h-vpT3lT?=Y>(O zbnLtW;-q6!6@|`Y({w%K*afZ%G>%=EGF+LC-L>ZAf55RPsdKYW+DSqcK#ra3E7Hu^ z5D#-=#zxwRla5`BIqBF;3&lytu2U3$?G-b2U7$!aW7qqLlaAfsVNT3gDyKN(*iDM! zM`|=+^FAx0$g+>hLd7jJz_F*O^QcGK*Yi~Yl5>4&vmDJrF^hbnL+}=7eJp*@`ocJ*<0}8GFPtab?cfxf4%< zvt*Anbv{UucJs9#a_l};l&?R(f_0|oPR6lo(<;z7_OgXI>DViZ;`M}MuLO!Tj=kz5 zPCE9QhdAlj>q*QB$KKGKaqP`bTr^-Sx+tY`%bu|ld%yiMIQBGkzII4^PgfN{j=iGS zhV6}T?7B{zI4bY8RI+jGb6t?-HhWBEV29+!IN{h53v*(|mMV%lD;--JC=xj7*fJk; z!m;HA#Tm!Gv@jP9n3k^`!<9K>*DrtR4{+=m>ilDo_U+TE0CKF;{ejZ4`=FoL*j@|A zUQQuS9+kggPCB+Sf#RfNs~pS;$9|6>PCE8S7;)0EKP}7&$NtjwjAMT%Rh&60SEmhE zrell7_g@B%Jxl9)2Bdvwl@EWa&&QmVfnu3EV#Z$8ii~5Q=TMw*tZt(M;)G*$8{;_P zSluRR9LF82+cbqZ;aJ^f3B(D<>cS4@xMOvjCl#k1tJ^~N(2muaI}*x1D#w2IDHQMV z9Ie|YMB4Yz&5dJqo7k$macoHnb7IC;DvBRP{LEjsi!R7=n>{!Z>UNE&K*sT-vaU}U zbHcGc1;k0mcFQ47I<|WnbHcGb0>v4}_R>9!V|{HCSLTfEog92HIQBfPI|g1W_w`f( z)zERUqTIV|5$5h?6rm0Pl@-?9dd7la3vhz?^XGa0hYHu_Gdgla3u3#+-2M zC|hyHv7>blDaIY=7eJnp5lySjk4Egc(+_gXr38s2N^*y#?g z*X*$)`iuzTq+@4>F((`wXDiM)HeUBIj!p1PT$wW#ymQjE;MhyFZhDTi+bLB5&Dd$0 zGmae=DALSWZ4zllH-Zu3%>DB3F^du{s-bV#dZ=h?9DVnc;-q7@T9^}#-R3CHI5sZ9BdP$Jv75t+G>)AXU`{x8VG?oDu}56Q$r*dp#+-2MF$;6TvBwo+G7P(06DfubH=fobs*z}V~^xipmFTWPI1j1 zQ~4E+lSk#(X_PC^{NJPyCms7XfjH^dcMj%+W8WtgXB_)M_h4K!U|Lqd|HVej{S3C> zbKh?Xj=fIn*GrQ2kvUZWIrg=!NaNUJDa;ASau(v`jID7ICui&*8*_Zd>O&Uh_>9#P zMVX_ro&<^nEyA&S>SK;OR^LN&+OhhIP8@fveqF?sIb+{_zw@i$*c-IISA?{WPKWfS z-X+!jqe$F_+ePRv;Swqe9c z$F?nCPB^xm=8R+8cjBS})3Rek<(55Tm)&;qiQw3qwEjS!w4a$$1~-Ggz_fN3$GC712k?mahVXa2Wn z{V8G6KE+XukYfWiXB^wwSEN2F>-W~lz{welVNT9iJc4tDW5dIk6OIipAWk}VVh(ZA zv6Ir66ON4t6lWY8rF$61T-(Hzc^TNL3n)%Hc2y2>(y^=4m=iO0O`tgA*i7BS%-D6di7V5ww$L~S z9DAGAKkt%uBN90_!&6m_W5bh}6ONsQl}pE-P+{EJW{OTY*t!V(C@_S-*y#g9J?}!IpNq7Sh;lUV;jdcdu&}l zu`nkbTdXK_9$OqJ68cKVKJ^hN9sA6~oN(;(PVS6!S>Gip%zR&2zZ7;}Y_!~K{`LDj zk_5-zrSWPjc~nMU+0zHd=F?%}Bx%1pp$Z_! ze(vOsIP*8#D$qFgaT;;Lv0>|_5GRhxVe9KAESEbrYy$_!amR*j7(twHY}iI�kfS zZB)Pu3X0+eD8j6Y#|+XBwSrQ7EuL|V>=}kX&n0{j5+Suu=N$ijzBqM2L_5{ zxy>GP>>wX=!m)$l8L_cA;n*Qb#7V~nxQLUE9cp7vICfY2F$Sr#Fgn-EOq-O;8>Op8)uRB7YeF5a;(}@q;br0 zF(({5$U&TR?35(pq+_SLm=lhTwlODWY>b6C>DX9Bu{oBGjSUpZIN{i7nlp}_-iZ^A zooR*SM$3Jz-1xmg7lC6fbl7ES(*9ab6+n)is!8M6Az>BBIN?~8k2vYr`JHl`J+`h> z(DUAL!;b~W-lxOfg!9<^lq!H6OBEDp95Ww1 zni-pFqd4i<3rWOD$6j=a8MS>RT*lS_M8OL6?F&7P( zV{ar3SEgeVtPK0KCr5{Mgh~5*x&U(Q#hj{Y9J@eK{K{0!SSqYYh?9=B6c8sJd#_VH z;n@3W948#hr4T0_>+}tZoUu;dph(9)bX2Z!thEyt4VYu?h%3{v6ZY$|2pn5Phkfsp zc2g8N_P(vU8^>@k&JD2lr+9Qz_rB_+GymV6dI-l>J19;%wkCo&>DWJE%yGvWLbl?xV+}<2U|ci+ zEe+H&ab?aJIpwwq;Mj+>VSAUf2f8_O>~B?+&ji2bAC|Ke$y^kUEl(j%IM%Sak2rBu zHf-TxZl1-IZ<)j#cdVhOi#Xv}!&Wxpgkud`TbL7$ZKFBk*tVTG;n;Sr$}M}weyKTb zFL11tHuU#M`xgaOz&O^hrMA(`*q4q9G>-jcAx=8BXMi~A*j_&7gkya@%n8T#P9jb^ zwvUTA>DazD=7eMWY0fyderUFShAnWMbnIwdki|87Y@X~it|uHjCWSfS*s%%3Nyi2{h?9;DieOGScAVynV}m7IzUR9UE?APB?aALUG2ilRV5t1LoL>oZ-rJY|K@+^#sQ{Xv35c zXZ0LnPsJI>ChH!Iiv~=~xhkyu&tO-_4&4MC%hQJI;0ktiLRZkA!8S~E6=@th zR#E19tf9$KB*aO_E>9y)&e#NR_853h`%TW5p8%jMLK$Dk!Z%ItD@$$vLS|5ir3192}u=b9Gl}J zPCE8zfH~pVV?O4DV~=}?lQZ^24sp`4rxeBX$r(#W6lolL+QnQnU@P!U%5Y^mwqAO- z3Eb$=0K6gv3E3Q9DBDDCmfrPxH294=@s`_aO@M>up~!1HVcRJroKyR zn443aacsKo#5m#Dl^%+dj&-CECmqWtFgMR)d;O7vIpLVOoQ59Ku}{J%PR`gT18fPHsjc5x`#O`KTn#tG9B~3UvmvOwwN}+KSA5kQ#VI5mJd`_@n^~_`ld#J>gg(i8j@}{Cu@h58 z$=4Mw{1F`#A^Eq$jM@%UVsQeHmu zuPs5!XOL1TmU5&8Qj$u_@ex=|*;T3~9erFHJ<{qdWnP*_kLQ70N|mRieDzTBzg*e+ zGBO*ad`=sy{9-ACJoxn}Ur8C_fUtt-s*G#MGxIzwg>>u&+z^LxTuP%|rj#|yKZ5c- z0@~ORDV8$WheKXVsZ5akTvv2eQX1pn%3xoRLNiG5XtXlHI4)(lQ>K)wZwU~7O&O`36BiknbUx)|HDPrC3+kg}9E zj$F%?$`HxVv(*9(i_pf=mguTyWp|j1O2)BQ3^Cu0qhXYXMHt7WjPaF}E@tJpiATK; zQkK!iu~xAwH8yQLGo_@&b0CcGtUiKhyi+Wt-lvU|v=rAS`L`|6RWH!lAf?_Hq*|ao9U66Q#&Ic=%ayX_*t^aHDPPdW z$!kf0nQS~iP_B$kfs~Z!s-;YYw&LxHab>S0jgIAkT*@?kitA#5dMysW2~xhKjnlkh zS4QP%<0X!gG65#1nJF=+IC$Ep(NTOcjFi4GKX8Qv8<&zSl(|41SA3KNDPPgXOT)!d z##*#-dRj@D471XlU>(q`%z(KVYY9><(B1)!inkVA%9W`yr7U^l^MgUk*R=7+EgCMrCe98D{H?0a4JaohBjWmmMfECR&FXN zSCSEuf5$13GRp-ATtO-+`z2{K8DSika&x(^9DV+qAA^){Y2(dnxiU3D8)s`*W_TdQ zXC2T2%?4MdCIqRZ><_at!($wmGN)WuKA_77fRyiOj!X*DL-&rKZ zvuN}ZpS3k(8wqIix-jFolzW^qXXPk5>Nk+`J#DziG&(EE0=bk&%6&Q9@4Z#yLCO!b@e#LJ%1n~!p9cYu@vZG1gfEah%EW)|pKc|1)U-%7F$dVxsCAutzr zdxBI_=6W>xc$#rs%G=>Gr3~+XBUI|~6K#AuQY__x97xf#@@$AUz8gRTEM)*V@IX$G zO3H!|jXujLA!T8?uKaV^-S>f%pK0U5wOo1JCi#W7>=1o9K^xyovJS|VLt$1Pw*{%B zybrFtoM0SxWl_1V(umwhQ3Al2tn!1>YOLjuc5bDgh3J1*u&58eD0&8ONo3TdpfR z&Tnr3DXVDXx9MUj@4Fx+p>wsK2IsgY;K`JRfrf76=nsHppPoXkhx$fQ_ zo(Czv)5f3Hy3B5a1K{^pCWdTft@S!v^oVP<6|$XjNyKrZEXM@i}8g7T4U z%MKvr58C*9qS%$iuq1!GN(%h!c)rEyBn6(%fdjT6MIh-o)~3;KIme}}F8A8@lhg&U zQ$7Bqjeq5er7TU;CSofo1qY<~qN}=!YoacVF6E1%TmdQIN`Y%;QkvlN((*n@c)EVO zn?TB6kg}F5-@pRB7g17HrfAc;va4R8fk_(u2KEIV%uVZAG`cdyI4))Va>w?Qw}V|l z%HOnU{YbGZ@V6E7?|DkfYS_b#6QZk;QlQZv13}8brj5as)r=BSHc6G~N_fq|?}3!n zvt&+u3{)Q#l-cnLFOn|VY=&EOBdpL0a=8IuwWl)aBHstnkDLa%qwy9SJ!HK)a zKeTDPRFRYz4QSI&>TDYe!*ab}D3W4@D1-@8XeJ%Ur6>e5j!Ur$Wx6u+u5VYsKOzTn zuv4g5%7(!17E-Qk14mHKWgYYa!P5zFfN?-7DT6`YHfDi#_sW#g9-Fxf`}gcBo8roO(C(#r!WInh-w5WEwFup%iSub0O-?#jOUVD4g8u1P((0HjdbwC`F{wuS}j zr%wE_K4FqyWU~&)mHx08TL*$vuE2+O5X?9(<-kyxuDpHIQ*hu_^q@@#7N7WIy&|;f zkU+W8HwjYGqN{f0P*{v!kl5t$hVTm%OT;tt-0Z6H!O^2;Dw!3h9G7yuKE-u0D?`2xZvs-*rA^1Di;p09$Jqp*ONx)! zVn?P){zKLPyHW)XL;^u7SE^uEj!ZL-OQ|V$RvNGAxE7?WN1JNanw5idw5i@wQU+Nd zB_X(zsl4QSJecCnO!Y1%X@q+D?Vl5cfHSM7>x z(b&LrXDPKXD^9>TF6HEM&np+~-uNd-*^oA!yw-VTs70H`=vj%vthD;919D|7bHEa$ za>XgoSd`1-Qcf>-R;=Ys@SeG1BieNOTC?ILXw!H-Df>y1E)rF&0Na4 z<<81=ubemwq-;W)&RuI(MmV%-s;#7)nI!r4aFLX0%mJsflm?iUGn0(tQZ6X>ymI*R z-cvxzrnKpTwazQ2`n2hiw33o2fE3;VxsuG$*r`5iYhIZf!Kp-naa_t}dRDslP{XU5 zKOLlOMw>2MYgWz-L-T@?a$bZs&9GPpEroPMEpR|uB?Fsgzyh5YVS!xARkk{qyO6TM z=qV3^lrU|&$}K*a6R;%k@7~C>?M2|x%v_O_7_?0!1*xQ5XVch4KI6EQ>-8zF3n>i` z&RPjlHm6P3uXT!>0>=!zsh3hNPt&GZN!9_m5{J2%;tNtqnFR}Ud75!t%KzLlUFkJ( zyTd@r7PRSq$zoS7a!5X}$MzaH%gio_uJRN|I)+2ri=56<=D0L=jm0=F<@Q{eQU?C~ za2TX)Nt1}S=MQz_b%_E`ty%1JOQm)n9=t~?!~u~dq2T*@=$y7Js}hrmb3 z6+LOwGi$kWb(-Wq(xg<;4_@-O4B-<>hk6c8|ep?gA-W z(WaNz8rzfwQc`MG?sRC=>p9U?OBo5XlClJ;q`YC%*qsjJxGQg#JGRFUx^NLl*_t-N z2RohLlErRGk^ILYCFOpYm7=evNXICcm0S2?sPhVKY7WuZ{ahZG@=ij{N*9+-?R!pM z52S2Eo8CzkOSvPUO$$9G1%B=}|8YVXpkJ9YVH&$55Tpns9Vf&5JnAuyOUd|UO1W^) zX<&NAwzMg06-&7f4&R)1?tlXkK`JSyxHR^n%Q!BjBUz@D+``+B0x8== zQ>R!;+M`XM=&^-QyYrv;tOIgoG0a8U6Qq)Is!d~JzPXgeg)*ho9I@`#AY}(=8Y-6Z zLV-3dv6U-t!&zprG(gYFk~EFIP$-fT(Ae8HRQD@f(a=p>CTOf!y4`8uqmbnzN=%7q)O3sQPP)3wHS9xTbH zVdY9LN1MJ#iLUy*G6tl~%V8)522ysWQMgO0=*0hNf=1UVC@J5; z5%d`!0VHKz7aZV=VWgZMqOtF6EoHrO7wG8mpS%iEcA?Sr)|!=N@KNJNTFOu0%ICZT zlCm*KSr)LiNJ@gnelo6XQm&MvR{XjPNZA#dy2Y-13m;W)uFosKhd@eNbXBtw-2$Y1 zE3Hxsbb3HzaDsr1FVL3dO4;J)u?K>bJ~X=JTCNnpm2Dzwf!4qg6j-bSa%CHj#tMNT zm6S6a8e78`jZ4`!RpzYx>x1kLh?&k1MJE!Fc)hisid44VZn^# zQg*eJD_xvzTkKK$fs{RHbXU7bO1uIFbxA_GvN;@2dpN9vULewOrUwp4QU*r%09Q6o zXeoQ9m6R^dwgX=|ehx_4lScOn7fadDr_p`2E8B)aSkOrdjqYdB_=dh9l`G@IG`?+^ zaom;t%N^UMi+i$nhkMcJ{>5V(-y9aGe_FZH+a>v>on4{P13=2=tSdU0$0cdJx63## z<$#=WrHchxn!lf&SNcNLLa{5`c+lKeQu=r_I>2Tf&;pGs(D*iap!Y$`0e7`U9lwO{0evk8K?O$?cD}%_%8;;VdIQ?L$(YfVt>Z=q%+ZaH?;Paa_t# zg)&`9K64Q}g7%@&qt}|1J`wVf{^ldz4_rBxcR*6oaJ2M^be1yErVuQpkgxu9)&08+ zl4ZB&#J^Pf)hzer&;>g~^L^o_*d)8l)@=G5I*1o%PpH$mx`UQ+u&@8wAo_gA} z;8s_U-8;*2#HJgF`(hbs8XT{i{&$1b!NouSX+eYi;RaTp-4V;`h)p*b|?$1-+{~j9jr_l!Z0(j)V?Lj50!#C4lm=65!2CIYRRqKp{1_#jS zaQLQutR+jqAKIcCTal(gT<>aE4Gy+CHiiZV(&)(+yMI*GLJjJDMVbb#t+=iljJkKb z-J!ujGm1a+-o7X zt{R-*uq+J?4x!O=U=1z}t31@eER1O|SvT#f!8sSc$1d9k(C9Ru-Iw_<4aTPwX&Ouo z6xUUQ-w%v7L4!kS^wKc9+fwDBJ(%n&(lkg$6xUUQ$uqaS7aAN!qgN)`J(MaBHJF-E zq-ik2Q(RXK)~or|h6abjjf@3$XQawQ4KDE&X&Q*T9vRaM22`0OrE!3bbr%2OanGXE#2CIX{n)8l> z2197{J6MC?6RH+!u-I0lY4EM)x@vInoRjW^219A|rwF@8P1QmT#QkUN3DW>RbCcVD zH<;y)n=zkVRaDaGDv#ZHrt(mOZ**7FU}Z`LcGY0V??3(u8dTBf8i(Cart(mO9|J|2 z2CEg-<;m{j(WlLa2GulH0ei4XS|^%Q#Y#(&x`Dg{jPd`y2djexM|?RK8r0C(Mz9B4 zB~>l72Y+ijOoI&*DzK{tr@HS|LxWlx+uUb&bg4YkK;Eszo-hrLpX&gTkzo-hsi zCRJcp4R&(tMni)J8tV^ha9B#^p$5BpiZl)SIg0D5!6v6X{0TH@q_F`OyQ|4;dXdE) zOY8~L;1F8{cGY0U&;jgJ(L`fMrP#enDi7^JBv7PjAU>I3d{+$~{NNf0sfg0paUpgG zlB$Ioh`Wo}6Q;o+9oSWa6LF|MlyWblnILW4Ms z)%omhA(kUHy#@odZ)OjiK!?GOmHsefbr5@FdgF|iZl&I zM-M;ZAF>};w}@$chz9Z z+m|hc2BT^0Hiz9`qH3WA;@%PVglTZAj_ayHWc$^dLW40hc9+NQ8qtaRx=7v;!k#b< z?$ow*)!^0j+kb!tV`=OGSOfE31MR^rDb>|9xZhITe>YeiTp8YaH)wDgjXjoRcX+5g z)ZmUlk*0yTdxP;^HTZDTt76dLbhyo;!0y{nwNQim(uy<%0msrofhl~)8Iu{1$Ncow?5<*XmBQty^&`3Sg1VIAnhsAG%z0tbk*RV^N;u# z8jPc{W{cf9VK)7W_Ci6Cror2`;<{>Z(BBt-2Mxy4SSH2phfsNF4_vBqD%vE2Lt2(yTR(>G4h zie<{Lx#YLw>;{VcMLtl}mf%wjw@m)1|w|DPJ z&|oT!?_{%YyHzc;2b*ioG!Wlpcimu?d-les!xcisG#cM6&A!9d#f(MUc#1R)`e^RI z_h6QL;?RfJhXxnW_&yf1&~eRC?Xs|H_P_Q*ES;6fVj4{LDrzpX)^ zh$?0p^z#%)LzG6v4pm%4;|Hnl@%i&)efZI*<)`={7k+2Sq^t)?@k99Iii-iMyEE2G znwKt08X%G`q45DFlkzGD9O0b~6-jWD!l9*-;FpI~mP{IIGos>B8XuaJ_a^WNv`>wr zlAMD7$)rk~5fzuwcxB0?(J2G*n^52XncfQD(N+w#js5#jZCr!6)74Y>6c2H>#-QN$)r&M zdr)yBjk{K~x0DlWwE&Gef14Rt7AFZ8B+`%N|tBrtxtlJN=zwF>I3qJKkpx zD(2Amgi@WFsLNv5CX*&w>_NrtG(OQSArJmeJ&R$Rly_E=J*c>Y#?SIgC2btA7`Dlz zM8F7w^-BF3YNTfW|NMN_9FeU@>fy zoi6g(gNg@f{Nhllq^jb5y2Rp157GD~ZmFbUHj81K>~wj7J*aq?#;=H!N{Xjh4BKSV zbca2tc!b8Mr%ENc7K>qA*gmMHh>X5PBuIU4_8q?EiDd0~`vi^CpNJWu2BH^oXU?i)6XVVmr9 zYnnZ%c!9=mEtE=XW+8l&NwY<#7ioNU$xfNDN|IAPM|66L#^;pmluHyP-NCC=yiDVF zIHlyZJ4H!%@+uXt(D>l z)4MdDF4<`hpJ%}TG(0s5eWG)$wM~KxvM)Cmlaq9PI!o#nFzW z;};G>y#OUR>d0?Ai|IT`{e$)X^v`1em#pL_`5>ur8uf1dH6t%ZS{Z>91Q~$aMVEZ z8#o*_0`fU(BKZv?97O?n9K}d}qcBHtKuL~<11fNIBFS&;a&!`)97iKaeiNIck$}=1 zjUxF?Eso&t>1>+f=wy=LjDyfAfD#;@3Mk-cG|7h@j>Z7;IT}myn@2c04Uost=_J2J zn4>cQB{@11P=TXyB)_H0(Re^PjwX@6AEz zB0vcaF9sBFbP37t$y*VQE(7FobUDfI9Omc>KuM0K11fMdgXDK{Il2;1 zj-#tcepj2Ls{y4sx`yQYSR7poD8!RQNPd9L(aV6+9KAyF zhguxH3Mj?VYb1Xd2cg#iB{+NoP{7ffB!9TWkq^k{=q-{zBEr#JKpsc)NdCw$N6mne z9K8dmz|p%Tf0WD7d_Xyl7LxqYHb)siX^yfaZ(AI-07`N69?2iWLFj!z2@Z3B0*)4u z{IL#49{}<>`jF%YMmTB(!RINq(@+(I zz66x!=qr-1wK)13P>Q2(NZ#Qf^evzShu;ATIQpLC>%`MP0P;Dvg5>KX9Q_E$1SrYT&wvUX{X+5$E=Rut%5n4?$v4^@tpt?jXcfsfSseWiD8A<^e3PM zhkpSIIQpC9V-82F0r?!QA^CWOqkjN-48eCe`Qc%X2%scK6i|Vq9wdLF%TWcO97pSt z{7E)P>j6r0v_8p?usGTPP>Q1sNq!^)A+iym1Vk(PBt-1ekpJ)3hokd_U?9PLP2HVioGMOrqtIOME*A9;CfUy{&K*LspNo0}NcL?;g~*{K`%ajn!$|hsfTP1nc7dpO1j%NSoI8?a zTSUF1NcMe~b4Qcxq6kMe$v$s$bPUP9DC!+cvM&XkJC0;u5%mU>>}x*fjwjhSQXHK? zvcAjF5R#oM>J25?=NJ_tl_dL!s8>a@k2;*ICfUbbj%rBuNs&=YvS~(zh(oeZ+Z@%A z>@!J@>PhyY97j=-om1c_MzVMK9K}iYE(StmILUfGM<WH0kLH;!blNOLrvWM?Efnn1EwiFy-B_5zo4XOZkg zpQ8lHCKw2jNhEuAn4_~vc5=YcWRg8s)H{b{&r5RdT#^-E4U#D&J3(;gk*u5I&z?`R zr??zVCE3vtj;4|9SO!Am0+Kx~$I*o(dq%*~MI<{;^thO0M* zl5LD|bQ#HtdsWHhBpVNN?h29}9&j|BWaYVO2Fcd?oV$`_j}`TweMv^@w!O=}5d#KIP zERsFk;pk?PJ<{Unemmv%iwe ztv-+YjbvtvxZg?UcESBYGB?`%**{5UhS2;M$y}M^+}|W~b(o{oBy&x`(HfGO>2mZB z$z10#1i$H%xgo(3AsO){5v8Q%tO)0Nkd{Q6qYBb8sld@Xq-C;rc3qOWAj!G)NanH> zN9&W!|C3CD2Bs<>WAbc4N|Vio?++q~%ocEL@R|5zoRE*;w%`T#=n_ z@i@358=2w=uE-k2vv5V$l;a#+k;TFs!4+9tJi9e%8J^-CT#=n9;^2yGgm@ON$Qr`@ zS-2t_VsivnWR-%0E3zsP2Ulb@IsR;K(&7kiC(cz7=la|3r9=8i=Ib3kN zl9nSq&h;TJM<+PijkFwNbF@2YIo9WB57IJ-fe_u3v>a!1v=?bPjDZmCOIr33_4Xz$ z`+1z(hqOe}9PLY5`X@QskF*@?oiUQSA?U(NXw24gy`WUe};%V0+7$SBT4>D z5qA_Ik8?+p{J1bjHlQR&#{epDbS%k_cR3meD96ztlAmC6bR3{GM}tXzqQ%kifKnWt zK=NlX5TZi>B{&)iDB!4)PddG z%h51EIgT1g{v4a5MnGwfnn?a!i=!x@6h|?VpTaKuL~H22|ka6q29ja&#)797m%`{sNn$F@Vw>jV1XDEsjnD zl;Y@glD~+75IqA>f}=A51sshd`HLNn#sl&>nn3cGL^zrV$m8fNl23*?N&rf7Gzn0F zqq9l=QkSF2fN~t2^M6gboSkh4uktKi&b!$qIy46P7T1o{1 zBCR;qpeTzhkxhjGB0D2XWLRbUSc=LTDW#USHY(5?K?qP~Qwbu-ZlC+mOYVQa^UOW( z_f2M!$vJcKKB9+3sTU!idP(%~Fx3xAQT;`aFbH@9paeA#%2O|k9vPzsK^bbW=-1=a z5GYFx6+JpiB_W@BMf8|3H4I8o!$p@H1iTSYf*J|ssZpZG#;8}J4E37maS`fuC{2wP zJw8Z{fs#}?RG`L+PQ|HlP?j1m`qC@9mx6p|Z-|}{rY1lsYNF_g1_5spl%OU<@81*+O zLwz9n?FjXEC{1k>y*Nny14>f=gbLKZL@$X`A3|B`-=ddBsVwAEABkQTrap#J)F+~s z8w9-VP=eY4<*A*b{TTHhC_`z{DiVTUKylzK}l*iRG>Z+y(&)afwI(I(W|3W z9`dPuqTh{C`=JbVK=gYN>c3E$`dswdAoT^5qz*y_>X7Jlaq2LXrH+VRAEk~$KJ}&O z4PojlC`ElO`qH~n?--O|R)F%>nwjIYO7DX0hx9JmdPeVi+m5ILwwzFj?FLkyt>#0g?*E(d z)D^avPF1nJaH^^)X*1VVSDKDAs+y@NqpF*RFsg?-JfT#wx zftno?+Vb`pxJMETJ)z&T-DlS;s-Ku$hrCAAE*DfkwY&XPJF{qyU;UZr0}-k{l&0<%eK1OOfPCub zq7Q|s2cQ)7py1q!{d1jA@J`$sT0cEI1MIVh&zl73MO!SvQ>MPgYx#;KlAmU>F`$tcwe@~JQAE2M5yPWG?fs2Hc0h>lGO81fqFsoxj5Aq%2F?iJ|CrCf_$o< z=nG-0Ka`>dh`wkLPy?X^^)i&F28sSYMh%8C)DX!9BGgbQO(i8KLFyGKNezPv)Nsjp zacTsVrAA6lMX6DcPrWL+E5g)kP>On8a#aihYBZFf#z1+hTyj-o)L1A(jg#D!5o$b? zrc#ot7Np*QlGFsKKuwff^*A*N%2JahS0hSIfqZJJWRq0<{cVG2_&7vmcZ4C09R6t$=)LrCC{t4+35K zBv8ORQ*A-JK%itd3)+kE&eFrTBL0kJ>6c?Ub#|dSy~os;7l+8xpPt;0c(17xcjEog zT1OG*O}%$9_Ih`y9D*2K;60r?k!G3h;}D~lyLYO1S|;u6P>C2F*?ru&&h9P^5TmcU zf8IHLaLVq-5TiSKXv~REmj%rYqQ`lp@5GPH*;I}goyz6z>6RTg;+0WDU%|ndd-`Vc$Hfy?VLW~aH`Eh5+nnTq!V)WrI%@$+tfg?)~AVxRs zYUdI3RyJ6<6)}2Z*EtWBSGi@<6Nu5-`hL^n+^_d|@1&t2~6Tee-l7%}=b_vM{ScKe{TEn;+E9*Q_|i}EF9 zh|xodm;U0N?Ay{Mj~JbhCo{!Zo@r41AY$}0o{u~6p&=iAjQEVHA}q#U{qlylAwJ6@ zz~byyscc`w=n%y7xfnOTdf>~5(dSp<43Qz7bQ8qr)^nc?(mYyvJ!16SHA)qSNZE*E zClI4^?xuhfN1D#<8VG~}re4j7_x7B<1u?qVS~+(jeQJgVAVx3Roq6Z<4fj;wjY|j} zW%tFLxXq2js~|>SSbKN&hHJa8K#cCLhuzsN6SHlfBSjDD$Z0q1mC*Ub+iM%U9*?(8k?XC6b0-lZ~k_JoZ`@JtJ#1L^s!GvvD7wd*2A zAJKrQ6L0Q+{}ROL1{&%YW3Nr?78eksr)QKi+q-?%lU)#_GpF2{?S0ZYq!0&L2vhtK ztJ3zp5u;0Hdcm39bWmgS(?PF`pK;>7?YpEAqhsYA_mYcx%y=9z`c5{um)sQ7+YzIC zWJ{_zMDA`j1g|F{^nh$jJMjzg19;mNLMI1afG^*mH2!AqM#SjH*pYYQ`K^!Mff!vC zyWH7>m$W^O7`+kuqR#0Zqo&kDj1Gq{{9^1qRCO9&*F)%2_}m?`YxCf{5Tn}w?{bQF zvh{rJ9*EI1a4zn|`DqoM5H~U_^_{qJuLEllo~11O+?4xk7kGCvNv6#n1$s;axXx@VSrK4SOx9rfzf zt5;R8yJw4~a;34ZVR0S*+pRu+H(kt|T|KjE^=xW(`-YXNNL_vE=M_d*EY3tC>*>Bk z_sOo8;)CdQe$dn-NxC} zs^jYFThGh^-+klE+T&AeH_UEMZCq1V-!@4G&7YZFmzvp@lD(M$ab;b7?|xZQw0YZ1 zYU}3I>J8xjSp`V_mJJQ5%*u^hHf&C(bP(q zwPW?n#?*1^CnM8f`G0A_(#XxG)~sH2{OVLA+W9^3x_MhRETq1#Shp^LI~r#YNI}GtG29Ims*)>B(AO>TMidR z!3_7jfk=rnq_Nc$G>{*9FUlwdG-A?qOGrWNcVrwKZx}~XJA6G< z=~cu6H-Tl#+7!j2>=T>R_5H9z*1XvJ`;>_yg z6(W`sJMF3(R;=g7<0Wti_wj^s0xdp5lt9CIo^(A^)+C*dtzWSXmUo0TS#z3EyZv{h zm9#%K9OGt&-d8M#k3vceNvZ+v8TfEnk!DUfE+vx3hRqF)m}r<`sTB)hNLLX&suLbV zxD$zUtI|`-hq+8O6=0#h#FM(GJdF@}V0pn&pxK#PR9D|!OoQZjUj+yIa3G%z6hp6i z|LchHJX21A#)0pY9VwA=8`u>zJqJGR_CzxTgoA^H(9)YE!UlR;A|qbQ29l78M(-zm zLQ2c~YuV*J&sH2FMl|9KDNXp~?v_q;g0Zj7Bjm`yy~)EM;hN~`gB`zfrF1)JR3?VP zj+jP6j!rd_%i{eb!*%szFGXr{4UwkNIe6guWi3{)9$E=0+=sw4yn85^Ntgs{%62e= zqXp*lKUS>Hv=B|nHMjr4a&oXA&a!Y*du z(~;_QRzenkAzPCP7C$nF8r}wt?P*unLovb@NAuO0kZP8l2XZy{u@YDuf4qj02ASw# z_FvQ0bqbGqj@y9X6q*a;UlnIXw_xb`7pZs2R&cOSR6E!Q{cQD~fY4 z(U_iCsmTPBpDonn5K%Z@7pcL;Ifm?hbxtlA9&66cp-YZO+f2Czqs$I<_2c`8=3wJQ z+6$(tKE6Gl#b8;$?aC z_$qx^vF*4NeBXat6}oC)L}CBU8MNSA`sI<&nO|c!*V4wx17SGys8vH5ybrT(%++QQ zW=(YDYp@}ijr~1RgMkgA)(YV{xa7Q8c1kUEoFAZWq9a{H9i_8-vNafB6DW zsQ)L$Ik=?ZLzCg^j1g*ZVzFJ5gEQaIPr*|)3^-aR;^`VJXlVSRVs!?XlA;MQI#s3n z6t>5ZwS^i=Frgl)(g?{nK*8vjLct1%0f94mN)0;Z_2{M=1|ALi*)`~>3XI%hRd1hG zqS4C>)fuo)AHBAQfwWoQJ6B!40X-af!LGr8E{r^tufc%aFmOj;or$Yf;jy7f6 zFC2eWYg6>}!J?tf~<2|RUUcD z9D7e6l&`yz9KP%!@kQp2Wb5u-XV{3^-PQQnCn4aNqwH{cs9tqi;bZfPi$-cd#8m zKrZb&P!Y6-S=C2^2&C$OAOcj4ye}-<@br&QeG^Xy(x7hQUrL=vN^PzuD)}I#Oh$$o z`ADt`8JeHT1krfIisUizY_=*HnkUNXAhOz@PCN!G$AiagxMAYYfgNH&G&@`lw3uhn z$hJU>sdxgt4+j#}K5D|rN*;r-*i1Z{4KkGl(b36I7lO!Kv7zn2a0O(4PdQ~yemomQ z)2clazYdhf@-lugDW)0aF}RUTIwT!PA}`!;2a(_*-n&ec0wtMDgZg$ZP+B>0BvlNg za|}-&m<=Q%h$jy%1d>z~PaY1kzps2)r&I07#z-|flz*fYNK}D587^0+lk#LRofFUG z{>4CH73Y)l!+~@J`s9a#JFOyp;w?x9bQ&Q!S(gtashFI2IUGnw*o?Gf0!bW?6aNk- zI^pqpHc(i_$MB)9tKE|z&A#Dwx3dm~Jvebj&e7>mD6##b?BLqDl4AH*kwA)yVdsS6 znHao1rx<=7!U1k2BOeF{k$7h?abD4(IcBQ(oXCZ$5Ygc9s(=nc5~l3P_{As%o>lha zDqJVdsZ=3STO;8>B6OZw^i!NrJFQfO2%Y0!4f%;kQCN~Ewv_!Ol?*0kY(J6m>DGV_ zsK^~Y%JK_|*gP@gry!t*?MJ@Lb0fHbLHA3$)X z$i|XiO3jav$Fg1`9p{EOTV9ZNnW6(%MFL35!NXVQ0;rs8C-|9*SR9<5HPEd3X(&L( ziQ}K8t5GSqZURxx99OA`V)hMtN=l#lG-na1E?Z5h==gJ#2_lLPi0vg(?IKn7VtfJsZz}7>5o)7f341X* z@}6d3bkg3O_3~i^M|T#z7=~x$W`mDQ4L>j#Y5y~Nr3nJ-&ewT@m-;{YKRE-0jU#V` z3=js5X!~f6#0IJ|{HHG zPH~3VCoJ#9djeebxt=k1u8^{Oi^S(*=yUI0+&$+V1A31n`C;ZG{q*1#TuE`RQ3y(L zlMQ$iC3#Sq6lyTPY!bF~6b&cE-bV6qH8=Uuh{nX)qVHi-W>>CFZJk-QV)Kg0qmPYD zFNhqD_jLLz;NE4k?~R_Nw$Q?7JTeyE?cKj@fV13W?*T;lY$G7&y&|$=mMVL_BM~2r*y)`v`(Q**Z?fov5f!~#Gd`GNwRfRkIfkycDeq%P z5ci%|_Q4cheGim;xOlS6FEI4|As;{|q z_r@b8eR2`xdWUTveiY%WGd_F?;p@vj{20Qg0egpP@@T?){QQdvZ}hj@NcgcupPq$; zp9a{_&mHeQJntuvz{xp3PH%bj{Rp^6lT5CCca#DMi2J^~f(VGXzI%fR2pH~Xx#dK6C~3>4(~nDHX5SoJ`2n<3N*3DGX_Z0dPUg)X;Rxo1H_b0enK{s5$U_P z)nJk0H*g`%#*c-+DV>m zGhu_5=URW+KzT-u*#^q7rsHL@y^LHk z$+;ho7_2-G`zu})jF;vFB!xMG2k*!W&|SHX!phbAMcL3Q$&B%Dlo~V6@_JNJDrCf2 zUXPY41tUEGqs24{Uh0uR327*62wRgpRyNB_yu42RT3Ldnm8S93LlGfH7Sob9^{0Xc zAf~7OtcxI?ryj0o9Ejzq-|0FKcT;!j9PsMY-33hq)(+m7aRIT!9}P!MJyFzQ3}}or zQAb^m(q3Tjs;mh&68vP*gclL~yk?JRZ85>;hD`2-fZMl*O}GK@)ZIWGOK2UNx<9KS zG+(D4wlstyedASLR!H(vG_N<)ttHYK9cyE$cO_XYkT(Y9(!Y;l-JXB%ibZjgzOI%qoQAaO$au zx~Car;8dgZ$nJ8Mt=Uy47Ug)ZZ*A?s9T7@k5Fr5bKH!+eKC# z=uS&s@(tc7UZ=JAoU&WdsJ-Yu3U#%sZaruDlwo8>K36ng$v<*Nnh4@8`dKiZnb+=9 zm|7#}gmnbXx78Pc%qJNUUQ4$?KO8DIAf=Hyaz76CfK~j{_rvT?d$Oall>3 z;P6uFKTo;U8~7D{bYX{0jHG*J6)%%;>A)#z#fYo|rk0FilIK=hA*K@*1Ep1BI!TS_ zPNtP&n)9=c(rPiy2I(lR7}L>+p@CM7=)q~Ad$>-J>%{aiW_z@v44e#R(N*+7_4V`; zK)tUNk~?z-NFjNiZGaS#XPM#@lAjG3oQS^RYYd$tnrN7Ze_8PIyMZ=6W9p}ABu-D- z_#lvn|70{OlyFG$+w@u=c~d)lQ8=hoj{I9HK_rUS;Zq|)BnrvtZAF7bV>EpxK;6NU zH1@;cKx=6+9XZ<+;DQK6_VnjX5=TtdGFsq>IVEeL6o~`zFi?&d5!xzZwgC26$nX5_T8&Lu3PAQ;&{ z!}lyVGkz_Y}dj(Va~|WV03*qA~D)vAr17b%Six3L*FNHZQz)) z0b&l9WvNu2Z>A4t?H>p!#bbX+p!Sr!o z=f6XmFb7}fzbhIpB73!7|FHg>wYB&^`388Cif)efxcG(8!pnydOi20ePpT* z^qd{`;g~%==jVJdW>C+Aep9)o_`08+3V>WR>^U{#(*>XRy;ktSZqcwuq$GVAr=jb6 zB^)3`pT&q;V$V;~CY`GlJw>14lT@$h`JT@RULJbRf*P+kCuutMh-E`Jiz`+=SNio+ zrtdk=ub-xG&jS^oelAke&u$9w*VHyWBEB zxU=Ur6NJZl?y4A^s73aCJ8XcIMQ$z`Amxy66bz6u$QMj;n(!Cq3{INv_ooezQ^vz) zOOyh7PS2RU0IxP!dHd7zgNm0`Ou1W)#>B+^rKy3Z?Twb9frhTQ$Wst#r)O0I@@-Jx_<4 z-y|qt+G!0Ors;F{^HVPrw07b6>D}@!z@gOf4ghk7tB}sEL!Zf*Fdrt*^;tzbz|NVH z$xU0jsh9H_%t7;3T0?jvR$tZ-3eAr9Wi`Zk#yRy)ScAEM_*z;+D1N6@Hs#Jx%Ab0% ztnpCX4855HvWMuf+|;-2VFBA^X7kEaW($t2Z5P@?O4Z9yKkDj8MCfsO{I_{YQ$@YD zG15rO3cX&uZsXR}EL4nH64YvnBXwbb*yy=6#gT(am?7ReP4Ah;J;sAdfG~G@?})mm znKN`pMcumfx}m?=66AUvYLK$dHoZ^UAPAlBQ%V#+tNwM?$E*$?9gQHdRVzGMDspILCZsV^D=QYJFrNn&=lwWl@c_Rts}xIq zO!t90(!<&jJ%ppj%Wfkp9I&q5-ZiYWuG~C>ARAciG*7@H(LTO@M>>f8JFlcQ8nP!| zFgwd>O^|4v>3_MT^OFGsmwF&lPfi>-DWjX=wu+9Ad_S#gQ8WmR*+B$q<-n|;f>$XX z$a`SShjB88e|Q9CXszss{g=H5NEk6H)p8{rdUs0$n&(3F3l}F#*UAm5!%hdQw(%?k zc7cHW`3OK0)K%4_HVxY*!h&}l-g8IgYCCxUH>Y?t+f+$3{_Q-d^XR8HAmC_9HE_T$ zy^PwKCqI3_G3WWPx}S~k6D#q8MeJd~=%)TN4RqA&`ej=3n;$1E-C58n6v}N=HX!s9 zb*Z(pD~?--GgR9ov8f+*am*t^S}|jSiH=SI5%TaA96cSvJsiD`eUyeR!n8PcJ>Y|BJ&d18O3bKC zHBhVXQLW;KdMJ<)YfCQ(gPnq%91rnVtRQi0#uD=aDYOAj?4A!2YC!+vZw8adVgH1X zm}hEUQKIW!74g9h$f4cu&H8YHKLXUyf+XI3WYGuXP|E*9VeV-+4j$Sm>HSAquL#D*{OrB;D`N)OnL4 zLaFO@(+5!7wQI?%wt+vS=-wmb zh53!U?!$8i%E_<6P{F0B?v|{<%L#OUlb6%v!Defm!a`ySzLa5zZX*~O3MtCC6DE(Ma&-3xu) zML)a0SvL8}#kcuPq=|XCPdB;oGE*-mOejipa zeyRn}0?FcE=DnCWhIZdoG+<`_zl@0@@x~r60OiAhP>Tu*dXi|(`e8mY#MwMUAjL`a z?wqFqoFx(s#UM4*z>;tf1rARfkq)AuyFK+0PHOq8A#9O&c4;?c8b z!Q`bp{f18i@^nwahf*(lmirXb*0sCr|54IuEAdVK(acjzbkEu9n_^v?!S zSlNmIWfUNNJAy=bcfKh=gdfFqznb^YoELqqg46d zvKOOl))n?*oNA9VL6ZJ!*~>|3_638HGTw=4FCVAa@w@?&jRPhp8T9G0!AZ&0${Qdx zv?OhSlvoclIVr6^ZgS#r&z?n=)_(1ke|wuFy65OD6HyT2tSCaE`!N&6V0Zr)oLUTv zXUp#`dO_Oo_I$|Trn+q z9$NGu@*Rgy1N4~3wUmb}FG`tu*}roPdfP%oE_*x32n7GKQji29bD3P?ys8kL%l>W$ zw1HW@?9re$5W3^3Vt|DFMv;bPVqq`?K5=C7qEetdUVuUmCK}R#R8GdA5A|4qbn5({ zi+&OxT$jBNM49SX|0nJe8FMGxcpirn!yuaY1&_PBmP0Q{s z`siY>zU+6|01Ac7vPVEbAbCxvIW%#&Uxr%4s7`z;?Gqvrf@?D1Wzmn^VdGkB{& zsu1jdaot@ z>lr1i5uJzRKPW0HRBH?<8SvnbwWpau7|*`}Fg{%nGW2IfR&HFM5#LB5I^(xgG;Nxp ztZIBJA0Wq*XKZnrNvak2ajYflfv`gz`;-?#0vTJK_HfZNv9SY+9t^`e_W$x8h`2uP z<%0QR>n#r#ZCJ;)q`er%W9*KN^N7! zUW~SLV{(t`SO6&DZG-lrV~e~P?KH;z*Q<{5%-DjQr&;R#*g;;5^1|3Euf7HbH-|jJ zVvdEp(v&^M)_UPHJ`*{W#M3r^)(ynP$k z&zXXpmG*#Q0dagBf1&K<=cGFRs)^F%9Dlmt<>kQrzoHl9g?#)U0QLTeP0+lof%0i>{HeSF zb4n44U!T;WViFmqyPkU`<_{i1A&#e zDW?I5ocIsOuS$fbNBm7&N1!G4e;FNtnzl;{IzoE5X65KXti?_Si>n#Y+wq&UjzDO= zrbZ;$_QOIh5AR&tZ!c>I1zY>qvl>Dp*tWW;AryG+d#5#o@?$&K?WBb~nC+cfzc@bP zJ0PTNp5#I7xL;?Yh)XQbYfO~>V*mE=P;2pvERBgnJAQ-SHLiEX#wt1&g@^qqor{pp z-$#ha**>K*@OS?|G63i4Aidl>a@68DB(7q$8WH1~_;TJ*gCR-w3pMn@Dm`uyxj6Z4 zo0U5#f7FxQlr~VrM)J#H14QU1l4XGP?%&=5-{nR_^wUYnGc54An?-)okrXc(I6KH$ zO1R1G)FYh}QJDO*3DRyenJyZv=zMZ*$N=HPgJER(DnW$c^^qsm5F$CF_0(?I6dv9^1Mr4Xc;KY;pAmG1LZF4EEp(dfMh0X zptLnfZVwqK&BWvh5d)=#Ecsg#A26v9IDqzwbY36Xytv zc-y3H7`+bCzkkHXjychPkRK-QuwM&iTmOE3ZcKpwMFpR3nwKFTP#{q03 z8B-U~CHW+!Uu>+sGMEZ|?__;#JI_h_KWv*sUOD;?^y4(V{U7z?6b=2K&iV9kk+^?; z$VZ^D>|Yolz?u#VAh5&{0R);S{f7h)C@_*+yadW{7l%CNP=fq=-UCr~JUilnC<&fl z^gxuEPc3^ON{Xur9*A<^dLUY=rzH8jS3Rfcm%WnoqpGpX(<~}ZS^PIXF`lhYk;3^I zz858orPt&E@p8g11ako`IcX`7)~$$O24$xC9t?t~IekK}{UruBo@fPz;Y z?dg+uguQ&!;h&Ve82LrKz2de(woDnafKG*^+br+4ljoH^7^l#SJU->uosw5(J$#(w z&ayoi=d5*M55@^=bH;;lLSE_V1}|bu?{#FwM+FP%O^oD^D;{ay9VP$hRmt-s`48L6 z$+`8Vv=?N{dEA{ngLsUYyeH@7WIG=SdqG||ik27TUVba&1$o+hFYN^>CHGz*(Lr=^ z$jil&;!Rl^Q{@bd^wN8rMkFd?@pUNFf>wMdpA_d-O@(mtwM8#8Ir+7)7hA|_KlzTq zN+$fkV5MMtyWkP$cG|XQ8ANrE_K)yxB( zPx@IQ@zwoWG=7s1R$gI^ORtx*(p8x5z4f+79VSlOR36YS`mYrA?wa**!4U(;5f}ES z^jk*wgelq(cJJvIuM&F|0U&hbj~!uWeN=Z3njGjTGpE~KdO>X@S73IDu4T`Re5vRG zneo!R7lT_zF3EW??rr=JmJZ7AO$ROl2)nh`sW)+IT}Qe7feZ5{OmP`KEUdxYSoAPU zgDA&EKbFxEG}3WvUPsXB=*Mgg;Rx-xHLF9k2(>MU0NMp6<4kma9@q28eEtNiU6knE z#4J8?I|oeQa?-W@pl9rdA;x71jr%zEW>Lpdw38kCp%;UxV=@z{>Kn52*b5LuD+hJ@^l%~jkKLX3Kv>ttyGtI3zPKFwY1xAzT*o^q9;gxakBeHZ zV;PlP$N#tJ;iL6se6d%S7RB*qZ?m*0jyD(>Ix!wDd-ajYdl+rNapUhZ_{ip642-&V z9n@*wq;5Q(@k0Fb>-d|dAeAEq2~SPgLpPQ^1mgX(7vjTBBL(u{+PsH3B6L5NHc)LVv>c9i=t^cM8!1nZcNIOH!XY=5 zJRo^{ydmtxsHfwL@?MbKG=50I3(}5Ze3yt9WS#p|ydZgC{D6=bB=?Q)Zs?@cGIp&O zr2dS(;l;SL*8h^OlAS6OYUe$+))-STv#eepKjxM-nm2hdyR6R_O%(I1{nm(yVur;# z%O;AsZF|CiZJ;S{|HY?*W_bLkKHD%)?SEEG&6G;)H}gIibIN|!GGR&-_HRl)7~ZfS z$ogP((Y`(7gV9I(;;;`U0}BNojKH>1KD#M}w>??*al`J|Lw;^7fA^#{W;*!cu^~bs zcDbeD$`glsMquvF(;_A}1zxAzifP;w&eon~lbb@{8pxS2%{=QKpq@$14}aT# zW_E`+>uvXCO>TUhkd_KJy$;L$9(!5sH^th zEK@(1oa}o`KAb#eUlH-)Z2wJZA5M;Mdp+yJ+5WGDeK@(tIyvOSDIp9zn$vKOjub6o zzpNNA#ohQ1bt8$H*1+3LkQU!NLWVr8zCX1Mkd|(r0ldinred)2GG8j0D7E$bf{9XN z_xO0p*h1dmbt)I*-#66|iFSJfuUC9RnBjx(0qTUUa2?Xbz_WQDjEO(+lZ+3B?!jXs zKA29zgI!raPK}P|{5V=2^ehX)7=9vlc-YU60Uo#~>&MA>AIy_(;PsNp&U18dkFpP^ zDLwG0uebcL!u|vC*UQZtm7^`rdp^+l|4zw^QfQ6e8uo(XDcU1h z0~MQ*n@wh#+2ar84Q5V2<98QLn7M!L{g6bJt#%WO_V5XAQX*&4tr`kyQf zp$&okv@S&Jc>8Ep0unZ&LI4Wf0ydMvCV6z$e6Ar0Z>_5fWGP0PgVmc~O7Z*jp! zdYOYS@km+Y;kAZ?U-9rN^D{UuG9 z`2kDNgpVFA@|_UdN0NkLyJZDQ7`9hY+_7QPugE(#W%?bE$V_3%ysWrmozrg=#hviV ze=KpwrcA#k?}RB2WtqlGreBgIHf8z+P|(0)Hf8$7thf`V{6pTckEVYE5;(<3-Ynv6 zp%A+(%%sIaW!VyU!jivb#hqyUVcbx2!mh_GaVL84tD?9QgCLH08y1PXUx^@IB>eDe zc_++#0AkQ7#^9ccxDjr@Lo$ldx>M2w=g$j*QLPX~N8%5y2@8JGUV2M2F6n zcf!mQ1ZRV=Wpjl&8-y*}<(;tQOvx#1SugK|EfYl{Cu})R$_ZPB_w<6elg52ks*MP}Ta~C#yiqboxL#Zx+;^*^MtXoUv z*1N+{Tfc60J^%55EwlWSWCM8y@rNDoGVJW8^;@>Bpg$deed%XQ4uzKg|3uU+7M>f4 zHcxM5MM{3JkJ@uX2^rfU$V+HkZXq`{We%K9@FuAmi!Bq;u%5PBL>m= zV|8thM?9KkZ^>0%2E|oKf!-!Ez8XpX2`Ksvfi~W$IZ>eO0O7f^rzPqG|AyIXwhx!z z_rnUSt|}GVsQq0y?xlHSW?Ad9>%wCDOFJ*SYSc> zMUk2;IC-^So36=1C#1huW5G8E{2NW}XG4cOU$mbl5vCwPw*RPhhq%*s*H%MLZGWV8 zm*_0kzPg&hvJz5PZ+*b7VlfTPp`j{7I=g-Nv8$?RrjWIc&zg#9j#FZ? zR)&2f#b;sM|2Jv@>46Oei#h=q;deRepJK~ zZxE^kNfTV9GW0a@l22A|m9otInwC?N5zoQ&7IYmv^%FIfQ28+NVAkw~u7&c)!kV^n z`Va@ds;1D`-j)k)2R%s?d>+^1b(lq^Jm7Z|!csds>$YtDTttv$7#-Gboh}4fiSXGEHsBN2vC`M=@wr=Y0 z2#Q@$^uVI7!(~%H8cy#>x1AHJ!Gu3{gf$+Uxu>#0T-ekdjb>RNejJDFKBt;HK)XAl zE1}sVe9Rf-*X1fi8o6IsRfq_qc%`TlRW}XW$l+(?bw;;+Qu3UKRz(Q~=0A(N62*ue zk^Dm^&~>!O2a3gO5GKfUAe*a+Hg2)cm4cgNpNrw4?P3i-gee>(CsmypJNKqN-5ELbo#*j*hj3Ih2Zi zlZ(DESNnn$*`Q%?pP7RR@eqCQT%ACEh<>OthbGL_ z=)U1On6OMn_ps(*qTKm*ehwz`(_0TMrn=)p{ng`RjksJB%kcO zr+hVC*WnL6VqcGWq5Y)<=Kn=FsCAcLo|-6gGXMG6ApV&x{1q~OmwHB~>qS+DRv8TU zEGf^S3fU(cIQYsuK8JI%Lie2WU9=?~q*w_dC%Jz?B6e<|5iYOCU+jTjM>Oq6>gA@k zyY!)Cl3RaiyEbdeDI#1Z3rNveMq_f)ncp15M0`zIv zos8XR>11{`mN~gU=aS7gJRO!CddcQQzY47;lUNb)wqTTOrYl}D*Nlvk&9J8hI?T(G z&9ZQSu(QP#C7V)4=aIsgZnHTZm$L|!0u{X?$gLe7`{WL~uHFiTbaBFk)5QD2ujCD? z>Jh(&)Ap37Z3v5BZ*N$i#~4v)~u1DSRsYm{+q8xrbmA5t1W}90+km+c5VAb zp_)AWjI|ytJ}c1YiWPwKR}%CvvHe<;>}{SNdba<)8V~=@1GV0MR@rF9tA-wdwr?ra zpRB^eA33xSXKHHT4*jaOCH!7S`y;g-%I{UR|EP9r{1?EihIFt={En&hk!nVpml06z!f8m*<0xl|`VmPgbdmgfLqea(jWb(9CwwX~4C+CLDk#lq>KePOXC59h^rO>1~&v>%eIsfUN}mS7&vM2SMF zmI9suKP(5baO$Ne(T42EFUb&ul86ESE^9CucuX!W&hq;O_VMEZMDv_hwmv@X_h;5yH$ls?Zz8D zvTEL%m5}&fJ>~_oQL!ri&ye0)6-Jz;<4=^{1tZm~o-GD3xalWd7OvBr77k|8 zG87$!qI(o;@X^MN!mnekXGBy#wZA4Rk`DQ}wN%w-s_Z*3P>Vbc$sJmt2f-DVq7&Zp2+DC;TdBs}n@lQ3q%8Vzqe zQq4cADd2!er#@K@Q4he|ZVS7Lf&^6k#1YsT*n+<(^yy-dV)s~$PAtj=vbgmn9Ve6GwK|a(P;%6 z_LxX>hA5DU9h>#a2#PF&#-g4^l^jv2y>Ua9>ngzQNRUq05&L<_OA!{T*6y;aQK_}# ztRN~V9gle^P7LrmLf!9pE3b