From bcc5018d944378db480472677394c46c5306c3ee Mon Sep 17 00:00:00 2001 From: Ingo Froehlich Date: Wed, 24 Jan 2018 11:15:32 +0100 Subject: [PATCH] small naming change, IF --- amps2/padiwa_amps2.vhd | 2 +- source/Amps2_Interface.vhd | 10 +++++----- source/Amps2_TempSensor_UID.vhd | 12 ++++++------ 3 files changed, 12 insertions(+), 12 deletions(-) diff --git a/amps2/padiwa_amps2.vhd b/amps2/padiwa_amps2.vhd index bcfe44f..189dd97 100644 --- a/amps2/padiwa_amps2.vhd +++ b/amps2/padiwa_amps2.vhd @@ -260,7 +260,7 @@ THE_FLASH_CONTROLLER : entity generic_flash_ctrl -- Temperature and UID reader --------------------------------------------------------------------------- -TEMP_SENSOR_AND_UID: entity temp_sensor_and_UID +TEMP_SENSOR_AND_UID: entity Amps2_TempSensor_UID port map( clk => clk_i, temperature => temperature_i, diff --git a/source/Amps2_Interface.vhd b/source/Amps2_Interface.vhd index 1ca9ac9..e17c9b6 100644 --- a/source/Amps2_Interface.vhd +++ b/source/Amps2_Interface.vhd @@ -3,7 +3,7 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -entity interface is +entity Amps2_Interface is generic( clk_frequency : integer := 133_000_000; i2c_frequency : integer := 13_300 @@ -18,9 +18,9 @@ entity interface is scl : inout std_logic ); -end interface; +end Amps2_Interface; -architecture Behavioral of interface is +architecture Behavioral of Amps2_Interface is --The address of the TCS34725. This device has only one possible address, --so we won't genericize it. @@ -133,7 +133,7 @@ begin if controller_accepted_data = '1' then state <= wait_for_read; end if; - when wait_for_read => + when wait_for_read => ena<='0'; current_byte_number <=0; @@ -194,4 +194,4 @@ begin temperature(11 downto 4)<=temp_data_MSB; temperature(3 downto 0)<=temp_data_LSB(7 downto 4); - end Behavioral; \ No newline at end of file + end Behavioral; diff --git a/source/Amps2_TempSensor_UID.vhd b/source/Amps2_TempSensor_UID.vhd index 1a49f0a..1563b8a 100644 --- a/source/Amps2_TempSensor_UID.vhd +++ b/source/Amps2_TempSensor_UID.vhd @@ -6,7 +6,7 @@ use ieee.std_logic_unsigned.all; library lattice; use lattice.components.all; -entity temp_sensor_and_UID is +entity Amps2_TempSensor_UID is port( clk : in std_logic; temperature: out std_logic_vector(11 downto 0); @@ -14,9 +14,9 @@ entity temp_sensor_and_UID is sda : inout std_logic; scl : inout std_logic ); -end temp_sensor_and_UID; +end Amps2_TempSensor_UID; -architecture Behavioral of temp_sensor_and_UID is +architecture Behavioral of Amps2_TempSensor_UID is --signal clk : std_logic; signal reset : std_logic; signal count : std_logic_vector (26 downto 0); @@ -33,10 +33,10 @@ architecture Behavioral of temp_sensor_and_UID is begin --- temperature <= "1111" & x"AB"; +-- temperature <= "1111" & x"AB"; - SENSOR_INTERFACE: entity interface + SENSOR_INTERFACE: entity Amps2_Interface generic map( clk_frequency => 133_000_000, i2c_frequency => 13_300 @@ -44,7 +44,7 @@ begin port map( clk => clk, reset => reset, - temperature => temperature, + temperature => temperature, sda => sda, scl => scl ); -- 2.43.0