From bdedabd2f9df438867071128fbf61a0626c2eb76 Mon Sep 17 00:00:00 2001 From: Ingo Froehlich Date: Mon, 24 Jul 2017 18:00:23 +0200 Subject: [PATCH] added flash ctrl, IF --- machxo3/flash/generic_flash_ctrl.vhd | 228 +++++++++++++++++++++++++++ 1 file changed, 228 insertions(+) create mode 100644 machxo3/flash/generic_flash_ctrl.vhd diff --git a/machxo3/flash/generic_flash_ctrl.vhd b/machxo3/flash/generic_flash_ctrl.vhd new file mode 100644 index 0000000..9ec5a76 --- /dev/null +++ b/machxo3/flash/generic_flash_ctrl.vhd @@ -0,0 +1,228 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library machxo3lf; +use machxo3lf.all; + +library work; +use work.trb_net_std.all; + +entity generic_flash_ctrl is + port( + CLK : in std_logic; + RESET : in std_logic; + + -- SPI in host direction + SPI_DATA_IN : in std_logic_vector(15 downto 0); + SPI_DATA_OUT : out std_logic_vector(15 downto 0); + SPI_ADDR_IN : in std_logic_vector(7 downto 0); + SPI_WRITE_IN : in std_logic; + SPI_READ_IN : in std_logic; + SPI_READY_OUT : out std_logic; + + -- SPI in local direction + LOC_DATA_OUT : out std_logic_vector(15 downto 0); + LOC_DATA_IN : in std_logic_vector(15 downto 0); + LOC_ADDR_OUT : out std_logic_vector(7 downto 0); + LOC_WRITE_OUT : out std_logic; + LOC_READ_OUT : out std_logic; + LOC_READY_IN : in std_logic + + ); +end entity; + +architecture arch of generic_flash_ctrl is + + component UFM_WB + port( + clk_i : in std_logic; + rst_n : in std_logic; + cmd : in std_logic_vector(2 downto 0); + ufm_page : in std_logic_vector(12 downto 0); + GO : in std_logic; + BUSY : out std_logic; + ERR : out std_logic; + mem_clk : out std_logic; + mem_we : out std_logic; + mem_ce : out std_logic; + mem_addr : out std_logic_vector(3 downto 0); + mem_wr_data : out std_logic_vector(7 downto 0); + mem_rd_data : in std_logic_vector(7 downto 0) + ); + end component; + + --component flashram + -- port ( + -- DataInA: in std_logic_vector(7 downto 0); + -- DataInB: in std_logic_vector(7 downto 0); + -- AddressA: in std_logic_vector(3 downto 0); + -- AddressB: in std_logic_vector(3 downto 0); + -- ClockA: in std_logic; + -- ClockB: in std_logic; + -- ClockEnA: in std_logic; + -- ClockEnB: in std_logic; + -- WrA: in std_logic; + -- WrB: in std_logic; + -- ResetA: in std_logic; + -- ResetB: in std_logic; + -- QA: out std_logic_vector(7 downto 0); + -- QB: out std_logic_vector(7 downto 0) + -- ); + --end component; + + signal reg_SPI_DATA_OUT : std_logic_vector(15 downto 0); + signal reg_SPI_READY_OUT : std_logic; + signal reg_LOC_DATA_OUT : std_logic_vector(15 downto 0); + signal reg_LOC_ADDR_OUT : std_logic_vector(7 downto 0); + signal reg_LOC_WRITE_OUT : std_logic; + signal reg_LOC_READ_OUT : std_logic; + + signal flashram_addr_i : std_logic_vector(3 downto 0); + signal flashram_cen_i : std_logic; + signal flashram_reset : std_logic; + signal flashram_write_i: std_logic; + signal flashram_data_i : std_logic_vector(7 downto 0); + signal flashram_data_o : std_logic_vector(7 downto 0); + + signal flash_command : std_logic_vector(2 downto 0); + signal flash_page : std_logic_vector(12 downto 0); + signal flash_go : std_logic; + signal flash_busy : std_logic; + signal flash_err : std_logic; + + signal ram_write_i : std_logic; + signal ram_data_i : std_logic_vector(7 downto 0); + signal ram_data_o : std_logic_vector(7 downto 0); + signal ram_addr_i : std_logic_vector(3 downto 0); + + signal enable_cfg_flash : std_logic; + signal testreg : std_logic_vector(15 downto 0); + + signal out_delay : std_logic_vector(1 downto 0); + + +begin + + THE_FLASH_RAM : entity work.flashram + port map( + DataInA => ram_data_i, + DataInB => flashram_data_i, + AddressA => ram_addr_i, + AddressB => flashram_addr_i, + ClockA => CLK, + ClockB => CLK, + ClockEnA => '1', + ClockEnB => flashram_cen_i, + WrA => ram_write_i, + WrB => flashram_write_i, + ResetA => RESET, + ResetB => RESET, + QA => ram_data_o, + QB => flashram_data_o + ); + + THE_FLASH : UFM_WB + port map( + clk_i => CLK, + rst_n => not RESET, + cmd => flash_command, + ufm_page => flash_page, + GO => flash_go, + BUSY => flash_busy, + ERR => flash_err, + mem_clk => open, + mem_we => flashram_write_i, + mem_ce => flashram_cen_i, + mem_addr => flashram_addr_i, + mem_wr_data => flashram_data_i, + mem_rd_data => flashram_data_o + ); + + + --ram_data_i <= LOC_DATA_IN(7 downto 0); + --ram_addr_i <= SPI_ADDR_IN(3 downto 0); + + LOC_DATA_OUT <= reg_LOC_DATA_OUT; + LOC_ADDR_OUT <= reg_LOC_ADDR_OUT; + LOC_WRITE_OUT <= reg_LOC_WRITE_OUT; + LOC_READ_OUT <= reg_LOC_READ_OUT; + SPI_DATA_OUT <= reg_SPI_DATA_OUT; + SPI_READY_OUT <= reg_SPI_READY_OUT; + + +PROC_SELECTOR : process begin + wait until rising_edge(CLK); + + reg_LOC_DATA_OUT <= SPI_DATA_IN; + reg_LOC_ADDR_OUT <= SPI_ADDR_IN; + reg_LOC_WRITE_OUT <= SPI_WRITE_IN; + reg_LOC_READ_OUT <= SPI_READ_IN; + reg_SPI_DATA_OUT <= LOC_DATA_IN; + reg_SPI_READY_OUT <= LOC_READY_IN; + + ram_write_i <= '0'; + ram_data_i <= x"00"; + ram_addr_i <= x"0"; + flash_go <= '0'; + + if (SPI_WRITE_IN = '1') then + if (SPI_ADDR_IN(7 downto 4) = x"4") then + reg_LOC_WRITE_OUT <= '0'; + ram_write_i <= '1'; + ram_data_i <= SPI_DATA_IN(7 downto 0); + ram_addr_i <= SPI_ADDR_IN(3 downto 0); + elsif (SPI_ADDR_IN(7 downto 0) = x"5C") then + reg_LOC_WRITE_OUT <= '0'; + enable_cfg_flash <= SPI_DATA_IN(0); + elsif (SPI_ADDR_IN(7 downto 0) = x"5f") then + reg_LOC_WRITE_OUT <= '0'; + testreg <= SPI_DATA_IN; + elsif (SPI_ADDR_IN(7 downto 0) = x"50") then + reg_LOC_WRITE_OUT <= '0'; + flash_command <= SPI_DATA_IN(15 downto 13); + if(enable_cfg_flash = '1') then + flash_page <= SPI_DATA_IN(12 downto 0); + else + flash_page <= "111" & SPI_DATA_IN(9 downto 0); + end if; + flash_go <= '1'; + end if; + end if; + + if (out_delay = "01") then + reg_LOC_READ_OUT <= '0'; + reg_SPI_READY_OUT <= '0'; + out_delay <= "10"; + elsif (out_delay = "10") then + reg_LOC_READ_OUT <= '0'; + reg_SPI_READY_OUT <= '1'; + reg_SPI_DATA_OUT <= flash_busy & flash_err & "000000" & ram_data_o; + out_delay <= "00"; + else + out_delay <= "00"; + end if; + + if (SPI_READ_IN = '1') then + if (SPI_ADDR_IN(7 downto 4) = x"4") then + out_delay <= "01"; + reg_LOC_READ_OUT <= '0'; + reg_SPI_READY_OUT <= '0'; + ram_addr_i <= SPI_ADDR_IN(3 downto 0); + --reg_SPI_READY_OUT <= '1'; + -- reg_SPI_DATA_OUT <= flash_busy & flash_err & "00" & ram_addr_i & ram_data_o; + elsif (SPI_ADDR_IN(7 downto 0) = x"5f") then + reg_LOC_READ_OUT <= '0'; + reg_SPI_READY_OUT <= '1'; + reg_SPI_DATA_OUT <= testreg; + end if; + end if; + +end process; + + +end architecture; + + + + -- 2.43.0