From bebf34edccada3ca48151c3f2e781f5225bab395 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Mon, 5 Aug 2013 19:07:33 +0200 Subject: [PATCH] more changes to slow-control connection of memories --- doku/doc_standalone.pdf | Bin 301093 -> 313123 bytes doku/jtag_chain_controller.tex | 123 ++++------- vhdl/code/jtag_cmd_m26c.vhd | 369 ++++++++++++++------------------- vhdl/code/jtag_constants.vhd | 23 +- 4 files changed, 214 insertions(+), 301 deletions(-) diff --git a/doku/doc_standalone.pdf b/doku/doc_standalone.pdf index 23803975967e41995b3cb77ea39ff3a1af995743..0b24a23b18c667180d39cb3bb0ea2d1ea2e80aca 100644 GIT binary patch delta 116350 zcmV)sK$yR!uo9z=6R>vy0x%$#k%0s$v!Vfo0aU;jZ&R>HTbX4UtB(4YNqNpe4X0J> z0s8pg#``lL4z3zbRRX@E(U4f72`I zZr*zrS|6h5iEop811o>EPCFN~cD_`tYN0GY&eG=}m8hO5XTgG22`*Uym!yJmv(N-6 zKB6=#_+jD%n-1#G`SLeWe(8@Rf0&j-{4bcykI}@RH4nPy2ojhv&|d=xCi~gYk7c8q z*uutK1@hhx2KUCWSCn<*#$%jup*r4gn_7czvd;F6CYGhR6QmjfnM2y*JM!HtZ>H7U zUa)R=al+ujXts+3yOTf!7#MZo0s#tTZe(+Ga%Ev{3T19&Z(?c+IWm)Q1QY@}F_$40 z0w|NN1Pp(CD?+dm;M|8P#dZ>(EY7iY|%1Lv}Cj-bNq7u`t1djL<#Z|vF3>& zNTPv8qxvGWgM_V0r zoRjU7>9^&$m@N8>aymKs*X3`kc3!*+tz6`p&ai(8+3FZAoDcl3TrG=_&<68HQM{u zM*VWeA7ZmMHha`j?auLSznmPY_MD&pQ#yGkUJAbJFX!Bw_h;|Vd)^VtwJs=PYtK(obNS==6J-(GO-yxgP~n=QfYW+=rGB0k6iP(}o5MX76v6)^=UvA@cC=sO>gdo=- z1V(~vkpOg#r<27DMvLNSvaEeJ0Y=^QuZtRiIw6|rijX!UD7zw5k^21RpB3fRf*%gb`0;04OT}!y`m7xD z^>w-ETom6)8m9AdF`ZHGUc55dMz3_pg;WlDN4@%E(I3(nPA{MR`nDG`I&K-EOn}m;)qD0kYn~cCcvpC$p&~(f7 z-mcPx^7*`Kk;t02H>@yyf)T*LfB zNB{i{L^MDfLP9N|jChT;_!+!aecy=I=t_G>?KqW*bxgF)B)bM#@)wC05u`6v$Dn@ z9fSqcgDq(jiS}6OU*KpifoT>n$)1jY`L)hA&=D{`&=Fnl$i->z^pfcl^4s4Lox@D! zjdUb8q=0H2Iezz+sUzA9GWO)n9ivRVVK>8jkVp4;Hv_>Qtv7!xv8&K|TL2E=8o<8A zjFk}F2M`%RvQb*R6^LDH($c+($Zk?DBGN~I=^mlOet%d$)s|q6wj8EQYQUgo&81xt zfeso}?C_=w`XD3Ua?rw`xCsJF?*KZ7X0uB z!k1}$Q%T8h!eoDQO&>gxIYS&=ywf@9&gfrkqhXto{*asEyu%?2!^vG}f4+tUDkg=ue7atH805{#i5X150zdo zp4X~XWmkhtU}}DY5IneE)7cQkiR!vZM?wQg&BFt%vG5WovnE($E$Bp}>a4juIZqIU zN%J;uglMKk0NJS#P0BK0Vz*jkKbebcl;Z@jEaqA$xur1?Fq5O3M+Yk2;NYk*DCS%k z6^pz0ZfJi`s!#@M4t{=H%=*hY>jn_${%BFGnr6)&8yXg)Vn`YtWZ|SNQa%rYVYza8 zUVchgJXnk(fB}H+h|C|RHQ*^WW4+lc^`I}z3P^RLj8`oBr&M+Vc51ckT>v|{#cBeg zAVux7%J-(A2~{DK!Ycu&+0};v`2*OQ9Z`I84m5vEr}w=ajXJb`X60~L%sQtOk{k9Z z+z0RdT*B0I5!V%72N)WO&3r}ztMCTDl;A%YSF`5-#itJm{(HcdfbMMukX#)m>A?FS z6*c8lsEoz$pH6!TbKw-|c&nNq>{JepXI>}V;WK1DJ=DUgZZMOO>UC&iEQI+o8)xB*nd1l#zH>eX|O zaDp^Pp6fBQ)GyVtuoO(7D%d=4B8YorKH9panWoc2SorOZWiRmeFTo7W7M<%UMScgwDjX0_lYOk464&x<{+)yI&$(q}Z=Z{E zk_;$pSw*ZGE~^4qLlBgB2QnkL)Qu(smzsBe%VbboO9M_{6eEUIno&GnS5H@k3zl`F zvRPkk!tmXE(O)dcWDQnT)6pol{xg5Aq|o0|PCWqSO#t61gUMH*(E*1a65|M`aCgQ^+oZIw_cw!-8}Oh+wgZ zxWF3`%+@56XRkBI009dzGO{}f2=?uUJGDn`tKdb@n!KUhs>AFBAnlA=@>YM}JNH_7 z@E`IfsA>(m1J+@U?D?n5gtZ9582to4ZYKtT4A*?wm_NKF^^66@mm%#ifhoDe#fvqB z&agl(UFYL+L^GIy@gO||mR*78_|OK#EiBCe*r_=#M{Ew%0~d<_fNfd%k^#epHQC`1 zoLmJ;*l&`g&*%K;dw)J>BY}VQP-S+MlJixpInHtv3h~!CD2q&uY5?=^F{t3HRKLS3>&iu$MB|xS*yAs-|%9X(4+Lge&wJU*lo2~>l z(;s2z0H36N33>_I9y164IRl&#!q(QvrU#G;A7W`M%jW&x5@J9h)0VMbsLUNC1rl_x z#-;!OWLdSB(!ETDZCTrP-vLL<;~boLu22a0q`URRAkssO4%oy!(lB zQIHSujiznFPkH|Z3RN`S>;%D}Duyt5!a-J&6NBbcu5%^MPTrlSg5t2Gz?E!(KUR8* z)I60xghFss>UkVG@n#Y^!rm)p%arSQw>9MD*Q9PET!lWS+>;&R5F3a4;~>rn1)MX+ z34Iu@bqE|SwIqL)dI(VG0KC0zf-dIkfN`qP-4oPxcEydgWlo5b!9Lw@VRQyBxd!?V z;Fh3Yt^aBJq5*2;;OsO&G)F_>9ywWv7L96Ru*QIebmpm(RrC8m;1%hNlqSd!jIGeY z$BXmSHUAViGL}Y%;v5IjeoyFu(C@8)})6O?h(NFj;##^$uSHk7qI@b^jyt_K%fc-|0 zCaZ6Yt+4<;LJd3T;z^ZXM$tpgTESjk4L36zM^kbp6WOTH>T`y(F_+Y?VrXWa2rKzA ztl~*`)kl9D1BN>ySwb%+G5xCA&K#{;f+iMmB~-K+MJM111tB$j^moo#M#c7U`rhrR zn9VuB0?wa(#21r6YSRgGx8+7~rF)Vx1kl|a=;XrK!$1p|6r}?-rK+^svy+qyl>r;F zCTMB^XvWz(&C`pE^NUnQ2>>*c*+%FBKyy;p=^lTdfBKMe4!|kUK{rAcQ$)6?rh`aZ zSyBmX0)S0FU}M3V5P35_2fYBo@wj<14gjKQglYzkPZl0EO&CXz);B^nmqG>C{5+j> zFS{vws)bXH^c+od=C*o%{HFWib;`C`4+k#Gf#TbD%NS>54T3vu);_2}=JRsM-`^}J-!Qv_HVg+< z^WWF!<6=5X)$peO$iY0!P-FjY!%-y%az0|8@e1c|My*9>k)1jPHbQIj;9-9Q^y(^~ zvy5jltK&ZV(!BYt#5uomLp{fC#`Kq!SL-=IpNi6SP}}IO=zH(7dvTe9d9ad%Mz;e1 zOQ!5$NX3B)rq($5=E4Ve=*is3{8T z>m!^MH?v}Xn>yowQCQyyVG4i4W_7~->qYq^ao!LlHn(%IE)E`1=;YTpQLaD^R$s7>0xh?^}%1@3>HMGZb0W(73R zb39~! z+80=&O|`rcsx|{l=IdnlMwWb_9$ABlMUc@`$m7H(gsR2Z)%!WFC1SSCu|qal2lFf+u`#E~~`Wjx=#H zeN->dk6pmKl;+T*db#t|l#>r&H>8;eBp8LK?VfXCdc{}#9R*%j$8qpxd*21BIC&wS zWwz~C1T=2>hXrsyBiKHRiGff-=SC`G@r1Blq5IAkIyb_;0VaRb<72n}jS4dwbG^1W zO@Gf&RR%JbhkPIje;<&k$86SchP!Lu_ABN*=L$NT1lN7L-VSXc@7my@hXcHO0IE4R za}BPL=TLuv-GznrsO+g5`WaF6vzk>H!Ga^lJD94y;m@%u@iim}E|p%nC4c>E zViidd)>#ni-~SBzS0d6{t`7znJ`=?cShU%Fhui4B8?)oS!^4=)Z#LZbooSB$f>POo zY0!A$GT7{12MI7a_$kZ9gRJ}uUoe>B=rqXS>u5SGum3k?p?P3W?$++e zFj{&439^63j|_iNWAlx}2#1XA$sOArn})0WHTG1!IG~BD7wDU~hByq)3FhLhGK9Wf zzVCfS__E36DJsLqviZrq+Z~`(Lgx)F4rxWG{tv(dJbruF0H55uodE{iplxOXb3hQf zHh(8)2MzDZecKtG_jKx_iP3?j%YAL~PR>aMD1c!Xn>v8*A2GTo_ibl%nWG;B9H0UY zXd(8&p&Qr?hY6dT)#>}M7(hyLxF$Yde-zh?=?p=hQT%K${W)1oxhxTu?Vp4vcR%@G z&Kgce+z2QXe6bMGt4aQ(5W4gKtBO07laV450x&d}ASwYAvj`c90s%IYk{eVQHy|(| zQVKpkFGg=}bRaxDATLrlATcmFv;G^W1`04BFd%PYY6?6&lM$E}v&AA&3zzXC0Ti<; zF3$x4H8a@+a#db6BQf4O=KvNN5hg)QXp`;&j@ld0A^`PbBx$q(<(epZ=MGm~W)=;=%w zEUVuY*6{!NIjty#-K9d?V})CFpcolv!l%FVh)GN*~Mb-89RR8n5D6SKsTJg;u)M;)a<@oedZKmp@>| z6vbq)zPX;xATi$wji&W8*{RDcEenHzPOB^nY(iJAG|78EZ~HYJqFEq8+AE=dz1D|? z<*|J4SId4)Lz^sV%O;$wH~ri%eD9Ysf1ENWv#sLk=3H)W{4xcLWs#hL2b~bVWe%Hx zvBXD2vUei|?Tid^N3aMLrZxw)Kjq7Hg_3bqaO{ z`-WG1$z)AhmDSi}hcYo$iS0Qkm1$+H+^+><+JwBYZ~ZO#vUSPTv~+l` zn89M<52?f0)Vi(PefQl{U1&Ipi5tYd=`PMMTYV-RO=iY_KqYVlx+^n6 z=!!xC^HwBmN{cD}1;%x150b>WKaW04J}@skN1)T~y>JM9%p|r&?}|qHWrpMLt8-mV zM8Spxff$BB>huKEVPZk+u+-GR1~Y{>RVL}K-;rh6yhz?G!P8Cs(T}bhOP6d2dWGVd z+L=7UCa0TTUh~&_*(~CJYJK;~Kdf8dtz}-#uG@8ZB)cY^IBUIpjq5U7w0=&4j`OCz zZv&Z6k8KG0Py%Zs?BNhD!_BgZYx?{=-Fwo=sIs&wNZomwt8AEVGNX$aEUdw8PmJwp zqQR*mD|ei@WVYoEiREy1k{z9|o7r{EFS`pV2;9dP%?9r|NGDi-6%^rgSz)6Y9d)$w z3=6*NRz$#5NS5k%`{WH)2z=gqN+b(}UvVD$RnB8xb~EdBzk2pbyT%it|D za6-OxG5h|uZkMy~SDaMV-3o!G>tEnuJLLn8qy{Mw(n7p2TTyNe{CPi$Zd9|(O^nHV z2I_E6k-szt5xpjV5^hLpG^!WY^K5!<{Jc!XE=@iGWKMuYx|MF=^9V+xWTr9qA=CN~Mp zRtDBkn4&QOMmkAIR3G9{4VhbY5am*6d=}jZS&mM$Z-{1pXdci^6X%p7NA4q{#up?V z%5JI5*ehsIhWq2ISVX;y#V)&gbKi?V;=6|O@ZuQ#L+78wSeCg6-11HMS+>6MUEq7& z&S6hs!)d#Y)+Y#LKbZsQ7wTnp9epd6E9BW2h=y~Z&$4({Pq4(uV@SAxQ#+KuID-X6 z`-g0^4nlT+$^b&{Ntu&Ag7nR5G51TBDNRqVLt<;!YgzfUk>8({cC@eYG9O?F%p$tk z2G=zu*GeI$%Z4WIyj&we*LYyNWHdx26Mtw4ZPSq^DmFiUEYiF!@cywDg7Dla`}@d(xt~r$)U~R@qnir<^0VB113O z&|@t?b}!#cx$16v(dC0EH*EFYK1TCP!J2ez-mQLCmRysLvW?QR*r=i6>?@eP9XeGo zN66l$c{P^a(1hu}`5@LfrIR*@mLtKvjL#WrFBUSZx#m(a`Yhrb_f?`LT#;=&%3E?c zXO=&I_m=v05yS{1OU1>D32Nd3H7~iiOI=l=2F`UvsZZlT$ryGv*mSYj3O_!*jTec5 zg1rnm_G@z)OR4VVsbe%I(nox;l^NHVNZI@(X4Q^Wb8$EUlzJTJOEoCUX%-6JHe7Df z5@~@*8E2nqYyc`aRSX&%^b{?5a16G_NX_XYHHyrqNXsnrlprmVloUUIqMw|?<9gG- z0Kvsd=rv-Ppv}Lx9Eb_qHrw*Z-}u{wKaRk(ld8sn2R4fkbGaNm)Naywo|bO=@c*oT z>-mA&ozl6@CKfT)sBh8=qIa4VmPsiUwDOTUGWBxZr@!4E&`kkEqPN-n24+XdW*%xb z2<2EF7&2K{@3)PZ@OWN*3VEbda9>ulA!CA;4RAme{PU8_+>>dgldGRD%^y(h8w#4v z5hX4o;B^B^1gGRXDzls#h`KnJ1u9{GISOOkw4!1fw_)vRU9{M3Lg5*Q{ z|7qZ@_3<9wbFdl49W-hHa<{8*8LCkZlwKOakDIQZdzozapK}`&=G%RebPHoNuKJ3o zFYEzRoYjP5h?w-OXvDx`#|7<|7u)d3PalZhW3t*(Z24<$x+_(Y8 zwudlwhT+zA+k=fz3K`ju&h-I0IX^6HBE!8|A;)!uMqQcj8tTk+6S*He0AdfhuER7$ zHH@|ioIQjBQ1((5iA?X;pEx&QEd2`%05R<8fxj*wsiE3|E2c<*-(Z;5D6m_BQi)$P zZq?2PeI@3ql|Q`%tsSrwP;st&&QvngelIPoq=e7}KK6V;`Wu-WsEcNQRA$ldDR&YF zU4L4PP9x_I%b3tQ{SnH*G!#{U(e;+@Q~cSR>zd0DBA>MnVPVRHzRMM0oQ>k!oxy zT(*apDsCMYQl3<)%}~^o5dOG`0nkENDU{|MfO-i51~5ejR0RoEBEifA@5+qgg3~H4 zIPrsGY%b(4+bwDklNK0QbNMuB~|PNfik9SmhTu@!4TTKS41e>Y3&9acuW#$c+V9JZ zTLd%+Z2^R}%DHD{C2avZ7n)_;QrjZ$&rovY<5F8R(?#8IV07F#tCBS>J<`Yv`p1`h ze;Y>iB5oGhTDZ_h<<_nG6^7l68!n*2)bC^yl?kzngX4VFrrV>%h%%yw#qMLcQYJYLL!$omDucjBPdb0D6 z(a%48Gdfune_b(4@P*+yMxiY|9nT`BQX9|1z8ao zgpQH-&Q3ob9e;i~KiJ2~W2zuzr_UMF#c$*588oFRuBy3jOyQd1*0;|GC%*CL4kyLk z{CZqpRdrSSgTty`+|B#DGaONmNmE*7dVRQI?Q#FhoglsSk-JOm=w^r6qAJ~4xDQhj zX~OfN&v`&vmek~VKw6a61kj&HJH{3Ryt%^!I_ITQEPo3+S0y7nM&CO=+xwJ&RT}zM zSzwhdEj)=B_Se1RgZ@L@V17!;Qd9L7Sj$T(eNU2xb#Q!edN}&YEdZPht3}V2LJ5DC z(6Brg)$;oi+6LC=w8Dlg_Qxx?RPk7~@W;AOuJ798o8|YvZf2{6zw!?viDv~^VVxN< z6eiWe&3`-G|5%PE4`)I!TT=DfWf$RrahIBGEW69u-Q`UJR`L>VJqxUqrDdD2e_hV1 z1gzGjB6(m9aFGx(?5wIM%j*O~0}Tk(K8k7WAKdV-#j?8Xd#Yf9m&Wp5zq3}B@T996 zo-Yl2LN$ju<}cuT$)G!xg-)O=ru2*GBm;UwCx2b^r|2XDY(pnq*wN9+0a&kK=w(NHlF_@b}ez)i7c=Zmz@zY>~eZr(Ug4w)oI#H2^x%_!fD-D7c33R zrGK}sU^sOJK7V%6NQ6abtmc>a5U%~#^z?FJK-AEl0;475O^%C$aZ>`eB3Nk%Yo~Xo z4>#fNBr(ri1N#73kEHU07s9)-WQE8Ic+8 z84(*DM)b$$zVUUuLyH-z@>$?TW>~|Erpt@C5d3c*<3%G&aO_X;A~;YY^(!YN=0y+@ z60VOXo3sVy#ZQhzd| z-$W4HmYj3f6*0QQi?9+xr1cOV;xvJ7F9SXVn-<+(g#QRHYIqh#Wq~!Uq)f%Iui!;y z(XH4Q@FLMA;dzuIQKjK8Q;HeT8(!?94+kfoj}H>K;PrSOSO@^{(RWdUP=qC93L;`! zq+oBJ7hS7EjN!~Yw5mDCMSqzr27edJ@nVVTpXRbfXpQ#IQaVhRlBgWxLmfuM#sH5_ z`@`v^9?up{@FHP4RTR8DLesDuLAY!sX=HLCO-}-AO3mKFmSBygwZ1E^xkp`$Z|{?4 z47Oq^%Yn-&h-S%R+~=#;#)yT2cvZb1eI$*52f=b!F?L1Si_}dH^kBsi`hOEvoCDTd zafBMgjkjXCVZ~IDV8z(#TCqQaR!pTWzJGHO-E`Sr+cjl>?IK*e)s!;~vN7dol>bYn zjPomX7E^|<6m_Gddai z#;U#wNA{2UgVkcILz; zgs!O0qZg=zW8ud4500JD{hELlc)iL3EqF=MZFiv${{8d8-Y9M7Qs^wWVCR@-iEw|} zq0|(E<1YtbIMi@f5YsVuFatrA-?SA}hB^amIg`UicVnk|?|-jf-G+;r-=Tf`tM|`> z_inlgCuUd>QUJvux8MO^mEdUs?p*PBztB}ORP*oNu4PHU2W&4{m{jwn&mmRQ8VPne zpZ-B84+kHyVW4LT3wx_(;NY`0&=aVhQd9mWdjdRWmFr5io+fEJg~UT zsElE&f8PH&o`0>XMZ%alT4Fmb@BI}18|JZLmN99Ek)X@et@EhFfAswaZy6vS73eR* zftv`ZWcb`ND4{IGc6?jOf-im$mVr(V%yO7y7AG`0T*+Z2iMKHeTwz2EdXB603$F0f zl!T$B)+`G+hsc@o7<}*S%jsyRZzv-LZ7PP2r`2NFE_W9vcbBE2w|1zk*w}okS$l5#R4Ls~=_$%r-IJIm_*F5!Cb(ne$R?N%` zW?lh_Win#uiZ=^pbbfSrcyOK$2Q>Jf9KaF)36Ft;0ZXoEL3e23>oH7m3~fK%>)8)8 z9~T5BDyFn@d(mN$pMCt8+WCijfQSFOVa5JMK!2DbRXnWW=cD7}^oGCWd0=r1RLdCl z=rm#SQPGmuGxO;LBtyH$1UJQWoSxpk3bF1hll?+TVvp*NAB6{X=DqfJvU#BQJg&8(Tqn}r6m>7n0W5(9ETJ**_!5?2l`go&bbniz zl^=t@LjSH!6f*Blu_Q^;f+Phwd%Dz;6H#iS-8!*vTa-kX5JR}0bmLDifgEmVs?-LY zf}%NKfjzJp!9G5-_&Nt)&#argFpHpm{r4tsfQwUvB*c?x&n7@#+|Q@=#MOd9SI&uH zW9U5=z6KM@Ulz?3a}R!AO{NPF%zv)VDO3lFQfLvmE9XD~=$F(s6xL@km2; zTlK2JY~)|SrE(Py#@%Lhl}dv^JjqmNh2TgiK9u*q{cSqOL^SwKpD=?%(|=q4xjzQC zF%QqtAF6OMz}MMqS%the(5Mh`w%Mx`_=N(8Geb|Lm{U0})q=vtpTpXF{Pf6h&8KR) zto*UC0KspI`fApuY4FtTcz%PfuJm8sddCK*zLy{USNg$!uJ4BV(fTAnmv}biRuE>c zXXpH>|NPNw0P>-$#(X=>BY(86r}g*MqzZct_(lY{nFpD_wataYtI4u`QOUYGmFHKQ zaEL%9%Th;+U2)dDvok#VJUTi%1!-lp20LMK>J{)!ady9)-nsHO_^KbR2n8>|MPwy+ z?uV)5og}59(VpK2>>VQd3w$IFHh{p=>o`PkN3vb*|B}k_8&|7MU4O3pk^P%+;!B{m zh6BT(x~~pGEFfs>*>->Zyh8~q2E$?d9tkat(a~BvKR8Tnv#3%j-Q$?nkWbWzS!Oo~ zf<)fdmJ*l-T)nHd@R@;w;b`xtK~mpHaL-0Un#42H!{$8gt=HpvBPEj?!#MC|q=&JOc z?oX>lwXP(Pf=6UKxB|JisG@`wuGj}LW&7eKJjZ_YtGnyEiht5GvM^0(TEWl0`K^rQ zbh2n8(Os9lR>jIY42V z8o^>vbYbP6*GbW(AsT3(yB7ke!}zmH4)7@qQD$M1D44!@5HF4Ec7LQX%hrI05Z^CB z!1YhYp3kO@jbrh^^?24=GAfrXuQcwReNJsRLwv$vk$(~9wrbyGZ3Z`5uqUm<6pYSN zxg^{jrdc3?nG~ZjW}Hg`p`-ETf7wI^`LI$2%5JjB)zNZJhV$zxB_+6P-l(Tnoo5y%bU&xsDZvcweK zl$bjcLLcJQ@w3aUuuo@Y&}YZW$Tlkj_oJllN`I@1NA^o~n= zT5|2zG2jUv6Yq6^y66Jfcj4`!o-UV784*l8k3;2?!3SBgQiRuJu}TFqwAgSe6C0^W zM@v^!xGmBFpSyNKpgpd9Z`FK$H-GQjG!;VuZLR;Q;L)DjE56OA%j#j*EYY=OrCNt| zPk(pqio;xQ{(+(Ax(faCME{Dp8m}$=_Zj|0fQ>8a>*}9DBF|U#7Eic!Z-oiml*+Q3 zhNTO}Gz)~Oy-A+pi`)iN1aT539;Bj@_J0N2n2h7@L7s_6UBEjYAjSUzx6*AEShsz> zf$HjTQZ+aVwWrB~tBk8#iGbY}J~#mIz(y3Z*+Vmgt<={IWg{DEN=^B~CH{q#6*&ee3B*}kykwA~8%4rkzOS0UdoZPyBBI=@D*W+NTc90M zuJEH!+jYB9Fya(J%4IWMYQ~@8v#n}<&lcG(oqu>jP;md+N4RQIH9Xcyw|ra8uiC$! z!YG;97kCq3BuZ@-QUjlA+4DFp1Al7Zv<_&Scib!-mLOC3F^8;WN20?ADTLkZUkOS-=&vsgA)ra?RSe z$TeyBGv(UrhLV(P(NMO@wH%m1u0@!CE4h|okd0h>!65%Oa*aRDMNcrBd=0a8Y7rhX z8|VqjUl4?%C8;cO&4p0*d4I(AcF=~`I-rlrHHaxJ%>fFti+?`b1%0U2ID4qp=(E+D ziPyvywf3sUZ;X|?pN>n8^ypJ~Fc^n@e5Y2p0x*ij?qT(tWG`TT{|)8J{F{^ifIAK`3NK7$ZfA68 zATl>JF_$400w{mIT5D6=NEZF>U(w%&%vAdQ+)AYiLLiwX2^H8(W|GvDFak6&5-Z7h z?62Q*C7IYfonQhON!_<^-{YtY=EI5ScPe;ZEV%CZ+=J4AT;S_$d4( zrY+OXkF>*3+=7EeM`Fg~qnVYS8N;kmXaQrxOkhqabTEHsBLlJXmRZZZR3qz{S7?mP zJI64kkD!Jqn8+Cxtid1_f@3bQ7%X#6Ackp}c_% zP*P!hl+b?}B1b%12plytF5($R3Pz10Y!bl?%2}x#i)07V2&M;PmS8XoelVCNFGyw` z%&lN2GFHN~hgwR4F4#CyFtUy0A+$P4>dJ_lL^rUUO~eF7i`p>UyGWW#&0K;f$irS* zay3k)9N54I-Y7d#P{KR7N@>spjZuos2FEH$<3oR-76=+iJn-_7Y>b!)5)&)fIFMoC z4cx#IN|RL+M1~|m!zT*C1|7i1pvxmxl!0XfYzp78kZ3rBi_#Hsgi%Q?C<|qv78*3{ zs~n=&Xg^X;;RYV;9AOo?9-}QHg#?IB5*ygu;h#clgcxYSs7L}rq?i%{1o4zeCM6Ya zq8@)edc?#Y6Wi5(#l$9C8=qunZC-QrfIWUZTHCBXPEYf)&Dx?WA6%kt@-cOAHKYH1 ze|`Jmlas6{A3mwdwyq|Vyk^f!usq7f`4t?d2XjnjY~DQE++mouXusY%ME67X>w}kh z`yEr6e%$Nz7Y}!`uhq0=pQ@78*{R9I0egSH_Sbu3?FqzhTDmI_^Y;&KWomn-|E|iT z;&@tjW@CqW!}g2QqC9SHW#D=SPwUTl0ZrPR-E52Vp))hQ-gskJHfh+^uJ|}eR^)YF z-Xe{jxnn_{TL%r2yf?-kNS#t_)8W>1TGwo!dy?<5*ra$E;b$;o5-FajSKxCQid)WZ~WGr#@w zFA#HS2vHN4fQE89nS8j8)ODl*SCxOl0x5&Eld9f3L)0_V582IH*r8;)e|-9--QGhI z=d2Tljl>odWMq{sT7}YJ4XlD*cK2W{cI#@qhn#u`ew$lN?B`!vb}rzR<_ee073>d~ zORSe=1$OV4?=|qfUcR@8cm2ipm(X8gf9Z7zy&9p{H}qUW&*g#&-CQHFH$8u7yXT#v z{5TRDRgL)TgjFBJGx1zJc}L9T2hwf~tC07A;XWiz>zfKle~9E`z2z#}s|C3V`&TQC zg)~^5wT|3VMc_19X&GtsJxG6}$yX>At>m=cdt8%=%SdDHK^hgfLoufVaGpw4NQXjg z8ENc2NFyEhsI0VC+`~p*dBm|%+H2#EwPl*SqtzRhQRV~xWca9 zI?0{ZS4z`uaB@C|X-J|KB9?KAOC5kzs>4kaP?RJTCDFiEx7r5ju+jw=%Ra4X+5yZ{ zcQ64^_cQ5!^73!Agfy7lcy1B4#%f3d+gM0>UD?=+@+(&>3>kledYRCZLM6djCZRUd zkjwEHul7-!9ZbFjjFK17JgKG8e1Y_OG{1(_+sGrX%aB4lhdScE*9fr%U#8g}+XR-} zUDggI?Zw4|4*Gt08x9c;r{lb4Yumd!Z2P2Y+GboAXDx$&+yl&9=iOPyCh5Gk`7bS% zk%(1=vee4or22nF%3nbDqB^{dWWQ)9AP`e=Q0CLqtSrT8*1)>rb5(y7|Fqfh->2G- zo4=1H)n`C+-C7QnW>Qp;a zf7##Bhq#{KYd!SRT{Pyrrypmig?eXWBtgm3a$Fr29$U12 z?~Rw)X?}m5r#8B9XAdFSL#-l8E8vBtwSH@o9XFkS)|)ZKJ(>|m+1azaI6fI3X)5ZY zu_N)k%_hZoy*x&gO32yfr*CLcKyVjL1KB&@lA zM-P95NCt~`?}rcdnZ6y}(%@d_xZ1~8BCW=6P!Y1na~QppFY_5yPjl*+hq#S(`uT0A zILP}`>%FXO<}pmpL)bim8IKy?f6Mh5LQux*5a!S#bZs!pfI7azi5{N<>O*mNpF8a zjhuBPMh9fW1HI%QCfu?L4`%j&s5LVN^a|L_I9nRyhX+SJ;ZEMQ;gWalcfC1MvlmV0 zCm!_TmPDd=$=%h;;kwfI8YV=pe8O%S`_xEVAq~Mav)*xQ^%8rbxXOJQw(yC*Qs6m| z)kJL5u-~vMa_nHQl;zn`RkfHcU*&%psFNCDZ%|vgZrkjX=b>8`JWncq_XhEg3!giIuvamFEEYRM99aqrOVHLd`T8dU%7~C&KYg2+5 zmXpD_#ElKh(JEZMzL_>*Yb^m`4j%CLz8)>Y;`}Cj0XnZi#UBMVmo9;t3wKBaHLC^? z)2jqAjS%)-hD5lTG9z&7Eq%3#N9%s_5ulC|$zkXVSDdz{B!vrIK}px|J_4lJb8-26 zU7#KEl>p4l(f%Lg=g3x*ks=e51#lFz2bhTl0o9X{o+1_u^oQa`41?okH@M+ig`k}N z1Lq*MA(N3J6O&;96tnQ2PY8dDxisVnoC^r1P* zjRTyA_d!D`o@P0lZhJCNScl$E?7U~{(A9MUYBODaiRG zVw2Dcrfoi47*)^iW6$hc`7?el&Sn2Fa*u@hV=RG_L>-qP`Qn0nc?^F}81S6UPyTy5 zo%e;lL;>S?2xMfPV~{4n)~(yNZQC}d&1u`V^|o!>wr$%zZQC~H&Uem@FK)#Bo3$&V zqM{-zbM3XBl;WClSkP|o)ZWUo6zKij0@W0$qUMA$8G%VHZ|RZi<`g~`i`hk^zcNC& zOW}>?9>IfX6rIj9(wUtoQYtH^D?SeLA7!92^irhE71eDVH0+Qh#bq(?gf%=gNc$zp z+z{K9jM|_VBj_`h1(-r=&Gg$D?HzGppL_i)pOo(brWvK#I=&oo6DG{=BATHX+WlpMt@vaP_b%JkjiasXNHF4n>h9)fgy%3MQY6RDoi2yhV^G8y}4Id2gv@?csE z4rEny{Cy1T5}6ID&*Tq*(0XwDTs=bE(_!oo^gO}=%4nhKO)|R!)i0UEC_c3l#DC-G z^&Y-Z?a2&nmGEitls~9P8TEf5&ttsRJ?z5Ly9`KvEfzUY6w{;sX0(8cu_(p64jH`Yv8dKFA8#03q zVu6*8oy5mm4tCeHx;O8gAJm$I+Jrw*T*(&BVJ<+6R_crm6YBaE)f6mWRfglIai6c} zf{3L#eEjl}fjuvV7;tAQ{Ze{MCCCjT0HF#I!}ew#w0@F(G09fmwqTc4g~c4`Fs5JT z$u{pi2Mp2#gKxbZaI7AQuyOgxa_f1qLaq?XutQ0e<=&%+QiF#f6H&()DX@U>#icTY zF7DtbTLn@A`|)T1H^b2K81(HvSK8+XTM9sF%)s9G;9eE#%(UfsZP8L~Wj2dgV&WBr z-R5C>qFSdi9Joe5=O=VyHS?1r?FY{sh*M;@0-AoGnqb8*QiBD8axmhiI4j=-O;q3( z8l>D_SK(3he#L(EjgaUqi?=oE$#z?vL02e;LT)Fd8O?tfced3XdC^sp;SmpgB@{;b z*cA0&hy?D8Sl)Ef*kFy92L(z?5hTuw_6O^z#Xr%PGbX4iu@@iUTaH&=L1j!SW)u#; z0Ztx#sn>%tD=q_rgO>&fb4Hi&uKKO_i+YU5Kwxv1yE@hXIe@AQrAdBYa2}aKM z(+;xz95k(mCz1>UlKFvWvxU7%Y})D0NIp>9k;PohJhkk&^&5f+a9Xyx?O5|668fl zU_9T%QDJ1X$oi-ziGE*R=YIu}4s=ZzCF_gKrtU4Cd z96Gft6w7|c9iY$v-kCVKo+kN_$I#($7b6bkoyMmY?;mH^y9mr)V`H_Y?O?EED5z&igORi)l zf}PLo^r=R`uukc<*8$4|cv%vRUd}ic585_i>zl!;B8x2$np92D(yGWpK_I;~jO6GE zP(J;Ji4BLapl1EZ9`E82$x3Z3VU_t=Yhds~?=;e(iVv8d^XjR=2{eJ)wh5qe4izwPHV8xgg@tHhfB}8!U64!?`5X+oRh3NdwkTHsl}G5<&Yac*C*E^ zeDVD8?Tc+Y=Xi|4Ei0V~9Yq4&yBCHD9fN1bWovs>+{#F(Hpp@%mPpZ(_Av%9 zS5$2z>9WVpc-24%{MH;B(l#wQJ7SLw6{*E-*A>)caaRuj|Agw{OFc5 zN0wq4m^UZ#hfa4vxM$M}mGPl4@HJw$^)*8v6V#W~pXUclK9XAxsQe z6rBEg`1&bX@qsy0c+4R4H#xKBYq$@9qe`@QyX*e4al@x#Qk+WvWrnU}&K#!)ApPpZ zbDWO|i3o-*U(a8hzZ6wAj8OO}2il`^zi5?s(BeHJ(<6L8%Kk#D7dcCq6<@$Q+wRQQig6x5boG zS0+=~`NWU^Ve5B=khU*`!hUjZY%AW~IoU!)C>qQ5G%~~f<=`8t*x>S<4=+VNaOW9p zpqPKrEK1a$wPHxcv+$s-%+akxnwE-rX^rzI)IESbj)~*rLei9puu10rGptv%sM8nw=c*M^Hd`;}AVzS8X#0jr0bZ_|C$_2t?w2X^R9M0d`68 zVjhKw{v*`*8r`zHuLVt3`m;xfyqy>^2mZ(P4`B311o=lHaMfN*kz zMi4(r`r-FH=zDXPkP|2g(-#2tZyqqBse{tPv;j(3&sqS)ynfZsSDcI(;SU2zz+PrTD#lUR)cw`Zrj!ETaOhd;QOpsfE;*}buLa&^+0W5lL%C|!ZO+(~ri;Jkq-K$$C{)1}gzof|IJbgm zFc%;}@MRBn<-7a9^n(QuH#`)x8~{ZTEl(i}1U|ePh? zuPu49)?1KUx@?S}{AmvY?a#^MK=rF-{@Y(*p($gD|Jk{LaBwC$uu3G+wy*$mCF!*= z{R`^Fwb%l4HGQ`XgJN>A{cou6f14f5TpURZ{5VNSd9Y329bv$jT>p~`{BQE_|0&}7 zS47&>+l7ja&CJd6|C^jynOKPa8|MpAoU$5XLhAmceW4O9u^@jh*wJ~&=WY?>ObSxr zmDq!H#e@0&m{fz2%2EdFBAuycrA1(OSOfc#lvL*?Dn>q34pJOfuHCPJ-vPy-rXQwj z4`fYo63|Cc-HnSnRU2qT)Z5pizowYbfZq=3UGP(DC0GwOZiGpIbBP3TJWxXyLe7XK>6^9u z2g-TsYIcK&OkUa3cl&nCj;0qVRfbC``$k}>Ao2Z$zr@+GvHKm`$CIxpJ4GEGtC(6eG+4|eG!Qur6=n!WQk>^YP9R8lifJ5OESxZ>8K+r zj18Bc@0TM{3v$f_jJL14qFu;af>KXcD^khq6CCq=rD;0kKj8b6)ZzP~u3nPWN%)RS z!q{67*md$DdY??ZpVeRC$ioB&fHY%w-ynN(ay1q%0mL=!4-P*KGe^dnh`a={ zWE0<~?xg+Dnr7iX`yiQCi+#o*cMJ={+`8A^XT+6*k?Sx$J?`AnW4bj|8O@?WFyT1j z354gASRnO_w2C|vPpOnO);k{SEQGUj!5KE;(PiRHvKIs=V^(F$+rG|TH{j9?LQUDS_s_B3w z?HcKVi8z}W!752T{hPdhgM|H^K|;))F0tAbs7FRBAg&p4NA}z5@5wngqw*W(2dLpd zcl2_O<_IOiC``*-8k|V_oE#V8pyF9>{$LI9sD@xNs5ruYe9FxBBFd9u$YcyW+# zgh+N704K1yhNLcRPBbF7+Yg9i<}zRHgiWo~EDpl7oCR`yh{~pEBalw28EqinF_HZ7 z>4mfMO#VAdL2q@J3n&S{RPZo(&}lIh)mA1<$A_m+z;Bbk>kN|ftLI5Vjm&sU+GkgA zNWXP+aP(q@emRt>VzXgh(Mar^*a7}?%x(r3prE~~BW&PWjc-SNd6>TXb!nq_MX7d} zaXBdi4A2hL6~P&mS&I|w?i1+ZifqYunV?zg?$4Lki>{??a`fsNV~#p2%!o!oK(m$D6{na|9 z;NNI)VMsoMA#TB!@AFOHL2u?9jX9N0(8OfL_L+;`G++7&YUFHu;h`a{6?rsyK)g`h zzd-0x2LX&Ud*%$ZxSYvD0P&A45kVLa;8%uvml68H@lg}P$x@LN<1Sn#u6hB2PC;Pt z;jll=_B!6q|2*+>aC)h(YHeV47y^-8#;h!KW>u<-I` z|L=uHw``LAbQ;U|@3ZH!pJyre`Db}xvGbyAlFY8c4scf_1BK?!oD`aUGFndNJJ{cu zrioqd1p?r~qZrG={c&B(t)L)yktN!~1>R0hE78!=oR9?#|87GQz_|7Y3bQ6p!}96n z!F}R`s*<&E+On+G-4pvH8gdwny%bphBEKh5_x89n@)5dCn*BRn;kE)k zD|P!^#%XKn(S>YL?S~Hl1|}%%#s}N;xT>Vh&%0~7Z+4yGCV%Kh3_Lb11S+v`ZAvmZ z-ZpIiy=5$F41U4E1a00T%3z8Zuc%j91ZHZ(n|2WhZB(hC_Q|;7SZ;kR?`yj_wo@Uv zV$-D*210gH4=p{TMw3~Q^n*IuN1*y25!zfnG4G>0Ot|bmfyY?ZF7NdciT$GJ6{bi01IA4kUBRv5cpQT zA}XbpYh*B%k^um~v#SX%ny^6Ynv&yEiriG2ux6#$yd6MIRn|NDx%sW%j_Rl%tE5_V zDhaG8H2QE*-hnik=KGIUFiz9Td>!a3jIxKcaAnPO@niQq(QC8SYhD(Wr{87O`O` zQy8?i{x&#m&V0J`!N4gQSsM=Ir89zGv6&Vr)KV5&o?4%*)l7(uGPoJSt%}{DGJDKM zyA+j2vS0xGuDE(>REK0vuFWNFwM9cA!59{xlSjL$&lyA+4Z-}KgVrWVe5ue{V&*=? z#~4U&s;Tc6#qRfN2?9h`k|Hppp9;_N6sNmiL1+FDUPtx#(h;q6e#G8ZXgd~LN+cb9Wg*orEaeWr<1(ou~vZw`-b-jNhX=zEUpB&4Dts^~YvojHOU z&xEgEizIy0p@s{oqNnan6YalBO=ZJ~j5&gI1Z@x>$!Z)%81WWwxymtjHLB4!4436H z!%j&ZpoH{;dAz6!etUx@)tK7jW2O%b-d42vc~8OP2K0KUwGs{{Xh?O9HlEv^xAo@-s7PZ-c%Wo6wyhFdU~a_4#`Tm-Y*vv z7&3Q*Qi*kctA(_Bi|ha9?E=*U$!TF!jx?+~PkGr1x78Vp*+DIflaB&)5$5i-f8_!w z1aAE)x3h3jv>Y^E^F#-yEHOg$2U_)q2{CgK?+kbe&v@M3@jC0vu?{#?w$!}{8q-Ab zS+_itDz#d8m5nJ3v?{eTFyuvkRkT>TBZE}sS^jp&KD^NWbC9*7^);i9BrZF6(U`ss zb4Uv`$Qb(eXTjj|FR&fqB@fL1;EvN;yMPfun7G>>NPznxKDHHOngUYB!Bxaa~S(I{gk61T1g_(qE%ZNeFlr z1n@hbP+Aw*rNpuL`h_1rox)cNDweq(vs@py{x&uxgF{ngmu!LW-3Y! zSLm*OP`Fl4(KwBiZbKL~3M55y#?X17aZrm9yx6RzXaZypPm2H=LUh)r9T~(Sb0j6l@57&jG2>xasF0Kk-%0rce(5r-zYA`MO?JNe~D7tig2DeBYs zC8j{6na}uZgBK->8U6EL5PmWfGON3MMA^39HuGAzz6dn8+GX;tSvmDqFCl5d~4{b zc#~#g`eu6>YeT;W-XsZI{fwaU2aJ+L27QYsn>(~&w80PR6uZ5@7(&9(BT7e6txdHd zN`x>RzvYPvXnC`Q2$>H?*EV_X_6lb31SdLn{G)6_16ayLxYw6$cce?! zTyjWo)LK4b8m0&y0hiZMl_aZwc%nr`25}8 z^H7V^dYVGbR;)dk-Nq#s@@{Kd z+`aXnpYYvJ2*p=_1*rWobXv5DEXiV_p-LrYjR}T%vcpaZ<^6Sn(PxHhw8e&N1Ij)9 z)flyfH}Sd@usO(!k^OCxv5Ffkeo*7mxDBaUTnW54LlE3N{##TiCN_Qtdi$vOz#2q^ zD7_D^>q7cMur15AAwJ^Lv-SPu`;}0BH>WNslrs|Z4o3RY1#rVtvnCy1jMW&mQSxg; zf2957I$(-a=7t_F-OH!KRo6V_RrTwwbn@XCg+owxO91b|Yo`?RvIf>XK9&v~fjE{z zKU8s{h{I|?U#sO|zkPGNNTJBbAt$Y72=mOoU8tlUb2?>Ev-B!YzACh33Kq~$?gIzE zEk8d`GEzc-4LA=j>j!^Z-WG`vdF1M5ItivPY}aBXMkvct<%U3lCR9E~T1x;y@`blw zv8%)bz2cQ#ynwuv1$F|pToF)1P$f}Kc1e3NSNMIpk~rGKP9>gKk4!8DzUENYm{r9% z8k=m>7rChstgIFRb$es11Z#q_ep9#^sVEHwi#H%V34rMQ8|(7kI=S%=%u{k{*I|>7 zSAJ-ZDw>VY@(JWW&iG2nX_NqywX=Bms5x=ln^_pLC%zycvZgkQ;-}tQj4bsFNuaY- z7_FmJ*yr)v@bh;`*T2u}$mqRwW$j6b*6k zC+@}y0TA=TA}>DWpCu7l-C;Z&JzLSm8}niO6UV^$6`C|i3L&cn@w04C+);)y#BVy>$3DlP0~Ri z5Hq*WN;lsloH|675JX+x#JWEC-zgz*8ffv-L88c(c_lm@`@HXW*)uMg=qzTd&H^#Z z)rgX(!BfmlDlY5XZ^)mCIsjhV!)c8KWN*9I%NHL!OTvgDp3XKH4SWa(Pq-|Z2^P4z z_?@3)r(W9chAPgfls{etV$ENN7c&D=Opjp`LU%heecg67HC>yfW6x$vYpEPw&MmUf z2QJv9QLAL0o6DxV%~iV&{k6Q>FKT%FHb1ZL9g`Bf4U;GZCD3YyB!Fa&C;XN!;cU8_ zG%flKv!#>G8hVOPg_Q(pWNzH{XY-Qlt?d{sok2|Yt)FIVSeY3o83663;7jXh4QL7L zygOJVre*fL+Fu7!2{Gz3FUDT~ImX9IpXtxWif<{vjg7BuT7-^|zrAK{v~pBuw?xnO z0f1kw`;?K!b~7&?3Sj4dNb9~KZJH5It|LaBAa1jzz%|5^mCki&r>PWId`?owgE1e< z*;?~%g12*Wjh{QIozEk0QLI^Ou(7Z$tfPfuZ={}?rM!%_2&VzZ%R zeWl)(CM_JJ05K!o9s7OV-+koov#I()=xI;;8^LhFMB|Pk>EN`gMQ}>#Asy*t@9$!f zRNAI$F>J&h$?4Ls9895NB}-Yv5NR~GTm|EVJGLHu3YC*#vRMRz$)c!jx z0En}o7$#a?93(2wLG_oZC5P*B#JvlN$qC1xKdGt(aR!i0_-ACTP%H?18I4VMkH_cZ z@i|R&1>n*K0&r~ZTq=q$BmTYFeVkG(;$$L5`eKLWX$+gl+8JHC+7iZ-{C)&H)1qxa z99CZjYdQ_LAsu|N8H!eWh}2lco8LmtXwD6e!M3vw?^AILZ^VRGM^~e7X&K&F_bG9< zP_p@Fr@{Y5=-Ox1_R4H+FW7u9vAbwiGFAt15y0#_5#WWivjS4+TC&Gw(W#~{QZ@9) z&kSy?G0f@K3<&6KN9hkZM3GTGuQFE6`Sjlhaf!kK97-2R82`++4X!oFd;Ae`xgO&8 z!+my36uY2=t@bXrABKF-9S?O(GLr3pBf2o!&*dw7UXB-PDo*!m+K_$`vxLl%cg8ve zDS&dm)f(MA{&ZlHb*4_cxBnjcY>i~sO3-&z>E&0mf~j`){30`7*@6Zm6I5nB8KHCg zN`uLC1s_=TCW%1^a}^Ldrx4v4Mr&z7-na+K9;ih*Yc4k%LDU_sMG@(4kkJppR{Nvp z4t#t3SZG}Ainwo9stdP z77`!d%LyVr%^g1m`5QFhdy6#4br60}Pv#0e4B`PwbT0?~cG5c-4rF~io@hD)J`9cs zzEM*65rI`0gN5FfTHrpmgdc;C^h*8!v@&y;)j=NNDa`$sKQR}R+aoF5Pv)>TtY=XR z+mrR?@u!B^gss4C=}`yRT^l_pA>gd|pv$%QBEwfo{m)1>&$NGZDHCm=N!~&=_E;bY zOJZUye3FTymf(qhW33!kVzDzyps@+g?&SxHRO}<))!cHpHxkRWdq{`pZpSUu5?1)$ zYC-rw8QuW4&DW>HZewnaQ2%&_UX*Hqw&-(?*`es3MmnE>p2~W%O2RbCUG8@W3w+00=Fbg!SdY z^jkAC$;>kHZ~l99Yb{)nm~7uoY4jSbSit=T}myKN{wJePoI$-39<#xgSXXzsW_(=9Z35Rd&g2?r}@ z<|z)FY{Y4SW;@F251<8H>+8jwiszw{r{E@B?|{zTl}yHWlk3cn$Q-zD6Ye(t-D}S$ zT=?7JY}Z_|ycgT|!@3LjH)0dDB@8QTd@0jXP=sPE#bBR2?pk_cDJn|m15g@bZm4gNM=k@p0l-n9M}*831$dJK1C}DxHyCClwni z%)N4d9Zntn2#bhoWMEtQx<^&+f_|&Il5XPLdfov60|x>&1`GzCfo5wHsc3THAP7qs zBMw^_!_(}dHu76${G}__>?Yto7@{LPngqGRKGasgP1QvR(E_S|mKs}(dy%Pk{4A4M zDReqbX1?7$8*nV64iW=esh+ZpcK%I1Mw5Vu@ZEnG~ za->W5bAGS6_MC(-Ym+709S73V;)>y+bh1^1N1k(oYxbOWY7{1j7?=$1fG~U zDT`1LNzC`c>FN1tySiGpQe9&Oeet|$JGM~OXz+++UliAocdXhKnrf*8Ge;z8cqO=j z&Ej{jJSOk#oWPi*T=&74hrpqtj!8uITYpQjT{W*ngKX)Tb^k#{$bE-NI2 z(r><2i|I##tFyNsS%1E{-p!^;Rc4hC|&wPwCXBuq60^dDTAm(ki1(k1aK6@o_qrb_1b3FmOfIdqquY z6yyrvvKQOJn+@MP-|^cxv?`ZP&q1-vV;rDtP4IVQlQoLM3gU5E8~)LOe`SJ&k}57{ zq*n(_*rQ9&1*U*eI>sN~wFN zRk`AD{lkRe%(&iMXBGiCk~WVx|H~hpnFYaKSgeBT6><5U3GXZWi*)jnTFR+6 z0L{@626lgCP}tfeNsvVlhxk%#R2SHM)h(OCm*mO`?s9J&B#jA^`(HhPdZF z`hGd>cv{-J?qi=fddSM5WJSrP&^23^zIRipyWI$FXG8t+u38tz378V+gIa{Lu%R;8 z#i1fFj%xUewf2sgn%3@?Tm6()`|gZ&0{w}3yXpt=-Pn=xrafV!c2OCK3wgbStFtN) zO(gM8brX(46$7IW4|EcYPMZecVZXx|t*L8WtdAI?zW6z-d%|5|=B3Jl!IJF*%QGoA zFuowk{>_o~Awn*vKV;n5*6X#T|EqNmzE5h@e#h)t#OixXtJL!()%I$s(tH!u%@9Sm zbo_&Z9=(EVIi`_tKou$f$i{l4C{83JZ2Ma4r-P9a_P+mcY4)&g!rBK2ec>ehHwAx; z7cc1#F^s3LKhGl}BafgMu8R%hiv{cEx{waKzs80?)-mq>bdiFTo(@r5#MD`nNFjxx z2>!zRrE#f52w6{3krk*?62&(`(;V|82Zho%%8PURopN<>1at3^uZSchD2@sP9xQ@9 zDG3#3nmEVO69aNP-KYWR_*3}1nqUbBWjJiu$qFPi@*B9xcTQOQ8DgUa{Y_;)zz8JO zQ)rc+9&`!qy=lIVj*ownm)$Nc!n@%`tvMF-r;M|)){#myaoi9PElNzp1p6mr9qiKIJH1B=69fHtCPRA#|XIvJ3r^QrW&5R*>$Giu7 zhi7eD%^%fg#mjvv9+p#$3MH;~Gwn@jG6e&>gBvm@>9D9ZhNK}au@ox9AB_b;>5B`>^6m>ULC7R-n>MQ$Vj5(Yw}*IDWnH>4VZIZ_Zv z8=qO)UQD-03@fLzAC@D4yo(4DBt#<#%01q_QYu!Xm@&In*a9vJMIc%nOIYNMs$$Be zVg8tHX30xyy(!7NX~xL9r4QT6S*uJcRlxJ(k}Ai04|_$bvHG`qWm*{g60X`Ri&($b z5!sL;gflcCjYygpI~Ho)eiz{3?fuR9uo-qaMGJX)@xi<5;r-0w&_>~aqOWdfDX4&% zr96i)bW`Pw$sTK9%A&|;5J5({IrCg0j&5}pX0Vu?kUD-U_7p`eZJG?_t4E5LF#tf%|! zPHVpGG+{9W#eI(a!>Dvo?13~;;f#XeeQ5C3*dlM{{o!m&Bb5Jj`QznYAc<_$z+;N< z78wr62QPZza`AV?G6ngX|1dkaW0}5!z4o(St{#lFOM6~zg-tg?n+QlmXdW!&E}1Fg z9U)5$WkP%WV1}-y8Q!Z_k+nr47~R%BXRyN?`Y_Cw4bm6cAe0B%(rD2vxptHL^7(sN zRoxD_vwZpVEsZPIv@hXpk3b2}^Wa{CmQ!poPyrgxng?Ytp^V-)su?{A|Be4gnVoH!e--x&dLMrXkRio1YDO%2LWN`|K=iV)|O`C zh0J~XP8GIs|EA4R7k^g=iz?l2F>+RI&3SBt@RHz*{ii8OuCgnlk$N5s801F>s|*7M z2lBTSc*{L*+uYF2&5AM*4mCdm3asQCGvhq(t=?S>`}kQ;Sp?c6^17~914|u0R|FAB zuWQUOseeT(@HJV-4=VFo<*c^|YWLnjqG;#)I=rYgMxnYy9|rKjSYQ6SdZhAmU?A^~ zLG#WN@Im>r6Y16~`Rbumw`}q?jch4yhF9*u?dHY_9fk zw_fSnCQ`thQCoi(UV0i`X^2jS%JU$=JTcQqk8;3kb8ovPir$fS`5Waw6lh?O-h-o| z6LnS}boy9t`EE`iF%HN9n!^j;(Z1*3^&FsaNVoOcGBr{MPA1{xc8+j}MO9Vl$2 zxw|pO4@lu~qRD@*V6p#SC*c3fDdlA6{Evl?8lXDhw9Ew8^GeH?ot-giJ=S3CY;Bm9 zS}<=3iU$+sa%sm(b|0J6+#jMp)~aKX#_!NbH_`Z%OBTryUdRdQa>`eWh(TMbBpi_( zBJ@nJ9H>~U^czjgG4ul0C1kfH$#I6Q%lptT)6JK&+4!Z!?^B_BM4DKxna%_Xoh;T# z4}hdZ9quyHE8rbo>m*DqK%zOzJw|D}+ZHweI+3VaDAGYBt#Y%AD6-#i-v1E6Br@<60^^m-d?lO8y5(7My;AByQ16eFh0MAaSooOZ}hw@IKHAdzG$k*2q&oL%a`?CN12ypiN zFPEGguTx8{M3O%ij)8)rV;aru+q8^E?u(@EhGAU9*}Oem5*Ye(1+r1*j{3xP0a%-K?K z<=|~!I^8xPde?S?OEs61V`CH7axH`|1usIv4gpt#94rVnh^|rnQ?GH|_%n)`39US3z3W5M^|;bH^?J_u1m>kGIe3{?YGvFn}NDwh&N& zV4LqR4tikD^z>7sk>ezM4b^N1!3ng7?yS=5sgZ;I{Q%kfzY`m=)wX^9Z3?TDhk+I) zgn6a>yk|y5>OohPmzb04~b}sh+a(uOH?Kinles1&!;@}ZV z#`ix9G>B|DZ2h-4NzQw9|8Q}|UUFUa7U5y-da%OKyYyp!9|op zR>dTyF-n&?GY51q>`y$-J&-e_AAocw5wisnq344^FJ@9kTX^8aL&^!8-I$;!VwljE z!tS~9ky8}p6N4`)`(NNRQq$<<(~?&SI}Voj!!yEJLaF6rnG28Rtbq14V2Gd>1h^up z*>#VqQbVC?Sl?w7Ys#XiasL{lszOax(b9mzi-#D2AOIkc@)*&B;4o2If;O?R25?f1>C zkxn1L^#GvlAn!nE46<0&BONHsI;Uam4B>&*_!@lYC&t;}Mpd8~%YlL8Lvy;p@7^7? zBTxI|eXM64WkZcNI69m@_umhQD1hV##nACa?uFkQEI?^=2WiyR_TR{Jy&i^yU8WBaR zuT*R0(n%bmTq+%CpxH~h>N1>anEHLasC<7E6ReP{%>@fPYRp*QAB0dLU_Vl@`GjfY za$M(&ri*xQ3-o{BSXdF%-y~=4`mj>%FjU=3X-28YdOJ`>x*wNm!9kt{I*oMAMI}CG zBm+Rtxa+1odjd7ah9E~AJ1QB{4xLg&g(-aXa}^{Rs}v4Y1NIV=!0IKdOl3u07Cy!_PY8ax9W=?2Diys7oJM(L zx=|}q^nbwtJsvPf>ui_qwiK|ff!4ekcK}J`>PnY18sx$H#e+>q!;%#P`_D8%R@v_p zp=>9n>66dnRe%w{GVp;C<%FZ9A9oh~?U8H7s%K(%LsvKN$IoQk9UoDv8>3KpjFvl) zlO3h`kS}Kr{#8--x0j|agVS)|zPAnJpLkM0FJx0hi29V1s=Ca*4PPb$E$}Oq6yTuE zobbZy{9L>S5iYg6IQP`1D2dwRBS2vFuoRPH z9zH_bjC+k&Q!*f$VN#oZG$*X+-{C+QR%d~{28l!K7L6#ahMpP7jJeh!o_X4}Kt5t* zBA#FXf|a6mmu|tlY3cO3HS@XE@E1q;AT2&gnh=0Q6z8$aL%}Smp89WT;vhJcH1?1P_%O8DO=n$76pIs^#;J z=SZEwA(ttu0LA6pEM!s6AWL)hK38#*Iu7&?qhR*;EWy8gHzt?(TfGX5Y;y`o(niA$ zbhCC((OU2-E-=z#FbWQLthLtAGs0IaYLG0&c4W_eGlMCAkI+|LmDNk#)bSO?N8(Lf zFZ>P!`3~6xWV>MD31Iz&l?iG2+YbU$=ko&ghr-nUBTK=z>%9w{IWHu)es*L*^JgOQ5gwSZNHFoH}o+A?1XsDR%9H4K*dEb*n$Fa3xIY^nS`!i*uvJc3P~E5 zrbsa>ahcuFM52?UyZJ>gA|3Ay=`~rO4~zW7IHQ|Cco1seJ(GT;z9z1;R$(lY7)lGV z?4ijZ@Fo^xN(>;DC7G*n&DvBop6cg^%oJ||sg_<;ARn(_Og#O~9}2b2IXhIP`MvY> zV=NTDCufa~A3$N_VpB+nRPYQ+@ zrsF-~M1hYik(Ms^TxAGG;5Mk6)eWU(XdM}A8Wtim9$FL~a!N3m|nSVWeHVKG*!d!of+dg&sq%s_;l|NHgxwfdT z4e8Mg9)}bwG;05rls{KH}f{`^d+SA3JY{ zhd&{ zfLbko;D=U$&*vgICwz#YdK>^@uwbNb`AlREhXONrUWX?f2}MjDNm*($zMX+T8#G^L z*E2Mn`I!+son${h|RC26E)NJuaudZFPJkrdY zePvAMFzeAsT+qpSwwPsmFbr_EG2;K%|M6?POMyPCG7?F;ovw|X_R244QSkAea@ZfP zy*89YjI!txC=qcUrJ)JjR(TI%w}B^PH95=3bNuFipEXa;^WHH$Mr)T^hJ-+>MKlgz zZQ2Q&4~vS5!H-EnF&OdfEL#JvQyV1wLl+`QfH zbJZ#;+op?`{)2moZf|$@bm!je*%-P>^EPDzr~3yHvKFmLfj7W#@Lv*bj8vDgy8#md zI53w{cmxy?H#Ig2FHB`_XLM*XATcsCIG3?g0~Q1`H83)lAg=)^fAs}a9N5wY3ghnX zH16*1F2M<|jk~)OoZ#;6?(PdpztZ)<*YL+fG!2inOC^Udh&X)Fey#Hc| zIRTAaK4juXE+0e%e|tNCtg8)xg$=;M$;-mU%ghX5WoG92FVWtK7a(rrW@!pgU7D8%d?Je@4fEnGh0{Pzf;HlYEq@bGZa|7i{ou?0F=ni$yu6pUOffVLkIO^j>+ zs`e(9Ko`&daY4;*;o{=J%f#gF?#^gr>&$5HWG+ZU4{*11f3X0l0G)wOZa`DOA1MPA zjckE`rN#(H0Z_BBbpDS*)!xj--N*?D_z>7wngH#bKTKThOo2{-kLUnZ8F|1b2cX@5 z$nyUo&;$M|4uFM`k|N3gqmK;#%Fo%v$96Km+u6I@dH)xgS=yPJ{gH;Ls{@m|ou#8I zP)7VO&W8x@ADKDO1;D|~%*@5f4FEaTsrKzc+@`>)Rl>b(WirRYsycsw+0Sv4h z%m5Y^e@=D)*T=#4fBpPjf&XUzr&P(v@~_+d%cG2)nLU8#KS_L4@4tz-{WTfXf2|D- z;C~}1+JDS55J3IU`0FxrFq?dQvHX8tf(BN zBU?)w&%YQS^X%&Ku^S5Z9~)x#f2o?l|17kqf4zIQwKZpmwB=I-mVgoSA{DZgwOp1RaZdL%3%0Gx5z@+vM;sP*f{*8D(kkLQr z!;A4h=p&xV--zX7?wNr9f*cO|Irgjb2*yiePkpu;*6l{;U2e_jpZ zRp$0P;eO0wjm#9CiZl)r!V)m32_N{pIr?Y>T7$QP_R3P^Il6KqDHWhUx(`WtG!9p+ zO@3M0S3aoal!Je*m}iJlkJb$={Z5f@oV|!c3gyB;2t$i@>4E(H?tTF+cjh;-Y&;#D z?^z66vA6aXYwXja*G82Zt8*VNe>tu;4k7p*+Toh_7R- zAwvm^avHd#eo8n_A>|ALnE~>>=;VF5?k1T|HX0@~iU3L~;zk(nP>M1sv&0&*@iK#K z0!#m=H!?!igwLR+blv?#eP`$Dy|g|FUvYR@Vh*QmiS7l^cY3n@IA5Qjf0!zb=A`5y zlg&Uj$yOCVVa-pe=KD&hj626P6?y9n|ITMDd0?;tw+izqak16iR zI!e6w^;X;)XECnRT!(Ro89a+((8CkHJL|&w&X(B1brnJ{!-_46^s6$PdrUU%C-WkP ztuui-nC7hVv+3tE5`wv5e<7rWx9px@6+&yu=V)i_zkn)H(lPLQ3z3ZJ4*mwSQv^a; zfqUHNIlT7AysheZu0`UB+B&xB`b=X+%?s-X{!8qsFwHi%>|+UwSo<#W{Cp%;42^^Pi}Z?mQ5UqqCKct0qz598>0k)k5^@{ zc7bIkUyfou!Y4Umee5mhJhWOFkyr1Jb0>92!SHq_ zO<*at4-HFk=gMC#|B?43bqiS+`|pBxK*TQ69%7_k-Cj@7+AkFK9K>&eMsg3L)vRkCe&`gAPH5R1uVs z=?at$e_Tzd?Wid(+CN_)be#zOeK?X8O4~R{8-r+jYLR;h&sI

!PQV#bcuz={x0b z%&h2=;?E{}x_iHY-k;`~hA}b#e`Vnh8%OWN;*z2LO9Y6^<#w@}#SyLS zYGp`0F+PXkBdm+J_&ic}<%bX6SqmOnW_Jy&oS}eVpnKFspBlHj4hZ3we3`3H7z5C! zUud*DXM6P}zwV2eAo_PAN)as}6pZkj*p!c4%(M`BsftOrnu6EM$CPnGWT#BJ4EGW1 ze}b*+&3?`svoZe;KGAKRpr=K%1mU!D2gyx!(INPAi%U8;uhS58C>FZQpK7Ru2Gt3! zW2a?4wlP;~e5n?!xBy(+FH`I=6)sAh5du*nO0096Ef>;v>Ej zRmWp;%A>|^K+KV>nM}>HgAwJ{@=kE za#f;mQFpZ9l5}lARsZSB(?$83tsT!KOZqcI*u#F_3ud_vN&*;Y)vsa1L4-pWD=v5# zwqb=0&mFIlkOTT{A??dn;e%%t3?)%Z!JEC=)8dP>HMejL$wgfe3+sCOw3Zske=U?O zZU|y1uylxv3tFh&5yA*X89ZgTQXY0Nug>2Q?8^_ffye+mZW==I?316ydZQ~j(7*XF zrqrp;_wRl@ZBiE*eqv3HYJV%jS@SYxgJj-?|8~cKqoz^k-Bk*FUS!n6N4U7k?qua zhv0!?QDfmrvTLpJO?9k>D@+FdQO#n^NGPC*9>TWK7UjCTKxsi;(fDhdZj*x z=`x?o8tX0jN8AfcL`qO@M9g&2BP#q7WugfB$xV&?n`g=Bj_3o&BIk&O5KDeNi z9Ltgh3T zCJRFU!d!xVQt>Q0e~9PqQp5_4=w#%jZjbP)dEjyMjI59?K*G^+B`M^Vn_YitZejo~gxinHyR zI&`g(WY;m5CI1wnHHdI*_K~)zU4BPWO&3vJzI>6gM@YTb!a_)*hC6&SoN;$gPakQF zeD9Ml>V8=KHocQZ?+RChkZA}YJpria&TGr*b!M1 zGQkyA-(DrYTp6p;oFmsF=aI&IX%uXBKi+VAY25Z9f5&EIKS`t&UT_v#gR^`LpK${;|BYRkwWm~8O7)!Hor2GOBvLw zUY6{;qARnH7w$xyJ@Gt&Eo+XCklnY1>^K4{X%VDX)Cyecoj&FExHbw8DlOPylUnh$(e z9zj&p@Z80^$QM>(U>~_TKsQ`k1#x7GR5JbK*JqTvFrRhLnG09HePvXOO6y)Xb`9u- zqC8N{V>CIWKu6}S|wnUQ0<>Z>~M^Tb76VKT{Cb_ukG%YAkO}m(Fsks~j{{>x{8y4|bBR>L#hYe*Qekt72Z8gfdpQy!-z?NiF z!B0|F-F7|tJ^zJzVJ9GU8Iq0UA?42UQ`8HcOvxQBN*DAUZG) zSjPNM_*@DCPg(O^*v{j#cFlM}vnVZd74EF;n<&eneWpyt=i+`kG__CA=Io{UY)5Y! zQj{P}4vN786v)YhD}2>mE{O+-psBo|(KrrACkQ7PPXoU03K^KFOd#H{#WPg_e}&A9 z#^tHIRwUZHvBLaOMF)sM_wQbwOE%wWe!r160M;(=sxd|6K_ z0?o*!08Nq_#O}bb>Y=9uImc3`oK~%>U`9Sk$B(W|Q>~sd@6p|D8+eIKKi!yvptIm+ z48tH9FKrTv|IO|TNjE$>ew&|mVJbGcl+Sm6N+dPZQ&$*JG%~DBCeoCEf0JL{crlLx zbd+cpLsgr9Yd@M$0UBw-EVZ8e?%(_pzs*R=sIXmzj&Da4Rf56a3P7tittm(su3n&$Ouv2 z-t#R+Kd*A0k_Y`~YQ!DP;bKYO9%``H&~ zU)s-agFoa-tV+{wOdRz5{nEMl`-FGn`qS823a#4rS+m1}D3U>Wf4CHzcX=K>BTgIpalf3wfB=k^X`p6^)n94E8HJ{nK$dvAvTM!QRqk0UE@J0C zx3^Cs!nl(WAseW8;lpT8y3#mqZ+Qcr(eIJ&VAw2nRnLBA9x7pOp^8roCwM^7aKkK| z4Z$Q*ETs?>?6mZPyZpDxts;m+x(O&CWloQ7vpEv1$IHBI> zFZhfz8i&J}eMCGfecX=7CXE$73KCi_`}J-BT#4&3A(`wO(N`6^HWT&jNnC_3Ii<>Qe zU8bS4fVHO2e+8J;LYxWqGv|ZbQU>pv0eP=WwwH;7_w}7;iZ&$F3oF?v7b-8`#TUDB z^cccGANG`P%xI|2++NuuXDa(8WHtP!b$EJhi}}F9&z$0roWMw8fM-)@d`%wu^XI|q ziot1<>Bm*^R$Q7TCuEt8^9fK3&`HY^jpL&oq8d`vfBsvRd)PXAGNqa#{K9QVyO{!Y zocBVt=*Q+DsL|wzY7elj#oawKe@ucqC*(cnSkl^^!eydV10T&96ZjQIB^Bf1PQr6Rc^Y5i0nYzoNZ z=sp__f4dvNqH>4ZdS^^@eQr6Hv=>iWbEc$=p|Vx3suNWJ0>34Oj@4L_$XJsEgb1WwItayyJALM7_bCP}G3bBL}0>pAe*HW6pY% zskLi6dRf*tzXnvB1ljEVuAqf9wLTC)*ccoS0fG`>`|4q5Ic833oT; z3h6Q92JA67RHYHg5v%_!bYs&MoII)F zf3Iokxv|C}iyYTDcVxYO%6vNa2(Q}FX`#9y*S;4+(}oEdKoqe2T!9yn+-%ckyzP96 zU-#|}dde%~-DF4!P<41;{EpB7T96iBqqS*X3NFY~{xCZQKv{R1G9wA*EnLQQ-?Bp@ zUgbk>PX-(di#*H0W(b+b4nQczJAOGye}!NNY2Zeo8TzVLJOw*!0@8=JQI@8n+CC#U zF~xgo&0ctev91b};=97f#-FlwGSSR`k_u2&*9V)9`fBfRi_-MljFdxIBnr0R;L6w$ z;{;TX;J!z5iB{v&S0aUKm(?YD)k|{&7z4fLJm%2IniB@8iO-9VUX4d|Qa%YVfB9wx z2~K(vQt@j)jU3mqV$I`9ZDAMnnicE{f2YE@QP+t#Fg4w-${Sar`X5Ivo9Rw3W>(a)Te@0TZ;?hX9 zzsNbs*#H-L&W0ie0*V8SeX08!DGGt6^eZR-5**!W85 zY<-}DW)XWZh84HA?k}F;e?O`kwtDpvedU>99PPJdmw$}{(r;HTws6_^HZ;UUMFY#_ z4_`c{Sey;Yt8l4xStoY2_jePc-hfSt}QLx$B4*mTMj9&+^FJ? z^ob)ql#d(8I&2CEx7*H8LoQ29=ra}lZE6U7hvx||Ey!Ygm`Qr#f8zD7%o||Pg$Rp9 z%C9;CUIFhD#Y*|Ka$@R^3D$WaNx{2hGZ;dL*6nrhh%^eYSHE7Q2vmsbMm1#+iAhzo zxGqaI-#gdmK^9JyM(R!@F4A|I>4c{n7u7Zt)kcMGv7?LD&I64)Wh*^A{o1*4$6Otn z3w#hegg7qhaDS8Qf6zF;DVp+Ulf~A~ZXK&vDtxEro<$@|HheB~-95CZN z#5-HcA5_Pf++?f36)-B)@9_6S!4ccld%M{y3m|5l$0oId0TnCTH3;98l;xg#8+@A_ zXZ61AEzvPSL1hV!#vFw;1N}T6(GHS~RT6H$&?b&d}|f6DzE zA+2So?Jo4Ue5GEn!}5UZYvdGg!wL7 z!D97&IB~bxEEh>f7JdL0MMOE@wE6m!qVp5=mqaJ)`mKfR!E@ysP^w&(c&FU}c7lr0 zG`U;xD*ejm?!yYn(j<@_Phl?!e8MmG`Kfv8N2WC|e=s~+qE13>s_J0e>+MXPAo)#S z^!#>^O7l^R#AFn=jvUgqGUg4V_s>aaesgQTRT{mPtD%_!oahVnQ=<#%LTbb`^!P0mTJ~zeqWGO3P$-F!$$DZqAtiXo zFM0|Ze^>%we;~1WNu7_|ycx~buAll2^a8XfRj7lGVCLS>DQUhYS+{BBHi;>6B$wJrX|6GpS+W8&>5OpnFf(`E^U#& zR6EtF_F#X%)^0!R=KrQxSYWIw3H}|IcA3Sx;`52AOx9Ui6GaAC9jE%y3jesfO%}US ze~?LtBFGOw2BOdAT*gg*neMx(GHiW?!LQ@YPHvFzj-4PQ2FO{J`TVv=C2|AA1?nTF zxQtOTAQ)5%q7m1MF0?(6+}^vD$%k&7`~}3IZP-nwRB4rTlUBH9(=5^sWh97@a=Enk zq(|T5_+)XsqejB7i9cbS_E;(hQ(*h2eP^Q5Vy?P?{SiLq&{Gh-Vv>z6oLXU>Z<}^0xz*&mlq8Q7$R9dqUcj z(PZIJ7r21?<)E!4mph^%MKba%n!pK*SbXkaW%`-=6qWYC;|8${&*I3{qD=fee=k;! ziWlUYUf>K)cVd=kQ*i+jyEOEcB-wsmaGkyO%?K^PwvSRG<~sEj#nb=Ce6L&I3dE7n zrnlMe6q=OgR+cfEO)(AT*Jwv&02~E5=d&&9XY@?#z(&J_BdcDn;XtbqHCthI>PSae zgqCm9WSS^^yaHLXA{mN4-}P;ef8-jxF1@kDT++XO@#^r>d&9LFaE-=ZUnF~XM7}3< zv&&?Y+wd!v*I9q-tjQW8-*NYdP?gd?4IMXWX;!1!@id>Txl}si7_nQMk{0b#T}CTZ zhgDCaD`#h}e?`Uebs#qj zrej@?c{I^9eAQGEQeAeuITyE7*(VLL^+o4=`7tdtoXR%S0msavbP*c^U46;m*4WYF zmbOy`riBi9C8r3j0UenhhmU9PlWiFkj*M2zPLv>S$FsK-Tne^{nX~@3sAar)m-bAP zSCE|u8WKy_nu>^R=E64af9MShaCu0mw0P?F#WuC7-0W$sJNZPZ2C&&R@=*d6kwiv61< zm+CT^&O`l%5%JYJN-Wy;4oHzA+2?ap3RPYNJ3e-w+2AVdtxQkbe||;C9lWk$&u5A~ z=-Tl@Af_f&!v=&dsIh?6(kcLpA=3;xst(e|(-GyUD%AFq7W*%)BHlI+%#l)6JiQR4 zC>trpS0+`|V2J^@KU2bm3vL(H$u&E{IzF-*9ZaXVZmPQ%5BX zTwXWRo+>SB#l*Nsf4hsn>DlUs&*I)qyfLaalOSdvc+MqxOu9yDw%OCrOdh(pltHaYHms@$sjx&hNR}&FAH2YYfR{)>}1-C$TqQ64u_tl=7Sns zzNs)`MBXU*e}&bH2ZuCKu)NA-(y(+onS2FlS{B!&dB6At@7DVvb~JS261DG=t z#LVoUNZ))(!ds?Cx+}LWpG5)~Sf21JgcUCI+Jy}teqj=SjQj2he*;c#gXNmGC$w-Q}mi*A9J7wgR2pk zp8s}5bTYm?(?I5quYQc(4(2F6IDy_qMyQD{NnpcV!?UO}ZVVdj8oQqi8PBI0>b=O| zsx5y=fBt5IS%3;EU3ItJ#WB%tu!B#Uy^=Ubf25q7^dRbI7e#f=iJR5a$cB$vf;vzK zd13(()>4LZC-42tpr`aebNN0LFT7q;Tk!)iJ$UcyS2JneJ1FVjdrI3=Ovrl|OJS+I ziWn0ox{>PL)gp-KEQo9*=vC`c`)C<_(%GM>xSnjgeQP%(Crof3$yo<9l&|)YgcsmuL4h;#%@$)mnNx6Cp#~Y zDy$$Y42W`hYs2=*_thM2+Lyix?n{H<7YwW?p^^CGMPeo~y%3nS=A-TYF16A| z^~U8<@S1||*(ZvzOjRcN^}-5)_bun{K*Os>G%MqvCElp0q+5r@m9h<*9bc2Mf8c>Y zh%%vr_+d;YppF>@A*U3pBco4;{ke*13D)Pr`v6klo_QfArg-UxyJOMk{>~-VX_J`fjq@KBkt1>%u23;3gE- z;WXQ~CExQ5>}+_MADYDrCs}Ce^v%X6<(AzzN}Vi!RDSj)TyYb_>JG24+lVu$DV)Fx3b-8}GvUL*+aeI3U%au*>v zDmt-G2y3Q`yC67T5E3lA-bj?9MDB^uY`+z(Tr0cqyF`Fy+zWg;J)XC&Uw?uQ$%G|w zes?D%5wTOMY%xK-f%7sqy`)%mWR0Sa!X{12q77_7 z?M0@5KZ}or9f9-uacpTA0-nfRbiYp9=~K-h_YFB@18PRtc+P9CmILfy;l>Z;02QyH zP*uWnLgK# z$kPwPJQtz*nZ~a+l}ofUPP&bwO#4oh9Zd<6f9wk6XIhAZSB^#Pym< zyVdwvsLOS?wrDb(l}n^}!j?Aytj^J#e4b3NNWY?N(s89#e>O7<0ksl*+Uyxkvj|W6 z!6>*)>Kbghe|{~@nM~TC%)TI|;!_{T@(PNU3dQtscCcoMInP20YhfAsuX9*LFDo2- z?9bP>BC$HDX_ruEqLL+tI2n+nh*`_tNx(kv$JZzP^}}!^FT_};W~f@biKrpd<+7g$ z{?oM9h2?;mfB0#<;O&0fl@Y!Ygd@@m@l31aVrI6QNPsO^b>OaCVOG`y>zs{`~c>e~VsF@)NaS$V#tA6!^N{MZ<}#lEG%eyw7bVb4d1i>946FT5lbwvO>reMycsNTZ47%LX^rf($(k&?` z>cp=76+&!X)XXAz@i=G*3WT+&qG8e1oM<*3_C*&k?97*f0uE!TMrmnpFCH2FRMZ}^ zz3m@|hv)8M4EIav$5p0na2&hB4S)(;eas? ziK(c1>ielP+9tec`vivc%GX%YrI_ane?+B;SqVI%_3Vo{mZW{JyQj*%jj_v%rPE#& z=}~|Q!c3ktagXs;WzX4(iOS^$evz6JO?56O~e_QbwL~d)s{2y>3j^vtf+to=SW6Nfq5`8LSi;qxYh6vCOG-$*s?gKW!7^sZd}P&w2=R1$pgPeOrNmLqRM`ec!l41`qG( z)v=`!;>*q!&Zb!%{K?B;#BD0vHS7x8)V*#pi)8C8M^K!l+dm;pu2wxCoAy?lL5oGU zP*UAgGt57-3g+SIeTJkWe@f%)!5Utt0p!6!4)GT3Bp=zU=;-YlQt(4u7854-0ORgl zvv(uu_g&`x!{EufpA<|?zjFFL0wB`SJ@wsYbsb$X_Q|%e?Hg4NAV)T^hz<$P6~n%| z1NanEV4!80WGs9Q3eT}+NeZ9?XbLa(bX9i2V#X>6Vm6Eb@c#&BGknU0~{WFTB(z$t9z>DG&07*`(Q{AaZYo+ z9o`wp-A2a0!TI-N+elry-WjtIk6(|V(MnI4tVF)Xz79!5a0TYQdqQ8^boNo6(%5%B zQ6q+~;`7e>x!I(SLFi>l8{f{;l{<+|qD$C6SC`9ol(+2WH9UFWiIo$jFg1 zDt%fkIa}}kJ7VOg%OzWJd2Jq!%-I>qN`ZG^s8QPY5`W!sgL7KKBkVqG#&vsF{h3UC z@!sa8j{cr@2b_2NFh~gzN7RWgka@xx5rU+o&E#?Z;5b~Vf9V$_lh0oYFARTKZtm2G zZxdMoWhyG4*Wz-8maPf$%xbvzBYTteN!I#fUm;Z|z%t+Z>1C1W!_Ha1oC2z=ZK*VCb@aPWj1$kX zpU>^JDAssWe{oQr5_!-|SxV%Fpp%-ji{B#61C4cfLSHm}V4e;;@MF7c@))VIVZNOu z+Cs%o@4%@J)(;?^5$CYq(V^7uZ#lHYSSkQT5W54D_yrXVsI_n%5mcz{{K1KJ%97Jx zPQyvG@4qkaqHOGs)Y+ukjb9s>ppDL;`c22~X{dPmf7+OAsZ7rt_Q4l&7+eqH)J~Bc z?MCvT8Fw4yupZR&_6-mD_9_}WpwL}yW;A7V5L7`}wTOXf+h}JGxs7r&m-44p1FbAb z={Lcal&C}m+<<^}KI%r-vj$0I=~!WAo(lO*-f-eRL%K>{uVp7W1-Pq#FqCc1Mq+NW z^OCPTfAxssYR29#lC6u?Tb6V)1T-lkZH5h)$6*FQ2M~l3rL{&H?{*^(e+KfP!Ci4u zywLAp-+IaFghLI#^{(P0@XhTerm*!?_-NgNh{Uh!ApwHP5jAdTP64Ao>+nlif?5Ok zZ}dbdVdPks-zV6LG}q~RMnYV{YOzF{ey|Qme}tUy-Uu67Js~sV9%Fq^@s~(5<&PP< zlI$!;%cOChDw1TE-&6Y}bX^o_=4AcQ1rN<@<7&di(~@)K1Cx;JA1d!kTe40=p6h;k zF#@pVq8y2?3I`Df^H=2ih4}UKrpIEd`P|quaGv?fATAu_8suEN7gZt;n*iKkFwB-! ze@W#VBB{@`X#IR~v|Xliuu{$FtF3LQS2T7CBGVF6J@Rk8_=R!W2dW*ftGp+_bHzwh z84lW(Q7JH-Y1e#SV5^&AGZ0NYYF;-!2hyO?TDR`3_9*?!eO}?y*I|e{MBzksCQ)?E z<)6K=osLXK6|n_=o>r32z91G6V@7Q`YJd4UO=d> zc<+!Vw%`csfI4!5V~iwK-|j8C*IK30?kjsuUiRzquj^Q=L7fQ{ zc(PFP9dm3#uu%1s&uv{7qJXBIfBk1;tz;~6wXyMzebgo2ngD-9o*c{@AtLWQW6Dwo z>SE2-AM-4%X=)*R14o z6GjREG?56AfrXw*EJ-`9JtpYaL`C-=vX+yl#h`%2xKBj_k6rVggp6J2-1pb|Hjx5n z9Sahk`svMb4!K(;ebv_OfA=1bUF=hY)3Pmx=ETPx7)@vRrweF3Pq9ImNV>_@SA9dN zp3tS1PWo1rytcT+8rV;*12xI&C%+EioR@*ie6F-iht4_Gx)VKS)jxZ5k*CN2mei`13IDe>2#2zU2Y zj~G(tK~ZpflY(E~rlXxOTQ*p&aNlz-0w}Y$W2i>&GM1Ut1WD<6-=JcDaSV{SV&s9? zq4BLDt-MBMNIx**ib@d`(42k&C8A_u*j5U7xmCts%Q7`?B}no;+9#3tRZqAjH!jJv zY6j`T+J`^Bluzs}B1x$H{{WHQ6&{zdy8#mdI5L+}cmxy?H#s&6FHB`_XLM*XATcpA zGnc^D0u==@GBY+YmmseJD1WyFP@L-$ElPmk5(usX1b26r1b5c~28Y3&;O-8=-GT@A z;7)J|7Tn$SvgPdTbKa}Ex29_5U)`&_zqaqMAtzB#rWZB_8-gUjHjebn3`{%#QCSfU zE&vk~D+3b~3j#U0in*f|=x;Fsxf;mc!5nPE^Dly^JqYOdDiZ@bzJKy$!8QOXCo2Fm zD}b4UhnbUyi3z~M#Kip{BG{e>AO>_cHwMTu0HnY+AO{3;QLwF>y}7BG<7=4zJOZeU zr~%B}+?;fOngfKbLH6cGKpTK8(9sNJ{Tk5-Xa!IP8<~R~-Tuo36`z@-qb(03ql=3R z1JK%m0c>w7Kurg5F@JY711NzUK=#fcW56E?1LT0#puaL>Kp+RGn3+5LC07QUIJyAs zL4a3*mAMhf#^Ke%$;KFD4|oj@P?nSd$lHQ!{vyl#MW6%xT^j&11M@%b{%R$S^p%I?@4vHpYJtfmROSSATn;Gtk@$X!uI_^W;E) zgs>t2_?qC~={XqLo7*}%FgTc7{gEQ$A8uZ&EN){g3bwWe**H2N{Bb@pb9<1{YuVix z|LUxz4cNuT<8NSMZewinM-s+Pwv4Ja=5|gXNwL2vuOfusGENhMXfwUe9y5UHkIz3(y07D947Ray`%V9| zzl;)^vg!(&w0~v%k4{7c>J(m|0la0e_sY4_^Q6=AQ!mC;3063PAI} z56AS|qoj=q7{L8k4zI=gPae*Hj|J7=D?<(V?+9|>*I5PusD6*W4ih_*(d!rU|MLR; zY4ZO!_kVc#zm5L?9!SE;%IZ%w)t~zRj~ZxgZsqnj;dPXq9ADQ$7W}##Hvdai2mQ6m zB48`y|9{mYpN-J4l$jf{Yc+9gWQXnxOym;MKX6xeZ7G>|p-KRRf@B zW@7pu-Rrs;S-xH;4zHd1Qw4h6rvDBpZes*C{$uS}*f{_|dwZZ8!fWGS5j((x`E@^y zL9TxeHh_`A2JHB10(ec%3t$4aNBE31Afcjkp1f;{PB{ zW&q>I--rdk_~|!d1u#nfMqB_!xqlEB6M#|SH+r>G`i)-glz$^O0Hexp^cr3BAH@B7 zGT?9Y8o}^4dSx2@gZ`)~qw&As>$ySyg0CS>{{>%Tn*9UWUTb6KW^49(DgI`>%FO=- zUw?yH|Ax%3!G4q3UX`yegMX=C-P`{QzWQ?b2fl6wqobKU=->R;G@bs0|J8FNCwu$X ztMJdAd>x4Y;6LwO5Xco|gs?CRHsTGis0nDjtrEs}q2C(gpCaEz%x<`Rc zq^?Z&TeZIyP8|IHZfQfD>Pl#l_{rm->3H`~%_I zUP5>RdKIB<&nG)iHD61prZ*i@|uH=wLd@P-$ zk5rA&@hzGr&oRuH!6Ailq$h-I!}22Ze{oZju!CoJVnC=(n8dnW9f_CoP-jTm2K&#?80__}1CQ zV}vMk_KEPGN0S~?9`TPh>N%QW&t=%q#J$)=nyI>oK1RHs6MShHi{jJQ!3-b1?aHq8 zd69R?cb`&{Y>gR~pyGX4vYyo;Wq*?(+m}Ven|11K1d={154@T6MuFjZ69^IgZ6so} zC6T~{NnsW>lrf0Duum~T@A*_)h77kKy?18YQOLhX)K7yTqT8I5qEbI;=;8OL3gfz=baEPTbJ}<~b+u?R1?&W$yP=7X_0juW| zRICcVTp%I9!DZFH#R3uf!=yRLS*~}HR9`~|N)+g*Qf=O863XUT=KW2F{DjRtEbO); zd4fb!8G0L{*6FfB@}q0{DEGH%4w9KjaQ$8o_D@;GXS&@FeLQn%-x`FI@1-#$m(@PB zq<_T=6Hnz7Gx)y2M*SpypMOA`rTj&DE7GsH9O`^IFVeWJqjokSB9@@3K*6wp6>VT; zWvJf<1;^s4`N%>yZTzn3>}3pqIWrXMVk{5DY8|GoQd&G?6;wRm;-v~lV+ ztwS(^tzcnLKbkx)ht*qs`L7*Qa1(79+|{i=Cd6*AbtH%j5(sLQl7Aby>~Fjby#*OK z*Y~8P(GimrHe^L(o|$H48L+>XN-Nn0^7f{78JN9AtT6N*!WH@+$JKlIZBA9b4n_-t z-#qXwJwi)_*jk#Gpc|Jlx#;1#!#w2(_k4xH4 z7QH!V?-AlFKW+B8F0&nELP|&L)hYqd2*4Qfed@qr;mzkQN8q}fmML7PK1)})td0uu zm+jc)jN$)D*=Id1xv(6HM~LuY5<9nO#tD~&amH1asC}iODr@1A-J7SDbXlCq|lS{uVg^9b+ysbRr@v zjj;r;s|R-_PRp6guIAIr65*LY4k_FwE{L_r;f$?zOD%~i?FYH8_`zMtW6}@`NXD-E zmMG*S5-tTXB19#8wpn&6ElodAGelvV+-rJpKMYz=V}I<|ce1W-(a(H0H_N_n_@eem z%K(Q!c)xvPdaSm7UD-SO2U3D3gjM{-Pf{*f0$^~bvk}OgA1NXHRJDXv<}Sgv}06Po>7w#wt~{aPw@7nHAcCa?0?_@c?~@6 zEvau6wpsRj&EtRe_ZRG~`V|;$R6M;Zzqt2^bGXK^i1f!tEs1)&pNE~Se|RB;$G@1=~m~w_?}E%fc35UI+|6Z1BVwKx!_qs=hOo- zMnm>UtRNJ$?&SXTC19LJJ#pT+T((D_IZ6PFhV#?JkJ{bF1Fjy|cIsz{)rWtIs*G_cEaRHx&*{WA}}&N<2G#S`f9q_{x%73aN^M{Zy?*c6qIi zZcTqy`7}I(yz3d7lwDWpiLa=9mFDsn68Y)jdny`j8fC+m4&;yk-E_Ig%q zPOsK4QU9Jt#-Muiid^zz5oi-yfX)7j`SX~Q@BF*)lb|7XOMSud2Aw_SSk51{s|#g` z@RlD*9@91qFVj3lva28o4R?@%D7afSkeGjsRVFWJQ)OiD!XZc1o~Wd<2oAWil^5lM zGX0l`SCA!W09CiVUI@uAN@tYbBcbkV!m?Jtw6VKVIU6)qF7cNrg^1AVbYr}?Va8la z{ExOpGg<|kFgOvH9CKN z&%QK8a1~wS|3tEg8hyW$$R9Zi8FRTl!#uA}yOB#g60;8-tgU6dk~MVAmOP4kj5koW zv~WBvLuKu!C`5MUkl+LBq7sS-(NmGgvHA{YnI6dKy22+OyQfn3s~aLw{cShd=h*?U zSn$aDdecsl3&I6aBj$=#!gpb_RknZaAeh1Id0Q^x149?{JDovpOO=NUy28G;L$v;k zP*1k?2?Rvs2PxXjl!Ql#sIHKh(Z)#epn%jS{HYij6hpj7>auq}5=!G{AKFMHPu%66o=t_BZu!mL?*^%T*rjpVL znEu8XBCIo`R(8gMExrlh3v*2lk7Xzp_DK+!HTjWvZ7-KcEuS^{9s&E)j9Wdcg&XeM z-Gy^ZPR~5A{EBiL&-%qf0#bk5pI$RskFDkYx8iOoMr})qQfmcHND&?18Y6KQT&C(x zc>6|8j*_U>+9>EQf|a4$7izr4KnQynvOG+?fVs3lA0wJ`cI8;fd`E6U!hH3kp$wI_ z=uIO~7u9$~1mvS+XQISx;fLPBjq#)eyl#G?)nCCMIqyHr>ca@})_;F8EeuYs^(ssf z=Q=K8oT9s3^gUn+BbnVM*mmlS!JE71->^}S(CIiKsN=krQLYL98I`X=F|yQRkDifz zzjUk4Qo^ZA+xLwHhduS;QI8u>VsT=nY<^ln$%CWYzLdr3>-%<++pH%Su)LFt2}w55OAUea^$XbEu5wob0k3_>*ADlQD7ZBjgC)q=p35$prC7 zu=cf)QW0q%y*OHQkYU}yQ>b`@k0eRfTmUmBT(DZyaDc4dicGTNnj-J%d(ExBjf8nl z820O+yPf6*x2&-q_73}e%zbfSnA{uE!#lLO$HuEnoY0n9iJL1YzPre9nGoRsX9-A3 z19`F{g`B?QdqMcdY^}HhyCv(Txy7gDg&x%cH)48Fp#*2`B1`ii=a~)G>tAeFbzm%u z1P@%616932j4yv%bT^I-x}Jppy6ofg_2r7i=L>T{MbgGL5 z{zrs+DCK~5mkV+$CbCr(W(yXsx5pO_>VAt}WCI0CO&lO{c(CSZZY;BjuXz9MmyGPg z14Zdb_R;|;iFS>3^Q6mYTl?Ji_bk*`~vW!AEXHq$JW13OuRj{o6a&}utXgf{sw0NQ+-9B;Pj2*>W zgvG`(Noj3u^!MIHJ1>=U1JE_nCxe9tuWX$V3#^{Wk9(FigQ27FND6TGVE4I2MT04v zR-$T-^r?Tj%S&s|*OtY73$N_u0i!--=<%KerbUHrK}RLM+Xv-B*PGQL)$!WUSvi*S z%w4DX^hx;%29j?R;y0(Z6>1&|QLWL{_=_CAZp?(xQ}sB^UVfhHhK*~K2|4@pG=`kJ zy85;J*14Kp6sE)i6CGvix{7g~cF5+lJg1(+y^DXOxg8|OvlN=s2UF=<^J-o>5MIpA zo%9S|dpM>D%NM%hy|I|+D|Gjr^dJbU(i*=bi3`I}+49xLhd#3gOC6&ClAlCdhE-(& zty~tjM<2NTcXy-n$tJc;>qcGeY5H@0DlWh1#kZ=(3I25u{Bb}9u`A#Bu-d1wKN&O~n{QUv!4pD>)z z5JZEhMYEY-24Np0)oh4uK2Jz*ngQw@U$UFF!-x0g^#sUvf#AVq8n*d>YTZQd&Ajdw zOVxLci*|i(aW3F5nkSF}K31-)+g8aQWxRh0evLszzA^kjS5Fm0g`h@dWtCV|q6vQ; zEk_cCvWV4^MKvoHS`?DSz8udp9V}>$`)ox)8C||GFWA(9S%r^kSTS|Us-F2m46qs^g88Mt zR(}|_K(!toaPVThV~-LT-Xa)+X{dyS4RMDa8yt+tPf}XlthHqID^=@J>(ab;5M?2) zXSJpfL+tU#jwTGDw~r~1&BmOE=YW6m{N9KXyfnS6nv}$Je-J2Uuc$LmU#XB|c$%v@D+-%d1_BoOym!GCg{nTIlI&KBhW% zBPlm2v1){A+-;B~Upl*%(5IyWbvgYVAskiPpMUc-c-JeZ)`V#B#N)$nFV7kBn*kep+2n&{FhAQ34HoVlnDVWA#P~huG5Az|`v2)M^Tuw^^1`c<-4jJn;At&oX}mk!p42{vv6vzkY-&V+ge81zW;z3FY_|7zC|S?7HXzS^87 z5x@&}$lZ^|mWe61_Gp8Ab!E$=A0-khp(lm0TLKv>d{c@8%eg z*&8F=xt2n+`1Z+Z8Qzm@p-LY``6tztX74 z7~#tqfn)-uTvUu!nb@3Zg%q86*lvSBii{yFoKWT-L9~C}&16eOjI-$7(K;cP_olyN zG(;*D>wIRW&f*H0T4KG`%`F=Kd7XdIdXmsQBjLO`#M-fU?r1nEe)NZWsW`3{CS<*f zIbl7uIZJ;e8d|m_$Xdpn9|j)GN)VArrWLwy2RRqWobJB4hmEoZ{EccBYhUmvh)co- zK9|fJV|IUNF&K0uJe!aMbbfqy>uP#7{UqSQc{Ywlk{16(?&E0Gg8}S0(eq{Pm15mj z;%=Yk@~K)b7c;>3wyy|EDJj$f9TrQ$o(V$l{M`H>ZS_9b-w43iTG5 zPy%}DqCc_U?IzYsiN;(~se+~@4a{v%t@n7}Lg-ucv5J~1h2yvd9yh5B&O>~XAMGe4B!$~K)HbX??|G2TTZKg&CNR7U zJEbT72rFtJ13)G-W|nX`q_r@FjSl8+1i5#Bo1I1QO-8AdAS9V3wTg1wYc#VbiuRU* zv>N4O-`_7|`hJzFs+rIT=-=n!tjn%dpv8Y@rq)!^PNyF~Icv^tZli{1=T|4Q6Lo8q zdMul5co@0IE!5?(mCnJ&9rQ<@rrqBxZH>lc^|*r+i^RdfWeoae~5}n`@4;C8kQ28CO`*6{CM5 zLRkRDoO*rJ5skA ze#g?i%}Yyk^90OYXB#1UKO%qIh#`T8_3%)-y_H01a52eSj9q5M^s2b(To|e}Tt1oz z-|NvKsUeake4R}fuA7tDJv)@mvLfVgieE0M;7Nve{HK)DjI>q6 zQl}9Lr$kMpaa}(8UnF++sMma}FIS$VGxdS;?cE`i$)Q-O94c^mn$Caj9#%CJ9|XZl zMNV%hDj!qo7NxL1s2mH|hA>fiLz_(Fvp(t zt!BgG9LtX>#6_xS6ugS+i6IOUiQ@GjeH5^3=zY0I16B|Wdv3@@=b#%lr1UQ4jpfMe zJ2?ue)oD`?t~R@;rtpunl4Y<3s;hmld`w!DJ8tOQL6V=XZiVl=&}-UAl~6(3PI#M~c%c6_~}k;&6( z{0yeMiC4-XhM9j0xGrW*`C4`yosI}0X($GNb=X%p93Myc8tcKV8C)xtZ9do}`-im} zWq6l8_L!!-ETeG>&msME(|^!c*;{x~%+uI(HY_a(z8oa5`9>rY!ve!yjtc&$pI%eC zMvfNVZI;NWKHk{L0443Q{WV|*&xtmwaB`XX3nc)@sY`z@kHe-`t)g_#j$2)_8^%ou z>#H5%r>eUhExwnQ&ptWK(A5u;x(ohz=P=>h8=7~Wb!Q66S25h)hkA!gHS1YihhO`Onvet!6js*Xyr zGmvJHeOzvUL2EE)t(4XXdLe2P4qO;-eWI7|mOiW3z>@(~93<>2noGKepmC?op=sXpUQa+EQ@n2n#j)7rTC(57r z8b*H|dvc9P54~f~v0o`CyF4#u%07S5u`qV0$HVxRj%_#SiQVk>`x+>&2HLfbn#$-lzHhGj$dGSbTkvi&d& zQXzm2O)ersf>mimjcOSs!9hcGlOGg4nsQOBJl6S?Ab56b-(j=2auixzz5k^)Q?LrCeMG0 zDMQ@qm|b>fv=6jXf8vN9iEZ~DP(zersQ!WBCcDvxOy}9=nxXJn8or!{k8#$eWi2Y1 zrQ^(n9UHC?zul~;X~PwFjZ;F1}bFEMPGVJ99P&;eaCtYFU(B7BrFxc6O)E)2Cv_>~Jf>bFUcI7@p(61~CLj?9A0;)!>KcVMZJvL7Ryfa3 z4!>`|Pt1_Q#A_kYAlAtCEc40jgAdr^advx*-K zo;;wv^{R8=hS64Id^h2s-cLuz8>9)>T)1QgLn?#9LGQC@k(@%pTE6mJFQW48fZ3Ij zu%f$=DG%ZAjJ8Kg0(b=N_(y-dsrUJuE%aZg0fA$xokxcL>^&oggfy!y!Rge~`E41} z(Mopsq|=K_@ZvZskg;;lp`EQta_VvK*~_gk{i~+Dez1;|1FNXM^WuNdzo?1&k;o%g z%~FIWW2EEO)-zHJD)UJ?o0IZ}J(JyTr20<7Qa}n{YGQeu1LW@U+8hRrPz5yRnI(Id zvj^1i!jp_sespI;HlnXL+eBqof?fC}G#1GeRzYB z@_f28LM^>mV)H`gPHKP0XjuK{jyXd3h3Y$JhSyL^rPdfxy1q?FNr$xW62Yu7D7_H+ z20s%Cd5<-{^aP4%8uwSaWvcV2>~K~2*T4`EdV z>DdI5Y(Y<9%B+UbkOusqo2>7|`c*4lydf2)G$j72s1R24No6q8yMmJX!~=G*<8icVB6g1eIw%Tytic%!=QBh-9$X@JF#3 zY<540Yl`D*1n0JLw0gT+%His@<@x^_uq-3?Fk>4XI;SAw> zh@v(Axt|CFi_pK0b?%d!4*UCzYBZA{SVfWfkK5Hcre=SYx}6;FmnGgHn%+u=+%AKf zheg})24e+56!GDU96u0+{H5_`5`@lO`)uJ6g??s`9DHxZ^UQC%uf@*|=f8k#hpY}F z3Z-JNz9%C)$|_SS-|}Ix&!0fG3!(-Dzk!Sbrof?)gIx|B+A-f(UW&p|jTG9a!ni6s ze})l9xK=>1Peb8%zWgD#Knu+=YJZu_L~;4}bd~(Q2Nv@eUrg0)!nXPJrJSJy z`kB5mJ~@;{3NxLq=kXlY^QASnGiq)>M;K@MpKgE9XUp)(gIw-w(!sgz()ord-FlyJs1n~w} zXz+h8QI>KtDG^8ZpHrY3-(0H(JBpW_{)#<7;iBw!T-Yc{ycYv12JQNYU>xc7TJZ8Q zgdHHvqrz}Va~P0k6dIo57goFI38agi8G>;m0H5#iku%VOCfO5SH01p1z(qhV(syc% zXOi&QszgeQgF~`EJBIZaEW&2J8p(h0FpdQ#r8v z#RP?!9sVM=!=6@QpG}#XPfXJCu92YKX{|zJx!J!q28u?u2PwA7oxUwq@)+gle9dy+ zFVU3Nk!BA{f8>02FhzSOBetk*gRqsJM*-r_p;hrLcnSZ-vIpLmv_z47LZQZ;Q$K$z zd8kuM2#xHG9p0#es;0Ehz<{0bg4`MCMIBFNWA*2fA2Uo3kCzB(JYR!+wvyfi6|};PM+B+pmQ*fDY>{QPkE{HWviHELEw8&`psEf-eX+@kP zS3HX!0^)Z2641MAmRCh--@FMW$`L(hK9S)Z*ADl6*CYF#`UNj0!v{<-h_`=jIP9<+ zj{ai_Vis;|pY7&th%ukt`@J{6aWnI4tpTWCVf#5^_ztR(e3w6mUNH@+@88}`xfp52Tpiq z2TH)VZ`-GzoeN?M$SiJR2{C_npESMf45i7*WQ={0(=l{>HJklgs4WJ$dHk=gf`=At zmdf*mo-Af?-hUDBYN;6gxjTt z{S@i3kV*iZ#rFy#)QF{N)P+`a;@4cgeVFLxIxJJ}KgaQ!JbV9OBR`7K^~<8awQyYN z6kN=X;crj5#E~Q}&z3-FfBU7?sGpqWZBB42n6XuTiK1^(NWwPgH|wJ{3Y0;6OW2>aGyQE?Wl}1kN%_+yctc_Z+dVUUBYLd>jpi zOg6`vcUOa_K?oP#SJ;$#K6$bJ)TTG7Q9(i&}fKJ4&Sge1rY}R5AT!$k>gSnzz zWwy+gdBQDu=L6-mltTtrC>_a?$}pMH+y=N!}P^Pjj{ZS*zGFyoXypmjdff6lJOLIuBU5~IhK zQ_fi}`F*_9+z)?+ZWzxTSzvc5H~tp0}k&< zw&q<`phu{E7N7v2YRWd+?bfE*W3oL{9O~;a#h*56K$K79!5Jyb$^a`8cauAsSA`IJ zD`uO-k2VgwzN$ki7xozqydP^s0`>_FkLj~ojZEFl4V8ZrF3>9sO2fP5v$)}PuWzOH z%eFZMxk^W)CGH4F)qeWgZCI-K;)Jvw%TC$6|4SRoA=~|VdYI{>V^QF-Aey@X>bt3F zEMn>FgeA4@qSkG0L-)3Yj-|eXu(}1NUDQclwDpcRfPlG{6A$q*!7mp#=;}d=BG{jB zS`|l1F#>egl$$pF0JU~IW1ue}tPH+8bjUVD2 zT>2bFt-;0B4f#92>S>rX{Jp~caBDyC!LIfA6^2aVUBe40+7L|R7i8NuTj`hV6Ku$2 zMeKiR-Om{ZXdrS4tQFcg?0g02+7a$WL)%rLV+9RgD{}mu=M@AddEN0q=e&E4^g?&c zGDaz~gCu{1v9C^A!~l0Zt;`6y^IONdMxd5cy^i8mO!>q+Y3AgcFN=%cv|XX+=F6Cc zB*#wlirH;62P7DySn)lP+^RXt`F<>XHg$jU50v#XpAY9G&e;XF>3;4Nh(E;rfUJig zoI*pi4{#9iSxzmvQQx(wO^?>*+ahQ}A2a8(A-V`x8~V9?UW*_g!I`V(^m)~02*=;F z^MzuB#T6@EA=EoQK>tkI30o^F2Gol^QEAW}cj4o@BV2nEj4N*PoEaIk4(@bGmwkWu zbQ*&Ni*Z#C-mxPSN5FT^WS--lUqx5@aj8`>F&zO*!GY9I?h%y9d2bq5-9MyTP}+kc z6K=Gmz)||LO^!qO_Bx)H1qqs)d<8yGdykZc3ki;%Q!JGJ(RE_8nl!&EXw|LZP3IlH zPbJc*-qpuy{PZUzC03~baTn4(pL&1SW3&R*fV&%+1hlcJ<|1|<)5S8ocNtI2=4ISP z<)2nhld_Jh2{5sY;d{?>rsy2(Ydi9LXV}}9%aGmbx*ea7ps12!mFe4$%(HAgPogOo zH4z0`2uC{?xJ;!E^tGZggz_(^3JMCsB5XJuKuh|I(xT;F$Qv~N(2YrCqLzQo8=TZa zHzYNPl&Ci=eN8OkJm0z-o;qOb6cW7>3{p8uT=$^Ae!~9Ln%|(Y>-@-+C~3dJK@&Nq z|JW_40L?li*A_;=&LtXa8LD@gOT$?wKesU$76rxIxrH!n{%-N$sI>Uq;SOy&)a99U zX+uKs(oU@vvLm+I-M>BGe9fIR_(B>p6)!HBL}I zypCL^ql}*)u4@zg2RmtFqWw2hoJFc}QnjkULjlutFq=m-v|h-)^r={kcBsuRDaWJZ`vRS}%mf}$U9s8(ivltB8XB3%F;-~P_O>KV$CUhQEp2$!# z3>TDNf1avRXv}V(A_UC?Zq|an)Qxb)B8s@9eLK%5j|rjfDx8cn-xPR)@2_dncbBe0 z@ETz>4Mc%5aZ;wu@L`*6(!OU-OV2v{q61f~s=Lrh>6X1KbbpS0GL$eF4&ReFnvmu# z3i$fz?Sr}$D6kd$uG@blC~d9Bt()kW)3>m#0|yAVC2?BCIE6tjVcko+Je2*GW|M8e zGyl8Wch^fQ==X{;O0Xi;@D1}94JwY}i@gTO8gxr>qQ`OT?@#5<&i2ZScvy?TF2;F!ar$x_K7ur%MN z$MNQx1w&+3?v`h{gU#bG>$o+I*<~;Uz4&Hus~g?09VhM}mr-~G6t}R}0#hBA!@B_&m$23X54S1w0ze&?NB0316EZY5H3~0G zWo~D5Xfhx%IWRUem!Vw*76LRlm*L6-D1VIi19Y8h*9Hp5ww)E*R%6??ZM#upH+EyA zX_6*sY_qX#J2`9b7v1mo|6`mp))-mOHGR!F@B3MyAW>3f5H@o(F_&<3aARO$Wab5k z%Bye#n3>ranVDJPC@9pd-R#W&C55BVFn4jac68wVhhEgh+}I5$6Ek)Ln#nsl0DolM z?Eoxn02WSO7A{_9W&kTQGtYkv9bI?c^vdtgRWV>^JVqp7vIoA>{Opys!7b93Tl zV)FF#WHh#SWps40{6s?!@U(Wb0)MEOyPCUrn41Cqq6<(kwm1Kq7$Y17K+Ve9^cK10kAN#{5Rac zz5fbi?eMp=v8kz}y_2znx3z;Mz{1+j9H1y6$LQweMh`G{F#F5U*v{1v=znkQVQg(@ zYyvd+yKrNGgs?Ke7|8G6>|9M$sUYX>unzbKfwJ29y{SUbC$ON;&60w{v}BeOJj18^`i zGjs8<0L+~M=3b^&On(Je^M7_S|6BRD7+Al*ualz_zye6Y+~3;59QXz2>uT&_4sdgE zH~07br{aGR919D;%-YlqU}A1*?Ev>DI#6tG@h=RVyoeOzb&|C>R<5xB?Z0O~($ugA>6 zYzq9t^8b1H|91KRxAT8R`F~ma|67lQyPe(NTI#>l|36w|duu!If9ru;?Cu6U5%P|} zbK&rRO*PH`b%^E7&3~-j?fYp zAT9ut=pV!lU=sU-cmPb||3$zxW0LrTSO83te-JBxN$L+`129SdLF@n~nLh|vi|ii+ ztVQk*0@fn`2LWqQ_=AA8DE=3518Y(GgMisp{vcp>)jtTBUF{D7W>^1%fY~+vAYgXQ zKM0sz>kk5E*MI&m;sIvY`GbId#(xmd&*TpR`kDS0aR7Cuj&{H`_>Y90{V$2V{U86o z_Jhgn4`c;KH?uZ(F?R*tu78Yx-8cUe7s%sZEBoKc{iSzy2cEM(F2E*P{DD9Ni+{ks zl-7R&vi$`;{$ybJOYkQc$6w}-?k@j`4m7a*FJuL_*?-d9#U6O6n%MobY#?2$KjeW{ zR^Col<_`a`0LrZY0fEeH{sDo_u>A)F_Vb?%fz7u62SZ>Z{}K5w#*Tk-18srt?|-O) zl$`#kfxb?_m#BlCxy7HZva|eK>heF$W(OAO1ia%M|1mZeAk%-ehXqLMPain`LgpU- z;LQOvcYg)G9{xB0WB$niyil3ktX$0hF>+vmZk~?+Z~(T({T~q68jpWKAYIRYOdII^ zk7TUC@ZSG`z*hMDVF!%lWA5^=RR41=nYz0Gd*Sx?YXf*^{RjX3yTIJs%iI)hX~EHy zFVvTnOr>W(<|ozODz zo9{_$6LdsdvhvRtzgNRVmFc~oaKERp1}49q2sicqvcgrP;d z^nXI0yStx7%bWZlmPw?8^FNJcEB4jdWQ}{8_gSk_V|DGqCCAmlAq2le8=I!|6*>GW zgT3fmR=nXdmNt*oF}`J=!eVzw?X#z#^5}AOZ9qve$xDrk!vu~Y9UZgLCY5AgN|FS{ zo^!b>v*9Iu&DCn+O0Sq?{h?^b)qrH!cYk9!-I(6t%E`B%+M|>fl#|xfQtj`C=G8>s zCG8;-#nz_{l6yK*sSkAx_ad-*7EsYloPl|eBem^f$0r5LJ4Wr5sKlf+{F3q^mw|sh zI3BC|C{5*RvX1|=XfYr#4Ij!+=@+U9&EZ<0UQ^`Ktkt-VX*4%X@`xU@5&YF$l3X8qv6|;6gE%d@YTeI0!c6f(GY3 zsRAGb6kBiQa7-;9mA31TJra59B}TJ+o-rv7x9yyM!vc$EGB%19|p{R10uGJZ|vY}B0&;zwZEbni@uKP z1jYf8y;6w9ID6e(8x3K)zkFntQpxb4CF@j!9gAh`*tW#o#8D>F#d@I=VwwiX5C7{J z-{w+&T~tg04r>`j?TSz1^M4%RXj)NdI-LYTH!-x$=x#JZ>L1;N-Rnoi3@sbEf@aKCgGwQiT8YoJWhsVw0KO1?SedW&HbNKJZp z`8oxmnaW{76h5$cwjcI%uFas~^q$+j~^CR>UB6ZBOoF;w=Yt&)u@SdvDO|)eUe3 z6E8=Gr!82cT5?CPxE}5!$%4Gj)Ikn=JM7!yI_`{YicN=Yo5}$)Bsi|)^SFNchWIqv`?E8#Z4a)N+osb8S9*5k zNHmSY@#pMoWn8AB12_J)iY3`sKC$+%qxiW~D6EOpGISxMH-1ag-7u2b$)$($XW1th zo3=d54GSR{H|E}jc&Kk>OhY_$^b96!o~=BZ36ET0{yB@sD}Mka)Uy+x`K_W>f|la< z@!4`bb?-Mdr{^xW#g~@2$ScFwR95z~17pq^*RrID11u7#ImIjh*_icW4EcJa-lp)4 zlM8u*Cr3(|9`dM@-Ix3?+72x4-<-^J&qvSfY`Y;z>{L#7J+6bI*<%d7!qD0e4T;F{ zpfq$ZUZ9etbbsD*A7pD^T=YEe3fhwb-6_ru8!{yj4dC0r1&Ve-5)Uy_=W>R^MZ+yR z(kU1gSAP6zRQc4t9ieBRzvw7}Qw7;7yHXW8ujec+LUwh1p z10y~OA}Agd)_G@%g&=@>)4hC1XmZa?8a7qutfmO6FMkK67t{%dAv~7#I9&xb(PC zIlgY;sy4k;cobT3XTS9FC)Ug*1e%ll*S7K+>|e!A{Q*AFUNM}%N&@YZ!?2*W?j{i^dJ6_OfEuV1vU> za-`ATmcDD=aOV=G<1wd0Z>~`?6AmHB45tIOc7LYXFZm7X$>U?it_eNh=zL)5OPwx< zi(G`iGmP4$hrNyH!d|6`d>JUk z;eYSaszqrKS$)}$Xc`}+24^;0du-X_BOV%185z_Ng=z-pviA&ywt_KN!xx#eNsL>3 zX1+A@TTU<{R@g9f>{W;H?&`4cv<;PqU|YP;_Q}P3{Dc&)pX*5&;EK10xwW#!>6|Fp z?`<+LcX6BV6%E>1>WkH!JYvPd4AK%X`hWVMiDNQX5O2N6)4!k5S=im~dL<>|7VL9% z*9vUovZ!)?!oPIEe3r+L@By%IZZPYAAf^?KYh{FqmaLDi5~Ck?!?NNALJqVUx_)rD zi&YssQwi>Dz`og-J7YZ_LU%vkl|mxawNs;G!*o2&CNC+AyIW9r^&C>$lfr<4ihsaU zo47b@JL9^8>Q7Wk5n2u|^?~4gbK=TRF0AIQS6EUO#xw(MKMS9q*G|0(zEHNM_QRa& zF?YQWuCR-^R<%$_K~M8_)**ncr2-ck z+%$uDbg(0A@qHte?Gw8#1pVmGgk4~FTT#=FN5-~wKkhbiPj4nt2#jo`A>E?<7@i~MbA3h^LbcMr-p7aIhB~sgBjh> zGPk(}DYouIBHj&TbQN{wEK;X(#wR8ta&dr^y~vErtB||B0eDRl;yQgAI}XKy@b`6dv4xidfzV%4FYfAGRWc~th$;tVr{-+{eN7mKM8rd@A0W* zg4S~%ruJUQSZ*g-Y|?nA9q8^LB@5{n`zPsBG562|?Ofm4+SfiyNPnnFZN^Ixgc&7) z+-G`Zccz|{?+H-MG8*rDgUW`-M^<~wi#$1ybf~)9dV7#^)USy7z%7)n;#0BX4L@v5 zfP^ahAyO`swGDO6{(opw!7*jCrJQYFlF83UV7H$@ywUyLrJFotEn2pY{yDLW}Y=3_3RcIC5gpq^MEziN7giX>?ulownRXL;JuG(5EZjHJ z-k8H0`Z*%}u@!XVP}JwVRn-+=BRO{Qjcby=%Dw??N4)g7g_Gw~>g;P7msB{OaN0ah zhxznvweQYUO@Dr@pvLT|LJ_@_(!E;py4^$`yoF^hpY(gjMt7=UA!){n$n3(bnDcSdaHD1aA=9pyX;xc0vOdOyptfdGxS?hyHO>+)HV1E+GbD7eVm}Y)$sdT^#&BP#l zxJ{vqI!6_-Y>RrAx&Ir3e-Sq-Gz76Ks4Wvv*(Y+Gw-zMUpUUWF;OT=%v3L8cD z=y+ul=Z+(j>$jfP0wl~J6f7%PU?eBn_9^bclR(B7gUeFBpRP=<@Aj{Ruy}7bVz2K( zRbqS@3-;^~wSzp{uc)fe9dl-p=vKDKXMx!4|9=^s%A=QhaV*r+o^?PBw!BB(|AnC0 zASRPQoDgEF@r|OTo*KbI#wT)Y$AAbvtBESLu>l6`@&}>{pow)nKHNC89cwV8@LU@W zX(3#vrQr5WhahBj+A_?n7gn8TmTAYY;C5DHvO~=f4Qq`OkK8b;3M)*2CF8{heL`|k za(~Nf@DPCsERwXLxujO&;m#4VtdXCdHZisq`}aNa64|2mmE$7shB0M=jp!Oq@K9}k zvHKa$oot6uMct@-PaNd(Gyt6GKHL4-(^%XsHn$x>W=9g_|M@1N5`OC~w-q$zHO%IB zM0oZWtWqjka}}#S2IN>qA}fqCzE~?L`F~=^f!|f8weiT4_x)ba)Wnz8T{uym@GY26 z3S%wq+o``1?}^mT?_d%H%0SyV4FDP$>F?jj8=zt?bqSIz5_Tuf7EZrD3+cKp*n$K; zu-nS~ycA-pQB|y%bkLU3BefsG+dKF1WhrQc#E(t+{tlVFM+*-5MdnrZd@0Eff`7WR z(4o^)6n&uuFvLDg31(y1*&$hly3Sau9asHoqI=mYv(H_cigU?DOG-%s5;QT9Q-$^z zOG$p^EBSO@5-(_E*MXAPTR{^N!$;}o5iXB}(+2(6)tjq#pNpib3L{>GBs4L&(DY<9R$6naX?9lNnsrAm{GbEEZ4_uH zXlKVcDIx9Mdl|S72DJip?nLiP1$c(hc_uX~)X$0;o3EclqtaMtMTaxXHl)#V5@0Y) z++JKFY`{#@G@Uyi+=2vkrLBqioz1ppi?lt@?@=>L~W894q6;7<~s+k`QTUD8#C9=_eXH z)sJ`4mXrp%Bk)UXpi(4w#~RlXEbt&;b!{(vjY2d>Ul-f<5b-&UDJP?`v5qX<-aSYq zu#~tj{4kQ}zC@-iM{eHAM^yVl zRM$4`-x<9Y+xXYC6h+dbpaN1uQU30iio5w$+ z>&4@fH2{TWHh2Czv!s^>-Qq{wI1J+ns&j^pXCt*J6^?#Wqf#%R&JTP;~GXKTC z71)raQ{x%AualH$f{7uc3~mVWyKmWyP}S*$fNnbrKg6@`j4cuJ91t3P$ZRx-!(Y|9 zjny580vw-q^+t;8!-qgc3O@)UH(1$+NiQVGzOBnrO+K}U5&rkJ!Ir^mn0uxIXmv*D-+7cH=HwArbQ$f)&FtoMsvUu3* z;=Gq9Q zn==Xxv77S9s_3}3EX?qk{Z{WYk}rJBSfw^bjOD~XQje*hcp6r>UjS}Ek-yDgNlH_g z`QqchGUQBhu;cBfdvgek?NQRgv%| zCe!@(bqz8%Xf`GJ5+Ej!y~`1Mdw|Js_B26uFKv#onu#sh}S812DAV2 z2*&xRx{>!d9P1`b!FvEMrOTF2;Z zC+?!G&)|R3HTg)VPyuM5sKh&$Hv(j(%8cTzem788T|T z^_CXOjEW<%xcng(ep@QeS+t1CA2LC=w&R(dpUGqxTui5>a1*XEA$QcJCM~Evw4aUZ zZp%qL;Ie61)@Ga%0gL74EbxSKATLn+F8J(zz#d@5Wo#q)QSJ%4@HPC~XwiH|-J1#b zZ$E#oqu#*7V?*>*v_4Sb>%s4=uAhX?Tz=ceQIb3C%}qq$J5(?IGJ3&?o@_Uh6;rSX z%O|eg4di4V2x2GuNy(Xf*T~MZQ8PCCemA~}wxcCkqp1F&TB{MlQ=UteNhuLScBwwO zC8QB^+tKX;@no(*v+h=!IigiDK8A9e`wM@>m(XM@_HN&ykuYa=U^8Xx#|p6@?v^N( zqRE@Vq|6Pv6M4mtw{6}ptkJ0cj;>fmm@Y@*!{%@BdsxMNY{$W0+H%NLiXR#(z6<3* zZ(;R{p(2>Cc2+Qyw5*@j)l4yv@$)C>oxUI*>3^&VII6sQZq$tJptLO22%~KSdX%orc)j z`|^$b3@nO?nP|8*@^VXC!We%kkClJ%x_n$y*64MW%`2=7zv>$-b)s+I*M*8RJsl93 zoOG}sp(LLIuc@1JiNGMs>qizJv##ye-yNf}p$SpLQt8(FW+8=8zv`ru;l_UV`*Lpw z#e8&m7Z6IXtxH2WFD_VU)qHDg^CExefqe|`_rRED68W|6Kqi%?r)Phw8 zq2j@zJK+~SkA0IRL~V6_DP%56r8Dk9$FTIrVt9V-zBG4ups+G`>#Ryq`;sbGV84~X z7G4VIWt+;Yz!i5E+`H-UW!_?$-|?LGR^&GYT92NuP&vd_F(8e!W3J?!{3f zA+!eaTh*m?%FJk1b{P*P?!t7&q4Q`gO{mt|t7(8p^g7BKJyDySkE?&k;@D~GDJ=b- z&7KU(bjK!502wb_e1V|sBlD2YIuFvBVU-_Rf~~3?6ClL2aL<3ma;SX$qVZ!Tg6(ww zVA`zCga4_hx_?fYxg5jjL@(Q8C4CQ|d&V?KusQi*Eh#r4*^8 z7F!Lm0}16lsvl{j)#&#NVOAvbt)<10hYd;TiMD=8IDoo5;t*4{!-ls##fk1-b5B2j zzSd&sqBHw>wnu-^XBO|i;v&dtb*zp)V1CYw*pFU!fDLsQ!}{zzoHEXLKw~2H#84_R zs0;L3U)AS;$1<3hhjY`xVTMy~>E+so<9G4md1XSXMZM;)TC6>{o!s$PMV}3ILEL$f zg@OH&I@c`(Nge-n&+oA8E>%Q2v+5?2#p9fwq{YSvOB#RhpWQ4~KgAAGEGpzY-ULSK z!JydqzY8$&ygG&Q=Kd$rBM_e-2(w+yKzCl-I~4lq|%y|zJvBqCHwy>1)1&69?e z@5$oO5TznRGTw>$ta~WQgaTNka0curB|}Q>yGS=4ZkrZFU6$DyqloV01tNjIKdF^4 zbDQBJ)?%EAcbt}1mC>ongwB}kRBz8sX#F_-+tD&z5(`yHDp`1TmM zOz(fJSS+3BL&3C{)fIE13 zho%^KH%E|t;Y;d|>%m5lL8r)7p&~eg+2d#Dfxrjz-qxnL{D?E_1P{2GF)_jGbnt)S znzfxos`&Cfe1j&kZ;6k|JWqfM407Bf17%yPNSu!WpSj0N5XQ63yY;6vydZI`z5yta z0J$E5#WYih%3(oHJ3Eo*oLVd&6l`2+qe`i?IwM7LIl<3?(`=tECJ2#!biBo<#*21u z%f1LCFRyUC8l{BIXE+=n*>k&R1pXb z+raP0|PuaGrtwVHTe~_#7DpP zLFWI0XD_vYXMnLL%B)v4Dh)PiakyS_ zlIbz-BH2yr?MW$|wfy-~2rhqxDdDpOoK%p$O&WyY0YBN}1Qn*Ev2yIu%Jv)#CvIA8 z5z?ta^n|Kd@e<}s2HeF{unLHM5ow+(v@sc!kkCuuF?khk=95r2<6PK{eH-Tc!Azd; zSq!BOuVelGexS>D#pEu8mF)sg!=XK>Yi2bV0g9(AsoelaMdYg+so{TFRLG!=d9Dr( zxPb&D7J;`X)+XBtk?&qt-CUnztj>D4Lyq#M&(0Uh?^NR<2@w3ReXs>eB#*pt?ZB<4hwl*nm^V(%b*aayWrFRWF0r7w9UAD<|@A~#LZmh5w#86$*5dh`6!m3f)| zrZg2yN(o0o{E)7^tw`H7(bu3@8)t?0jMn(dRc$?1%SjPN2E;S678&-p<=f@lry#6T zGFBQ*mXx)`oBLZ62TiQHN+SH!eCy6lR!CM-|C+Qp)#Ki7E%bjF|I1k$+BzI1E|XrB zI%_D1@2>#~h?6t)*08P5bW&>6hi9Uc7xPH78?5oa(VLshf8TBvSLfb-^fd5L5!>7_ zv-SJ?UdVGXn#7ZSAF_m!Ss2$G^VrVY#QqSh84OK(Kx#cbLtJsNVk(9ncYgp^1Esiu zjmOmAujfc@fW&|NMHPF>)C(OXNZz2-%}Vg(@mjjOueN`4Ls1t;^*Ex6@BDtC-%LciWqmzGSw2z>MvZ zmR?~Yl&`;O*dXRkhr`k|x@5s@q^B+&7}h$9*9oBn4-tQCSDy#%8bsMlCt;b-U~Fe{ zc|(7)&z!2nLw?^+0}fSYg@b>iVy6|? zU75XphxW{GnKvhfOG~G_C%9TA9h7})DEkmYduS6nK_)yv-%stny;M2z7Ky~QN_d)t z$L=J=Hx0DF;-EvXQCEutldLp<>aJ46AhY3-3YCBO)?dV%Me^zO`0Td`Xy~~?23{-v z2&(Axp4`C}Z*}+c&yw97)@67MC1JL2qXcT2>G74g(=tZgWS(0Le6t$t3t4w5FdgwA zO5K9rP<5atXB4C)BgpgxalU%!Ai@1~n|adZ1>JdzT6WjjcSQr*-2 zTtk1!LT-V7>{*eNNBJOonv;xe3uu%7%E7>FjohfpSA^)4P_^r47JG4!YMR1qvgK$0BO0nY`UbB z#glfmaZG(Lni&>mfI4<%we-2HqC8ZFKK8a=as81Mep0<}aB+HX&fE_J?nMbFU5|^} z4EvQUu;2t>biN7Z7Fc(|4*h*`TUPUDVoVB)uyV&|ceY?Z1a#5a0TcI{@t)*CS5Hf#4jO!d6>${8a4fQiO@ z29GVdV7tc$zaE99u;kt~{LxAO@`Zs=j>BwRoGqr|74csBn!zZAGS8W2t|mchz+9 zTUvb;OEGqhH)yN6*sY*>a^k`X7OP+KBe?RGCOtBhiGgLFsLt9_@@nue*%BOlA_BKA zKB*0vp)is})`-a5A3S^Y5GD3ST*+nbyn*U8r?(P1eYBq&Ij0h@6Dn({cu`7YUfKJ=TQ(8G&_AGxm8h|;}CukHkO~)6FLz$Z>Syr_&k-$;`%48`8nu|*O z0VIq;LzXw(q1q^ME5NIXsh9)H;>G(bnPH*VS}zSEri9p1*E&_T!?oIwm?&#XItUW7 zLhb`mhru_K*UrQG4ZWYjpNdyjurgQAh7Ireq&KDX|pVB`UG?q}n!xDhqaz9HAa9lV=gWYb*= z*ob-P*C`uc#P0`)XmmuAMidKQ9RP^ueaWVt@N*jNiJ1joQYLE_9zy z$e!gu#ewMJ-136Pv7VY+JKq2D((F6(9qXsMH7>V?5R+@nq9A|L^_}b|Ho=K`QcD+b zQ>a?QYoAp?X6aB!{^f2TBSDXEeUE=D1FfE*S&mnge zc)rU$%)n5+xWXA*cbNgV5I-=)QJ(`h?-#E~4+>q^Pla3?g^u)kr=f>d!;MRD7shzI z4JOFa@IxC$AdAJ$^S^&sW#xV=4UxR{n(2R+bX!_O|3y>|@@>;2YK9S; zW(+!p>nlYQy*3(p{Y^b&@z;>{=1SQ96mL=qi@D=#`PN5BmuOqhc1B}Ybzkb)+rm}S zyyuN{_JYKt3vmW1Hyn4NNg;cL*J7BrU)aoPIK?{GyuysDiK*Pl&Tyg&l9E;Sc$kxf zc+tbp`8t1_Ne7Tskgt8Ka(cQ#nikYSh}DLJzP}EZIJqKME@71)kP&&Kf78LkQ_Sr! zLGyRqA_$Pjbm`x7w~T_iB@1USQ=1&Hygz#6YOL(n!-~?)h(xUxXXRsn-0#x~NBE^I z>)p5}|GvUIo2`c~VdIp5`kectVk6)d+HTJs7MXuPp`zlIm{JgW-7sR!%naAMl246$ z@?cej(5y2Tr&2MjCW8R)UFtT2#b^8X=k1F|-(AQ7crDzhfge&FBg6a}=ctPn+`Zcr z7BdxLaLYN>7s5CR*wMzEPRKv3)nB=&xeUcCh{j|Jq&52Ny0rs|Xx1Cttl{>Vn%7mj z^hJL^1+dB$L%N$;iMrA08j$-kJ2Hi4awx?^+SjTnO57^LS!`p5TUBRM&)to{@?yK| z+{&my71Td_?=9ftp7El!TTErXtY>3D(sp`#JFc5>85w0JEHdY5X3q#ijQ75h$`gLd zXdpU*N+1`|$PPMj9YSBSBwN%JqEGC6y!(IJPu@uNHFKQlGL^7GO?AwUHm;!L-HF}p zQ%*6#oEKmKlh;LlHgORrb4CjXBW$gxGZ@W{2EIY zpD%*BizIv=Pb3?}=nqSXS;vD#D6-G zLw+`*ux(|}uf}2!`-s|Mg@;b~mOBJx8k1FOl8S$$OZH#1m= zo$`RgZ0v*UHmc1d%-uIu9?yR-CzzAQ=lH3!>?t{_qP4l|JzGiM$q1~9HuC&&iVLg5 z9Z%BxRQ|7mqw~v3&&b}N5DfT(FiiGtb&mB!Lp7utn?Bqfbn$e&ELo4KcWzVozsuDb zqfFir$HAQ;D(R{n%yY~5x>eyFbwBFvT6rAu#0AnO?oG6RBPl%NMDBm)#I`CDr7N+L z-tD0naVtZ&RBql_nNXrCHA)uQ1hv)ku2RA`b1;T$zU%nHre)-iQ%jdh0){%I#A8^| za$odB(q$-TX%4N0CGAJ;wRZgbqn(@b!yO6zKrqh-^Y?Q#!ta^8Ef7MEFLkOJbR#Re ztY@}^(_n?E8Loz$6Ucv}@p_?Q!4>V%UGW4aIj}WlbbLPvi|o7&39+fk>ad#h!?jul zaNiKyzl`S?-ZXx-rnw`g2u|d2(Ik~ph!5@;)88CTa*7WBLfl$k%Q~tXPtdnIwpH_3 z2su%EC7o`vXV0&Px8&%b&b+aB@S&BI)3+1LoAvW6<&s!0WE_9mw<6oLmU&lusnV%M zF>hIY&*tnAKMI5&j|SpDg5svVUxsMR5Ezs45bh1%FEDxAIgLGL1%>Tr^}aWN zpKFnPH}IiYPr83lq{Vwfd`P*eHL&PijiK{`HWHo3UjIOQ-~8fdVm3s54P}s{o!nyB zmg~H?c~cfT3=XeGTnomj+UV>`o!nUwjLiG>Oi9HEJ(g%0;g)f@Cigh*=bByZ(8s5O z&sBTP*`XufzWcBL(#yE5*%m$f24Y{B-y2%#bVhikQv83wb;@>_(ZCxN@5d5;yQKb0 z!wK@at5(Eya+9n$Fg(?r#IY2eYMNeKti z#7dK1bo(rm+Tes%pJ_4s)!Of1DI0!w%a0u0uSE65)iX+4c@)@0KhQt$)Hc3OIPc(V9isa#_dFRjW%eb>KqMy1a{LI_ z{Bd_+d#IWwsdQGPd4m&&Y2^!^Esyef%f&j--30F;SdW7|bs6QbGpqCf0uK>NNLFbt zcEpwDx-A%Ay5KOKu8JRg%)4eAFwq_@QC{+FXODkpwuwL*QHK^2ow6L}#W9?Rs!5f6 zvJlztUxcI$*`;Zw*sci!;`a0IBxJ0L05`` z=OaS?*>rLa3$;g<2l0%0J{L2ZU9{M3pZHEmRPW(Vo&YY6U>?aH-1cs3FyS27XV+bj z-1~o_?H|9WHw|RlXCEml-nj-z*d0PaZhgv|d44cWt|J-L;=ICz7HmR?Hy2SaXbm%K zwGM-)rd!OVE_SS5cSgD(h3$&$>xmN%py-`iDeY8S98EPf-1FHIad8__Tec30kPo@f zlMbOZF{FZl!!0du0>g#=0-yoYu1u=giHz#aEXkf;z-em#7J}cVroc_!Qk>Y{8 z2n%B`y`g&BInVG4_0DcM@?rPf=Sdy{an(D9vDm(JZ<`P>D;!Nx$eccn#;?)ZoC zO~2!!8C2R9K^Uo`6&o+xSV8&z6b&U;!_o$)=No}3iwwc(Wl_#^VX@XKO~gcl6f%Fw zyVm8v;uGe+vxNtEVwxjs`kgIM7BRk~3o%+QwZQ47|)V;7K<%Qy?g zQ%}wH;_HLD4hK#Wi+jP&Of8?(VGKS+ms>1y&!a|m^4w8`Fk`t)`}jJyR7`&xp2L0W zLu3Q7qO^JIUQDQ_k1deUT--bE38H|tVr&QXDqOW8e?*BOd)C*}1lLE}~#pKp3bRB*LslqW`gqR{buENTu5PSjGnSO$at(5W@F@n_UcY4C}pM_dMCGk@If#(seuLV!MF$ z4Aa2%UOW>nuRLlZ@<+fJ#gFF4RgG~jD@~Y#s5lDYDhF@U-g1hD{{b7AB#Savkk7Idq+S;mZ~6S=VXYR| z3wXl=(YP{;W}nbbV)TQ^=SI+BFbglJ{TZdO zG*NnGW-Laq588O&viC{2M$It3Ga*`yR=|d=_dxHsJk3 zvo4CX!occwO~u%uc<{K>!BiZ&N^~~X0`J>sJL5!(sW`q%#qYIDyI2j=oW^3}Mu!H( znC}JS5)UPfGU3%o>U+GEZXtO85u*^Zr7zM z&d>2J^k_+u!RhdUKOZVPBw<)2eMAm~l1tQ7MmoT%g(*$vh-_;<@t#tca*8C^OMJpb zUs6hv0-38vNLj#{L_H&d3SNRd%lxdj`fA9~J&N{9ncIKI{v4h}BS__&6G!g^B206mc83?Gz27dbHXRIJIOdi#r)i1CYSky0fy06@2>dUS?I~PAu&wJ1RvA042sREfqA_e_P~QKX0H z*(ziw*lv{N5_Is(EsB-+#%ypejUKX*dk{e)9YcQ+KqgiCTZ^qOO(8#Ri6{?hPNl@W zk+tN#YI70E->&)brs}JdAP#50`D76pEpQYGM~fV#sp~29QB-45_B}t-b##2DZgowB zX9_83n!Vi(AvO8bCx1@>x5P^vZ)5HSE@-70F-ord9N1UU%xSs=e=8>XtPvLeDkFX& zn+AUYH+j|`I|{!SdcaE>Q}XC^M-?$}rHEo!(D#W6#NEuoI(X!_@62|fzCCe-5e+zm zpg4^)T|?{mZJVhvT_~B#sp#eX{Ht&*!L;rN>RZ|@FKk*0JU;_^Hgx;dM5Fyt^mFP- z3p|s94><(3VRKJ-e#l2#$nVTwhHg1J7=C{YK5I!jjznRnNx6(udyF?Uap21wq4$pR zJs9ngx}Vw7@UJbG2cAA?Ya)VDpuK{^t|wRKBhNcC5Fu387SwW$h7Qd`ucbV@S$ig4 zEjno!^#wF&5B;jYGM<6=81j4l@Q%7jPZa2+LO!reutPh=G4#RvvH)iuBTq_H#Z7;h z`MIB7K3a*M(`21$z$?DI3^c33>*vR8pBF4db*m*G~@TO(w!V9g9&nS9-G>f3kmy5>X$wUnj2gn=(kQ?c-ddgQ8ab@(zpW9#J@t zA7+0$eGo>JmQA+a56^!lWG|~kOad@v&3abYIE1+>j908aMi@CbB=4VEC`y5WXWGK@ zOC*dconMj8+>ZntCzqC{<;Wvb8JTWR8Ou^p`C%T>(!$Rv55Ina$JJA2NN9h~f9OqC z&1@z33I1c{g{bafr?&z|mgI|rgrmzYI30fkXz;o)g+%CK zNk-T!C$3>v_zt-bgRN|@SP6Pmv#RStB%V&*${@tF=S;;cYZ2l)em1+pQ;q+QKc|7? zLNZp-_NFsVb{~2?MCBlX-i|r^HTrqlE|@jT->Gqa`jYzKM|UWD2WodFGn+}b<8vq< z(M`ojrgvD02rJPFM^}GYXtDe=?y1)U>F;8kMy#AyenMI9N7_%d=+>Lvx~Dqi-C0`4 z3G|SxE&*JpS&Oj_08UIWjR2PM`bzeOQzf}7$sXa?hT&GUoi^G)uN1C8rWvXNSv^xH zp=*orxY%EbHRFd3x7Az3Y=gV4U8_vl&dIUg|XJI@h#;lE#kxPNA}BZl2t-e{J&WD*FvfqSVI zkXRv`PVA3lsnzr&iFaWsv7)D4Q;tDn50;c|sch{Wk$OU*4z6UWGc7`a0a@2~OaHZs zMHw>ePK*O^xwwBKcpICAyc*H?P1t|q#DX5fusU5<@7RA?EtXFC&?>L2ou?unb3>EO z_JS}c+xnGJuYDog0HkKHcGaa?4I^5t?5I3F>(it9Ee&5*3!Ah-acIC%Hc&x!X0fe2e0O4^~Y4!P>q?en!NR ze~u)y8t8wPJq#4yOvyY@cXrvh)X+V!Y+Oo*l!zifr5ahHa1y0Vn763in3|ofw22s% zmHcGS$zBF1+3{%A(Vdz!LR;hJ6R`0inDaLm~ZE z5=MUr(gqqirPl6RhNCRMaumE^1<7K+*C474q$wm7g$Pn!xPzWO$ZH*ic1WsB@=iaK z*am8!B1B$kzV43O`s%8_$oy(fh|*%$jcCbI!(LH(cNZo{|IB|4OmS>v)yuhqym=d*t;_XL|q;0p>*BE?d*(3k|uD!RKzwk+FG z(E>(os}N^@^l<;V^N=6h^LyDRN$jjO4=RNJCrl2r@%KV7Ocy<{1SCuUaKPoO`p^>E%d40F<8O$_Spj@~a%MY?eb+eZpfwHq*ot@b2nbRWWT){j#o)VWZ7LcjJc*EpLcKS1&XByW%JD7SyfIRcRj zm0%-zldo2Jp_@@^SyDG zEhv(I;x{ILbk?2*sNwb+wsz(KfYIBrkD)=EsufJhj5pqZc%^WFFkxO8!eA|x>bX5Ga{o|;wVm4t$OfZSebOZ z5C)CQxcn+PtEfa!p%)Pr-txmkr_2>-{5*N+@LngU-cOBomGv_iNauA@Uo5@&Rrip9 z`%JA;q<8Svn<6=!f3hdlyO6Kf|O z-E~B&Ykwp$U)*QC*}Q+=z6P%X`*cGRwyiiGXA!}C5S;_BI44o@#k#4Q)AL7>1i+oY z@|KtK7Z4H*Wo2i2Q2ayLB#aOm<6C9U*uQK%k(hD_h+CKiJN{f1gkf85EaXo6;fWN{|cELZ$>!515K?8EGekvg;jOA zd{`O?COaWxvJ>tCv!iTPUno=mj?KL=#uXF#ZlB~-wceVNal{UTq#ZyqO?t|^NL#I7 z_B2!~{wdgh+sc3UK3Sl&g$+o>DE6ECQ;@*`@fd;)u5iX2!`)!fnz>j#p4_U`*=_fusnAC1Xkai24@y!b2!*&bG z1i3>aO!Z&EFQU!gri;hH!8=xyq@p+m9GdIIV_1u!%j|#2i^rX^r)Qnl80g8sfPgjM z#2aM9G)8XidJoRqKNnoAo@^j;1sDK zqMq}N6sLdFpu`Z~`2lxl-XfAuujrc3Gwu8cD88O4iG;(LeBb*9b&-xUxvSaCcDG)v zfE^03H){YkG2j!X!&h{QXpAo#XH|*O4EGL3U5V?B{>B%A#07qXU11s+>4Clkk3rq|a&U z7+T^saKvf7w61b?cu?$xofvGc)?5uCDfL=}RFPoF*l*5_Lu5;mT5q`7bqkEvgMA_o z{R)Tm6)<$Nh9E6==*m>WULE9zc6G}!Lt9w(I-1)&lzi7q>QklDXSMPz7PGrHbp1{* zkM@7%E9j!LLfgLypU|XarxKwEaGj?p&5Y+$$+RB)*lNKUj! zrZMT21Jcjn95K`t(XL(Cpg2nVUHt|aR$_kvr4IeV?5pl$G`$CahwPE5^DWID_WKB= z6vu8E;(_HNAU2ZSn2k46D;!#bBdJLvhz~Sp2T!nPT`|N$TOk$Ywr!Ui$aRv;7f+)( z9Z=)g`0zK~j$>yxEMbya#j`pn!Y%kGpMp=)66YkC>-?U`f_d8smIg{H3HQs}SABnv zari1K06(8yt-SOp@I+RP>wC?%2$_=sb_W5>h|P{ zoNX@Uv$0%s_26jrx9qZh+i;fevGf_(N~7Yxu2J5ZKU@`CN7NPh&?gl)_Wf8HdqFYs zGZj9JC(g1Qjp#r+vL?FSt7e=}325WVlNbpgZ0R1LqIIYT!O|n0r6QK66C;1WdsoJt zhD|vQ3@uQONruRc-xqC-&Qnjbl$#M7x^P+CMCU}1PK&S|EX=A@(KG+*wE?gSup?NK zhdFCNtDsqeCU;cKC%m?|wasua)5cyhJOXi`>E&zUl({p9@Zf!y8oJQ0bV&2VC757$ z)WCX-ilyYx3iV54G*C&l1ekv~GIjK84i}UHpir5>JBe0;`Hg`-*9K-SCN93!BQl9I zdRi7=#=cF#1we0U{`zMx|9dj}YIolqQT6!V_>rfvw-oKuU8Tb$G|mlMgnxR*5vCWi z6R`U}C#X5KWTOou`WSJSsBzs9`W<*$D$nk$Q6{_ncUTNRi#%3`(7=Bkh$rfJD5TSu z$J1cLA#Gx%HYF|3AZ=irKycoJSh{t{>1;>{6!Tz zrM5fkVJ>gO-1Q=Pq85P_MvqrtkZ7+ZFo11%4T{>5Y`+E4vppS!H|^OzG~nwf3WmI;QfY`B_s54a1YvD>*W=pcXF3Y_q1J(Nz~qjN&~)#)GG^&=Zuen&8dvHxzit!3G4VrON;KinJK*ZG{{3g?aly7j6%-xA*u+u zd3XQyBxZ>FK_DmSOf98XgzkIj-+$5b{C@{Rd-%#pqCY=uB=OH_X@5xWh_Koasr`kZ zFM)p;ZDgg~1x7a!IN^w-6YBlLgN5QX9#5iybgGzcPn!6hyW_N$7H(4d6*gO}T4*!{ zaBw1WAy)O-*lUwYwKyi|N>?*JycOG>5SsHZ%)G=s^qL}j(<>V|lmkn1q=b|e$?l1u zv%~RO`t)?(SK4HY<%*c_O7d2Cs3G>VJFiVNs=h zjcP8^^ro@3*L9*&WYS-mCkwH@QGCZ5$*fw*3;zbUN#3@<0Bh;7>*zV_`N#T-Ckh*X zKP&0M;te0*AwYEa;r`EoMOQ-lCK}FXHtHwy|*W`CYh`#m@5#ZQB0Fc>P*GG|&1yzR&F|?b}1e0lS z1=y)4p108cP*yri7+k1v5c1E>Bq5zrgF7%j=8;*G> zaujWwOzdvIkv2@olZC|^_d`^E(-MCR^p$PSatL;^7Kk3NFgNQc9&3hlC8 zxmfM!XQ{{N_DUvnb#f@}1zw5>?Qm|@v1x}2N?`tljl&V1sl-P}BiPt7mQOwc%HB6Z zyT(k($|jdLu>wDci#+m6aWnT*(E%a@tJ;fuSwM!Kpx<+>1@fbN3ToD4N*pIkL|@G3 zD^+k=P83acr+Oga+MrTN-9jN{5}+F8gm^(kIi-=jJsFp=y8#rJ;}rrFm!DGu5dt|m zm%-Ko6a+CfH8q#v$^$8Xw`EWq>e2>?TW}3NI0PNs-Q7uW8yp6A2_D?tLa?C0AxLm{ z_uw8hxbB=QC+B{63RMkeF>|mfNE+osEs}zlLCEet@KjyR|t$i3K1Jb^y7c&`5wCy_~Hrtz03Q{`(O?Z^i%s z^6~L7{mUI7W)E_j&XJz&9@L(~q zcVPiLTM9EU0X(dKU9AA>AQzCcJIEaH7j1yDi9P6Vax5q`08J}vmw&4?z!t6^Ce9!L zL||ua26AwLIJr5PgPZ}7`~VF(MSzMU$l>3{ivKoX0{o{v03ZwS?{NR|{wt8R!@rzO z%*?>{jwTLX)((~c3u`+NKt)=S#nsc531H%2{+FSNoeLO$;&0+^Vr^$)3NiRs@g@Li zF*Se*gyVl0x|lgzJG#2CxLDi$#gg@}Fpx$|Ihad;?d?Ght}ZBl)hB7~3^Ids-i!6` zC$@C}dpP*~!4}pI<`#cZF?Vxh)pD?Qas$ap{>KU;Lir=J1i1pZ*x1;3*|-59CjiLP z%!>7|B${4-j-Y=jfq#i1Jp6nd!Hxh62o;c@wFL!`TSGyzX$~g z1ejZ!xdKcAVUZQu>JM(_a_6$oSB0i?7aS%|LdVy zWu%ogwKW+3CjGZsTpa8P@L}cw0+`vk*Z@E_K0W|{4=)?Q?|&ox-Gl$~|CdzN#QHz= z{Uf5Bg9RAC_iq}I{{5GV`+w$x{y*!(0Qlbw%3#P`g8=k@)=k*^O$Yi^@LbgN+4A~Wj|7)rP`uB2+ zgYC?J|F2HY)daFDVh)yef49=wMcUdEWUgxMYG(ECQTp#oK!V#@JAhQdF4lh?9{@9u zjqU&FAlqkV3pp?@koWqR3ItiM|4k|7U@U&35jTKU{14&*uuA+vyZ~0oKZp;& zD)l$wVFR#A|3N^=p#DMZ09M&Qhy%bX_XlwTSmpm9NG%F~5Tq8xKL}Eb(jNq=Mfnec z)S~h?f($*Y>K_EjuKou>vTOW7knEa&5G1?S9|Xy+{Rcs^>->%QAQ^Q3Ac)T7Z^QwA zsm#RQ5wawI-NCG%0U<;!{^0{b)_-zv`~}_rm~;K52fI1{!yjT|`40%`jn&_f6Ve+iFGnlTKZ}IO ztp5QaMcV!YLRi`T141a<|ACOp#rh|I6c@zR0W$6X&_lR^|73?aga1bjsowFA8WPMA z@;&EZ2eNSeBL)6P`tKw3w;1xSkk_#W|D(S^2-kmf0tg}Yr_Y>_;#}-ZT&(_K0EzJ@ zBIK^Ix>`Ad{?S}WL9QO)e>gy5xcvh{dgJ~N2%+ckkC%hQ^ZEybaP|Hd{GYvlH*<4_ zaC80Fb%q>;|Kfjr6@x&YATyMud9ay4h)r!s+g-I7u?O?+xFGyl`rUhW=J7&9B-cKv zX`{_VjhyUdg)-@-UZAw0O^33$(_QY*h2K6qb>#J4oHxwm(g&90rt$NyH_)?5KDQ*4 z^BB!g`8uiMWc(%0eNd{TQJ9^7$Rg&JeHt_vzI3%ci%A}|xL>7t*_6C-j;n_@OHF*M4ECYrGns(dz3_vZy`)`Hf%5J_ zyXVwlu2@n6gCvrih~KnVzo0+mmAD^gV*8lN;D{cZ_mwYswPXu?@l@e|%2KcE(!4}U zVD{;e?p}OU`~prb%dp}=CGUPv^%BJqoABD?|1y*-&fZ`nE7*n%hN=htR&0TD&8K zEYntuY$2iQ7g;*(s=YaXjJa5Uw1IR?^HjlS;Jon`7iLY>aIyjH3XF`c9lKR(X9w?Q zC4Ug{N4d3FbsJ~kp%ensF5FGx489$EtXIgjG&1#n=lT{_l9{36p(Y)M zp1880V0PzmjC_S3pQhBZr4Miuev+e|!7rV0q9X3xdWDDb(DdHQs0SZsIu}CuaQa_a z^w7sTl#<8xo`?M+>SotXzRn!_`Y6M!{9tV9YwqTcb068@pkysTYAgk3NFmepJ@cbY zVa)BfNmvmb)+ix=sprb{Thrj^<}s(|YLRo)pNkQ96%NmctNjDQNS4MwLwdgZ z!zMWBQ7(~zh9~bda)QCjnYza+PWgLz$ z#O!ff>x}j1GLB$vf6xayL7%Rts$5E}Fl&{VNw$qJm_w;oPyK17}lS|CtSJ2uNUMp`<`%Sb=VQvFnyayNCwCN+u2474CZFX0;4z8~MM7l$J;8)p-SOGEbZ>527|dyp)(6_ziXT8njR7y~xytUeK7N8i)`z(uQUXMvW+Y2Si?O~Is)y~2e&>Cs{#!&qOz+^?d z@)F;BC1@e(8yQkBdG4vgXT*Vmdq29*!InhXLmYS16ZrmTOCR>ESBKuknnaMo1zFZB zQ7JX;e=mW=nY=oi`CfD1j7j6z1c!2-rbhnR_l74EXGQHA{ylpy{Mr(Li-&8!WGkxOLf8~YmIeq+q&-TSD+OWQ&=YX|>$#A75 z@l?np5xdJr;lbJ@GijLh3lfaE&m=Tnca;FN!s6Qvv$~XC&#+N~!zL%*(_h>^72Tf; z*~Q}=OvyGa=&dKD_<4B$C-n{-C!Kp zfBgZPYJOTp=uN(~75+TaDk*=tNLPj!y@}@}m`m^682Woj_4_e-enRF}XU=Bh=eL)?>CWyu7>fvALc6fi)k`PIU#EFTN6}r;oRXgLvXS#2|3`)SuCXQMdfcO8(Q_59-R}Zf z+!XITL7klIl6}%{_)EB{%AxSE;;X5Ll?i2L6lB_}>@)|Z_~~+CO>5`XewP<<>g`ygj?@B@?c3B)9C6bm zO5m+8%}j&_yD`H84h?`?W{PxT1T?H{PYI{F*niG04IOS(O=JT*;3 z0cJI#a`{%AVNP9sp@7| z>t(IvcwN#p92L)T&;NB8E}^Co*O|qJzWx4pgu+@m{35ZHxpdpte-(j&!S2zEk*)Jc zM_M!a>EzNfpY*(;-p~a0smaXYcx7>xp{#GW!)fiRzg0MZ5h-Znn~af}->8dC`Mt#U zxl0o(-{s_-sDI&4N~*w7T6K2f6SSjD?o<)zzL%~Cablzs%8-JqP1?_m z=PUCA-+b5tNpI%eth#7=K%tw`JFFeKbL1vJBu@11j*jP&e~Ygve(%yhRv2cgF%(xk zD$Q_m{WL3&${2^=I)o{{bj&Lq1q4itTpK!Pjn85nHBPf+u8iXE~llOTEX#qVx4byO351BYmy0Ka5 zfpRy}vu5#{3(M^Ww!CDl(DkwJ&(eyfFNz939~5e>2S>Lh%L;W>hhMCI57lMauJ}ap zT_q6Z6HzpWV?Cl-#-Z_M4IQs~L(-!(2Ptw=Ot6M~e^#I#=TfrhT;PDIQAQmi??rJ) zV-3ooqWr^AT%-|N9jWF{0Zz!8;qT4RDqoTZ6=f(=uGHGDD+{PPLtAuAx*fuF=k=-_ z$29@7H^XxGw)%ohM(p7?(Uk(0T>9H3zJp^AJI~GR*NeN{R075CQ*>M2n@YNS>Gwt} zS+(w>e|Lq_$3hjB{tUl9l!++vG%0M!D)mU<*?d&2*PI(gbffj*-q0{q&A1%&UbSN7 z2UP5@JB$v^7iPt#ij!y0oE@KTKJWAYSiDWjty$(Zmj$U&PJ8Tu+1Sf0fgNYp?l%mn zp61Hp;&9Y0Y`?lI^-D3xQjmsh3yt{06K{koe|2`A?(TaOSu-(|*OGpI2AiKG9x5?M zZ8(}C+&lTg&3qQCY32piaNpP7=zAh^>#L#_v}Oyr`+=-0oYA{MjY77kolKjrv3ZM~jUm!eFSuIBPs8RuHEe7^ z)5P;6=h;WP^TU8|F;kb)FQn$m=N8+lS~Y!Y=r=NRf+?MH7s-v!<5=e*-t*}E5kk}A zr1-)685^6hca2s-^)meyBUQF$e?QF5baHWa*rb)ftJfGL06@W-*wqqYI>xU5(*UY= zr)qcnEp{G%6fJZB;mQ+hd58lrg>Np#Y`{4~d3O;L$orF}Hko1=ePC&;heX17{tE3R z?@QMQEm-)t_j4Cwh?{yRbmZ8_;e?GY+Q}adQm<;;5!a> zudiLkjSYW#oH+;}blAQ7($`h+%T1r4oETc@Sniuh14fXR;DWb^8cIpekeQdX>H?WX zdBwb??!c(iskVysyaBUIf50b7*lnORtmwYBn2*&|a!&ryj^lx))#QHa;d4xtapQf! z?s{$x)1#HKMY~@!o7+sQ_e6Nf#cX1sKT#|eUgVG1+-5pqK(Isb{V~gdCa#9OYNd2K5*UZuxpsqcWCH~H36dQcSxF;5e*=vwyuPH+sxW5wJGC7zo) zw}Fo^^;)^+WmmbvMrADB_8XYOxbfg`%Ip62dLq2qJJ_gD5lW1L55uY0To{iV^z5>X zKh#)3ppT`u=Wxx^CmuXLp`Q&un1$l(*LU=5LCaw@ZDqM9e}*u6o|va>WYzj^o2IO# zc~Zfa5A0pIgJ`rKvS(h=F^Tk+;YH;_R)63K<)m*xO}DhF+KJ-qlPoxlmRdCbcE)i`9e;srvD@55;;zK`qo|f&f^-h@3 zQ8AM~>!hCGs)v6h(32uL#wYmdt$>0_`hpcE;Y5ZO$vy5)oQ{=VCqemD@`r1qdB&W) zN7=r*g4GP$A`1E=4r24(2O=(X{qOGJOxYxPyhxi3II;{M>|X70JcecLfD1$20n32n zM8%{Vf19@lpbAHRI|83P$+#^$BXs9iF!yd~YG>f9Dh04c|?>_6YPS0;h+*$&$5}N<`1s$SFSl zVfaN%fdi>@UjIb>*7{(xGx~ZzmkEZ?j&W9r)*^ddj%b zsQP!YR};2$oL?1P8O>hwH{!3Kf82bJ3!K!4MxuC_N#~bMwpczyH|&(er1Mc2RI+yxd{9@6UXzz&`Exi4W3VFUTr&?(!`#r&p z&8D1;dS?g9_Nje6$(wkUe=c=Zr>Xron!z)MiuzOz$;LeIE(GRS&)%GAavXAr{GR=t z(U8+GDjuyIYac48F*l5Z^usN)`9fPVhx>DP`xk?x`OgPr17;A=3NQtL?zmJF38i$9 zt;{Hz>@Jlp8BsvtG;AFCpsYSNQr(ff;;aM@F!*jf?gtbGWRep0SGJ(EkjVYkT(hN1+@rQ1yp^oGoInOy>iBY?kS9t6$M>2o0{vZ{N;*Z#77NLW#9wR6;FT%$MzaU}e-nEUOjZfL>Z16=0XJmdm zLHp90*P^T$e*+i%HKbwPmFf|Fxb&&u#qwIag9zQQyHbZT^5iXgyv0hsd;X*j#_#!H z=?nXsCmQr5rb7|OB^FkCN`olEhYgQ zQ0Mi)X)1g#cR7Hx?H!vOFh%fPi-1nME6b|toB$>re}%7CH^#sUt|W>a>)UETch(R2 zPY-AEzVmE>&GwOLzKRApi3uS;N-x+km^q~7A}dfEDr&g<$$bjOQi9-j%3(jEZXdM~fttHm5hPrjzBQq#hNwh2 zy|0Z9f06FCc>&L>KW{1n+agq2_rQfKfP{f-p=Rrux4oWHzjb>=LZH#{-SSS=Nxt@3 zGZC?XIp!xd7-CsY6H?>hg9#ohC9uTchL7Y>ibhS2cFwAA3q^K!)X0x&=Mw~-OG5$+ zLb<8E1bdDsG{c;z}-kz(nvdBzO6-sv7-=9O5K#@17~ty^MROPvH&5RYX>!P#;y< zBtmFoTRCh>10{Kq&wX_3BrEzV&7zduKc^SsB`^rcirrk ze|a0cj62Fy++HDK|F*R}%nxr$-NR zOuh*v;S1e|f^ylp(~%{n2M|F1%zSuw?=~OjKBV*{iBM~wo#DkJb8LJJryi(edyndd z6G{ffucT|7F|icSo3;V}(!}ezxpxoOe;6v$&gXIF>iwAqkq?u`fAqLGcmh?T3av4m zukOh<&F6I{)ukj3;gNd6;;^cmp-#aAQ`Q6aixt&7kMUFfnUd>pV(CND1d^QxZfwCx z_q0iXs7%48C`^a#*$>YTNBrG;XWHaEJ-&v6)FR+rR^N4%cG!IX}|E5e_BxgML21C9F!_(0 zH69#oQlri&4?taWw8PQ#i3Gig9ypcjzbY8zv^Y$q(IU=KPu$+v|8DL$Z(>#QKLB&X+gMuFp63cGu- zL!U)U(vdYgJ)HcoTQql*f3>|kcbN=EAf6KWcF?W$`P5BkgQtTK)_YLKSm-w9__N$I zG7Ic{x59n2k}tDTL2M!WxfavUQrjhg);s}vs4s^)82Jw}Xh9AS_8Sx^)CLb|mz`}3 zlPgod<4E}&UVPUQhtwGjGJ@OJhwQ+uPjB{Me^eqW zIFXJ4+8PV4Q>MJN^2z8|)}!rW`-rpNK`qQwDbKOI8KaT;u;AbJtM`rJB;-H*AIohD zTa2zRoc02o9h!(+f7=TLawf9@rg1js#*fR7a^MlMIL&lESa|85htJ*LmLp-iFB9Ip zcq(wGEXuU$2r8o}7r{s(tsZE-kJeQ9L>-1074rr*CnU$~fSJ~QUTNEgDW@X*@rNAF z{G}2H;FU*M05AJcG_%n_i?+B;Z#J(roiSNKmP0j{e2T{me{3|=@YN)Jvhs0{$N|ZY zh;-o)YdkKkf#hvM$>qslCLMm*HOP3kBn3#U&xIu(h$Z*8k)Q?So0zxMFOY3!Kcv z*^ZwlbGfXMe-ae+)}F`kHgTD%{CHEyfnmW0wFfc!KI zFEztwpSn-mnV}VEhb!BA-w5k0Qc`lWH3>L~IdboU-%__gXUq5V<9TTc;580*XrtSP zLdCv(0x0*sdDvcv?&yFWduQM?yWT`CIq^KTB%nP&fBQC*ZnDZ$uDt|~!* z4Br7CV``(M^^>{MkCihM&%28otMXzJ*M4kw0y<|L-|%-6Jz|s92^rp9*zQbZ^0d%s zJEL!ch^`OFa|4V}G!orQV`26rCVn;dlKWW`BAWGl4bignW#3#U`JmbzMlu(vd zt3~ZIf4c`?bjC*W^uwDnBq#ozW?az$iZ9mIi~KhDQJmE(Z?mL?YUNf^roD88#63Ow zzhRRJN>KVJfD}Jyx6fZAP8nOK9D8SXNv|N%r=MP^y2Xs$Ih>;VdAt>60>1S0{; z`dS4af7ofh%M4(dNJ$5Tz#Dq1L_UiRUhsag zQ}i@&N@jK%cncJkJr$W#EmkEI#0vaDuE_;PUeRnY+@#%ux9kLz2t{LPF=@M}eB ze;hZ;YPr*NCbJ|}p0NRA;O~r2YN0m2#)s(f&1p;r9+OCzF(1vbC~RBJWlp2D7ao{- zr_E*zgSAigWvzps5GibGTWKA6X|c%EuA!8?cB0LVm>ZMwnQ?ejpgbI${ZKgC6u$GO zcWpb#u}!ytMT8MMiQ0)xpVFh@8Sso+-V>C@2yz;3B9F8}o7f_ao4|B8I`odtJi6{~~r z1XaSi)K%vZss?Ymq~7=@8%epz==Ee@0w;06q&E{8Y;ST5*Dr!L5Kn-v^F!AFe;pD@ z9!%MR=(6KtrVl}GsG-!@`80MIceZ-fm9VEqEBwP6*1Dd|80vm(F)HYFp3eUfLl)U+ zuJeKzT_GduIeL%NJcEqE^*z1CW|XIcgk)*e{u{Q8Qf#50CHr+}vp74Xar&K?L(n~F zeY=w9TKLoThx<)Szdtss`CjCyfAiIDwhou$1x*YQ)_Pe4pm_ZlS+2@b^1GP$-ht}2 zg`tUdo~^Ic&4mygR93;pO!uMg2lWm7WwDb(v$X;*3Y2>W>+~R_HL|O&>qJ-{c2M3I zn$EY!rM@(uF)K!VIs;)t6PD>0Z)dH54s%qU`&~o`k?l^H^@=o4D~ZA+e=^}!+0y?NWC45 z5UZId!}2FuUVv}M5+w>pR)O`cNZ4NcH(W&O-pM44gJt^d2v%%#e=-Lsx=g3p59Uys zsqKO>V~7WQX7XSop62w5UZVhuk1>{(v=p~EAtd0*NW82xQrfbJZYU-J6PwTVNegq* z_q?z5267fU@=$hXC6Zi=L`@0t_Thfq>*?_+WHNLSN`ZbOX)_JgEuy#$=-9992yt#T z#=G-ZO~~*Fx?UHle{}KTSSblHySm|PVMwSeC(3&!FWd!7-fbRtUeK%WspFr{h6UaX zEMj_>^%Dmey^OYSea_JKNWBa*H8WvN`Aj8uStm|=TrFy(%Z=3ms{HS z+w$D&?zH$5(j_^CDfQ=v6!@jj*>M6A%0RM!{X%fok3!}wfBD}_U4>uP;TVC=&b2;* zipH9$j$j9k!xsDHqO4h*dTaMVvqETRlb(}DhIhPE@Zrj+V3U`5GENPsh?O z!k5I&Jtc)M$^EuL{(#x2JyWqDX*0(C3!%a-y?0w_PITSw-TkTXiBUpgd^Aj}ga2H+ ztFUNUDN8T=&dW>QQxTr7v5xa4>c&G)AiUXH&<-L-e@7i|J9WOLp{|ru)TQ+r*|*P? zKciOn;*5t=^ZE(Ez?h`yEVygdh)%lGk5|KiUbWcai z^pINCB0<>u`oV$?E=h@HPN$%zjJ0q@n%_0!^*Gqu9b4{cuh}-~%=l=or+Qg}7)1Fh zma-Gxe^YCZTqmbqAK}z!F=cP?Y@=|!0FGSWZitEZH|$1uE8i~{4PY9x$iJTdn1vat zmqcElqWTT|Ka0; zfA?`?x9z1!hVM?!o84Jc#Jx$`cpA5;6oC@8ApEowk*g<8K}4lbJ9x_YlFaJxmZ|8M z!3UF8Ii_|Wc{SuM{9XY3_nh}?U=_3c!jPCyPbUDiIw=Kz1{?hYRw-izIjLA>2I@=v zv7-yB))SNHy;KTt=_KR3L@5ufwClXHVd%z_@+{KURRSAs(NxLLQ;V;4K=-WmfAEqH z9TQHehNadt#RFoh#;?`i-U+qavJEjulR#IA4Z&=l3TZ7g!)fPW?92a@tnzNc8LU~5 zie`r``7Ijx)(~La9P%46U*+C#{`tM!hlq88Q+DE4`ow30H%F$CMOE+@ku4u(em>H4 znD*;_+OxFhk5Rv9n`J)M&F=jMe~v`bV7|3e+cPD}I*M)f?)bjo+IuzYZp-E!TN;6E zdT2iaqVVqcian@;4ot$GU4UCR*DQAf<~{bJTi;PCpx)X}J7@AF^|v3!1MDfblD~O9 zB!q0fGV=Eu?@z~ig4rtU3;{IY?^ds1V^O^~CL-N82Vxg^a!hfs@cLldf9^@@_k0Fe zBpt z_@aM@b8D%-xVvl;BQQQCtL5axUdK+JRDqxF> zaTa%|akkODW{^Eo2FAX>f7z9CF$mLu4VqFdHIKn)0md*EPX44~fuqOKj$3BD33|Py z&F>;X(6skK+qsW-GiClwwL&_sPZ;*IvVmybDaW}s25TALLpZYwy~X2dYCVYOD^%47ylCJR*}^{j8}Up$1AC)=0#-UgQ>bf&vC*XP=R<-`qguKaGdc?k+JFM#ziCSBMkJDgHIOcu7w4Z^D?f{;A1g+^l(tr>2 z)kc;-hH=sfbmdYRIR+E)869uL_2(L5L)m3sr#Nim$A3%<%ZV;5iIYd9 zwnVw?IQWbP3*KRUUdph?!n@m|AZSALX`((1S5MC1CT6H`kMumH)<=DpM)drUEsgg} zzgn-Nyxdf)e@R}Jl=;_!hZbURq~ilQaSx`j{=tb!ETH@73tkXQH*3O1a!KH3$3^z7 z{Pk9)#pq!NelK5mG)IZ=dns;9TV0S=rxHq-iT<7m&yn;@;&vNTR%U<(EY-RGu%sY& z-FIL`7kpqP3o1oaJYiE_a+IbDD3!}$r*(jz!>;fLx*Ev2{m!t|)=%pOHwO6uHG{8jp}g}o)c$`&Z*6Bk}& zJlRzlp68h_R;?ZDb05uJgw;hoejjV%?QGtWfB$gQR55JeMlP>-v^+8R<$XGPDFQ)3 zi^`ruyQ+1Pp7>sCaJfEG5JvJqfXBi}Pc6s8NP7?lt29yZGuWhtFR67=LrT5BeTu=0 zlzO79C3%$TYlW*qkmXwPCrumJ!UD7y_AwbDIT6TI50wcpV*H!9_` ze;@S1*4TBiEYze+4qa{fY3mlS=8!!&k7Vsh@nmz}^LI(5pDq&CFNitZ7!t`Y*)#Mr z=NTsMKbB?fhbq70l~?U`F|ytb{L)U8hRUcui!r{phiK&QP;yEGWo=6Q0+(~Q>25eJ zMpLBlB|e4Dp;o85M6+1^?A~Av;k4FetTIyJMixor3*R5<$dA1?VW18I>GMp6zJ+gcFWl0#-n6gwy(o^4f0#Gz zi;Cm)m&$wh8jy9Y zI?ucZ!6zRNX-A^V5-h|pL#@^KQT!seyfVmrdQAAe)YYsKgeSOv@=4YkiRUP%Yc~Bp z`uHBLoGU*^=&;?wU+%4b+2eU*7Onx-n5-LY2S+YzI%o*HU_u<1=-^CVHeiZf!FGv` zuzIUh<2r-*Y(ar;y|Y^#m6Y|*^W3Lw5tO^}T*_|+P&fse zByBp?C)aWxKan9uT1K!h;BhNVD8M8o*vwvA3Lak%#KK;uB$l>8a-RE}u(~pmr(1sd$p7i`d$hHkK#~pGQOeDbZKG)#8qL?VOQrpUPX{!5hwXe6jtdW+v8P!m z!5#0TxU;0ie8CU0Y6m#|cf}5!`Y0PxUgg?$>k8{Qreh-Be`{OqyRSUtliuZ6R1R^2 z0YoCV<3;=@Q~(WgobJY*;Vqo!_`)3A2?=qhc~3%uxA@1VB?#>H)gB@kqq+9SNS;oJ zP(d+M3GPO$HcuJFk8zTcS!)j6niHg(m*#aILCmi$LwG)~@iB}O2n-i?XKD;&Qwj`x zT+N7i$&~LEf1YPg^D>C0uC|k3m;@$1JkrT&$oam7|8)J_!n#A7xag8`m6AGe~;@ER2d`jGpgMp@Gk4CKH*yF zp`X9=%S!1BoOG4VORukgykwaukuz>d0K;MC?Jn6+A)BVo(hHUh_3$^nnO%7Tv$Lw- zom?FUu&u?L5~^~s6KVIa7A{11fIr{qEv{pFx&DRRg zqX?_5kDWSdTplJ?3085HlJOk(RH%_k&(d8jg5x*mz$Z0S&8)z4l6&_T7Nd?uO9?;_ zy5I!$t7EsD8M51&8Rze>$L;=Kf3}~$Po3$!vFf5DuK2;4dU7+0joGGs>$Ig*$Nl-f zsQnQw(R_?urNo?Km8Mm)kMqaAK7PJbI`i9oNl>QEHbQu&JVKRuC@lz=(D6a-CLINx zZUr_ch@AOUbSZ=(GTc{s#gE)9;AOnDL?t9kjBNCL8)O6%b0R`MMt1WHf9Fm^rRJmW zv>!DRU{?Q)Sgw!Y5JCtoN`Hn?dSO%QT%c5TnOSC%YnH+`f=`f963(amM#X2t>|Ytb z4Y@A^)bVtWNnj6^+a&R|JzlEw}{f6}7Vr^ISj-fHtLv4R_2d!+|5)?eOQ;bt`bh`AsX4!8g^s+jec*=WmReJd@Uh4V|N?2?Rj^CogLq!O7 z7q4s zhC>2t6741u>fagC*6JHnKCvX35Ve6eRh7R=_7G-F9lX0&vN)&5@=!Vm4ejt!LDu!w z=W*EAYlR_UtEFR8e_pe9XHWK(ulqI-rL#%cSckN@&Qyvl`*RNtk3-FteQ8D45PJU_ zPs|^~Iu1C)Yn zm573r=0#;#^c8Q!<;=Y&5xpREqGhUU_SC-v15FJ6c50BPe+O~%{{hh3nBtf5^8yr? z#xVjFx4@7C7#^3bO#&4WF*Gwa3NK7$ZfA68G9WfFH8(?9U>1U4bq5&NC-$MCEfmKJkRg{ z{NDGR_dPpj`+lxFug`tm-?1_28}TVZ5Oz>C1RTvL#4jifRMs`JkOT?}itq~x3Io{K zjA3XO=pPusW(q~3U(a30mv2ln;AcV4QT8LL;c2$5cX&<5E2T+04^{v6pq3~c)%f0BoI>^ zXr!qF)N_Nve>>~^cHjm6xf`GmztBJF{zU&w1cUzy27$o{S2qyc2L^Wl+QVF+Ks_}b zezZ557YKqwema6&PzX#sf5;OAa{<|59Da2U0;(w*06`dnf9RpWNSGTM#gBry{G=%G zGY#gJRpAh2gsUqQjz$4~_NM|vLcy48_YwF#S!X!H3-0&lU=M>s?0=Ggc(@6ez+vtl zP)(ITE*J>#AIt%Y28szviHnO$0io_ds5jVA;AeVcA2;YPqtH(nf5RZa&kf-Qw8xNu z2Egp0m>+;23gig|qLCiZ0Kflj`1c7QBm{)Oz-XWy)By$u{3kmGhT8u=V}_4}c>}Ek zG1?OX3jX~3^JIgO7X$%!@%fMYulWk7=sr+XQ{wr}_#c~+62cqk$0s5P(NfBa4U7pf0}{b^3{KN&UQ_6VTVZw{Et`=7y~?^!2+YIvzpa{R5N16T;SLyyfAa~6@(YUo#$hNmm^T!n z4?}|;e+%jFQDADgz~E4Q1Pb=^jf0626#Oq6X6wMtn70ZFGq7JKC}yetT~`$jMnHaU zpRkxX5QId6d;o$NkqKi|;3tGxQwY@im%f1l{BQ&s69U9=3;^0Akbs|K6%hdnV4@IS zzlVjf{em&&e*~Z|(4UL<4~BtUVQ>%BFW_HIfIW~%jOQ<{U^@K!{Of%Hg?dB5fY~Vo zSSHM=Hmv2eT9Mw1@5?a3;^(&LM2ns%F*N)1OFu`Q8=2}8?n;j!6?X>H^k+&U#;5%0 zxpz)SysbO3gBMaYUZAm5dsdY8_;Lr%4mup5_aF#5e}_?twCEMG-KPe3Ngi3@S#dlw zo4O2_=oDG6Tr0S0L#eQt8o86m#0X;lx@nv3^Fsv#=(OCr4VPdl+k) zj9%fy!s1VqTH1e3X?InLS42?IQu=B!8EXtQrT*?oGb)~LpWr$BGjHZ!5c2MMs- z>#3dByXhQEF4VFel?zTfpWoHmU(UQI$u= zPT%|iG@VvH+wN2|L`!hnN9M?q|G}YmLm64BX{3&$edl{`aCu-1eu!hDaF3j<tZlY#4&#Ga(ix#b$OCXqdhZx!OoWP3k3PVO7HJ_f)Xe{!Gs z*2fQSy+M^T>l*hiujG;PK-j^+a`=Q^&xOFQ(g{A zQ_w)JVCZ+pq=Ft18<}RuIytCrOtEO+q9|wm5mLGWQR&agG-SsTqY>gq z{DdL9O5&|l29r^=BbT#y!Lk?bf1epTNMktSPbfaS2G$0-Ncadku-1JImeDZj=Rb29 zAme6B6%?J|$r7^SQ1Y_Ck#rCtvax+MNi>p17W9se&`m?0)hD#>$Ur}+TZGZ!5`xSL z+e*~GCoP_-`y;dchE(_m_e?K6}amcf7 z*U8LP|2&%VD2dH}caWbC1p0o=*6~DF>;$fk0@t$`7ro|xMqB8AU`3m%KYtLq-fXJA z(u++VlBe~NtVY&rJ@`9ZDx2F$HwcAiC42kMoo*W&^d>4FH`l=9%%^A91-@fM zLRKd-qN~Afwi^u(cHJ&Y-IY{;emNte!QygR;3q>e`>c)AZgub8c&a1y8pwUZQk-d*P|FYa94DJf4bI2E7wC8uSixa z#xJ|MrcaH@s!ql4M%hyU-t^r6^x`?*CGN@!cf#@)BA8o+jw%w+Z73hI>YnV0ZXMfu zl6(tkbo(UJ_<$v}d78ZZtW|I|Wr*&|p`c#Z<_nwOT?dM`f8unD7qlNDN48>7WY+NmQ3@q*E%xw&W4sm$IXnzh!s~};Xjrz9h{u(NmWYm zJ|PU57{Jq25f&e3xL7Tq^QlH;yphfcA7P4&BV$%s0m@Lgvg{vZc5+}fXP7AiXsmru z?03hUhXO21e*+cO4_0Dnnw&nJ1+Q+cE=8mn9tSg4RqnlU-D6Lvt?jk>7Fh^43k|u` z2hLx8CC1)zTb%jo$Mn<9W0mR3;|syUlbP`vi|;SUS$k&&<|=dcRjB2>W(_Zc`+_VZ zL4V~?ev#~6>Ci_N&q;Hg#xcKC6(1I7dE?bt?9lS~e>8_RU{3r}6GdN4?Ajw~{8l@x zo0WhoX=$P}l=J$Q#U8$w!g5!eh@(}vNpf#f>rIx3_QSAaqC`7zMk9c$jfsEhZZqA+ zhjFv;#z&uHNWdcm18Is2ogu8aUPbbRonwqqUJ@0pt^Y8pwS+#a0JE>H%cj~Tn-R^FcMHT4SK=0M3WXJa==w3Ns@GzGK9T6g` zf3}>JGc^Hhb@1w+o1P!2T`r5)CH5!K1$K* zxL<`#e^pI0UCKJfR)(!KpEtMId*Q97;hbltm+Jr=qq3rJy`2Nma+~iS%%ioQeQO)R zA@gNo@XodS6VRR)d&Zb0<)i+ihlQ>um;PfPGOr7_>jku$0@8r>u^7|dK7 zl)dr~p8}kX#~{P{V_~#1D)%^VHm5qYx3bAWZ9VORQm6HdnG9pLqc4Dnk}JOGg%aM7 zALIZ=HL>T{W4mU~f_7{OlpYXK_ER==-H?N{3-C*}}V<+kmvcW#hWLxM>7BD_1-%KO=wxKcP*af{Ptc<0eU_Su%EGG zTfoL+Lg{PLzNf$w1=S(3@WlR(9TB$#)Aj)LYXpLTQYb4Hn!LiMkF-{!q)h_FT5F&? zlvvYBF@mr0TFN*Da0&+9;)GOsK6Jnu#V4CLk4(vwQU-z zs$^xiEuV2I!};!eo;%cId0wfsof!C+M6c{av}H#%>(RW_w2JOWvqi>CWj50;MXN_o z?UJxH&oB@C{i6#%h(HzIvNHE8aqqq4pE;IROa;Cv*%sHqot?gw(BmOseIjF@rFuXe zveb!9&)b&WCWBgSz3V~6=h4VaN2;t=V~EIL)egbp*2qA?fm32)b@(Sdd zl+F@{?(svm?|e8ix=>ho-+FbEe>&n@f_axAY@!In3`AihtDkyT7ZN>3v4Q_!_y`KA z^Pw`v7K9VxrfE;5{U@)ecYfO!o!>E7wvS_HN!d%nw)*JB50(&s8!hmTB^AC<86QJn zc`2Ez-e`NNwe?JA)9iC)c{pRk6Job*^l(QbT=J2klrM*+Y)oxVJMGOa<*~dLSpR@G zj;p#Tw*Kn^ZKXaj7n&KckKDZ_kl|Z(O(R2BWblzZ@8GMNI&58d9KzpZPLTR1<^F|o z|3my&$ffHe{I3=OjwO9&XjUc58GV`Dzb|KeRk=^)Wda~cPvvJZDW$;^ye;cwywbwV zRnd6RD0+5N{YM;(znYOHqKWaC_~_l_fV7-UIk0iG+uYhy@n|eWLiPq#)amf|{56$! z9*d=Sa2#~p8h3_cVsOi#HZtBg)FD#U;|ZK%B`Qp47z+)c{*hW9wxsKXNm&~)+lj|J zJEC|fM*Rc=*1_&4KQ@7_{^m80L@(mQ0)B%U~kP99fO&1Mva0 z36&Zm7%zEE$9Q@uz7?K2D}sGv$E|C;%}SXisuHlox+*5*Ro%Pkzep_-KOB<-6jrv> zalH0Un?ee>k6ewfJZSe{xoBfX1M#1nb3Q?;8}T00Nx4_xDv;r#1#9#s`)+Q9jw zeCM>-K79f2Ozgic$t}k9rIePR>S}#+8YvdQBW_5e|KOWr#)u<^z}L}35)5RXn)pj& z2Eyb35X!Oxw|9&cT|%<1J?mzT2Ww+%6wL}-3&?Ma%j_xc6wN3h0*#3~E0z0vXBCUR zWeQ``o`CkCxC+~#OMUQ-Mrz`Fv9)Gf&=hVhFVj3B5##rkHgX@k?~yIGy>mnmU|OJl zQt;~659W`4M*jNMv22uw(RTzNZ|X&sEZnT9qdV*nSFgt2L+%BZ@aMf z*6fS9*urCcq}v}?pcEsd(TGwjR-DH}a-{NosgSb>OD5K~`mdcfW-`rnP~71waY_Wa zn|%05O}UYrRTo1PVy8>9DI`*&f;bmt*iGO3RAgRCvhN4VO>Mc?t|gvXJ}QOP&QKl! zdm7I%ziQC6>BN1Dl>NEWgdX%OuBY7f7o&_?N@PwYxP2&iWRs)Fw>tEFb2^SYlgHBe zS<;)8k@=9uDfGw%VKvJ;4D6R7Ox?Ag&l)0ZML1$bn&siK?H(;8A5rHvvPp-XgjJ-| z3WYu{lSIbB8dLCMzs^vOau&*tM3Ej}jbfXDk`Cw8?8)kzRGPG3S7 zY4antNZ*UEt}xMq7=ED{boDctABguZ8&r7lB&C!L*_HQ^>iM$f|5>OG8`WQH{xb5+U~N^GVcNYtg`r9ff8s`<(e zq_aHFqp+pjx(r|KrC;d)FDbZ$ZQD7CLhMb(6pr~%mm2v zTUL@}*}9OT-IIu;qk7oF+$)64M*Zu=B#`-%E#k8Xlv-RVIBUCVE(#qcUhg3$#l0z! z0HMM_ ziEk?h(n~R^r${q8?Vsbv3ZpXWk=k~dq@HB6RUdNjT_E8TgG8ym7f&hxzuxJtLk``a zlMme*V`W`tLmwlX8r2an@1L&4kj$MUDHY*uceA^dE`_&_W-8Rj?)K=_xcAqfl2TPY zHBDFL`O-lBre4$j(?5LN26-TSFTW1Rea7n!4c}W~yoDZl?Qepm2wZ=wzNks7q+fv$ zx^Pt$pz@nTu^H;6bGKpuG*^BbIJdH#@!R@u+8x1&kV2YNpIqGgJTwy778j)V94o4R zH@52$A`(_1MH_4G^7qx0vvgLm>F@^&F=JFy$ATd#y#j2dQ>o(lbP2zKH7RSj#%N?u zQ_jDiY6H_4+M@smEfuZEJ?t6p;gYgLGmQRnkBD_Qnw2B|eFDjVt&CeF0=Jf>J%cmygO#P)Bz$Tj z6qp6eHMf&H37+&p&C-XzyQFd&J|4BkLAr5SS2WKWxt#eSF}+$K{<^L-B~U0Mg?RiU zGkMU^cO3vjw>}@hM9|AvnscDH=aPxJFb%@xhBM36HU6C92u5akdGKsMg^`3@5grC` z{XH+paY|&zT67WUYaA(eebqC*Sc#@bSnq2wva}g3F_#Ra2c;Oaj@=|Y`m0J{JcCty z&1|6QZji9-B18xA*6u_8j7u)%qV-_-uR;<%pPxV`PP#!rODrUjb*Fg+5&slNX`#(6RxG$kz8Q!}7~5&YQ9&10$?^_|1?f9x`=os|L$<5t52`%OrkX z7pg*lw;$Qi`(GrTvjQP0)BQl-*YcDl78m|@r7kHQnxi!qb4UVagM(S`B7ZEpL#l z^GZAGcht#8WrClZC={rD!hD;g?<2<8q`N%earHIg~ zPW8|7)6v%`pPRSbF&E!`sOpL)XTq2u_K@*b1W2iCVz8*?0c2pkdt3*= z2usPRXH4n^vyziD8XKw{h-RjX4fJJX!^er-Zz&zo?&2wm29C{Fcr@=k4y?9oTDGVd zRYnC>ugb?e%enzlNf``u&UXQ|1K60T#f-6LRtYreV>e*s@y0k_Tgi<>Nw z^PVl$EJPz)aLPN|>pXO}N*FUHon}eTdI-~M<>WO|iM^3sS9sxPYk9=1O$Y!0yRG&t zQhuI^O=yS3?uP}gu+!4#RC?rWToIzC9<@jrPd2(tRMomj7y#Q6fgdy94=cWH$jfeP z8wuhJsT~?^ywuWho`eDfoF*ash>`TYP-6-rRgc&Jp8!D($___k)F zu@R|#+ofrFnr*m@3-fbU89Nm~%ZZ;uZV}S{r{eF7v0C9iqV}%6m9n~PRV^xX3eKX# z-y->^PW;k8+WJk-&F5ZTYiyf1kz9RSaIQ^KdR6>WHb_^pzp*Z}P@N(KVT9 zrl#qkFkTQiy?ERUF}Vv7%DzA^^@#YGU^czQgvQ1#-0g9(f_1wvj&1own&+OPhEy&y zBcNT6Sf3{pp&>^fjRjP%K)267z^vRy(ErsHNozsS+FB<;aRt*dSN}WBFQD4R+1!JdWIfj5QxAz+|i3IC{w zbi5ZLBgA6aWB~j?co_76h>09^_szKoZ(+nq3=~N7;YTgC`^I(^En~!9+oU8WkG(w+DkEz3C?cj(|1 zg{tuA>iBhf`hEQH+m%xfj=Z`9xyAD`u9wV<8LET6_Z5`h=|@$=w+VZ6e%GnvfsW9H z@hlD;?-`4DloJAc_T9Xo;HK|S+y6R4Yq(*8q11*w2>kW11QLY8`peIE)jE*fP!|FD zQt8*Zzi#?rFKS8(XZmV<89Xu!O!*7`nGD!COj<(*?k>z=Z3*G#(YY2l9tkC6pcF{M zHNc-2M)u)Qs4fUNeiCtso)pA?`G?REsQ4Lj2S|Vm5Dx|bTKvM?>2Eyy64byL0wfH( z0)c%6)zh>BkW#^4=syYwOkOdAfe7F96|jIde!v@4alZo#fyVFuEvY{eK(HFEtdFpj z;`Cqmo%SzKukj-VUcd+u5Yq0k+pW?beCJzsO(%uhG8#J-@i8 zM;iig@z%!;5U{5LKaR!F#yW}&{h$paKtLVFd{6^d%{*1?SFnht$y}(8#x?T-mqh!!g zE_wSdASx&GeUK|5{RnA*t$}RVjHn-(qLSYrB za_T+KKcML?+E_NU(*`y>=YMS)%pdo7I|sj3`hUJ0SiD45vZxD>3@DG)VRl@ug-G0g zpdQjM?z9sLMPlAd@qMa>2(|nj|1@(&h|9_P!l{s^_l} z!XRO*FI6%p8&NuT@X}d5e2bdB7(G`edm;2w##4ABCyL=i4(Go6Uy3GhO0~&fGuK~Uf*BX*ioV{4WYFWIsQ#u@uQs9BlXipVlPB+9ZUzvvZdN<&chqEGIZ(u3^v zqV)+#RfWFZw0Mb6qs(=Mc~$@*Xfq)Z)x%yooAr}EjU!`6nBd-G^P&;&0O;C7SE~1d z2V_xmIF$Ob@va+nBP@1jIkRoHOjOW`LFL(egalhwkQmxEduSW6xLf_pXj5bfH%(AG zyLWNZso?S#?XMOouSzoet#!_7!DNOfvPFzh*C`;@8;3btX$PJqCpLhtRlTcgM@v>4 zhzparmHF#(1QHH2D^l8j2s_^D#E~8Ps|u!VZnrvOUwMU@3S1Xv#$C_qRL{%$&p|A^ zAJ5?cvO%4up?}PaCyxA6R}F)xQY=4$^U7{$)>Le*W@2Hz@ZJCP{2e$mOGN+AS^zD@Wh7||sNwB)_)swzIQC<;gPfQtf5)vEJ|rDq zAN~q>rL>dVw{$-ya`GKm?Y7uFc<&FgLhmV7iW|eBxGi;W&)dzx7@~G>gG~sSwh?86AK13~ zt(uRmZ`9C_N~`i^sUM+xSKZ!peNvhdubfXU`>8p$E>P8%5Go~2i+N%r)a!Oz9?%EL zuBmW&AgA&KBxHY&4tcBfz3dtmyuxKRQT1A@^&GSId;}QEql1ewks|rvq!E@xKO->Y zvk9g2UKfDzwb1})$jdUT{)f0XjK%C|s{C!&GtSF>PZH=lw#JcnA>W|yyT)%yqr&`+ zX4KvXD;E&%G+$wJYZi>>ahvNy71+e(Z8ni0fmCC;3zF54v#2kY*`Uu2Pp0*zFq@%b zhi@xxI~zx!H?Wj)*NR3FY<(2|qo>{!7bw|+XfuLrzG^#MNostH3_`ErHqO(!b@@rY zVHQ!uM)wt@e4bv}v1J0O;_C5|FV@uLbbzjVUI?fjK3q9`X3NyzOnHzXBUiKtH#8Zs%yo=@0C@d zVyMdUD0?m7P97oSN#2V4?zx{2a}!~+b4ZwC9B>ML9HNZeVC+t$2o0dZMIhi5e#lp^ z&__t2@a84bAklSLX8IdEg{7(P+FeW{!T`8m=*rx>6ddegllI9ph5yYQRgGHfpE5Mm z^L7~dT{==3tLBv`1^ryp#S{UrwsLK+l&h_Q@Qd|hJI%ZB{jaV!YFn!Rw+*^Wm=A$O=xm$Qvaysv@iGh{CRol-3D$zMs#K2 zgBFd@k+it2SA(tW0uw#{E~*)lQXqM^ei=KUtST*(U*=Q6Ss3CrtoM8dXKW9m? z^RYSmQ&uARtAVf!L&%kE&To=BmLsK+@}n`Au2G9C0zet5Hb-|zY^s{np#qhso~-M0 z>%H5i$KawJjw50-9C>-vmZU3j1G=A;)|e{h;Rl*|%eX76YFI$C*7?*s5^-h2=J5Gh2dP$O>+p#zL?`8@$#Iml${xKvgQ^yL zgySx`8T+_f>;&58T6>b!J#X~+Oh*Np@k^sTJFeHBniVyo@5{IYgZ-XL7Ww&zGh$x0 zPT`Je0}zBkz~1iAnFCVN#SV!70&+ikl8Umzx^gqxC(GaJN|v< zJ;#zKGd=_PL^6?j;NfP<*ElufBe0Q!6hHqOGtAbbzl@;s%c+4}j8pBu27idjL9gIo zqfb|@HRP0mE3=iT$?SsYuVhm#d|jUi9l3liPYGydOThZ;fDHZ*FNPfIGubzrQBvTV zD&D(KW)ZGA>p#0`)Mf8lyA>Gu+-*(2<`&e=KhJ@VN@0yi2KGAe^Jj8GauG?hX2azn z>3u@WoLd@&GlJmD7k&g@lU4X#vh(RWzLg@{9UP*YtE-}_ z;z%Mos^mR36ZBxdF&!Qa0yOw#sT5E|u~l|mk=u6fcqb?vpzLrN(zJvi!(SgpaCdf^BmYWl5 zANl=h`dQm%6@5ACgaCd%fj*696prFp!uLi2dboG;wj<;`40c2Mw{huZidXYmHoTe2 zId$qR+Q$j`qisbxg;J!?gIsGiDjX|ijMbf%fO**V%qzK08Mc*)UDw^L}stL56x zi1lw0ZlArs#$IbAy0{xQwf)Ho5UJS<+WClTvy#B;LSn7SLUX&(&J7wOKL1#H{H4k; zi?d!=`^>SLBYoB41!J3oaH&sN#R7DWAPV5oR49Aqi^hy;)B}LnVE1=oBpxJ_O7Ge5 zmuZX}EHM`=MV{?yf{{+xwwb!L{RERb@XSP-J1pl6pUkatM7sr;XPH-h1HsU_V@+9K z<<&HQN~oT^fQaA@#RHV(8G#?3iPv{|4WlHX)5)N68zc$T?EI>!%b@@d#cp@9e=$IE z=6>QcU5ftKWBc))gqG$?@gZ^>@SJXG1}T%*}LQfc$rqm&b} zVHPCkmyuvOxypq?Goi*^K$D;NmU3Y(MadMOYOS*&uk0^ezF(KmZ+dEif?s@k?``>% zNg8ylUr&(A@bY;Fv&S>T`pFLoV%3U~wr6m5_`9_YJBw;-LqwPV*z0&KK@|W!SBK>3 zMy4rWy^yapw$y#MQz zJYCr6lQ1ist4idwX~}^+!gK`c5k2qGR*ljk7s8WFhDoy?tJi~3R$TdtV=U{;sYvTU zk~)=2W#oakxAO2y#@JC4->LkiQy^<$%ATv(R}9__%3YogBc%;|1Sj6)e}9k2>*@N$g6l+ju;OmXFwkE~>%rg(Ce= z;neTnr1T92eiYqB#g#^=gN>C^-?P+qS3<>CS*wEwls{Qk-f@5xEKH3t)QQ}xFTU{X*@ObOnKwOZNc7dttd$nRNwzZfjar5O zt~VD!nI{oMq_ffnvK8loV>>|(@BDthgYOX^WC~ad$wE=bF+*Ej9^szg9z8l5*}cl? zCb5~xrkz_Jp!p35+H#c4dei*U`{_RzWK2E@P`)DHEz{s^F)Y%WI%JgGhQU5137Vp3 z3%h-wO-ND=J`%k&(*qQrKNQrukF{BbyEQe%BQ{BY@^)}qs=ZzMhj?%w3Wic#%R+`| z*EAl?_05!#=lPMKtZ?&%rb_qLf9iGS6DBck)^ZBEKj@41}E;3ROp z$Bf2FyT<~+uD$9`?{nWuc&{B3n2;mW6|ccfrheE-l=rcEJ*QX2i^wlFt&1(hM?&ou zk_(QTld;drd2HEIU?mRPUTM_lB>Wg!tqokkSQ?}n)1BkIcC%rB?-I{=2dGEanC(vS zRR?O2fI2R5-5JQY9MJDMgB?VwSkPC?{@(WrdCdUKG&wk`-APXW=FRMjAL~z^yf0bL zi%qO2qTO`ZAb|^}qIzY|kGG4EircSjvFJhXBRsqv=ifVjs=XSdZXNf+RsUHFw)Gkg zyg@okj0GJQVu+qgN@hNKqNgY zjaCi0g7RNLJS2%U?#}}`0gm@gwKlXyjs^hErku?rzMk}~=KP7rN*O8U=g;Em7S(h+ z&;hnOWS$#hVF=m3rt-#S=zX?jdQN8QtM@LgJ5y2@b0~5LbeHN9zf(^RKzzH)a-^(e z&d)>Q$2gp!_apqx#3bC-L?(@Do*_9Dy*^5ZB+$L?mxMF9NkfxV*{e^fFi4C|P-p?- zgRU=mv`O89(q4^Cq?s$Z63e&S@z(6q32Xy1NCo`$+n!dX>ao>$2p>-)LF{aUaoh4m z3};r&9i572^X{=ak_Cb>5+(c4C@F>+n;C)8-9wZUXQ;Ppw==u3_#qe=bFT7k&o8?d zaG7|IUG?U{-fM<77VE`liF~FaG0Okk6J^@OBV1D^^~FwuWz~;sJg26!D`a;+Rk^#E zFP8kx+>W(%I&=!tj!<+@C2tUNJWSN-($%JD}z%!TIIPIdGh5KZuVJFR3a-;drE z8uv&1g)>2dOxg##(}rRZHA5tAZ>WBJ#<|~923Z0R?b%yl)WWGP$5w(uEX4s%g?asm z=|tvrX{yZ++>FI}u%L84{r9e~kFHxh$S))_-eD%!c!);a=S8{-39SuH`%uu_%YAh| zvtB==&nqY}*(Vjqs++Uwm-f7I?AZ1#u=&ix$}47lK6iAfJ&BbVY7AzrXATrK&x$f; z!q}GN0WQHTT|d3N_NL$sBn1Fpt2=jt3dmU$^8gON)vP4ou%`?w;8V__2c3t~rOvH#;t&`%)HSBTE4PWd=uf&@@f- z%;m4h&U+~byH%II2NsU{8HVh4u>n#ULGQzhv=;8-BpVFlbor+!&X&vqd@x_jOPJ4? zMwWYEmWuFz^# z4InRA6;Z^kq(PGEWoV>Q#I0ed52sc;!XmBdq9*|_YqfxqKikdC z)7YexHh!46)MN6SbF@a-+_k>v<7|;p3Re_XV%#+=Nk5e;eHJe2#6rdqjgzuU!25M+ zW5}|rsUYD>sv7^o%oVq}eTTrY8$6G`4ZKH<7%!Yb$qyt)T>!$n` zAPQHEXmE;waf1QiJF0wEgmyt(xN#aV2dT<z$|yDxJQfVj zen&qaq8j8CRln8wK1m=bfg?yf6o*7Ij3Oj6*$`dB92(USGy`lptwn;~8y;Ch9S{)O zMH(LN@yG?Elh?zk{8Sb>yvHbn4(lQ>aRfWvQ-Rv=Jl zmvR4TJ^x3*_?01)CoHT4b-ePs{IbDE!)-=p3Q$8(l!t(;}!zNHjkwYiYk6&eNWEo@NeBbOxneCTx`m&Q=N%;mJHcqU z8i_zhOSo%c-S5VgOB8un)ga+r@X;=F3o5r}xgi!TKfS7bu<=4ao^U>Qr=_ql9=ITY zjM^%q#anK}yv=Dvb%@eB$5+7!BW(>!teyx1fEgVz)R|Str|sw&!y`G!=-hDNE*K$- z#A}Q>>yz4v4LB8q2%}J%d2qQ<=c9!Z3q}t~1y2Hi7~~b*q`_?|HBv%@9cueS1T`}{ zHSY|Jctc=Sq+qB3 z1R#t7C_vzWgGcBoZ~|ue>yR$DPvVZR@Jx70XSlGeU>^iD?hvZfYC|qe7~@9optsrZclR_u;^M zhZ114$B|aBOsmsNF^ZsYu7CJ`S`di=a#bX>H0|IQ>rR@h-L*+p>gt+2Wh>N-w1RKA zl&{6{$#ar9>a4I;8w$VEN{3HY$wLxHQ64|wa6Zao(P>iJ810PV!PmO?jYNBzQFH|* ztrr;OtB~HChmMU=`3wG<21t=i{Nc;QDRIUQCks5%4n>{4Xz|jv#l;cdQUL)5Ku0Y| zoRNAhZ-rNEj)xc2%i5jHt7NmN)?me(B;y%mZpq5Auu0Obcq9ZdbN_l{*Gg9M?H*!I z3!t(zn&Q>N;aW449DX>29|3N~q!C4;-i>C#VB@;jpMf`{3dAFXW|3BZ@GwiR0kd~Y zu{kQdOm=t<3Yl3m!3oP+K7k(j=UKlHoEbPZPKj5By=-2BbMK4grk|_me4kDS)?z`o z#2{9cvl!ck5&63Ja zF%9Cg-$aK6hcic%L*Mba5eeyuO`NZA9tU>ZK()rS)tQc)5~~1d^9a5&u?=gu5TwG;X4N8@Jx@z2pOfS8`&Uk{h3mx;%q9eJhxejBHbNjT@L8@gVzygaQmUe)4$ zY??%Uw+Hj8Ca2!e5rphH3ii6m^J8=#3_A*OR*n~ygZ;~A6E~Mm*sY}GS z7bA)vGz!6M5N!3N<8~eMxQCs;dGD8h8TcpT9^>9g`Df-HnEIyc01s96?CQrnc_(Y{ zJo?j9f60FiTXky6qC9)2)!(`F^=YS=eqPdR_Ob%yRQCnHElyAKZbL?QCkDpcDT2iq zfyBZMC~X07SW@tT_i+0j2>#vE`pA^GK&gyC&L{z#1c9MdK4=Vo zI5KOdIyd@Lt^$wc-5I28Y zC+wX7P5{UHfJgiHz6U_clqmDj2pbXqHm@JIpD>a77tvaBFACkyNL)mF5A#77(okYU z-!__w7#;0swD8zxy{f9;&sNxu`|Z3pd%atgPnzHl(rtomzxO2bQ>$D3`Gy+$i^I>1 z2anj?Uw`x72bl_jgA7E(7n`cUE`UelXQUCEg1xINpb|;%FV@_4khKaRzxQc)zQWyD%i~mGk9{MW@xnqMbn{$-3Vf+<-#R zI+!{F5t1Ted=&>2+%$h(m?JY`y>hb8=h4jP353Lv2@Mi_Y;ON7Iq;V4iX5Rn7~f5b z(a2#3Ad@;ZqJPC>w_w_%ndVqUSJw1Ui~+UmW}GYJ}xfb))pCQ=p?@~JCx=vt0 zGCbUU!>Gcank#;9v{C78la|nu<`3Sy>U#+uO*=;#y=k-lz3r&UI`gIp)|D>VhAy2{ zRD~t@?P{GGwibIoZ(kTw7cb7e6Q61A<>%y>u1^jhZhlB`^C(l#v73?+wXU_S!<`^` zfU%T+0S@;l!QPW*xbEB5ecxev10?cZTmwFZ`V*`cYtIzfhLRV?_}a-79Bu2ST(0kj z!^8O*bhZ%P$tAE&J`H~frugJxoHa}d8xXM3Zvlk}kw~P}4ZYw+c+ujwY~W-1U-%O> ztsz6^V|DVoJe=t?{4}F`wU9m!R3u}?fR(2;{iGXsK38Z+)U?6*M0sMmU-(~{aalJu zE#SC6ZV%sQdGZLOU64VyyM*5GI`+wIzW}+-j^F_K<#mw3(WFn2QH~rhr}Zb}D&oI> zw`qq#nDV369D%Z_r$`xJe)f0j^78j%x(?C9O~o>Q8w!u(>}ke~`pFovvY6MK0cEHh zp=p;}$KB_<0ujIkZDrP8!*UwiT}i4DBs-WT@GMM!|Fy?Vzm`s|{E8WOc)pT92vQ-u zI(+i+Z7JNtx2frUHfyZW-K)|4h;e%bx~^dlu)S`r(Ipm%@Jc90MC|W%Mm@ zuG!kvZ`;swfBX{FZrdY1dH{ak?MQ5cKRe0(#j;NXTkDEyTRE1u+q%rYnl&Hc*3EQU=I*!wKZSEQ=sT2^eYH5 zIrj#+%U00*9lZirwDCn(eg*(Z(TI+_gwf^!z7=pvmV0-{enI*&^W>A_+nUUaO_0pA zdstt4$DY^7xOM+IC5*NY=u;!aZr!(^U6T<@VY*PMeiYy3}%9 zemZ9$Y*xwE5bg&DZ8u;4u3Z_9^yTSwk&Y$cj8w*h$tAsme-FN0910dlXwqr1Th<%55(-ip#{* zB@P*xMB3M}vi2_S5s`yyKl{^K*O%O&3p1OZWoUw8e-sXXmznmmg%%d$c>XN2h1fT? z@n1`J+8zm*GDx=p;Lo2M2c_Ancg5*3%;gQ;HEIdW8?1P}TpKj1PorEp6XOO{Y7OJ` zhGww1(aasM6xNYXb980xR*xSD#*eprEC2mFN(=S!_vF@UZ{k$zZ<>t?qFw(=6hCpq z(9*@|EKD$0b~sE6I^R&`^k(eIkWDQ!a6JAUgD;cf4e;*)(4F(@<@RQ6Cre+w+BTtD zYi;WeIeNgOo~B)n7`*`6rm+2g5tm~wO>LQSa{W8q9PuG#jaHp+8S7V^So=pL6(M?> zQ-T0J@)}dXHa8k-;|uCi=8oO%fARNU59i^Bbtzv6;v7-$u7q?BkLy=O)F^!;(_0C$ zbFr;)S(O0=s0j*Dsun-s4ld507mTGhm-Vl+S3|8Q&4MiT+_p{f)p~x^fKcFY82I2Y zX#BGwK*pjybeqF7Xkw|*H)wPzTqFEyKRIus*x#n~Rn0Zb{u%hw*tru`3cYY63T65) zV3}IH5+_&gDPdVLxJ}nUrU?9QB-1ubQL5aDvMdE`x>3ro?j-m_7jbu|vy>(U!(0E_ zcE^(2ScSkDvfOGl(6Cv`NGP79+05B5h<$JDy(1`PDAu6qO z2TN-vuMD0*rKVNxvWnMNr_Z;RkhC#Zv4zyZ zWMcAsCFy>v-D{88qxN0vLWa1ZR|R)*Y>eF!`#E>J$k5MJ^|W31%=zJ6uYAybLX`B! zV5Ya+ct+j(H0DlYq;dO9ZR(6y!YVuM7nK7pJ`h0uLPk9@0s5$@%`Ud{vQwK@ig4!RD&kYhs{pGAssK^dn*3_eJX7d-V#BY2^{MdtG zRiqvLpOX&|W{$M!BrqZXGp8;Ly|Sg3DIqg6Ck(whp*ABSGa=)@qLRJ6%fBKUAp@Zj z485eCnf<>J`~Qwa2(@`cIK)Ib#ROS6L|NEGn1wjmSeQjwgoIhd7@3$kMHra)2><_6 z5dOXBznCmS4i-kj|J+@t1duID%d4tmjov?BH6cdoh|&!X6jBCaDhP^1sU1^cE(EcA zHK;}BusY7u8^~~3EVALPHzy(NlGlhC!!hP)W@3slQuo<>MH*AG%&;#3{ z7y4ia?1oKBy6pe46L#@rKV<-a_P}1HQf*}`hOYa%eJ}_^upfrOOVY2Df92~A@Ti@z ziLd+wK4yY#j3w|pUx6_gRcgy>kqDtxJTVG6AgLFn6k0%zvAqgLnYNh+ne4Wonjnc{=9{Oky z(IA-7s`U3OPmtZU ztVLxFwv2mXHxqk+um)ibPDOYTeaDbA_lqwkO+iFsSQ=b*k3$#!Y2hpcXEnxQR5~{4 zOUPf4zu;FT2xg2)KR)s-fr|<0=06^ix|jm87UVQ$q!{rm5e@Qx7epXVNxx5fq6`En z2vVHmg*aP5HiB%#MIcRa3CLQIwOEEL+>w;8aC%fP$e;i@6rl>%z*?x59<=$6b<+O} zu4QMgc`*$fRzxBpdC+vq|7=v+` zfJvBwX*dBhFbi`q4<}&(`~z?r%CM;X{%mDU|5{D&0Hoot2$%eq1RM=BF)}bRHVP#r HMNdWw)T$+q delta 104356 zcmZs?Q*b6+7q*!vw(X>2+qUhFZCg)l+ji2i&5qr%ZQJvH^H)tx)y&yCSqFQc?0a47 z+Qo5brS+)w9sp2g;$&ens-{9f7(fjqx{X?^|nHr69sh>UVxxPpLb5*{6ac#efgKqTMwwamZn(K@iJLgRg+#jR{ zi#*q4A23>Aj{+}NlY6h)AL~Lo!I^~ngwLPO&TNjs+ zqY>N22uyFXAUHNd$`U9DteL&3i>tGlk=_3)2V-kkZdPI@;{OU_K0a7RIWv0;S4(1c zW=`h+U0CuTaCjge28kAoP`6l( z7b$d-Wx@REcjtV8EyC}{r}xaw&fad{=l#@)-#Srri?wcEi?9k($!*=sq}WTH_|4yI z8j=JjrXQy7cH9gU(ceWm3US|lZyQy)Sal+_SYBnUwj#h*9tpS;Frc3b=EHu4iHl~7 zV~HqltH@HYaTvtL_tiaBhC5b%-?b~{gLng-`Q&(lL6>kA{h#Lg&ZWdb+)4EBctWAr z=iV0OzMQ-8)tcC8LN~Q87vBv=O35nzlarq$h3&}npquwe?w8}QwT}n=wqn-W8;s;P zR}HycyAdFG1MNhXh9q?&@2D8mu7>qxaNk@q1{_4 zrsMd2pM1raLoAl2E{(^rOP80CvvQ3<;-2u z#s8ogTqAvisg;W$784>?>2IMKU$YaiQTQLL@*>cG<42z%3T3DJ=6k6YYE7JBN6rE) z>+cw^cV`Z~1`!v-9+btwO>q%wVp6XTa4~->dc&Bs)f5R_OWVk{Y-J&RgNr~$>19GUwMtkwk$)gn1`vNi6h4Ewp-xKp(ePIQ=_E zO&ZYI$yDuQ_G2X)b}*#7SHD}o4y#X}Q7IM;#;%xZ*6Z0q+^c0bJebA*6K6$*IlE4P zcGTBTkAVkk#3L!}tXo&k;$Ih5om}fYErByhYVfvl-M7|bI9u4_q{WX{S%FTg+T@{v z=|Zz^ZOFL%h4<%W{!iK;03Y~SVAb5abR976w*(bh0h{$x-q%c4xdhTS#x{+$%u!vy!c#e@6ICak5p{cr<%6HQB zs4R6h;fw8-Sf0|-DT$=BM95SSzuW-euv{iX!l0!!==!wQGNP(tPu_e|$Zq;l8_?5o zun=K&5-v4O7a!p~v6z;~*|}8_*l^adKF?7a$`n9f-Fb7Q z7-k!66SZx^#}i#cPms{rusYF&Z!P0udtd*8k}O#ac!HohP$jeU4k zIPF>K1A+2O`AI@8T&X)3=0&^ZeV>LiLgt2(rLS8rN;i0I+t* zdIx3`a_|>`7-WKN3Z?^u@k&;+YaMB0c1m=_BZ^_C-ccKb z;Ah~i8Sajn%6&G|nl5k=D&BMk84ByhRiTsh9c#mK)x1RTu#D@*act1h87pfyAvH4r zL^OYl1XEx1p;^+sDeH23hP0`uI0`@Ohda`K1pVSv*2MW#vO@L@!jpz7SNPA?Hu{SY z(L{^<+g0NS4~bqT8lpx{=u^HG5E~Xu6O;ljyOAf1@?SLEvwPM4ASHz{P$9X1Y2~z3h8NiOI4{EjyZc_u1YpIsYo=$lJ zQ^aJoeH@EC-3(34%U&d{4Jmi25q(MNk{Iip1ClnP3rF6@MgeN>?D}vjG=hhZj{!N7 z16OS9gtdckB@M)w5SkvCb%@pma$9VHfNl}dN2xE?teJ=o8LPod7YEbjq=-+P2s6=X zD$GtQ!bC$wD}ytE%n>BM4K+jZjhNSP`jiqrhH3*U6;ke^#D9_|6#5nZr1iiVLbYkh z3!#@(_-VXtqq7y#gs6CugRpnKD-i=hc$$FQbe4G z1VTS{d-7#7ycza82QNTGe>G&zN*Q8o@1~=ELLFEyfA+mTk_-TmR#E4Ara_XbhsX## ziAsvfa$r*%UcVNt7>yWmKnWbw(L!=32JWUVLU{SYsSGBTbDs{+!Km`;GMk1CSD7OP$BrZ(LPKDSR?hrLd+hLUP=w?%PU_c2Hf&#IY9Cl$|?{oH!&xRn!{agb$yuHqIxPn zCR!PPDHG86Nc-N|vLS6CpM>ia<4n2KF(m}cUDv6#(Ob!@vi|7(8VqNEb%r5cpK_1) z42pu%@sj@tO&-DM!~G4>xc`ecRynm8XvS<{uu&}fK&7ZJYxbf)bZbfn6-zpC#i&Ck zF_0Ui))d1tc!6ldP)5%{@|irNaIMINzjW*-Kr0k%(5f-sO?6)6^PP=JLwL zNg)XkN?#qJK4hj2Vgnt7dLv{Gp58fcx~uXq=!V(lHDh{%W#ies6*a~OxHiH6 zQ%m!q_$c*Bb0$WIjc^F6f_OSWQFKt@e{-Gh;p6>Dh{+wVH-=p^Q=)`$an?#e1fbAi zqF{Oc3R=rDy}xuj#wIo-k&tkw1Pt_UIhH?H*kN=->m+lg{C3Oe0V&BSLMIpqr< zrqRr495Q((53%Ey!Ls#R^&79IAUP@P%YQWel^i41HG64c2`4N#Y!vjAycwWV}Ns2EeMU9$KHxGBL9iJTQ-v2C^dAI@g~! z{HE4%mKy;7Rl+StDHIr*WbMcbmt`+6`%XqGpPfylI5|-UEXXgQFl)6f2Iw;UCh$aBcqKRke zOCO?sI=lvEP)9o}5_hje6If_f=Fh$zZoNJJ+zvj*W}zvj8vL{MsXSUY;<0Ar3WxCF?442vwTp|>7 zy|juB5X?+>Xj9 zCT5l2hbuwH$?(UEQf|wUO0N2h*k(Et+_Z*ue`fu&3#pzPP4Q_I#Wy~11mX{K7Y4Ze z|jE8zPcyP=5*-=|b9`no;u0c&24AIVTQQtRhLz)T51 z6*R7k-wXTXPVIZB^V7a>3KG>FkpaG}e#cd?TVajkLU`b?7G;pom&1gRT{Qz1Eei#?d8R`(c1ms3 zIIU_E*a*^d{SkjNBT&+s+Kd$^On&@HROIEv3p0nyp-!%N{acr2k!h$9r4i?t*n+*$ zr%dk16M2kX1Y;^@bZw{LebNR_^zNm@!mu+`JlV;!&n+d6z&=;M#1^tWZkbJF1Qj>kIkfAUX zI8w9D74ii;kE=L(ig?3AF+trFpXtN1Q^}G$cW7^Q_ukJUE#1zMCl$PbiuMV4C?jVw z9a47*-_h+ClQ%+t-JluI)HC4X4c6O#hOM;o)2fAut~An>1tl`ybL2TixkToWGnC3t zM5c+E11C~4x_SR`R&rT_N`$$n&5ff1WQebu$u_!!Bf|MType$lQ!1UxK=c48hF)UHCVXPmEhor0nJ^2R+ePm3%+!aSmc?gdNML*P$@ReDx9EKC+Jv@ytEu!uATR zzdzo6{~O9Gakn3dxHH0WtS@)$xT+3?W+X3J_4hWXD_TzJ8db~tB`oa-`D_D#`g%t$ zX?JqwZkrYcS)6^TTqm0L6(_6H8Q+cf*8EVXs>)f2)_6S_em8pVj0~zwNE%6Vr|uwz z<0<^`m0U`D|C0V7%3ZTc;NcYb)`}-n$+s&-QthZ`=0&QpQI~k{Vn>$|+inkou!|e{ z`Gqv?n`=xts9NAN!Cv5z$Qi8yYZTgnJybxl8N8t3!rd57I{!nBV}MJ2G9Q zbp_C?-~erIo~QoF>&3e}tGbu-#jeLdv1-3x%>x+j&_x_pKcx1p=osxl4@~w~%M6S; zq1n3x>g$MQ@4V*_tD+ve5Sn*2?1t5n&K0!fOen)jJd#Uth{JEr2UGMgGQ6|)z)+jk zGAjeaNRFj@iHdc2y3D%dEj;b}z@%SU1$ZDJRX=OqMG3uDB_|pdyH>VOzVRn!16gMh z%gGR;LFF5S?1~%#8&`P1L>WA)`R+<9ogPPDr<{5{E?E`!d57>O)7D2Jv#uJ{-@FUM z?=R4iv7b- z&$P8x1C+V;BO=WHuNcD*ItoY;h+MqNh!M0w_t0xzgVRmu56BFlTzvf2J15PQ<5i(Zc63c-&E$a}F=L&>-#R0`bNCS0<7JCqw`b9?)jUqk1K-GVwsc zZ48t1-#P1hVy-9H?1$JE+#TS-W}~(!nBUKou`G`~Vt{t~CvgE-$H$}4^*l;VG_blo2s+BZ z+BbNy?rW9BIEB&S0o@o%xpJS2iT|xDMzA2V1Qoq_b>l!%Tlobk1_x81$nA(g1LpjB zeWl6w7F6>|YrsUYf2uUPdtu2#SND^~(#%&+>0#qxitXC{L%ez*83xR1c>9|fB>MOH zFzzZ&en=hojq6jTk3T221n0`ED~bk;7l&XQ^V%^k4CD%3J5StIM}=X$0UgvRk(Ekp!Rc9ujGG%!wPuK%zn4e+yJ5|Z>tZueuI2P261L=*v~pHwqQ;D~YE&LE36 z84EXW0&kyWI#iv4o=ODiqPDYFF1n0VDy5fwh#O5uO;UWa$5C$njIQ}VQ+@VcJ0nvS zb-6g-53j0+aiILcMfJ2b^VioseSL1F+#$uu^vQ$4l5bT?)~8F=*5TLN9c88r_o5YS zNY+eBnAIB*jLYrM8TE`5Om%MN>k_P2FAw{gkOX}o2c|+1+O_L*$A}peWjYiPpDH6g zQYL0bIEqY>Raq<9x0m-~FMlWtonSR-msxzE<6{ zk+Iv@4sheC>MDUP8G~Uh#P6s~@3qw7D{2%;HOX`tk5F;u^>CsNJmU0zoO!H(f~sz^ z-=bgpRX6W7=#gigo5)gSTn$@QO#OKs_hN&JDL*nAG1E%DRW2p_f=SiqA4Jkg&tEx; z%i6z1d5wee6V;)8Rq3fWo}WsbOC(7UiG132SwK&sPa(+z-@5xk=LG>8LZ2E26(jOj zoL5e<5mkn2@x7>qNkq3IIH*b1-9xcLgnTcyKCVn6qI}U?T-E_9TN_|-gYD&^^EsVv z`j%nGzjWOn`=l@)M@ONFD2(&9` zFLHy~U{EfOgMR0@?x;%V@7n!yb>EHk4$zg4!Ccb~i$S_Dk~hv~*5H6IKCQ)|py;5T z=H|Tr_(GH*NdP;r24z_Z*21}|YCngEpTLGmT0F5hkTr4KRm(7DN-du-;pf{L6b=LY zZnqt0C$xGrPkxkAl4wR~L4+n{Oh#Yu!c6YPzKggo+r&`Uzx}_kHE@5468!mS1#ELq z7$IS7)XcuQxBeonBiumgXbE(wo2f6jr$=)bdg>paHLr7+@MibvDYM;Sw;2PG{{UUt z;i29IFD%rUb_E31_%uD`y?{cE%2kmlh_r5wOJX8UG^J}m9cqgI#i&AvY)kn$uBd2Q z;}G91x4B1vs6-GN@W42&Zy08i0sad$Xt{t4hLODD|4p%8dKRPwg2)024N3mH60mt?nK{uwOR;isG*~B9 z&Xr>jnxyJMFzdT@dqY`C2du5;i-%ewkU;TxTx%I>)Rd}d?JkI-W#pmCCD*OjM^P9s z4-@zo5$q(3fk5bvPru^I?0mFA%%L|e(p9k3mXdr`0;>^r9A|#%{1oNb8Uq7v<@hEq zmi-z!h*oamxla~e9;7ggapo~Xc{5ZmA~`!g|4T-)@8l*fzViyH6WDHX5LVi|Ca&l| zLWSH$pY=p(#l+@B`$nbE6mM;t-7C79ZKMR@0ltNoh+%StpocxVWt?CG{U&Z1oJm~J zuPQ@5X$GRSia>J5REmyvGnPD0HWt0G1h(@_p2U00<%P;24WJkp41;*X7pTAu`;AU@mAGHCnuT zRSany2m2G&6}6AW6i#77X{W*=Kzl&0xHO~!><`w;X;*6CXvw^L{crtw~bwptpD#Ygl{7cG{Ljz&$YAwu#XIot=U zM&Lha1=|R94e+|37$lUVDw~n?Z2s2q!p-VlHT~cX5?d`>(TfB|>I5nqXP1owN5ESb{ZK=a@k==Yn%g^$N zE|3`vYtlID_p6Lxalb{R^8uZ%jlk(vsn|YMMvjB; zXo@15gj#EN$C3-Cm-Z7Kdn{pq@qUAZBZmkaifOeUkS~1s(hpE6= z|6?gXo(Cmt;fey??V_|b)bSvSyW^{m*lc)kxqnWK@X*Ke1vVbwb252Z$-DF|Q_>M= z1F?%`YHHfPHb1_W?cw{az9m2%5vU;!+Wze&T!0M+1MNsQKHg;_;QzP`FbUgM^Q^x5 z1(s&`nY~6_SDE&25?8v1?o%kg&G2P5*AZt)A5C_w_4!%# z-#Vni-bg*jYu(G`kmt~FZ|*3T=q5IgKRRt|I@cEjgibh8+(|o>Bythp%Dy0 z&tqiAShGqdcOix3(WaB*Fx>+Y{<@%SDf=IIpBtfBQ$Q~NpscnS4r z?~UeEda;;uG;{wq(qxupi6;}2wtW~S9j=Nb<#p}1JDL06sXJn#wc8*?PK%JL-4RS# zi`(#bMK&G0P&lOT0-;+Mm9rVm!?8#vA_&tq;`ih@NSng1Omj9Y@|HZDGeYn+ET;yP zm_Tc%URVOSK8PHg|86FO$5Vo{v2d~dZ)Xpj)|FK~kV5T!sk_}417BYLm`Cwp+BIK2 zZdv7TdRPq}7_?*#N81oAxg8_zbKe zK>ADc{XYI^YmK4Wb7wcZkfOA;I9Q@9GT!{+;w<1b_WYiLW0O44?Oe{sJ)7n!A>|BA zptpa{kiMRv>(j?<>H)_7Ahx_z%CN8W#?3p%j1Yw#ApN`v?a`dg6PB`-%007{R~D9e zJlyV`028;-8AKqc+sa;+w%+GVD%mk-j(g_Af!)4#GUBj5y7E!$x7!9Yh<&^r_$?r` z+0JI2Aj|MPGMs@(j5C6{_`nWa!YklYLp4`;-ol(}NPlE1*_)L!=gx)8OtO{6d-5kB zp0oou=Pk&fL6K;(OPYB)s^&JdxJHXF?JKzB!c<|;%&7gH3`5uR_9yJR4uijQuVeJ5 z@t@wc3$`W1%~zao5es>kJF}D{TbS2WU;Il!IOMDSDE)3wSfXfb+d zmcAmaByE8~2|;ndZdnP)$4BsNFetI}3!g{#D!^J?CL$Vg3#Sr!!nMdw(R!|sKga+ch~RBqy-WusW|Q|TIM$}&{L%?#zsQrphW$z-T# z3Jt_Fh)Ffcw+PulH1KO!{R+5M*w-9V*5UK5CvVR95y_ewb;Sc~zgxDZEYD`H3ghta zv?}}UVA>Ckt{D`+8BNPdweSu1@`m%F24Y$0M0y#f2C&{F|IbTfs)|<-*$r=>6k?bQ z*{dXf2TT3Ae$-WE0NMfqo-{UQ&`YM-8>*Pf5~o`QDkg|s{C+D=i3iw^7@{(|-A_pn z04Y!z9H%%Fw%a_#k_U~sl>xy?=*1bP+22tHZ6Rpp_0&vvonM!-2mhBd-)!XJj|mq= zc>YHoPlZAx#z1ngKm_6sT%ohds}&?6X-?1aVU!TPd2l*j8V$A%{iaxJ^4g@D%|(^5 zlY;NaIE0xHbQzo$pa~e>SOxR@2nomU%&y-O-8ZMEA~6hcDw-4whN%y+kKkkw2Y#*b zv1+o52!o8h-xMLvuhgOv@NC3iijD8VEIDIIgYL%8Y^TW|-AD;jbU@y{D)QHq--X1cE%vYZYhzWhgT6OP9QncsO^5`y{p|yP#{WnL>vk)D*uiT}Hp@fPNEv-eP3m*c{@gtCUsTetPz093 zTeKy=@g-4y6Skq1f3ilP9h=yxQ4YhXOBc8On%Oea9M%pO-#7hX9ENGO;JOOp+N(=NB#LMX>;(pLc|gSuYfFADMkY(0gcBfB1}4l*c%YnI?=qBK9i7$3au;f1 zUw~qQLdD|ihR1d$yJSOSWU8`ds%o7@s%j~p$Q$Ut-`9XIX}=B*xUp-iWr#bc=WH0i zy`Juy!I5(^UF$U~4I*2$E)OO@_}8=U{^u{KKF8f_GXpajyK{Rnr>zZHS_A6>|0xs6 zL=RhfklK!@B07~e&*>62j~n0L{ySgO5MdkS8EBzMo%2E1Y%5{-!|F~SpcWU==4M{0 zP6K_>pV>emv0WO(1A;WO39@rIMbHmvVc#!-bC|OxW|3wyBUi+lT*iuql7nOa`n+_O zvFXF+^$fJ!z16NU*(35^tn_fOay^%jHE^$l$ib~L7I8THN3bhVUVG3> zKE&f}U8pMGIR+~g~U5nTFn&I3QrF7s7(OE*v>k}R=Xwywam?L#98}2 zstkzM7cguD%Yv_;mvNlTv^}8je6)lWd$e^0XOhF#6@{#pjvqtCUi&&R~(KZYPbA2@69Z^h-)IwR{!zh zGgmym`#9}OZH=LTQZJ8Aq`^VMU z%DC@Yc)68n!FGiHADSDeHwq^t1Uq2dE|Mkg+zrF8isWUsf^yA$BtOM2-x2(2jv*y9 zdmo{%$`%Knin-gQdjv@sLMR5s{r6cXUIHg*!`QCmvn^6w?B88sUZH-sN8J!uYMiYN zV7kbvm=w#9*Lx%B4|nEerboto@(Uk_&h_=q+Ra=9!+Mz4+eJVQ9)fx{Wg7z5hju!ef{t__ z8nvp^iT9yL3Zazh7CSVDY%~k|w<<7~pe#>aXdjcdoWDKB&2WbFj#gEH7a^Z}uZKPf z89A1jbM3$57TmJ;{muK6h3|m$mxXB3C~qK@YX*>uL-I6jR1ghp*NqP@!WtnnoXCqz z-+41o;^q;jMGsD?(Zjm$OXGKUw^jVjOj+)MaD}0Xt&w=XnZISxa5V*~ZSIa%Z>Dpj z8NcF%C8;~Jo*4xEtl{k3s-`t^JLUl}cfGm}OQ-jTkIf@DIOZg3l=VPlR&0{2ddd?< zy*$*m87%K1xIC8pz@mtAOg4<)l^b?)$dGVck{*UZ8CXm-ROgEW6$t3y-5^M3AZoj{ z{LA3{eb{X`GZ?o@P#L(xY-81s$4j@Dpxk>tn|ZQ-IAETH|J?rzP6PJ(dPbx)M4bjz zY`%=lmK0xx7^+)p2ejJkaLwah)Vl#s9| z*bF5}!i~gOzCndMP`N7;tY`d}!T>ft!V#mdkiBteaAh^;Nx#DhWzSVUs zN~f_9eP}`V=lEu&%;em1R*(aOK&$C1VU%&r99WoI2Vs5XGgZ3qFoO8eey!+i&h%#} zGs(CEXi2n)LpY6gM*HdgRxIG{S6r*Nh^Hn=7)Zi4oW4>9*o-TxqrfA7o41*@w%f=` zv9=+U!0DFuXV?M3Jeo<3 z-D}aD{m?JX5X-RG-3mO)0a?oL1i4f?Jo8Y46*SAJiOrd!m&zIQ#1lI-k+>X-DbeLD zjONU^zefyy5&7+D@(TQAz+`rW>-I{WzK5{1Xses(BgF>tr`kllc}du1%d62O5@Nf* zDkO8aRsI`X8XloR@)YQ7AG8{_CJNz^iA@|rlImbE3&{L+2~wJjQ?Wi;UOw^TP3|+q z6-GmQ;AePlK5r~RCHQB(%q=^WZWYqNCeLTyc0EZda9+br6jW2XV*<^!KWoCnyg%C@%k?tpS=k~aO}LjLI1$7^yw4zW7+P`yA%Hb1chZ$ z7Aof*h~P=hB(6jrpahyo>`lZ2Pv1u>7kPDQEHa03%EagKhV&)+)tx@Z(v`i z@poIdpVgE2Mu=ADa6x)(WE;JGk2*bvCI_Yawpczu5M2saF3)*Aec#_REPby=kssd{ zv~9WS%p4#WNnyPv_7IQ)u^$do|D+| zD5wE9bEa5Zulr*qsH7w{G{=>Hhvr{zyM(hXgP>!eThc1`rH({uoPKs|rtzH$GheV7 ze#W*`)c;1()kKcf2e>j}BS1+?N`1^F!knG~k@=x}_x<|z$5bGk{asj4VkA+c55t;D zN(|=|^OBqerOnL>Dp=B9DGBdysgfrI$FzTVFnNx?Pg8ln^XRbXpBJDaCze~o(vzWh ztmcvMbq&pQl=PxB7?X-O?Hm+Xeq5L!&f1{`Mbx66*CVv$c zk!r(&XVbALPfUnqD-G?Fne(ZoO~$+BU6ioO!}od^n#R8tP4w05sM&~09Ok2@2ZIOc z7HvnW-%~sJsjHvx=7I;wqWQWeH#-!7y+7Bd&A`{w9J8#JP%U(thKXLv;UXqK7U?eN zhI$&(gS?k;s|^kxPevPF00#0&P#vd`Q<5yO#|Fg>b!AI)=q40Qk-PZ!O(ql7g>p^@ zTPk2Q8~q!*mxOcPromP%@EOh?Q5gQj#@?UfE+i3aVA?5rXvGAOSh#%RKq&}@Ai-EI`xE1%jq4Y$;tQ@ld5(!xp%$?|uY`azqDUV!zSHWQG; zP?GXw)ZxnyV9V;S)b^l(QX{4MYz$HDmoKHOmvlh_hLk;VSCW_O0x6J=aM`Pg1@k*td2K&>K4T5m#Jm@)YrO0Om7qYp-Z}j*_N~+}ktqF)stZMLE zBQ5@@ceIF}{TGPthyKt3T(; zAhxWig~fB%84 zJi2~qP(?tS0t=0*f%f8*k$>+M-!d}WWxD&95OnU-O4M6QO?mpV zH7&53WU#|3GSwSVFqNYNaWk3{g;%{MYs~bk65Xa~`C_JcN100F6Cly$4&mXJ3((*O zn6b3r(>lgb4TZV9#&31UktGQe+?e2-sA<85z$dh0g$sp-)#fOzXZg@&2$RJtipaoB z|5))@S{M&E&rOdh&&m008cGoiNJGydpY|sxz}#a_;2F+MQ9Z^3QF%;0p-ca0((QN1 zwnIq|3@d|EqKGKX$RK#_1BicBaH=$r2}9pfARjQnpg?s7&Ur6D#Do2lF=XcARw3U0 zn;_+t5EhskL(GjrK#a)4tTO8*&yv>xj)^9Q`mKs8COuVFkf}K*ZUjRd6)4=*riC8L z{xTe}kPvC87wAR=j5G{omrcqGm^*Z}U=|>6B!Pl$nA3vCY%aYfR+r>d5kiF@2>b$1 z2!a6ZBScdPM?Qt&2@#{Pj8qAC0wJfV_+v;ik9}^Y)!ckNM^2 z?IA`Kb|)rd!3UX8CADuKPSb($P*xDpoiCsU9b* zTZ={&%`-jt%t9%XbP0wZ>h|kxnq{(^_t#z%f6+s{DAx4)Kq_PL>*M^*NOWakP-QhK zpiW-h4&W=Bw$yW$G!%R@a6y?n8=IF{f9ftPM3`o3A4 zJKzG!Qv)~yXSr~E>CV8=g~-;u=5M3LVYT`sd*>8ZGj`Ff8z_M^*-$Vup}z+|~NF@H-?-hJ167=hi!lBBF|F6s-SQjPZ z!vX0=?YH?286okj<9|M*#$AR$3=<->J&HHa*&4bF>(BEC5%Tc@nX^9wO5wzr>HQvs z@=7EmP*)umt_a?eQr*uHP;F6mbI)TlAUf^}{J6YvzX4ImX+lKAi`1hUi%8Xz5wZFU z2_d%TH#)cPrWcE}t9rwMFKhN#`zv>?U^)Gc`>kOm-E6g;#T4AL+1jwNIN3(=s8qC2ms{)FVqv2P(D~ z2*sAQ4BJwa>S&B^+fISDB5ZOEh=tuOOj`sv<3sgW!(h-?qbtI{$eNvv~ry>qzbc4rV6WgRKXkP@0lR+KA#WgrLSs2Hq^lz8{iBR z0+X`oK-E$rG$Rc3T`uS9!H{y?-vqP>Q~#SOR}Hbnm!{6pO#+P2NsI4n238G$pTozP zYkBTx5x<*#B%T#rk;)N{eI#Az6X#EdA&l_F3SgOZL;GuzH?92Wv+VPeADAmh#PHyC z|57u!3H7V!y|MvXq($sd5!!Xe5xR|wy|C8uRkGb9&Ti$+s9HY&TOPHbF=;}w1i~UEQF)MY-*#z>vxH0RdRkC@M z;VmReEOP^7sI{Uog(>9@7UcBg=_M-)3~)u9l<9b4J+XZIopDrJ?o>9{yk?Plt7^_3 z(gre}N1x)7F+0AfAkd?WPWnwyK6Ni^+uBRb)9nllI$`WiNX#y|9;5U?-jb8xT%c8D zOh`VJ+Fy-tvLz&yZ;+1kr8}C$p`u(NA-NIdOvTxzM)VVHFo}_qs&A@q>!wA)*%l&B zV4hsntQ4G^4YwMulA2J5s_7+~FG)dt$d>#Dt2a-~i(_KfBm{1xfqtKL28;MsvtnR84nOM0+NDG#7iu5o z=oQWq1QqmnwEfpbr%CR{?}p-Q0pW}5gD#gaWMdCbL0L*+sP&#4Bac58e;(;^UDg&}ii7uS-A;c|JM+Ph21 z%-XOLx}dp_b*Iw}M1k5@`lRv4%EXQ~NsLBwqL~pR5r~T9!YZv0Q$OAp`Si%*JhUsq zjJ2eCj==n8DJ>o|*Inv(eXL*cZOb@(^f!Fdjn~(#!861%vp`wiho#`ehQpG1-tp&t zk8Xr*>E_a8s^AS3HLD8|E$u7K+o`TT6Mxw^i<>36BIJGF&#x~;ugQNNh8HY|WfSE59-dUXAe{KG;Ggpg(GcSJ6_P3O=sA8c`lgD5F0T2}a-o}S z0bPU|Z|EA}5^w^v0gzUV72~BY)-K&Jb9bfUD-`D&QW9cnh34*yUX-@!he?s-3g=`* zVp(v2j8*h`9{wnzhBW6F(K-Yax&TOFnOYt&VnZpq-z$!A0h`)l-?XETMA8TFQnhzn zuuLuTvXu}R$L%Lv(rMGh86|Y;J?@SDj@t9Kb+>^>PmnzXdf9SU%cKwaWA<^c0qA7m z;stnaX5vcrI&7zfBzu41IUjk0_vq1j$T&oays7Oz9fQwzLiWPmhu08#a5y}8oD0*R zCnqls1-12$jutB6dPXUv9&x++&exWvYn_ruX(_~NeTxhr9T67Uq`?ZzIjn2H zPr00xe{l&>AY+OiGy@zHc|*vHF*rH919e22#)HALwy4YauMX5b#zguug?UO68W3^& znw`q>9cu{YF-dLcIZo#PBeoIqCE~z=adH1oU84ey=*YU@jiU5E zXcD)HV=(8v3~@#wg*K4!ke#Zn-2uon=5)X-c1g&459>}YGPObA=m4vR>I(RX?mp)4 zcTX$)lf1={P;9!y{?Lhg6{gY2CV6GIojLn_rw z*rGGX5KzQfznj`3UJrN{t+?3ettBV1W$*zEUd^kYqE6SpHrRf;Yjp43{lU!g@JX`K z?54FiI_&CNy2yAD=RSK*Q5mVvnHR$Ps?`+d&VI3ob&v_~y;4gKC&1>>(~CwzzsMpc&6m-9s`u|kdqs3HeTxwBZ*i(vb5gv<;G8Fw zn@F${pIWjfY$6b8=K#Kr-05c;v7UoHqwGb{qm(v}*?pv*ru;!?b2fU-mkDP%dTQZv z#5jxZz^eY0OI8pOv#CS?EEXK<3IDE~xvrrqY{+U!3w=F5o!Qk-Na*OBqi^U$8jkKOpTaP+r zhvGVMQJhkH*Uh4wa{E*^I+P|JYqor9abvzh98$GVV zjDSS^s9OeKux+JrVW=^h7ZH$PJbTOq*=lVQT@D;DRa=n48qPO&7J$0z9ZB3<2+-pxKKvQ#F{gpxqKvjvN zn)ufXd^vdP@}Qq2747|-1a9wH)NuF|Zl{WJbL)&iKKXX@0PcnIS=3R|59j-9hwrxA<*mgR$?M~A1>AlbSZ~n2bYt>D?V^qyrYt3i+ zdIJ2Pinc(fIS5V>5foS&aI2^{BlV>m4_F*_0+oX9rIrn`HFrKe|Bs`ifSCl;fZw7jzy+%IFmV~C_We!bjvYG?y0EOQ}B(;*w~B)2r?!Kdc##pg*-WeaftR-xRSZuO+g#-xOu@ zp;cs}Ov_D`8vX#{-`7n*$$TnpmX!x3gmyaco_ltb@$*;mx$?P|RPm)ClZjw`B5K~n zz?d)?=z!sfn%q<~O=soX_72JiHvs-LAjC-Rqw>U_+WF<;M%UUncTURnH|;U`gg3>I z*^^{4_b?X0WL2)C0kRfdKMPw1Tx+j7pvb{ICObg0K^aLzGTpQenN-%bmHUW!?z71` zJwZ8M=r(=lc4Qn_nR#Z)L+qU!E^+eBk=efqN>@ZDNX~F=@)#)SV~UY9yZ{&M816{M z?yifNQ6%@9(QPWkG*87eEgVHtZ0Z^*EEV1{C@PiZ-9PuAWzph&)mM({*O%=;T9KGf z`ZUZ^E~|ux<{vje@og8D-RjL`W)&Aq{fw8(am)K>&Dj8LIe~e_79FKitd^w8bNVnk z&f2Hl%*YO+nj4& z8k%b*7rUEi*mEvt`i1Ay46l-lvk!!t$&ciGIuos)rxd{^^CDByLpxN&mZ0Zu+ zj=%J|qEls4f*OPcX=J-QC_5m(wLy`o?BZpo4OmN;sG@CqR{=vghy{k{HVp6^-Ccbo zyt^}4_;Q$QDLnc7qBc8H3Px<^^_{Ccc+(uRG=qn#Eo|B`^ZltI^G`HBCfs?17wGGm zK^^#a=t!j^0tZ{u0lg>BaAFG`l(70L7|m23s1Ai_DvVyx2WbK$k^^CQ2FfZys8L6o zHxPDulFCz&Apk!y`?rbL3$>=iQeZ{Lp*YP35^bJ-xhjCQ_3s0vnyb7}8AZhk_l?u6 z1Ki%GP6F-}D{Vx`$8HT#679Mdwe;m>GFsS`Fgs)!J#X=|tq~Po@_|7w|F}e4G?Ml< zUt7WLvt}=uSIgN^^&%aNikwXJ@N52M|4SUoH}?#XTtGSw9)PK|G^2^%$4x4UXq*-8 zxna+6cl*^7Vjdk_alySJ^3ci>9Oho{WZzwIH$2;2C~j_FpFv`TMu!r z#`&!$^R5Q6zXH{}8gh9t5^rGwLp`oNJho5yh0PIW3OY9;n!APZIpH2Qc2m*-c z@}~5cHUNi?(b;$U4rCQ$inH5DpTQmFh-&8u<~~-0>0S`|jNj#l6D3T1$~%C~P;I1u zuv%xJamA}AOT}ZqpwyNSHZ6ob;z&kQdQITo4&`L1U^WSwiQsV7JIf~x=NIO;pHj`^ z1+^r9Rn4s`xcJ&YoW><^P&CaA`w*H5*!;$REFj)%xBt6}Hgv*&bXs57_VP~BM6f9r z3EnAM4+pTO6{y?o6RQZ9|M;gkoZ&OQVpq&;M6^j2r9vzlYxJG;t)V=cjxDeA`?HKj zbFV>a+;*>&R|Thh@&MM5fXFU{hlt&lK{x`DX=HaEi0fHJZW#^0#k}^(JK{)!V>8K_ z0Fe3kO%d-I|Hp7u#V&36YISVrM@dmYUZoHDN3nez&;n&g!|BZo#g0?3DvW$o{PvHLQrmNUoXK`1=u%Mm47B<1oMZrN@dYdaK6zA^$#x$6u|^F~ zUL-@5q-;T5cgSxkm*%R3R1PSiK8ZTTDgYieWllyeAXyRiSf;`dSY0YHsBk;{dm#b; zKF1T@(!c1Cg~W6UaUL4?pOLT0b>D#ISEuPFp_&WvIE|Up0bcQ^6w5%CZ~&z;PpBYv zTg%<@APVkCVVt7w?}(urqY!>*Uf;e&3A&nVOqtVK^^dvB7s{Q4+5GdTetC^rT|m2t z!bR))xLiirtj}htfJfJbZ2AGCADA{^4vZ+eOy;|oQCM@XXOgs08ZEGHh~z})r|3y` zcZlWwJg3sZf$Rzmzt_!Fefhar20H9!`)+k9c=}VgD71UU)4fO?YWoQg6F)urzvMXk zf8=-zenJKW@J>ow8VSwJtJ5C=EIh{UL3jz>vcmjt(A=0dx&Lu1R|{~u2^0YKZ8?$B ziGe6H*|M9u!`~zjoglOnuQRlJ*no4nE3OlR4Z(?E7ZSeAEBnN*>t<5O7~e;*=_$WT zRC5iwa@OsQyuLxyb_R3%gZPwzYjjG@_twxOMqY$Av;EDq=AkJp?6wp=?jCTKXgI*Ku=$qf&;cUnE5DqpzGs> z-~&~NhGKp_3e~X4x{=~6<6g4Dq)e{|b{5~Jbmf$PQ4WBuLBeo4irLajfnD7H%Y5Q> zF^i`Lpj#m#%kvqNg)2kDlL#zji{_t%y64mB!jkdzRH}x~2m5(c`DEW1O0}OV9@}IF_%~?uuMvUJa3WG+B5_6$(Y= zh{4Qq+62sLu7K({QJoJ_=6GSrHr{V<-`0? zT|`+bgH7NjX%H=Zm2k1!mweS!nd}q__aqrj^Vk#zQUeS94{q`6s=Cpbs00CqhTL09 z1Q8h3MO%2Oqp(j*MSLYO+Ow3nrkT`?LDW1N9F{^ljGd}`H zVvxtsZq9F@Zye&0d>|A5qc7pFO~16Typ;+b4m)iYVSRey0rL$rx(h*v1~8>3#mRdA zF#+g>6js#C7j=>BZnKK|S!(x}oaa4xY1i?*s_J_oJiUxI;*IJTz^2RGg+!JaGAfcP zcSCl?Q}4}I-GDh`UiBRA zr|y*VEI<8qDK^NKMrU~pUTw2p2_?V!7#_U?{M_7hbAH(=Oww6jK*ez-&BhH1bvcQ+ z*V&sW8(kBd6Q>4UQ9uO2I4*#M&{smHftOR~U5a_QqDvMUh&a?T z%W5G+BAP_{e5cDSK78g(ZpoDqR(M{-MeO+LYY?i=;yvq)MqED88DRF{!fc@szuHkk zh4Q23pE-?yJ5e0Wxy&iLOoN3X5(7w$XBLTLfu6T;?6xo&LhOOKdBC(D9Sf~>jWTkd zPiITfA|5Te5?A>gk5r>hkXT>UGH16~4cFv2^PVYhDo1RA&Qn`mdtx|^El$>|5t}u@ zIbDz@(DqKhBk@Zf9RXaB;(w-3o|t)f7+D9lsU<4JAZ|VOpI05iCFr=SE z%S>iy)h}%AN?D!-<-HdCd|r1eNQKl*L%(A@6Miq@aF9dGlfJCjx&>uC{Im8mP4ZM3 zwYQS%dptFQZzMLBeyZ2nmejZ%h6tBlmBhRado3C?2}`N2AZ9yK{1O22G;;XP z$49&fzZ9ap58xo7Xx^zb}5bi(VHQ;%$?=v-(I4_P7?2VW#Gr3J#>Ph>u#R| z(Se-I`4DGtjQ}pTYjIM_8n5jqt=bi*A8zi5MD83LZ)s z0yp-K-UjBX@W+Z~c5WOQ5>0Cx(mZqKZma2yhqcGg81^SIzXYIVC;=uTng)wBPouc% zjn)$t@H~@64btNaJm+?wnYumQwpCnI2ef#kg_#3Yc;H4;`Ryb-o$4K-Dv4Xix0DPC z?9oJq1@m?w-=`xnoq#~@mUd<{sA!mopFW9$?e%YCH8b2I<8fw1-Pr!p$P$u&$$E8C zI-t(q5?~v1+)I)Xf&jjfr|u^6eFB!tKy##cq12}MeYr=<0SMLRzu+*~Zq&Ihnurk) zcsXub(hjVYz0E1VT%KYOm7W*~Sj3h>`Jhl5v zv9X1s^Y6w{NZyEKYtTX|8~ofpjA#UOJ8e}i77j=t`$Y=V7U=iMV0wu8!c{zFVARtP zw_?}o8WsoS1)bUDEE>Jis^@)JS#C#DZZioA)~(uD7@%mh5I$lTFk|5`eD>> zMC~Mkyyptwi^O{G^3Nz)t$@qUXTdYSOre#!XF}V75%(F)H@G8FZTqU-m{sf*Z7qw2 zJc;^Zmhp0=N*kR>VvO2KI~)yH+d)M#WWM7{rx2lMHNYF~zjI7BoRb8=FZ#zssXUH7 z=*WhZ2%R-Ka>(}+8=r`ATSLfRY$pFhtl6E&hTrYO+i6 z7|^1P|9G<-XPB{aDyz;Q^49EVG3hU`eEy}{m9b0>>CfdwG~pC{Lkym0z(?+Zl;?7W3HzX;^uChR(R00q`axa41C( zV={JQF$SR`>O+V+@)$=iw6u8R6}e!^N3t*zxsG$c^y+d^U7(Dn15g;DMPq+O>e68I zOP4B{#2$I$$aKHQ*#9F{eNP!7wzxnwA{Y_7=^9P{d_B5%t)DywzBqeJz4FAi(;3g! zqq=;!NGD0E7|aC%^X|1t3#VoX>_Uhhzx{pv>iOy|Q}fvQm{jKBzojmMiLKP)jl4^6ye-8_pkeZ20Jl?Ga0nh^HLU$) zWO?|Wwnbkt6l<;ma80Ljwz4O2=C`j-F9&kEkMkA6_XOO&^#j^|N?iI?95`lb#X*7~ zW%0^0E=(~R@b*wV^N0}JDOb&LEdW#yR?@?+0|49myeSePu+kJ>e%> zdSNpccw<`=235>23EUo6cTF~Rqd9%-fp_y1<2Cu@CY&x<|B|m-J^p0q3M+J0wtZ@t z(y4|iU{(pa$`S!{iiC*QZ6 zpDRJm(y^ICnW?4G5p1!0dCkp>n7;5K|AT_d%*FKY^hh)MWr5*aDrQ-QftmjUhX7;Y zU#E&~S2`E?H5j8=F(V#}T!EGV;hCf!3WJGV0)@$f6I*P^ z8_QH2Z&GGe!TA$FrbQ_oV`e}m*|bppbk{*iI7BrW-8ygv!2c5PdTpGoQN`sxWLxV5 zjWe-`YGgnXln#R9tX<|c$-9osL0H=7SnzVE`7_nMgaoL;PrPsV?!b!wlIR_b5j0@R zEJu#XOctX-#WsgZW9iE4`}^#P6SsfR9f@*a_h*MOPa>Gf*qANqo$(ueL2D}>82SV) zacM*b-6Jmm7ko}5>=ET6x`|8_INDbalUb5#A(A=?z3}G=F)-rfx04DH99rS*zI(z% z_h1rw9s^*>2bFLSahXmaAAIR)!z_8mFkJJoB-7o3>xVz^m&6ILzsYwOYEoFhXr zNv&7!#BfN*u-)+_i7&G$n%&?&&@Aaax6Emwt(h&G;v=3k3@xwcH#&V}i(gD7({P+Y znx}FyHu1Pr5#ps}j7c7N?57bzh&Ttryz^0)Fc*MH^VtaLY$xHXOW3I{Qi+5G1tVkw z-v~)hmjoYDC0PyPQ+5Yu!ILKc@RsCN1IIbnLy$exE*H$oL5RBW7xy^>WAbDv5pdM) zAt#L%3nBS()zEsxzfM*GiY> z_;C5{`C;pJLtL=(;I53LnFRYt`S9!;`@4Aug~OtOp@<_?dM7?K9EDT{KFDTW&;#JQ z>$Sym1_M%Kdb6J1ED-NK*FSeU8Zd0r zwLL2X`SJH)c+UfLQ7f7UDe(wqHyM!39dVQdNmTFiwF~5C_sb_a9`{KdGY*F&A47+h zB445C7+400B+CZe;G__*$S;f0u%t;p03;P$6)~Sp7tQ!S@bS6hxIJ^0*?`W}XJ)8+ z@!D5oW+?L(F>*Gn>c|+}nmU;_M!Z-%s6_DG03L)Wckv9Uw2IB04-U+8Ga2A7^dd!k z%n1A-@V7RQ4WlAH(nYLFwC4o~1|5;bkIzb+7RE(i*XCrW@Z;3S$>pWiU`s2LhX8~I zL#RpCv;A}R z1W0mh;N!=dfA1$cDdCbL0-}r~5-U3cpB9@vQb~&B(pogDe3+91xqR`oiuaK!UJE4HsRW8$}_~ncLOijd=UWfn^uwB-Uk5ovN?f@QkJ2|P& zDr?lk4HZXT8B%!PWCaOERiCD3a{qQ|x9~(%t0R))Qo-%%4t!wb4yY%m7Y(bsj!tjz z*-4SK@=geh`&9!wK~MHcZ;eD_IlI%vT9WwVE!Wi}IWxw$I%<}!w3SRy6unw*QME%e z?A4qZ=Up2kO>SIFZZDGOupZ69$j~s)*x*A;taB5y;0tCyV=k|Bz-2tvN>UjJvwe>4 zAJF9maDIC`WPUqz01)T6YGE#^OkBPZQ_mBV(J-`<>ve#!r#834Jbnp#-dEMCkU8o} z{|u!Ch|p~6x z21A=vD{6c&t~giOo+$X+FOTn5398$5FGhe!%p4%4X4koKNL%uLx#hxBPNu)0Qvt(u zd~9iE9)#ka0jzEPm3UJI74IzarjHQsIj32a$%Luwn7V^N8`yc;tX zl(>CdL+vzpxdnNKFWt;*>L01(*$%CW&na{Tv(P;QcGy%#PM5e(QyBf78F7cZWN#`1 za_``pnaR8m&Z5C)2_|<0AJvKPPAm^}AX$z6e+!;xgP)lE3edz+R%64xGjSD@|6pi$ zF>Zt=0+9RRh6B#=x<$qyoim458yAEkZ$OvQlCRK9B1NS_@8PWP6Go?*pyCYQzMNc8 zDaNEZ^oS}M+&B)mD{*SwB{hiKb&@F$+S?b#NnC4@MWH(kb_DmA?{Ih|8q^ZjkpEB%2;QEWt$J^i! zKT1kNZ8d#sFVNPxFL}+rRNyJn5i6Ffi<~wx;7-0((y>JQ!0)1dfrzKS`1pDEf!jcM z0fN7|ChlGURmh=R@Re&G=84T#Q0!7u6V+CxM_(kLJNIKtfbD=d{x?E}W82he`6xy|)#=wa7LS8ZW1lmIib+#yr(7 zNO7xbI1U;o&q&9#DttM-c6i0-J43y2KgmYU8(~NT)?ZsBZ4Jl-lUtz>Ta5p8Xk9Ko z9XPNtCtG7Lkj!Q*60ahTjPpy;>;Hy{zN13E9pcXE6ya;^lzMADfx?Z>4?LhLs2X&2 z7x*EGzL$i*r8^U{Out6Nbkm2QvZC6*P>P_yX@_vlSly3>1h5f-gNKGvlGoJa<42W@ z5Ml{^uZ*?hw3}abJ0NZ_nIY0Y0gRxJ$XYWpE?`nwY>s9O_(x2jb-1J-p9|2XrZXt6 zf6fqr?1WO%!yKG*pWDrQ?FU=$jK-~@ev6Bb0rU~(A9SViDFp5UDR**kQ6!XVKjw)K zPS{|l8}`>34-;S=5$}z<`4`-`c9h+WRhdWZ%RBG>@Ey~I30ZbNl&YPy@$;&fQW)u0 z>uzAs5VKXa*?VGxc?d37A8<+D>AoE1tQdUG8X}3y1$%1GID|dm107(Bnq1i=KL2=& zUGZly69c1WWd9Sm9i%wGZ4e-JIvJZpz_M_l6Pp&`7;l6NDzX2!2!w^JLxLEX0}QyT z!=D^@0uELprI*<3lM~{Y&LN{I3781>{{=;`vT?HhXYH(`gatSa6hw$IgNFn7Rx!xC z5+pGmM`O%5e+8R!zD3!f+awG=EoLJCgtM=gFH-&|(}uzkVkTCB>I$K2G)D7?jS;fJ z$SHF}P1WqD&n;=rVbf$Rv;c_+iB7=Fpu>w3cn$=B-g*@D8(l~fM{?7lU)7t-J#8Xb zvvWJUOM>L($x~0qR=PtnFRgdSUW+r*c+OXwLv4u2$CI2P8s3&<8ZKz^rO65|u{8L$ zm^PmL)<&30z6M+z;(oR9kVy$D_`AEzi;FZ>r~s()d!OV3bpI#b@`Lvjh=lg5ldkiS zvxyWCP}KV8^$Hrr1WOx|I9HDP401~9{Zk(3x$KbAnp@E)nz{&0K_=M&;<{037BoU+LiBAqVKdvVTO=>p~Tf_(&FA(<8OxQoU2JVjlG#5LpzlM583You`3;%pb3j6Yt+x<*-QQ?zAX423R^% z(4wwe9gJSfbJhpHlww0QMh{Inhpe9eidJ-qEK+F1d=;LNc4d^;+JnX(xwBi}3_w{I z5#b1c2rni{)S_f>2nR}<$i03X(AdPAh|E3(5pLx~H-LdIE1ClQbdd=g>0P{f3nD=P zR&>Q&>xN?95T3TqTSPPBHW`kD9)$~!g{_gny?!4?YUe8PKitP9ZIr5im#y8|Ygk{V zCpfS9bZ>(Na7iS7G@197J^jD-$%I6Ert>Zk&~#AKiq;kOp)p`|SeSiV7tWYF4xae% zho?y9vuheRO6zK{LHOUT!L7f0<^a6_NpVqpDX@{)zRT&%cUw&yylxogXMLfjs04z{ zK4zmg=`~M7@Ohnj(#w5Emc+nO_ zjMylBn8gF*mXJ#;W2p6NLYgz^-M=l_fHSEu1(sq*l#+43&-MFBUG!m(KQNsxN@<8| zD|wLrmLrlBbDTYBY+dEOi%1Kck_z<04t?@R-;Y;_?Whb|PAO`=R3QVj#4R zd)>H5v5o1=tcIRvq*P6>5q!gwk{&r3`I}qQuY2(Emd};q{d|MaCp6!&!+LM|1f9#n zjvB^*=;DVzI68^F5D7o|@GbFJ1Xhb{y~-f1p1ibQU-4_n+n5B_>(1@~M{|4%%Gq+Q zF6xqC6Ks}csY;={bY<(#5Hp7d+jinH`IbSB#Nipn?upqXKSBIX`hT<7jrnx%USTb= zT^Y(P|3vX>9H8B6VW}8BcCg>)P#1X%@(MJ46hvUbZ_|x6^`+mg-P)M2S)KWoIgWdP zpMyU;Z#>psC0@V{g{=yJv-;)eIxy*I;_gcQ=+ZCsSleBKPQK>j-J!s$@eG|ccMWgF z(FDh8IztBIr{&R`Vd91}d!MG=E+>eK36*`ML#sz~D0F_C5{%C>by~vs?j8PjwkgOp z?+qTmpzm;4H5lJPJ?dQZsqc$c8?El1dq};Z@r8vOFnD?uy~`v(l7bhEUZCO*eJT}O z!Z56OH zxrn&5r#0Y3&E0_BdRsR{A2$Odr>B2eU~3&4dGqpI&7M7?eTWzt-Q7Xvf#~|@y#5rhV8wW()(Y2YT{@A?D=~AP z+ts~XDR}yG?lSDiMa^e2P-?!f_=S<|OS6dQX0LIi4qe;RvC)bhsKsY^YTXbAXTcC1YIm<$jy6Js=bY^}1;r1H(BNzVivmjTyZC z|9Wj1ok_s(z+C_3baMaat3w0WbKK@a2)Hve-d=`dD3MA3ybAP{$|;v}jM=eu(K6cd zzaN`;j>T#E+f6iTsUB7KB8-u-UD;{1=P&1H%AOLSq1-;Up`OIe!%6*4`b-^a5M+Tz=k z1Cg;cB zyS{mmTSJ?qm2HqlE_4YHKBwG`KQeh3P_@o~@?>LX{(I1~|uX#!u`>ldRD33tE5p9KiS+m>-3P*A3 z1WDhvWUlc}UMAO(gSK))=>#ykN> z->ro73EfM-!h;i)b^M1ww)W~UJB$a1VHGn z6m*u{%ITW_o6#i2(fZ>44#gwJ8)cSa@E4l4_c0Ea$-@w!>ERu8^W*taev5-I{my|S zJ&#L{1bKYp&)CPRNh>!THSZX94^8L2?v=4@6w*qJ_JCp#DH}-$X|Mru)rc+!BNR8o z+`S`Q&?1K{J*K_nV|jhL&BHS`)g&34q!dVfZAln{=2dgWOO`)XgLZ(khe+Jeyq^%H zef#f+^N;Q{!Mg1&IF%2L zeZ;&98s^szWp>@p?Kgay%(+#XR#gIWIzGv>5d3PC(pT^fcW zHN&fb01G&q4bfkotRu{BcU0aW$jZ!(7}T+=rBD4mNl8|naj2W1;6k&q_IN%af8!5} z%XbrpmA&QDLwAhGI2{`D_F%R0F?UzMqb59vxTlS$1%}^u;u$~CVsm&OQ$>u3g{5W> zKQlTi$fy_C{OA|(>%l44*nT;E01e{i>LzFaY9c-wvg@Cg38ve*iWJAGawJT<2Z*$W zlKtdHHO5_NERT^I9by8}$Lv5-w}Au;_1Ck*1B$0pfCvXDc22T}r%jRbgE4qq2+*Fq zoet(IY&rKu2yg{>G%%m-4W82}&aM}FW^rOUN5yjg1O|t{&N2vRTzCq$4==|zPww*q z60JP0ed{?jl&8zCf*=aWTm!l?Qw9aoT}5o8v@dGPCIgpFg>)8 z`1n395Q!O{_;JWFph;g#q{!|=@cRY;Su(T;2m~n6{XF=FDcevukd1Q^;Y`*lcx)jY zvY_Boe2ZW@Gmi}wze569Upg;|^}G?tSk@@Z{an0t#MghJMNUT7r;=Eo>`@&Uj}o@l z=YsYbcc#eX9dCYF$!917d+l(3Mk&#+t8a(q9?A8!G1^HPz!h@F8eo$GCEBcjXmEEU zGV&_;6fqmQdg8+{*Q00_B zMO@M)Ieg|7)(WlV4&v0VjaUDR-@D4dkh_)QC=?NM98Y9y_Qe&u7zEGlob`dBGQS!* zku>73s{ME3Z=9WB^so9SY!kgN-cV3a>`y+#WJSce-IbnJ$dsx+Y+-l!qU0IIO_0omTXIkN+ zUg>by*qaK*FuxHP1+?L6y?Q_jkMadsw-lieZAb!Ka;*x_T#V#tMMaF`vuTiXvT$cz z;SJ=X-tTof3s=7v!E`=DEva}Ot9goUBMlB~lV$Iw`|R=D1QS~TfqVAhXCr?6P68u^ z0ZQ*{*l5{~5(VF%yMfga8>wtzS=dslnHLAqO7WVZfIRj_W^#E-GPe`p0G+?j@fcR@ zaW@UHzIh?f!8{)%OYRZDi~Ye;5iKd4NYjW^8OH*8G8AI{Kz9{npoHyyRR_&8LR>wn z@C}B4)rI4MgH`bW+w?2DvMZ&#L!;GG$=iaLa6XL-Oj=fCN>)zF2l-xD>hTzaBT&s= zGf>SFtg0RA*M=hPd+uzmh_RGx6DMY9QBwY#b}gIAi@^Ma!~;APW=JRh`sbu2_G4n$ zEQSoiN4w7H4f@b*2$crv9;&6UIe0lnDur)n%8mbgpDAj9atkB2bUcP?5FmjlNxU0 zTTufZdjgd1uk^6nUm-?Q>+5^#Ir_8Tu!IKpAYp_*WwZUM_|Q#a#MFJM?#) z2d`DqrpZ5gy!}^>IIPo>FbLp3=X)eeh1AF8KNp#1vB3z#?vuIir4tOl}?w z-9^PGfS}-S2kz=%4j+p6uk6$H_9<4d~j)kQH&S9YwXN?|rbt9%N@% zF4Eu%-w*4Anj#is51CH?KCpsy36QZxrYL$7xXTG+)DKto?O{41vVRGct$O5u7I|zL zqLlKHjBsTsZjY3fL@E_G#js$4$VQK>&D2|AP5ja-)pnQcKF{NOZaVsv;?E|IepD4ovjDCqy3l)G#qi6c{9E|*7}Z=9>rxh8xz>)C1C z3nUtR)Pp4-OIO6a7xQwyzs2Sl5>Wl3&>mr%1XJu_G zVV0%Shs^BKb_^Wq%?tHer%%Ot8^1u^T}g;;>MSs=!5ABqiJ zjm`|U3=TKFo0kl`PUnp?DX$HC3_q~{OrL5TI+C|&SJl8c;I%3`I_ZBf1!KMOp+eFt zAR)IB!AyNI8L+ql%#LXzjSa1EoJLGH-w{e6BPckxh}`G`4{oPG6CWWsndpG3|?#&weZ zcmvU;4loL743A_r3mi+F#8RI)cUC>Gn$0mOv?E7BBEmplX!2BONHF45gv5C1(gM?e zIAD5Mr%g@(Ix!keK618FNQ5ZURnS;8FOV}Y{E+r5xK?W#`-;Ml(a>6_!1}MPaB`~0 z^CJDt_kZl}es}6q+>UPQE;499km(?xVagFt{qvDSkAxi{5R>sGtd~F6OU7-HMmszC zR;6sT%4r-sylb(cMz69m5=Zh{&jTOO3lSD8B5W)fn=&;T-nGl>nT**x8p6rrq zIj){pwcw?{&P^ej&3mg5Mepi7F?qJr8}GS-67oofYzGUQ$F$%E#{(G$Tx;8!Ug*B7 zZ;o>@@jaRqh|t{Y{C_hHpmT5|vUYuUc^-()#&L7ge?y69;;0Ti=D!{5WsI8e7&7vW z_TLWzEW4&h<`-_Mma?hjv`==7TYJ@Sio+hUX_?`4s+7Rg2ht9CsIRE43a)%kQQ*4g zAT;a!ebdj?2R@`beQ9?_;ZM}WLA|k8k8|H|KF7)G?%3q;H?@WAXoM7@XPVRpY{$S% zY$V<eIb!NozAY+et@9|f-;XaEO5ARPEY&C{n>F;S3^QT=X0mz1=w|W19itx5*_>!Kcwyff1ii&z|vOs{BD0X*n?$SmrN=8-A5*z|>dZi2*IECYX}Ev8~1YF&ITFlR?VxEWpH^rg9YAqNPaT3@OcA zjgvK2BZagmFt-VNVR<^1b$2?$T}1@3zz0BE1Gs>lOjj(@+PByrp1v9ynh(DRD>m+4 zvjmbYded(ADP)KO4j=SFa81PkLvOj_Qt`l)1&BTUtNQ!SW{B|-Knf76{_xOjoR9P7FVz}pLG;6d~PH4YLN9H9Rf6qGy#iJm&Ur&aof3n&0*a_1A=yV~?XCLxNaP6pkRcv|H-(42 zdzG=KPFTRXD#ONpoj z9%kx=VPtPA-7VI>?cRn6rR;)U1~+sk859)P`UPhzn9kX zrQIBb5m#Ld@`I_dR?rau$Um8wcBY#JKG?4}KC~=vJ-z1ZmCe4y@psuXD+2;xg9R>| z1!M$1KrhxorYe(%J9qp}uoRBlTU{?-?u~9N+gm&B(5o>v>>U5hE;m2!)T#e?gz)LJ z>6)KG$}i(;jjw5&yV@@VO%SIF7#hoq^X37`HzgJJSyZ8Nm9mKvwUI_F!5( zi#ygm6nq_#sl&hsgvi7U0l1RA&QhjVD>8mStCtmB|2t^|;b86HDF(g=g{7Fk9i#gM zFWyNu|L+wnj{k%e{r@7@IoUb>n^6ylQiS^cnZS zC*A7=#ef-7^PWRC3u7nQk<|*oE{pE5V@k`u$19sDK}L$FGV@i|qKG!d%$ebX4I7V7 z-i9166kHTqWKHX}y;MJcnCmZajZ>83LgyGJQ~TE-hLtYG_yIk`BN5D;bKMo%ZCSK0 zTH6gQ57ps!%8>_Klt=WiMVgauYd-?om27uO5fC!WCluE!Ib7v&;>ic}8|r;dqeRQH zlgohp!CDj%37QUN4nLzjyEwG0oa(r`Tor4M2*{}IQTl7vq!kEeuBmTW2Qlw}sIxWr z=B>wG?&9=IYo%N_D^p}xYTd#PUMnmUj?!OHfro>?V<&l1xfLD ziNyy7xC#h-oY@#0d(hf|P)qtvBv(2XYRndVFGi#nGW^KT4X0ud3>EbC#Qai>0i}#KvGkS?^%_NORRMNDHrbAI@nkmKOkjL8k_k9+m zEWSwciKLZuED|8F2P}3U>^{I*TPu{%-V1Ft${=)fD2*VBLI)*GK)dzADK3NXEEh!^bK#ODHfEI6g2~aNRco`VP34uSi-ytT@Dr?jBUKOsAyCirqgH%3B%w?lZY!(SjECR2uqq;Mxk_n z&|0I%DDJVSz}{GpuolZCm4x+#$u)!nj7VAwoA8AZU~vbvVNJ*OfE9!@#3~7@9cmpf_YaEM=l_!Lp+iDiPWkj2odF8H^j@FJjrGV~gM}mpqT+g;$D& zlkn_Qg3mpBkua8LFTxk7z>C~qv3SCNRNk@V z10VoZ;F|!zkT4D)tJvl!U<|_re))(>a4mvp)CnzcW;DDYY=CGOe?Wb-7Mj3+chLel z1lvT1jY6+RcCad9^)MR713R&}drPp|9z`-lGUKds`h z8sC)TOU3)~s(4?V7DtyFKh#yLI4`@kxP%f?@vhN9G77AY(mCE>N$Lo(1M&8)DB7ZU zS6x)1I2L=8>GcH9_N$?ElBC^#7vFu?!XGPhEH1U@?SC$cU;p_pEJ-SO9IKZK3mZ-c zgR3WHU6u_V8o4D=Q5;o+YTO=mx+ScepE&E(bvYiw=K1IMpX+z+x>J`z=Z02sQVr{@ z`w3i|W>P1{3vB;c9eW4Sb6VR2NNBih~lFBT#GM%n$Jvr*6Eck zXf~|NVLd^p$d9vOC)IS^EhjmK<)$CYn||l8`Yf_5T!P(z{Ajg|2{u0Kj4=en)l57) zbArh^NU};CB)MGCU{j|9hmv=aCCO2M4UZC=9ENv~`usz`HyxL<+ZkoQ)1uF}1fVf8 zW{}IsAJG7PGqngei2b`C(5Bq3XUdju|kZV8SXBhalOFqmx-n0ARg zs|~_U;zTKtMUcFlM6^NpmrnVwg&U23X3g6#2sdFp+&E8?bMOCOyor9z+tF_1?RVp9 zI?C*4#ra?aIsDAm!z5@43`gU#Q&(fL*X{Jjm4JVgB=Otc$GRSW{jDf+Yj4~cee8E9 zvKse(+uzS?y_t5)mp!#)2{!ct__$F4eVs{}olu;$PkwlR_}Am|_s%cVc4s(g72glL z)lGlc6UFHb*50r0-n<7U%1O5z-gJgFKbV)C@)BXBi|XfL9}mI9X=c{vbT~Ra<`(Xw zuc!ji_T8i|Z%>DRA1c8ko|nD;q#oaiy@Q+Tx`d5>;*G@W?%k`q&y$TtgYuSLr&yV+ z1219RAF&s7n(zOAU?B=0FfJxXU@ZUv*MT@NthG-9h4mnB21GL&W*cB&z>)_s2+Y+I zGc$ZZbyy~V19N`>)nZC$wa(8wCS$0BzM=&6BL*M$*{iF6b@M%_K3mG)JGbSd7URy7 z&TW4H3(eXEcfcekgHCTE>`de^BVN4G3iH0PnhzZjp$G>7`17>x4Eo)JVQ)~ve8mBK zz7~n%Jq&8`27DF%>5Sf&{ocnqKMAwLRlk7A&1&y+Eo;;tl%T;W+=Ige)AAMJmBdyH{fp*XaT3TgZ%qzFk1{+vy+bBx(4}Kv5cMWSh8}cA{&Z zc9vx4Bm*Twr;#jN8KHI)S%(Bmey8aSM_YHF&lXdE+ah^c%yV!v4%&~inqPmq{+(UI z1E1a+KALZn14)_H9LNXi?HEs_?HCUq2N!k%Fy{I2i6rOvihvmpp9OKyN+vxDzHYxR zW!=9;0;sEM@bBq(umV56T4)NtW!V`_yh)gyz6tAD_cLG2sOS9*DY*9NYyQ@2oQJPK zUf^$k(yJtg?^{?kzHZqe(=`cxPl@w`w4?wPCoQ;>t#y>_GN*r12gEA^eu)jY@8AmdN2g~ri4td%r!q5wH96gfb zG@PQ%>z?d44jXYjZ1_#W#-dHa3+xw~N9adny$zC2l1*e?%yFcdtUhPz@mxM-aWwh#mVk23dyQ9w3)ai+DvMWwk6qn1R2S1S(?aN z1QnmCk*slAI;USF3M@GFY4172L~FM5-g>OC8^wzG#UH;_TVWj5Ei#I%$6UB*FI{E8 zl(5h#(MC-%Qq%1tQX)BnWL9cl`R%#=q=nrLh(*v~*ALoEaW}zJw@7 zy$_>t?^;}bnf4*-U5V$-me$P{HZj{meD?mC6W>#pQu^Ugg#>C~2Cw*a9RIHn$*%%5 zqm^F(&tr8C)y2Vm0yxOA6$#+y^HcuZzp(lA>C?h(mF!lx#r|^e^|AR@Tax_>6Mak; zY)vQANY*3xhR|$Wepzk}HIpS5g_DQ>6Wv!_y7`;WlYV`*^6P&_qCei3F(CmHmoxYR z77{o$Gzu?FWo~D5Xfhx%GdVRjm%$YS6a_IgF)=llVWt5nfAs}WUFp&W3Zuc@Eo?Nn zySqbhci6bQySux)J0ZA3Ah^4`6C46uX3m_MIp6`0DMFTC`rlyzS;q8{zI1e z4}k{oS8)K0bc}zy`^)+dN9Hzv8XFiH*;?Bf*tnb9m;y}9t$={9Vls42Zca1+0~_N% zhz3@Uf3_d?1}+BXRtAP2gg=jN01y*Y02q8^_*Z_8Mh@n7PL6br=2m~?NdJeMk1~te z7z^84TLW#J9AW=BpNP2w(CDM??(}~(*V4w;)yDI`$i&>n*yN8ijGgW1Rcy@doq>`f ze{nuUu>Z(RfldHc1_lOpHVy#L9sqPRGNb<^e}J;P9q>;j;~(OW9K1d4Z0!IhA87!+ z%}szGf3Tj81};E=lY=wR+w6a+L+h^IRBHxNA>=jh|6D-LGjnxPy+rp zf}HKgJOcp~|BSyj11p2k$Aj_z^Ah}N^8au4|M2qvI{yFnL}JcXR)6X#{zLfx(HmHs zTe<(m_?TyBr;pu`wf)!-oBvBy1O8{Be}!zVjQ_7r(#hasLj-M1t^O{fxucl58_-zZ z+{wu7KST82E_^t*GPeQB+d7*6ap3@H85tPeb#s5J}0D6gk5Ho;Y@*l(jpqKj_aWDYr z<^Mq+c8dR?4?CrQ5DS1_`5*Lg7PWuS$5}M~Mw}lygMZLRJi~v`2h-?p#Q3pU^uT|? zkBFxKf*&Ex{)Q|cr7?52GyAw0fB#{8$jtu*KfGK213#9L{vR^Shw|f#@Gtd8ws!y2 z^CR}h9yt`5&AFw^STBC-GD~0%L}$f+(8x%K^>2Ef_Sd9`xCsgWczAql(e49e-53_&xlaT zl(ks_n-2Ga$s>KpYrCQpcLFPfub!u^O;Dk2i3;s6UcdF?6{q*xVV9;bhbD_o1sewm zVDM>`1rEGk?Y&g}Ex}tsyQRqT?43CfdgEyStx7&7FKFl!~W@^*)PcF80*iVv2d1_gJq| zW^(MoA;r|Yat%^hJBaiJ6R8GsA z=_k{TM%t6>YLw|(K8o z0;iDmx;Vpjr<|DHf0z@$v0n$#NHSpwA)Z%ebNrA>+hmwWw|2x=0aKe&cs5o)Bf_5^ zPnwW#1a7l|Zl zYFVf2(*H1Mo?SceU0_LtZnnB*`H?V>x$h*y<3moUmJwX#e=1|ZX^JWj-D_{Qt>Bk2 zk%!r1;ZieA8GNbZSZ}XL{5n-T98B^%4tUW+(31T03aKgWD=%>s?;w`R^B^i!(D0JM zjkh&TC+Blo?x#9iXAdnSMXfgtZ86)l1MBpr;Uc|UK-dY?c7{%uxl*u?;ZaSH6kG_# zJ$d3Z=z!AOfA~UvA|}$pDNdr=Ui>8BVi_^w^qo!=-^-)2TeHAClRHPQ9{#H|Aw9=4 z4)GQAG;+Cw;0k-!0zV&dWlmu>meX0vh$}bM^nREXD_l36m>7bP+TJr`u+nFuw?VKX zCaUH$yiarE`gl#Y$4YV1B1^JUBv_netaQ=A08U*eZ%d4hUY+iWxJACrvSJU~KUXjz2qO6gicJ?np|%TEqlc3a^T?n<*G_eEVyHg`AOoeh^g7mDhc{b z!46_QpYBkoo;EJdQ*ox@&}ftt_7Is$+6!K)$iI}?RO4vtH|Qut1_g2*A?~cV_OV&v z*f1D!hFI%#TwT+g(yx>iYip3Q;c8L)tB6LBe++$(lFk2mMu9NdKqvBhTc&oW0$clz zYFpsZgZWEo3HekqTS?FrQ|j8Mh;A}SYKr9B3`;-#25gbdH~NMjV9Aygs3Qq6v6gAb zcD0C3`uDoWLjBL7*gNy}+7kXgCmLva_vJqmn%*#=U(k%mdXRi5(1})HrCb&r5s|#p ze}*~j7hDgfwmf(9*g{ZiCLAXcQDlSCVIIj9X#4E~4!?wxN2JS=H?TLMw4$UqX>Pti zXgd)2da)+UmVRd?ZVaI6szvH1I9oa4sf(IO7Kw>!q-mGAF|nXdia#6e>g@grdViWb zl1=Q!(Dz_Q0$#c4tR=AGy?wMyybuUGe_|{dFG>YN1F+~m&^Z`%q3d;WYlX%rhq+9! z6z$cpDyS{-HV&nu1xmpkHV)qj$0bAgmhcjmOYdSfiy&Co)JhV&qpOGF!LNyY^Lixj z$Peqkv*bH6&+h13K0^jUM{}!-IyG!{?&HTR37M`>_yM3vzf^5?%=YL>{=F|~e}v%M zjv)Sd7QSGJ$H=OD=yLMgXAdP|i56q60mZmHgi)(*{M6{#T~d z$kx{)>{Sm#W=MuzxSw~lf7r^Zb)FryFpqi?mvNE0p;}!)G%KOuogIYK7=qs{QF7P8 zfW4mQFw9myzHVM%ZYi@u;uLMh7Y6s~>~X+!&HODQGnS1N7#47#_R!OE9X_6>H5J z4s&!8FJVmoLQAK~ zHSD31aF5DnKMa%;@6gw6Pe=S8f?_CY;MI&BRU{vIFLj=0(~)JpW+5};jiny7H7XPl zE5+UYkXl%bDUdhiVt4VsdlF+>J!wY(bS!_=aHztjKZ0If310H@PCh!^0aW*NeebFE zt=;Y@fDcvpzj(EWe@5$wp5F5Mg}&t&a`;WPPOBpQ-#z%ZIZ4V_h zP2IHJSVJHpBT&Ib@98iLFD`FiqnOJEFx?%sF6K6N*9R7q(g3!bw$eMlVrxx>B~0jP z^T((;{p9%(e@xHa8V%n}e4vP5ZN1)ClbcCdb6<|0DH@~g@I#FezIS#y!8WOQ3Jt`4 zcOiV4QfMsVO1n#7#nk^eYEp{d8X#(Kznm0w%fX_%Fg?11)IBYd`widVHzzy%ZqfIi zDbMPW(oED!O`;|}eOiYVtL0d#%XWLvfK2S1J^baif2D|gCwK@MVNlZ;cbmOVo1{4Okn{M>MdXM#QA>hA6(fu8Sq5~AXR$z#<$X7DC^ zO#qn&e-F~-hjQV#y4bq3g`_v#G4L`m&nGq!IglFRlAsVeVu6(VhJp*0@LZG4hC!BN z$v)q*&Xdih6{f3#&Zf&wd6c-E+7V1>oc-=ZPchRT!| zNVQ0L#L*#*e9f-M>n<;i+g_wtbSx){R06Y({Hw6$k71K`AWepYJK7E~(VU2amHk_q zJWnpv6{DYOWrX+IlP9Rl2v#}3V$oCZpWGwq+=OSAhjPgSx>d@Oy_dBm_i@7<2(w3@ ze@C&TO!45ed$zd_Rz>)+amz;m2~ZT7zi?4{=~B)JSfkYy;q~cPpF7Jup*ZzxIJPj5 zVk373R>V+6$Ylb?y!7VZ=tipY5?l;Uwv_f$x!G07#w@wPcV*y(g!C_*EQ`EhMEmxU zn*FrHBoq;b#)-w!PtMhm>q5QO+$S%ce|`29P|PYVyIojRp=OKnKrxO{rPbOdvN^8H zl6?D^(PBVfQ06s$>n_F9p35y$c&8C~!_6ns-NdVzS7I0x%>?1`g1QEl^5a+H`iy01 zg0oZsE-soc<&eku+_*OoM_@~R!m^C$^CXTYE2%%njFAahAAh4|pMuBCQOaPte|!z0 zx*ilw8n(6n%-tO}-#$ryfD+TjcA`}VFN3^rfo%J|7q3Mb{?{6GdA?Y9QUf1v2lDk2 z$JMt8(zu*lCQCwaaI0 zZIx<^(QqMX3LG#9=Z!q@WNudEfBtx-unV`<$QM3BW;?uFVoe2`#7x?)Iy8H}v-JWF zK#DR%E3reW!3YiZ-^#}9WZ%ubenvhyd4Xs_KVTa2JmIm+@;+tFuwyxn zOxZN!223G;o33zWV%b1m4DK(W0zlpffB_-L)Xn+>H_7i7Yxm z2)KXqa9^;RqkMlQZUC%a-AU)8P3{KvtgBet&ZJ*JFEbT^7=fjFQ~G7^9(p8hapCZw z)IVI_tp>Y{AAi0k!udx5(5na99dF!zcSMw2WDtNNiz`5Z) z1n$xqlRd*9Fz~&XaBre@$F{VP?p^CnfaUSgXk^h5h`p>O6@g~tl7S|P_hYr8TXfNo zgIr*$kWVO8RWKl(q~k?ZrYTjAn|5jMe(!sUNIzYlhM+d%pbJGOe;O%m;*Wo4@rI-x z92>dKPrEc09$U!gzCZmeKG0QH=vOo}s7WH&lz^RI-gr5K473+*aT%uK}~eYfX-Jn*_U)I#Y!3tl62ENe9)N4?WR7F-e(skQ~Oc0soA+#z+F%XWLep(%OQBAxWrilr8_T zQL}>B~|m_I4KHf9Or6{@TAJU1Cw1eq&^( zM6Cr-DoHv){$TBct{ZkO}HJ^Zw> z7boNl8U$dlNcm}!P~|tJ2p#|U0>}bqM|Q0Vi{d>~#bwO2dTZ+#0<2)W;vF=z*{;&rX6B(h#ukdm=wO1|CrS?J*|P!YM6!j{zWq1_9iBPc zfiaV*NS_6hOkVq}&K7j3fyycoBF)vVd1(>6kr|`jhZBl@o&t63;W%u%>?6V{iQ`rT zW(mx&VUXZ*so1+daC!E}gk+MRpJNrNzZ6~l)OB9`6=x~)IfryhHGEnwzia8u%6f*)o=ICW#CIz-s%;Hqy!)(( zI84BRnQ{O&4-Qn0{YZ+vkq}~Tn~}YIe?hv*gG!Ved-G{@92-U7mdx=p+K3`QITIE3p{A>xf zlNbHl;(BizetEwatgjLY?(5snCRjPuE_2r5r`zH!Of9jE)LFpz0@!1bY9rD!L)g7HI%bW8)r6!q6 z_p=SXH;c!gX^M;AcrJGVzs6eUp&jU3DtoaqO`&?%+zEC!qzh><;`(gSS(PLZNThR- zu(ZhG7pt%jZjA=v^Kc-4ar0yvw-O>l^fzqo3<)lOIi|+v5O8-_P&#!UMY&9xe^mE) z%dtLQW_DY=4L6r2imrBaj+{y`j%-}!b-)&`SLeU6>Ih68Q}tCdc3)p*l|qVZoIbK# zJ7qYXeuPtMYd2F`mu}sQru>cp>G#=h@woywJh|EGyWzIu6<*z&C+I1cq-T>pIY7zo zZGH~E0kj}3zD8riv=p3=v;1Lde;k0k<}hwT6v$P$h~c_rgGji-jntY9I2I6mmWIjT zH;w6okc+nuIZ1_J0cqesrW}Y>E*^&&Gy>^CT`x;hRBD}+9v$a8wPY#0L0?mXPVrvm zX68v*JsEB0IY|X5spx`DM8?|M-6A)=n-H@K2u8vb99$dPqo084;NN$te=Sg{evSPs zTkW*6K%;bJst2v7)11c;98q&ZD?X|||LD0G)55m*AiyDjvVOX<)ya6=ND# zY7H~5(=2OK_?`;wLQyBuK;Lw`B4b#I;(HvqXuNZ|tXR#xDxS&DZy?pLs*JS#=B|6R zTK(Q)T4?RFm7(9D$rN?8e?1lE6$v@zxhE)Pl&YZkSOjlxV@$Duw3_9f{;TEQR%BdX zxk5@f7Gmv2BGg0CVC{BzmO%b@!)xJU67u3n_^8`ZmastkTU4T~-2{(TY&63pf7vT= zm>Wz}`Q$d1I=Ea>tHAQnba1jX`W+o3a2hMg05Z6nxWXP$x888!f2yu)bNL4h)$VU! zoVPQFhqL8tuNp3{7GzaOxj3P(y(%7vBWn_dR|ev>A`*zT=cH_;EKV{V&B(P)` z9g-JvlGwrvS@z8abkgZ4G(*+!e15_S?yy$L(ClAwtW6T($eV0O83(t^xq=VO-)4f# zQTCk)8W5~|*MV}Ae}163>0knk+yEh=motnDZ%vB)IV?PRH0B;qK9lf0X#I*?Yu6Wd z@TIDTt!|w}Zy5$?d)sZP#q(i6`t9=N77okax~i~{kbk+%;fvciqoZDV6%K_q)99|| zUY91_>>8{%|HJwYp8p9DH#@4y`P(;+V+17TExVN894I38e>90hUF47JNLtLY@VDEJ zp9Y*3=+P!CdcP~fb01zLynI6v=Eg|U5fQ0(W>^P*4n{S}dPWB`vIC zpJ15>k`%a0GKtQAXxUl^hd?O{bAA3Ij<5K+Zdgqcfsj~HgZ-*h?X7)n24wbRVW{pj z{4#x)fm&dqe{o)UT~2wJ{}wB%X!XM1pk1oc&E2P!1LudcU2}mKLK{EpWgX5tsTQT< ztDG@UHc3qF)Yh>|rR*F9#}vZnbVJc@g;NRC{ZGhFbbTglhqz}8`TZ*BV;jsBIJ^dh zx^2Eb$k@WWI_ zDap~J;KTSP(neg8hxt#^EFX}t>1bk95Dz5 zi}f`ke-Z}w>dii%0>r9lK(N{oH^lMXAT|Bx9FyuCFCLzh`&R-g^I+>;sGmoq8R-3< z%7Zcs+0|6qM1jbR2(;tYK2;nBK>sY9VP(4)Bkh(i1mUK;s0H)Y_hE#cCR6N0ZCQAJ zm}KGQ+!Lm2<8qEq6d{QYmi1e++5Hy^H=ti~e;MN)cKulJD~8jgZ$+wfE1x?LE5u5Z zKz7^(JVfybLTvL>^Hh$EYhIu^HG~}azbmPLajdn{w}a$2h3NR~AeQE%6bVbpZ5`RA zZDq{pN9|t_QND9%{;p`ba4XAm54lbJ5;$n&t7REr)7M9c`2>~NiBcHksL|s%fm=g4 ze-1d&aFdyL=hHI>z$_s$dx&3* zSiKrd)vld-_jLoor-lUztJve0_F;r5Jo}7LLd;Z`Ct&!2_&;?*@(oY@0Lr1vi0$IF z^@5N)h$u|)QH#CE=IrEXZIvawh*~Ole^&XzOLCs*FY2_LOMT^_{cM?u44*G(Se(o6 zS`9e^atc!>iE^2vnx=|mB1V2Io8EXpF%^1Zc5lClKp17 zqhaqR9%!Y$!jV8kNJA?3G$95S_~e;vg+|-VMBk67b!CkdQteQu)P*(oOSAQ?f0O5@ zTw#Hsk{I|L4%H%~Wrg~Qv1Ha+S`%3YSRI?n(K647t5p_@e1K7q9LN$N1Ho%!I^(9d zO#97P0j9n}@BBEkodaafz8z#p4=JlMpU3*BM7oc#KxN1nhb}T21pSMwQ1~x7C#o(; z4$s}ncr{eJNs1m1zc*jx~5W7S!6F>MELI$n03e5 zH6*kxGbEM@o3`0+)de?}AU|A;?xB(j#?X1**mE=FuAnPPiU8LNac`*heQ!RnDpVLr zj^rNkT&;@evSOCs+%+!ffA$N0u7;vc>sD!vD|@uKo=3ho!;2oFxQzu-^Jy@{N3BuJB&Dr z{Bl~$CBMp z2j@H2{jr*O^kbEke@hJ1E9_3{25QXX;sW7;U0X6cALmTv&XtsthFPvz#;NO~y>0DP@B%O4yFChbR0J+!2<8GN%oU(U;u1dk${5Ki@Mz8k zLA5&#VTof-sI7fx@zgRIHbmR3v(Ilo?pfBE_K;b}WD(JYc+)t9g^ ze128nTdseetMs~NEETA;TD*V;@r!T@8xcDts3O1r-pCJW{u=W=cKML}_^qzNb&;DnuyP$Of&#Tlm#!x!b=Cn4 z3*m6vvo40(<}T8S&{&)2{p{q8@kc39-<$=&SGI^4f3hsj=lt5l{YoNZtgA#lkYt7#F>^?`6=5;jO`aR@`A%gwA1g7#9XBo z+Kh-_f81U7Xgwta>tFq354C9e-{3@QL$E>TRnvAC&M^07FH)Jit$F{SGmS=qq#{BDUYG~<$?Wts zGJVt+i=UGdXOSI>DpGN<<3q;#oNmnNR)O!{f2^w1>(+&RP3Wc#mj|q|zkJ?V!7L?( znG=2_Mz-BW+dYR%J;XOYG&74%?6Z=uV0@(?>N;vRK<(X=ITLGyT<}@@#^odWMME_b z;?eVZ&pyq^TIog16Ew6-%utjadiQm-&)FuqH-`!rB2%Uw7G^h&z}aC>U~U<%9}bg) zf2ID_Y@xizSmF2UIqrI04;(b%$gQY%a%PPR;Vqo=&J8GhudaW_dki=Hp&2O>V$|__ zVvaPf5zs^iXYZm0Dgew{K&v!=-p&shPWLgYN^XJckc^c2Y#y2z2ShHq6cgegk@0$` zp3Afu_o$vu>+N-anfkCpw?Dw87Qq~%f60(@9fJ?=CIfi%vFmU}FN$nFxH~e>q25GCGLNI#g?Stzy z9-2P|@!>;UVz|$Aaj3=!yA$nLS0w>g-w!rr>20p2qUf0?hQ z6LU%Px%Y;@4ziT+%j8#EN7`*Ai}*-j^z-S3A52P_8|Natf{3jxGbDOfX7;~!SE)rP zYCX2CbM^t@uaqRHbq}MhzDj$!AL&e_72gJ+Q zve^wBR7VT*mO#IlI^1_dxyZmPf8>%&g=Yn(D;4zmkR;(=SXaae89X)#cjHd)_Gpb+ z<}dpr6OxTNej>`U| zHPZI^>;azi&L2-nh-UDe<#LNrs207 z!SOn#qKq}CuJ~~?Js2f_K8Y; z7R`*bJU$b-=b1KM;5TUGYt5?%05=xRdQ(HVBZPtsH#r!7i7#itwzeToWZDAP@f)#$ zuPhG6<;9+#(REQfoe%hne-2D*MdKxA-&=?pc;{;)1FKh9u7-E2yHoljS-J~C2mV*F zEm5C0TJZcfGFq3idkK-FY9b!2%o8UxN_Ayku~8j2t-3Z!%Ix<|uNM2A^B$AjTW**A z+jp{+8{Q=>#uwO#itl5wYe=LO+m~=vn5p8dxsgL_8#?gcHFEb(f3zVUEf_55T3FSM4t0vUa|o_Lcar-c7m)S%`u>r2wJuze@Wrn@z;1bDnPj z&ZAmbTa$6dh9otdwK~J!(h}tMRRcx#dSA`HPy1id`2JGM1pNX5G

xhu(5Fb&ldE z?#)?x-VOHs{Da&8e|fgR(oAR7KN1AZNi;s9w3fcFS#p{ef%Y z(;X}QECtu?yv(mk!B7V~RH`>^nal1SDI#+rn?jLH40XlEZ~T#Z{Yt!Th02d9>p>zJ zwOxiBe1?!b@igNGsCE}kc*&bvswG*{>U~WqhbIu2ib}5Qe-xVf$ouO5!_jky^%6b! z={HoGM`OJ5f+2p4olhx+z_KBzy*}<`Tp6eaQZvR-mS7^W89A}R?6F$&9hv@*P{Lw* zuQ$yU50~nM&lEC(Cy)?S`X1umSm3JuvIYj3DW$QTPXV({<^!~_WXJn%hyt~D(o>^k zG2t98hax(Me{>0$Zc;&h$5Prn+S-ZK0^$Mq5^vWgd!Z;Z8q^9kIYNu zqb9WTdxu=1NRQvn<8P+d=$cO@q0nL<1%i{J7H`>DS_{u3%uzsXH>{^fJzL~PdTgR4 ztNGX{XL%y_+E?1ZXhb#e>yzUXII|9ssh=gQP+Q}}e_yxfFb{s`uAHvz8CvkULMxCy zt&f^U7a;C>9x6W%UF=)M(m^2TPBi`9p_5AFK%T$X&n}d zxa5S8N}x6Jy*07crf7UuUC#3`tZHx1(||UN=wQj{Rd6dvPQplO9K4&YY$esEPftvJ zG^~dTf12(x#gS>c?T!FR_F>c6rN2hY%v>N+kOnW)B3JqT1IhTyVsh{0ajVYQb$rZ` zl<5z82tGJm>MvxGPFA0Z2b^-zLRJ)W0U4}H5AyJgSd^h14H0-|D&7V5n|0!Gaq_P1 zKAzotvK^eqp|F$^MN|i;)@Qq|jwZ<$iRT`_f3g5cdWvw>Tw;|i_62Oz%hX@IUBB?h zCtFv=XqTO&@;j-_N(<=Kh10o>r96kz$kWm(4%3>a!nY!``Fg!O^^{b ze>B)!@o>fKZ`blm`|YP7s{&Y(*K{;Y>X2%GOKvV^30O46f?C0Ce}I{+hAV0q9F>TxP3=4jDUjCaG zBD(jW-{&rICM6kaTDmAPr3?die`&zRUD2&A$`>}$?7J(^p@VF`30^XSFhGoHClO-f zmoH*Ts5G~tNB9Op6o?5V>3cixFR#H_1X@=3TG6>1Ie|X!Wx7jVy57iGxsD0Q$b4u_F$y8eaoR!&{SC0e zNbo)tk{$}Uf;<#w(TN(XyEVyJ@|&)@~*_~ z#^{t4!Wk$~oB_m^e~YszVBG`$3ng*d+%r7t+7VSFAa0sgk@TcmnMULBUA{lvBGq$k zt-(@T4K}2}!PK8CbZbPQB7`4w%VVJwxuN$cyb$y{iKHR+v24ibL zT9U~nFg1dT3?-46!wyuc%CrIe)&(!%me0h&Vm9a{0x>Jse|A>1<_IrlNteb^$m=RS z<5V`jE2ZuEoij9Qz-H$JCvKliQ;d?^YSH5JX2pul3V*1In!a%Jfa#>-Nv8)R-i?WN zUG!WZi~SRtl4zMyP5?!DCQ&Avo%3*ir2D;qB)j#@4$&5eZ z)3S-9#V|EpD;@0n*u=IvuYM5M=p+6b`%u-B3dJB1f7<3dj{B7n7N4NLB{M2-!S!G# zj&zcpai;9+Misk^Wu_8|gwiZ|#1+fya> z6rO~XfB(AE{%LvK$^xFFjLJ*Z0txD~Xz|=0e}{2Es^o?{>{v5I3pWy!N2-5ZA8zYR zwFtP8S_pargtEl0#E-?u^|tz}Q;wfyTIvIyN3~RLdQzycBM?)aW${Scy((5Fz!iUx zCp}mi8Tki$XL&7@CPhtU2sr&RvHthe@a#w;e|Fev#P&KgrIw&hyYBq4e!pIMep}6B z^@TlyqmdAcY)v_nL`U|i+vH6)7!#jmVI|3`&!5uFsj~O6A~@fn5EG`^^41J6 zu-NzzykD_#ldt1 ze}5FNwToV-qTU#i+8^7q&j;h7@i(IW#cCjk^Ber9fQgz+Lg~-_rQy zzK*$C?3)&}?ZqdCIrFHfkBObny4qn^2t*&*+e*N%jwmPM85%(!ih&`*`R39B3#?WS zac=W0hDhmjapp6(9ldl4gX>T3Mp0*^e=&ejuX(@c*p9SmhN7K+Z&5>@JF1%M*-60t zqGYgAreDUxV5JxREO`&lI1^)G2WBDLcoh)KhnOxvN-i(T^7Kp#u=(n#20WbemkX$h zB9?7QM^F1IjQ2x7(pr@=V~I1p@smzwpnlDek`H~+uQ-eH_KEN}T6_c0`8_5YNm@cap7FSIM; zO)xW&nfX8xrh!1>>tg!iH>o{je{$>?txFP5;$0d6y1}nyY&z(z_&BvxoHWq@ZxW+U z#t~K_Nq1;m!aF1M;Hz9L5{FMF_vvm6m>XEemO)CHAsky^&iBqq9?(zJ^JT zQf%Ok6PZ+bDZ5e{&~kvLz}8gL2fZ&H-DJ?DJ(pGVK`p1LY|75Mg8pH@V0mbqoLN^bTs^$ zhPr)w5N>yCmY4mspP)zaf!BT++GIQHsE{2Nv}3U5v|0eNyb^Lvf3ajXEpxrwc?&2v z+zEzCcP`J2PxU8#;+@%=q~?Oi8#fI$YD$5WdUl;-k%K`QS-e?q``%CFeEnoN0%4A~fWp*=0Z2N2d95@N zN+e}jF*U!TV%&Uc1 zt7BjRa+Z!bvx|ra$u-2)S%VaJMEtl_Q7%F&P?xc%78TOd%!K-!ZXFD9#PFj-+1Lt{ z1L}dx)#a2+Vm0cW7(t39-!+QP2Ph^g6ZT*psGeYmWA8=5O?V9}=hF=#3n42nHSiy^ z>JOanE+Gsae}0h?tWxN;-u;^J5HB^_Q-tT8Pi-kREb*{%ce`CGh(gwViF|-6Shp}d zePca7p7xI6FY+4KB*&-8IqFY=3=Y-dJ+jdJDn)GNbd%Bvu#CvOxq;c_0S$oGG=W`k zMQeS2sQu~X8nNoSnZuq{Iwr!&fbcF0Ij`Lo=<`YQe_38yn0nC-Zre?xj45e-)8&YY}@vCycsI(OgGJFiIA z923c41iSgT1J^CV^Lw$(G;Tw427}5sOJDRZe5xzTb*{!qvg4iV!W!zSd6$_xQL?;d zo})@r>Nw^?rwDh_xFZs-Qk7omeV?8C8 zJK^3V9y}Ku0myC{w%_@@XOBA+7v`_$50YMSr})rLHYRHQ9)N=QhAe!S#FjRdv~7Ay?3;(M&kUGPQ0l!0-ynlV~A8rt??$Q#WE%Opqruaj*r7k^*g5U=aR=(bvZvMMe_y1KoA=YF0b>DFwR;%)>W0lLc<3jW)5_FqIIxy(E+s(hEcx5)b0s zgN_SI*v1fl&re1CjI}H(8d17~-;KsRM1M(cj=9~wFpxZ)chulaMHzb@cKr&X8`&TB zj%VGrBdT{dj9-1i6u(&c@VT1${PS0^)~`fz$T;jE?Z~?Vp@+m4=EG0CG==-0D1MIl zBfRSWW>q!sd|O^YOh5DRQ(pC>yS(G*>SG7aOr2@%(kpK*uzu+#Jn&?u9w|Xak$Wgs$&bBM@29mr&N=!#=?;^~hR; zcy^a0QD8w*-mD3|^CoZnB3-qX9o}&R=YRyaV+ZG3H2&OQRsGgvb$*MyHjt8GE<73Q0q=Pu?~A%dh$(~nvq}Kn8h7yiss&d-t}!&$Gk}v>1P{lf+<2z+plcX4PX+po&KAl zlTvzmZwKY|*^%#=iHg=o}Y+%EyRXl zTGE5QEf_UL{!Dc|t8I@f=(kH%u56Z9|HWO51ZT}Q(rx9~f+}HO#ro3zpqjq3_RjFt z6bU!cT7$aKtQEP9;v2aT!nnawns}5PpPJO!_KfT&c%HcB$^vH-SARkS4BJ#>0Ugsp zb=G%zj|{oA!`UGhCDyfi!e$OL*C-~_)g&zs6@zdD7g3eM<)vPAnmR|CAhC+5RRpg_ zbudrYECxTahdWp0sIMHCkg+W{c%2om55*YUsX_Z2^>JYPT!t^vc!haH0w6W|1;q*Z9*A{d0QO;dFpX)C79_m&ah5`za@2jvLXShvK| z&%Vr_&QyOob_{$CD28SiknL$j_G96R-s9lRw4V%7IoM0Lx|`TXkL&w+#wlto$-6S* zL}rhGZ^J5zaL`4$QSRKq9=4akV!9b0`D1g72mb#7$0=nu3YRh=0TP#)6$2F#F*Gzc z3NK7$ZfA68G9WfLGdP#Q6$2CmH#adflR;%DmoVD`27mWA0s_(?HF9)!OSg1`qzpD- z#0F!)C;{nCK?Mbo?vRj1DQRhtE(sMuy8KW5#{c(w-*evg?40en_kQku?&rpH&e)j^ zjQN$oaC?Y49ERi<77)4zRMAy_AO#c>5)}{<62WI@H-RGEAb+Xx+07sbFDM*#?|%VQ z5D*X&t$$MmA<;NpI1H%e?FJMU1qw^t6PCOuBm@)@5|aKK2uIuls)Br=V4$u5Pzw%& zc;U0Fz&-pBP$y?3`kKFwKrRPvps=*GB;Rj$pprWT0d)YufVv>0GsGQzqXWndXbg9N zLXdv{5rRwB8Hx0`Cn)Ia>ni|q_Y#03oaDLrfPcPFq%+V6;srtYK)}FXgn@b>cgP=R z0{HAe6KAN`AH6Z$5$Ov;K!9k48`J>;^Fn)g!@v*(5Pdn&SW^e6?*W1R0qgt$@B#m- z4NzD>_@8ipdH)Ioh5dF0IXJ-GJwPx&D9j1y2z7%1_0@F*kSHV{5CjAN0)pJU;Anr4 z4}S>i2C_#3erFB>sw)`+L1==1(erXZKs}IN0$xzJUlav@g+W(Y4F*<$ySqbRNH6?f z`Kdw?5C?SG{RIDX))fZ#g$4X2I6`4y$6qAC-X4OcFsP?DL{s%I2wH{zug(dA1d0m@ z2}w#w03n`02+F}(@K<;fKM%-nqwp^^ntwr1fCt)By>!hd4oD`2R*nt09hmWOVZpP!!Ng2t9kkK%rlse@-^&@dCqP zZhrs5fA?3=+~mHciYo6P#{bxqmEkC00Kd32kY7Yx2q-KfDh`xHAAp-~wUD@w1Nuw& z|9b&`yZqng{#TU$*XaLuLh9abZokc3zwQ5r8RQOi^ZN^c9%XMNdM$L}=;eU@H`E;R zXO)%VZs7m6X(B=Bm4e7| z783d|8+zRwT+z>o7rIlwO%U`p{pXcxFb6pJ*V>7QO8`L#1jr8`-FUPl4h#@R?-*XiFN^^$prx&;RyU+{gjpj3W9#AeoMmWo(Q`CBZ{FV^lSF7S$|jzD2Vt+ zME6n<>5PE<0sKc=4&Dd^dRu>w4Law)<=;;O1cHJ%;LlCN9c02?YQtO4s+H(``8P-8 zCfGO4pK|jD%puymFNkrUa93qNUPhcKJ^ApCbYWeM>sWD~={jKV?Hk;v<}|~W%fPFL zDMlYRTkvPcsCq~9_mt{-7=P~2^P4Dc1zvjwnuWSzy}i|;#h&ZwEk$gQclXSj0L1bNQrPbRGt zUi_dh38Do7mMbEO=TrWReGxbn2T0FBM2yu{k zlpe|>Sd^B%1{Yv^v#q<*8^qqF|Nc8C^X7k3#0W<67xo&a+unS)(qRSkk@rk(Ar-^ARUSWJ z)nO&Lbw)3e1=+tgXv|vmIc4DX5Sa{kBvR+$Q)y~Fvi}(sPjIm)YL##3`@V6lwh7pfH&+!Qh(Alyy4qs z>%BLV^`=oN^Fo_KbJ2{gCA*w9R_&Rjs@=PFG45-%i*()`Za4l)WR0G`J@`2SBn(!HixYGVEqfTHo_RaM zIP9+fS?wrW#!8y`ovcyr70WMhd=G`f$UZWCfP~xahx+9m6L@276w)=VAIDTrsjbzS z3exH8j53>~5T`-*!3qMBYdc!n$)n*H$v080)N!+O0|tVB4m1=b=f)JCaAOz z9snr5OO@*R@@B?VzaGaDLk=2o8}st&mPu08jZvPfY87Cg9CwJC$Bs*kweZ=kk6%-* zZbt($J`Zvm<>7x{mie{;4$G5C(|E!?hU+C!T4UkNNx?-}^<`sY72{0y3TyWvhb*+I zPGE6rzJG3f=3E3pM z#_F8KyQNVS4$pe&f__$jZto1(Ae7em_-n^@>x&maKB1IllaY9YEw_7y1n{-s05z7W zkbh)tq1iK{aB_;7gqX4ToGif-+OBTEQmUnolxOYzp9>5JVKgjw8vuxCk=KD(-KJRv zSJp>%8@0XjlB6C;}kqrNU5!n0jWWn+1U|9%bxJ#X1bmA*8bVg?G!at2i&X-t&ps^ecB!E z2gA}rh>tWN8M{D)EBBefmdI`oGoKrN)}P>OG{i(34iuK zjNM;j`^kVQ!RPz@(^P}}op(H^A#tC`eUtl}9fQK$v(jv}TYX-qb-%O$x}QPU$lRK| zB!c+Z6%NunCoYL78gqwI6tJ*u#&;)=fTKL-PiDaty4??j6Xl70` z`A{OiMMb8*5}0ZVAWDRysXFVjT$LYDQVI3{7^|8;M>sO)mXpp%kb1j1aXo2wW_{pX z+_pz|22wx&I?ZudnMAa)_pU;*tv6VNAyEfS*X=<0=$gWK^}-ojj4SnSXe&X&ffrSW)>o z=ca$`Ft;=1WLkB`w(jwhhZpyB>}rOO*)=taARE~7Vu)kmM$v!rqRkptqc4;4lm zt#^!5BtO# zrIka!CzWeFuOIm`Y=41iiAbFXSbZiFgrE7-=zueLD8_$9N!JaOHF9362O|@eQu~=` z5Fb;M4W_*v3zjlszb(!a?K`5C<{&Hg(oi?V#-g~Wqqb@#W&b33JSEa&=Z>xs;@P0~ z4a;2EH){OA2c4k@&)>#N6`jz1B6LX{zWe!!T*5SF^3mFq@PDj1?|L57Q1UKzl$9lT zDQDnBEOQv}jkdpRVeZ?c4ww65Lq*nOuk;XHUy~RDjPA-O63e7Ci~Jx#)RL@P%8p6> z>U)eQ=C|LoKAP@_t40m2t-bx6;fw!+v59iYE&ZL6^Rn1hB+iH2Sq~|uJ$qm1x%CHW zSCh*he1*MjUw_E@Uc>~7t&QOm5M65VzI>W~rJmRoojlx>pcWba>@D3yvJSC5Z31^0 zX^6Vfs54s|Gb-U~an9;lv&w<2({V%PvQD#Mmp_#ImYGHC=JOAw&{mB ziZTuNoeHBe>w*e1)TF)@2~O~x&4=!Z#4=BB(SL7wcP7)$T*$4%%;T*)_UY>-PjrlH z<31(kKj0WzXhD#_$h}xNGZ!h5wBhZ2BSIto?8lX@G_Csl*izZ-q=8X@#Ct@kh-Vl>)EYxHnR8~sg(>l(%n}E~b#0e) zG7VP@Wxn6F*z8?TpOwTBKl$3K#8_Zy{mP8#@QvOA0JpWui^Yq;QUWRDMK#qEemKfi zZQ|{XtL7c_?ck}*R)S~KoZxmRwBSrMC4T_nwJR&!n+nItJ7xKDPBwGZbo`Pgrln5( z^w?YWJRwdeS}EK|9h1{epS8%~RqwY8g*#2TmZ^K53+@Xu^Vf@W-KGV8O#F~Sb;-JU zk>(GQ2QVu1zd)2ZC`Cm59$tJ#lFOqXP+(=o!GA1k zLT{&t?%?Yzi?kmgBUv8(kMaI7oc&f^zC^8*L~hlTEmVNufaen2eJ(vjb_SdlG$5k5 zD2w5|6k*3uwZ60GFLJ-^8EPkpm-b_+w?9~eW*jAXAoA{B{LDNIFMq%CHj2e1!t>Sz z*7Ed|w)O)3Sh6y(TuXXG!uUKyI)9(uFxbqh0beU8$0258>Y1cXax*c%36=ZfSKBSj zyq!+xmiLk{{q9T9jC@UViA_ln(z4uK@9Q~F@>!^m29iI>9*&RDV?H7x}fM zXKSxQ@noYWx+cvEJLi?FzHry~eEy95bUV%4>1i7iTL!h4g(U9eW^zSdxu1Oh;GipsZ)Dwx3Z}Wv?`Wt|i+{J7Gd=N?#2|L~ zvGBkgA)lk|P=YexxvUbN;s8dYic7Qe;};CQm|WA*9{Km8d$R0EW@Iz{ti0InLL2Cb zJR{&A7J0;G!)t7w1aG{4-{NXY+BEOk>zC>ae{Qjl86M(>THbQY3@DRHf7}#V6q+mt zLIs)-7(_N18=It%Fn^APSz98R4a(w|OXkhoM0kmr=X+lT9#~UhOI+j{D(KkA#s=X& z>z}rGVb^4nxJa`Uf`gkN;=NK>90kt zWm6^BXPO4)D+=H~P*%tbY{C`yj&#HNOF?zc6sM#|!Xm?S$4}Xxj(}xF=D>|iqfE9<;5G^d~XQs$l z)e*fs{8}R$$WEi37Mz66ia@sAU;k-+B3 z3(DM0MLNoS*zLnk!|^UcG## z{aHw~Ab*2I&Vnf;VeOQ$&hIMoC`gujfwn*`I0u%Q_6@W9m7_`XsYGGo&pI6OJ1ejA zFz?LozrL~2@yR;*q(9C5{$0+vWaey^XfROdx+d(5rrh!s3-sJL8QS*X<$Xjt^FSd9 zqko8i#&UvhScB}%N@$^WA$Rsku3x5z_Hbz*84#nWi#I8->X~ReVqg(l6q+bH6%!P0 z*R3ggtEWk>+_N#EKKKNFyfMivPaEZxx0^(*lU(5*&@wh~eF?8Dav_cA3F$5wB!ipj zH?(6gqjE})>_u9>;IePOvSUSn@y}7E*ni?-r8$w5AugtReop3AE`;@3RLoQ#KyiBb z>8W2_dq>8R6(r6K&Ceava{XVAH83#%<%y85nTw_#qeMwBH^@79<=PWgnRto!8JMZY zv-fREO{R*f6hn(`TghAG27EtaFhgCnL)8Y;`P(oqA9Js*Q&V(BuD>Ipa$LNFoqr^^ zT(k2+Kbn|-u~{Y@q=)N&Nx+S@j+v^8|9zs*yG|UzTBZt z@;n`HsZ91!IsdxGKoz_ZhD^eE#(yO``|_pr{1U6#lLoibGcvlv`mo5g48>VNhFK`a z%D3RW;W!q$B(?^vRH+srBHJG`O15S*u3>~cykZ%cb%L)x+64$3VI*WYRodX~z4}2U z=^vW+rAgOLuF1@0zBRkOg<2%2uw>M7r<$nVt4@SwD zv(ldHX$&V`+Tk8D-W=5(8`hUIy$`vmn5dKTbq2m`E5|o_`jlI~!)3vkOma^Dh_R}> zkecsXeK*+milDEUF(v?HwD3ce$0D#ugE`XNyO`WRL#mU;8L-H3>?HkL$9l`_*R%1? zeRl$slB7a9fnhvPXWojn>DWwrY|3PZUM)EQZen&*3@uCwM*#%^r+?1`d>W%+}o>?F6vSy2ZwSNf#iE{m##%fvaMt10-Sv3#)s5;dQacO^T9kg7|m9n|+k& z9EZ7#Ff@fVEsI|H*IML`740lUS~lsY+`U+&3@z8Ht{r<2-nT0yS)W^Hz)L60ZDC@S z%|E(-(45=c#*NV~XMfJ>sp8kFbyYUqcsXXZPB^YQqRI9yxJu&yhqnVz9E?#Ci-Y=;) zB{;?bm6n>}Y)P)x6$U67q9`ikjpcEm+-n<1;ESaXMh_$?DSvs)AHC*W3@cjpvhb%* zeRylEHlQw%!Mkbk+A&M7jGn}#hG#60&h+KmofnS~3Y(?n| zQ8LCcqL?J_{C`{OS+hH)b`lO@s>)>#i%%nTZ9m!y=&A>chuVD>@XI#a7?UI`Qe^>; zFG$rVlQY*W2s!fdWs<&=vMcbs+wLHJfwR3Kw?v {5o~Uj!?u|K7%Grvx_(N?k)j z`>Nvd#RfLtS6Q&hau1=f&4>x5_miK&=Aba+Iig|?jeok^WrNe*FXxs zeU27$hqU+L3%%f{qIvY1nuYO9=8*<|{De!LX?D$t+*B8Dlnh&J;Eg+}`Kba z8~Tp$5PvPYa6ut{PAkEVt8_$VNyVD*A|*Ls&}Zh<8Gd+#6w5Bp!zSRk76mad^@d1tFJf2gljo8vT8^`m4JDpc~PFfRyK{p^!fDx3$b+n-hbMjZ%H^30hc_abZ~3!lckynJhQ~VBWbG`_t){|ZQWVGIwwKQFzwKN~j(^eR8k}2Xbs?aO3M{N)u(r(U<>;J$rI2+8cn)20Fs_?gDSet-wCu&o5 ze}5|Y^u+DsfM}Scjk$eQhUqcTOG@15;<_GL*;2eol`hodwta(~sirJ=Gg za@2W+#JFFVCY^(;(xDLRYSWUtP%OyJFAflbCy-pbqxE3}pg*)b(% z4`z3Q0neX@(>yI7D@Y)kv{8!h^|lvwT|Puv;ZoopypaWPGgOkrX3J}d9|`;qejMv~ zmoXs$6PFrh0~NO>8UoE5msq0%7q<#F0?-?mhQR|CxA0K{VPKc)-2)c4XR-oeD3==_ z1Qxg6+5%J^m&9-c7nk4K0uQ%X_yRy3mnDt_785ctIWr0`Ol59obZ9alF*P(eIG4c{ z0~7@@HaIXhlR+*ge~k78P+Z&A1q$Qt?lkTW!GgQHyEM?ay9ReBI0V;bZFwg5H`0NV#X zHf}yvRscIIEAM{-9h~_9;wJ7ObASRfK-R$?=z>5c=HTe%46?Lxec$Cjj{sUTIsh9l zFE``g%K;*GKxdGdi9JBU#MKID_r9ZxEFK;n%qDg& z%nr_$f^>`ke-DtW6+ji}0(5o-)Dl7c*y&qpJ(E3&{2_g)D#3yeC=0-dxPV&JJkr>Vojs`NToaK(qI(d$Igo zRvUW<4||_~JzIe6%`N_tVD9F~qG1nmas$eU|2yK{gz(2^33LT;v9hvq^RfYeP5_{% znH9@lf8^?3j=;a2f1BUW@8{#_;0UmImjLtwSpeUE5PV!r+<^dBXE&gq&p#diixAk@ z0OlYwSAZ$d5@e6?hyC3QwD=c(ue>wJ6QIZX-gs;P*1tageKL6OEprEZTdzOie;1cU zUPe(zQj_6t!T)uMiaK}#e3&>s0GQahSOILTf84A9?)L}3|7H2F{{LwI+p25=`uEvb z|1iqfTQ~rC|0Ur)cmI*${_mck{r9-g0seOfMThr31_EgRw7otn7pvL(7u)~m=Kp)i z|G%F9i{<~N?f>t2B;9On|Mt@U<^KQin%IGCz5eZg?_xLC_lZz&c%KXV{~M|W{MQgG ze*n!vZg&6IE8}YNJ{cnRmbU**BFIG&D_;}2XO#cWd5LcPWeCRom1ftdgoO9FXDOURQ`kBIaU9lcTTlGh!en~ z{s+Bl(D;MichLHS-gnUcFXDaonfyWTKGQ#l7r|JMbU=cZ=nJ zA^W>nOQ5se`=w-R`_FCOk7xDguFpuG`ZA${>VZfS%K zZ%tNdd-8oYN>rWMYeV=kjWak^bS%;^KnzdBq%Qo+_r=LqGsp(A1-wg^D&NVC2SvF6 z^WLLh%CljhVs$)haZlw}f6WIu#OI1xrdW*_{h-o$sxPKF3wY!(u1v&m^cd%!sPi|s za~OG3Z=|w`3{8fl4`{vj6jkq?4=)X=siyd7@$pz7v1FoSHd>{Vf9y)hl3+M<&VR~o zc*8n8M|HR7^F9%`FHHVdg*b6_64Q0JIKSwsT5<|_<3kduU6osU@&8K`%=4; zO^3`kkqtp7l-14Wt=9=40uwagZHh~NQ~&x_uq2%=pC8Fve+|d3HbNpaGO>dDJ!Bb` zl;U~k(f}^wY)J=;zwvbB9eLIXq%1UAf)YCi&q@La1`xw!AS3n3Y`4RLdv`vtWc-qS zX@VeerO;0ufbzOOh+N($d<9SKiH${!v(bRTV}%fcz3gLY(#S=$As;xj;6dXLC7{%D zEstkrd9S=(e|O}b$Xh2lmgW73O@;QU;vPK&==&0(^qFPO^engrKfLqD)6tP8d}WXv z@^+G8OLKo1&wO~>M>>V-?$DU9osV!3b{kN!W&Gp&X^BKhsMUT-=4=MKYLi&|BzDTd z789Jc^R0Bm>3#~4S;{3N2bS#9^|ox5vE$p4Hu)Fa4;8 zj!nb`l2y{F5S&=xg2d2{!qDv`3Zy)_U1#G;`ppf#>ED6}d!U;O20>K5k~(!-f?C9+ z5h~s@VW7fL)I9htFU zk7~{xyWqaNjid}#~5wJm&^ zM9cel(!TwDf!R=px-NY+j3~ijosic>un75Ktgo#zv2_ojhR3?o8((H_^-%0Hl|$Ry zb7fqnl06UMrK%-G8ozjZ+8AN(G#Yy%tt>;#!fEy~)}{^bO8sIm zf7TVytAGIgrHo~mmw}PVl*6NiS1aM38^SMV>1Y*zf_{4Jy|7i-LeyORIx$yHpyBnR z?)cd4y7bf>7kOdyoXXBwwr}!b)}<^dd>@AlW?m@^Krs$lilJO@(BBlfa&)Fl@Zd@* z(?=b1w2jD*(6MK8D{?f~I~zN-wdsK-f3sCR*>%4RjOL6n@(jgjKQJPpB!JP>`~3uy zEUo*JdnZ@(Vt6s6JB?*$}Z6QlM}bEb#yVD|zU2t&_SExPd&3eqbj8mdJS4{Y(|iY`?-p@{K}VYN$gc zX`ZKiYiD%itiRg`i9v9i(ag-sJ~B8K?=-(C5G_oHNAr9S9wLrjIZX4}af9tcjt(^|q~GH&8v_chnC@*1 zf!S(Sz53owq6-)G&oH4E5Lq9KSZwot>(qQUz5dbwkHG_>{EJ)*>RRCaueb#!Uge8nfNz7aP=00=_TaIv| zR=98soK*+$ZW{22^!1eo5Lx4>kOpfYsj*OB$28ihS)!nf~pR!NT@>*E1;*|I07#o*IE|d^WWY zf`sSJ*pCW?;obnw%?(zAH{{QS6WSS}VkPTiKS?obJjNVV;>n7D8q4|B=ODiUrMRGz&Dw07ih;NYT&w5HBZI!^d* z;0BYG(!`cS%l%+@MULG0$pzJXb&AU>BG~5O?WbW23p%M6LBCaOXnnD#dyVb_)CyUK z$L@Gck~JTOsc0Nl+{rcA$AI?}6OW3^oB5{g$7uyrL9*>J;ucOp*Co>EFYjb27BOq3 zpT-0$7wOUGe z4U2l!Q!rH2ma|Ep$eSFSj>^XYQuZPy7XqeG*PH=64-5~dv<5) zarvGA)f}_QFE4Ppu=vPoF9p#Dd$JBSHybZ^e{!z6RdH{G#nPXIG@Jw@cN>#nAu7Je z)Qe@U!`*XDt*Urt95&Q*?aQ+H`AD30lgL+kKf3jjhpl`k4T!Tbxpp_k5qM?4568BU z4Bsp)&LOZ?qb%&?Szhkq_ZT4%dDw4t`5d;Zh%`alLzb4eNLeP!NTwyVXy&{%?FF`T^3jIFjp^^G7wM3~x_sr-qI+C)&u8%8=sKBTD~HTuq! zsZI@LC#LW2xJ7(l!S%f+!)Os-1{wX0*-b=o47WF)^XrVO@bf{jTV}y8W1Wq8oZ+@n zk+-eDEBnHJr>&~)_^(pqzl*r17_02+e<5}xN{^a9@Cv5RJ(qDyhY^T;Ucl=B&Rkdf z>`Z^nj}_9K8&fP~bX2}oPhPj3%tN%W%;lGP?bzr^6)GUlSQVXHoD=t&u1AtTQ4mCs zSYsUEG%H!`@jXN!@JmFAK!M&9c-*hW`aa=_Z7O%h{(J_}9`$6ncV?({2c;pRf64vT zQ^g;MO>rh6J8r?k1+L0oie#O&K7`UZZ!ZiXi8`MtLyc|j+nUPoexaEfhK;l;meJ;@ z0ak3#Z!&-V!1}m^9~BaeTou@w38-?KVSz?#!bw`^5L${K%=GVqggf3w;8S$;t_+=Z7ndg|v15a8Cm^b5uq%5FT&H84vn zIVM}Q_OFN)@}tH=Gu19iZ+2P&NknttLSlL*+PzH1KRoGvSSXRW<}PRu+hgF9OPoK7 zOs?B{So4=Ohf=hxV1tvIZ2d)b3z-Bqu@qF6^6g}GYJGQLHJHt7y8(B7e-EY-D`Gsz zqeILV`t(;tRbB456Psj@ibXyf)aF22bSkfY>hB}r-uA40Qizp3+JOk7?}jm%L=wbM z(+w|F&2_X$7P8)v<2!~Vh*^y^sSWjT5a&(Es(?oJiTE&+kanD*;DR$943xz%-R3XX zFS%W)ONZ#EzK$kUqWTa1wt-<|qi@Hp)q;ui0#J6EWonS4th8HwkGf?byigzQ| zVO&u==GGery)pxUWVy|Dd-O1oaE;Av2T<6O1^RuuN~lEKddY18e~)<%wf+$vmK}jp zN<$A+wc2Asjb$dW!YbpBwSrM7b{PCoWmXf9I(0kX`AAE84(i5>@<43Heo!25cH2(< zfqYA%es%+wAW#P0`oR#OnUVflL|G3LbFN2}WRb8tdAfL#_9(39vSNZ#V#;1eR-fE%f0$tJ%-e_UO9M1vY|6J+=R4>8Qe zX23A#2sMPYQD=u#75X}JjZR$kv#H*Bi|j9+(p0>2ZhCTRGO)nO$($;T`&eqq3m>V6 zvyylrW7`h2yuJ#$;23^tU-vKt6ueg0`|iG6{o7m=H8nVie?k;_hjW_)=9h^68O2<} zSZZNoaI{&q%oyGqVBy$JW7G8-FzVzUhfGYD7g1{?d8%-S>9^tZsD%*kaT zPcuBbw7M|RK7mVArw+3*gefGS`qxtJ(KuAbk2CuWswN@R&r*q3<1050i*(J*5@@ zZ##-=m1YtyQgd@w0yhqZ3erU}v!IF_-8qz*=y_kZF42Ai{$HryxAKwIBS>mnXZ$*& z*Mi!Qf3AG!uM_gVlffz(j&L0!^Otmg*chDeml;cp$*^M@^c22=m|Go32<<3FfZsiZ&aZPIZv(o!P2cYPU zjw04;&#%4->bL#)a}<-qt1-(eqeL}AMgTY2k{z+jlI9O~=f;;@t~0>+M@;>ALbC5& zwRi2W*X{5s!Ef03O14!I5qtfnMKVgmHJH);6pn+yu72(YSqB7DEuHiBgkc zf9Dt}z;>#;4UzK$kWJGeDukCpQXcb|U{;g?yTT^9Hr(nkORlq&OE>R*KqUOq9I zl~0?Z#t=#j9%FJvQrLaTW`?OwF97t|TKFQLZf9(XQs#is89--aKpk{d>ort&90+g; z?&^;g*M$v(ix#{QMQ*Tjj*$PBq$paKf6K0xf0LjWJ+vz)`ssmKux7?MQftMS_mRS) z&6Dbtfn-CTi!(pf>*=sd6$~zxBJT5*noDzBD8n@DwRHtm$KdeR;?vSWpR?0mo@{MS zP*+0zr&`pWpk0*FJN!M^JL!|pUxSQ)!l0}9rryw)V3Tp{-7e}<2QrJg%kc(tfBN`O z)X+$z;M(rqmTas&i1H$RubOzNwp+sa7@SB+BP!VDCtkk7;Ju3w!}X^LN! zM^;70wPs<5&HiffIwgzXXT>SCe>P?=Cv8eSqJ7}4|GE8a4o_B^!pa{XpT?pG-XDD> zNa5tLZ60Tkqa@f3BjC+MSNT@?-JJNo#8S)F*FF$VexV-zVn;GwLV7k!-`|#o@C{`) zBxww*W$WVimNm`vdHfAbo2Zs1%{ z;&Gp*kd=cfmsg0;T84Xmf3xnZFiqnbL${q*I@hi+37=!`EcU?pA)Hg2hOyTK0{bT1 zmsfv$YT=1SMOXgdnEcm!0m#W(#Pj%-8;9ebE**vnxxv+}p!g`sz5&-z?|zmhZ&jPX zfCmF_#$4MzUXEVsMnuCnf22yR*f+1Ie(L5beS|OJjqVJE?%GF~t;cR+?2nK#U-MB; zVEps02`qy%l3WDt$!Q(;RN6~#^T}0Jx(`d$oY*`g$4eOZ57{h6BJ`v_bsBh5lTM_f z2iWALw{l55m(d_Sd&f!IeSXX^sE$1UX{X-jGxf-=W>g%R#d(u_ z*mbGIhr%WFkHM1+Ydap<`I#(6LB$N(idSKplk$h%>M}w)!@sie-E2OP4mxjImbIFs zgu~;wIte_W?JEe>f4mAky6toNTXCCMOTCqQfG?(n6^#`xWYoTx^8E1SKI{uPI5NUa z#pnkYxg7e&?jk6B>iokdj+)YbZ+|VuP z2|1}m6gCkjl2~1iT*~pi;?&0UR95vdj7Z3+$m8f}hviiN!qDlJ5i50_kRN^G28npa znp_rr#kID@k45h945|Ea)&@s)J?uXB*m_?$$B$pJxP+71j(xgyx0D@Q;2FVACQdI+ z9xm>G`SekZ;*5kgfUG~ymwn3GWeXku_-Kww=`}SD_ z45Hp~p6y%^mD?iTW5|z)1W(0Czpst1;L!NtX-~a_#?vMok;>WMF{HEX8!MLaQtuqD zw9Abe>>*u4(j=!FS}qxBKmFGveE;K0RY}n%f8Ste_LCv?@KgPwBX9nao#u9LV=;p_ zaaG=@dlIRSFU5T6BPx_Y8-boq{FjR^NEgQoP^C(#BxJ%gQSTNLvA}+|fTlFDV#GWk zWS>2l&lGWCPgp21?aPq@f_f#4z80B6fB;`Wpua|)MUK|sZsyYCT_QSUyJNU*HvVA_ z=f{4MV1Emb@n;m=o8&Ygg{u(pcXSJhf?5VqslO^;#F0Rk2L|QN^Lwdw^3Ys~;+S}Ry!$cSxSwJ4nmY1J) z@#^XeF$QPgZUx;*BZwSRNAT?`{0+MunWNbjHGiGQb62_xU#2Y^ioegrx|BIv^>dnu zT8x05I_RKO)iaz3lTM9XOI@*m34{}@5B1FM7_K%^M0y6}YrKM$Yg&5Yw^p=O*)S<7 z8tcw~>*p(#ArR`5p~oY-`y}}O&Zmv;k&d*>0eoPrDKi4m;2LW9l)OEZtGgW?lStj1vtC$O`A&0u<0AZm3^ zjD=QN^LR!y?!cAF29IXOct1a!DV*Yo+~wiR>RG5Bu{6XL_c8sNRd_N|%GNj(~C9tyk8o&lj z+F!@4d6Q(KJw16HxJD9toCVLalnYwGBl%9piP^7*+3pOx=9Vbt4JlRblHQ0|tsbBd z<(GAgCW`l}^iw6mP%tbvWAx>z`g_kU3KHAEy+7FfPX;wCB;tY z?!|lEVl$43@L4daUos1O`?J&=!%EH z#@L2b_4V{1wn+v&Sb zJ0Q^zK{9T3H7B_Kvo<{ z9^S#LbMvONwl=OrAkzRtxHR5)0ZhtCj7_!6%ZK!NKBv=r-PM;C_6T&q>?m)t)CXhg zyf_OXSb=UX+ClIiRey+*MzBJO$Yk`{%wRE1%?oYTn+ z(kF=OYt2kV_a6SVP|-}J8>I8YPI9(Nc`7AEh)_L^AbG07#(&x8jQbbjD7@(j&+KFI z8|5^|4>@K&dkJ5e5^n;l4^X&QIjB_OWrSde-@*sbQckx)L@m8izKvEkSPc@r9k1z~ z*)1sjyhstag#If{l>y-q+HY$q1VFN7w>R=sazkC(?c0J~C2T|@S6eNA`Uz#q9~OD( zs~)gDLO|=RQz@t{$g13ZTD(0J+35@f(gDNHdmiG0X(8#^ z+~1|VBIGpXU>)Xp$BE2ErI=%kzHSV>P|akP38aatnydD%!ST6b-H|m)0)gNkc>5Rf z3@N_xh(i{I-zwgj5%7Oc~FPMe6qk>E))jkID63gSG%qE^W2fBoiu^!PuiW zYG4>-O@Acb%%Qimv0!=Db76p`z9iheobFa-e9^>~Ry7vuA#q{Rv;D8+n>w5xVnZ7< zD23sLF56$dvT}Wn#7M;9Zo%A;*WL4NaGpbLt34BpKfkY}yVdg82fVUwv6hJb;sW%v zMZ$*B1alr56HVTQiqVpzAb!RVw^i!{_kFw;5r4sy2W;F-oCSJkV(CzjLAssez_LGJtf3Dt3vm4C13%?fS74mdh?iy7?}be*g0`kTEOa%s>NKBsTv zaDT>Lm!`HNrT4q?NsQcO)M#qhcjdQOg>{9eoViHpE-Saj)`srSj9qNsbeUy#{M4B_ z=t4c9ZI(w!YhK1*BDpj^)iqBq{k~yTK-EI7ZL+*R3`TGgO?62W62LS8KcBRhfJB76 z-Ec0rkuD)w9X`1QyM88jVV9zBY+3$gE&@^#FszkuzHzSy0`lr!)TPVly!J(2w`Fww<$l($*G!3 z8C~3!yz8yF?;*K&Be`@5q>HMTHE~B%?)f#RO)%n-*N(^ zG3|5mi0$PviHKlpw$b&oZy!iTSAS8hz-_oiV0bPJoc9ks65?=wpadKcE2n$e-=j$LX;8-KWcX0NTF z%GSZL<;U?p7wl$ni*oiazuGbhG_0YQ;7w~Eu&}`^!F~Xy5P`JSpFS}T zDg3s{OH1h>%qCOFHszvcyj%1b&eFG)7?H~Aj7y}Y0_LUN3%GC+dY$bbIf6QMj7-QALd z{CuRLN9%gYEk)Rm1998dFi7wo^?ak6>G0r{7)u*F{8WGNi7vE3^Eq-o|Ld`3+62Qu z&LNRh5U*eBLK@*6E5%`;<%!Dx0oVw&IcR(FTG1G4izZ1V*b9MOs{G=^+bw!2#(xC^eq5?=WA2K~(3+>>NiyrRuHnQtI&K@Pi>R9#j``B71CIg; z(_H(p%*3seG%u)VB*v0~Th07w7&SrwI#cT^&h2hs>DFd7__B1M54+D+rp>XVwZ8{wmsd$VH0{dJ;D2fXcV5}X*v*+a(0re9B9 zE;rR$;BhA~yrwNaY8W)V<0NA>rI_!i!t)EKI9p6FDES(Es5IqNEgFabe1Ke~IiCLJ z#b7n*BXVux_kX+i{;O||tR@5JPLBT}163Tof6B?HGJ)@}BDia~jnmrSG$V_6AKDHf z{fYVcEU!Llg!Ek&wQm>s1^i4}_nGSL5-cEqv$T_n%N-Ham^CP=HObq_95p>DK@s%V zGialTItesCX@_L~<+m)oR?I{8A%*bJ+%N729vR8wS$`z6hPV&uP3?3FQ-iS6-KLz9 zIh{s_gQb;we7eNS4$0QC<`$KV<4_%s-A_sg49WM z-$ScakR^Ia8(NtTw~uz>h2pB_)F0px+Zeg(JYWQYyWZ9MVB$%oB6!GNKLN+^w&|!1 zJ5cvP%?ghv9`0xu(mYgpBjz)tE{vaO4VE)5z<*5oi{bkVq&fW$qdp{2e6_@m$8T=9 zU~-hf!l;=e=NRMPTyyFD;hplL{jT@Zq2p~G+$#Jb9rrU{Mh6-NfBbQdeTY3ZUeN8GAwBGJRpVjA z(SH>oa^0z?{IwSMnnG{~SK#@80YPB0!N)tkzNP94t%OZkQ6bH)nCP2luu%KAG`nBu z)Cff>ckH<}+)9`FMboICeji%ANZX5BiGLM?SzoH{?L@oZiY#U!O=4nbCMTgYD#ci2 z{Z!vY{N`2l&EIeHSg?|Nua0Vd!Mue9FI7>^+W;H^6#WlPV z_RtO5ty9J8{l)l`DgMj-sp`>E)#exv*UoSU12=QK-`=sC&_O9mas||s6YTI8GrdV z%(BdWBYIG_#a#=&oaL;~O#_qNpB9) zY?i+pKSZe9di$WJXHS>LVZamzaeryZesoW>AlxzoEuXHEh?}Vfxgyy4#iY}H!{kQ& zDzbF3_r;~&W=$<$y+J%3hhPox^S ztt;#haz|Sq`Q}O`qrt_^YL;-|qV40Ew#$dZ>-N0{_t`)321i31PX=t6araili*5~x zze)Mb!b?pq+D)nBO-xn01v<*5I1!86U2qkR)TO^p$M@}*>6Rvqd3LAOjH!NB&!~F} zEbL;%+~aXd58^xhq*2D-YJa!*;Xc(>brYoD_g!sm(OTuQkEj`i)(o~+!H@K7iqT?# zH1qVSHfq;t_Hi6FgMR4j0*Uinx64DI-ZXvU4~*2j_0Wp#a$HM@UCYw*@98&5Fx{2f z!lB(qHfu?)@aut^MDdi8yEW)T0;&uR{uZjdoo{`wm>{8F&PHN}>8rsEU$=V2kdGRCG#!z&nrxuXeoH1t7R|*YA9Wds|ES+z_2wEPImSLx z5aufl-$nfel?pEvvmOlF$4$}!{|B$QJvb2y_5TX3JK9Wao zRWtY#0oca@L~14al7AL$A~%T0mz)cJPC+DJzU+P3dHhdw0-NL|O`7aLK<4efM@cck9%0TrpM`K&+gI3cOX*KRW=8KZK&V zp&mzbrfHiCe0%&xvJtxWxH?6Xacd*(NA6U=_oC|1YSG{MntwGki(2W1r2-l;X#IWS zb?H84OE@=9s87dwXN1Kh+$On$YtZUSP$!`_*i1T6Eh;n!3-to>FQnHZJ@7gGrph?u z?iEwy$?CcLW*+$7vf1R6nH-vZTN-kI2y1 z5x#8zgnvGnLd@$Iwl)EX+kBoe<5jZ!kCo|V4)(8-*F>{VS%jS~gi60Z2K(NHVEy#v z|5doWH|c7=ZNdDlAR7J)=G)o^OOrpOPeSLp)Oo~dWDqHH&S8TLgdCB85HtALsihq@ z$zW7;Q;GX0Dw5r-A9`b+NiUwmYw68Bdg2{{ZhxzdIX{7+@`EkeSE%Z)x#QO0c<|No z!BJPwb)Pfls@idl0Ven8lhxj|bd&}tlFeV9h{3+(={9SYIX7`&N|4gyIR5tZ6&#ec zzUOxUWPNmtfkl!O43p5091_Nk)2~w7PQe*M>B=V&q9^S{;7O-k^uhPc_mFLguvyu0 zgn!~r8{?T8S9UTwjlk_Juu5@3ZI5_KBIUL#5d$E9lFpy$?l&Z%@*rUouYc)>=?QOk za!4NHx)>a>>f*6N{AN=FwA2!wRAJ+9QG81nJ^#JKqD;j?5!8PJnT;S34o$t^Hja&D z$N2MtlGhm|VI2YxXb9l&Ehe2hvBI7B6n|&eh{zWdob=THE77ND{sS?RRfA~J*147h zw2O&R=?*fr)faFJ|By_vH0YRPVU(9xF6&c{j&wfMOPbM~gR<;iy=Z73dR7gSoYY-@ ztZ|t0YS%8?nhI}fD7u7ChIWgPCtMR6axC2pyetWyvimo4YsK!Nne%O!YfI4ve}5;X zsb)Zj?Ww&L2^|gI$amzmGEkPf6~ee@H%r5F9_tQrc^i$uh4XzZ4)Tpj)79bU@fQ2m z)XQ!`X&N(0S|*Z}`OF*VfO5vq;=J*LPdLhLM?@}lH>Ic!Gpo?7BB&1yhR!x4{77t1 zx=W+$%3mq3xCay)9%>`d9gcb$5q~s7zQ=|Y7luMYwHIVS`FPaDXA1RcpXO#E<|qH* zBiiRn$2f0-&#}gmC#g0aglcV74o5NdoKI@tr#$Q=(ka^h-xy^H8orpshHA7$u#(L^ z;SwNOpTXA+UNnHl;siIvxu4@ct1YgXgnT@|W-PWC?oaMi^grMTZ5VnkW`7xqo$U}( z^|lm4ZzbJ^%Tb{66zTKpr8xH`2{(v^Jp%{Ve^)|%EbPy(a(97K#})O-AErN(uQXY_ zzRvMZfbn~KQpffgm0pvew|6>G_Cq}gGT$2x31v1qdN2axdsoQzFY~*|hr*f6O(3Yr z-iM!zQ&6$t%9Epzoq~`EC4W|h4TQIun9}F@_-x4TOQ2&t4-Z@!n;rUHhXv>~*@9GppViN>2k4m@T zi$)j(g?E`K=INE__orGa`% zVXwzXh}6;(rP(JW_{&QE2=8IMXc`X$yp1rFRCb&qq|71rEfwsvhuWs~Z^lth9H026 zDmjgpY-p{oEm&I;F+>~lK(g4&Ad?c6oHW(3l{<~dIZJW&OUAA6Pi)twPcn}&_1Lkz zaVw74->zJ<+Y`$Z_41}Jx%NvDKU2G0(vb|`Arx;ePwD5@G=^9T8W4EPD%$nS$DMt~@=vhw`r`Su0H0R?)WGeH+_5s^*r;K`w z90~AEmO@PTlFM~em#6>^y9AJdu%_C=;!8uk==Om%NX4}alz&xqx=|v45%&p%WoCve z`T;a-q1}QMe6ch^_;iLc|SW}`PhoWj(@_9~?RHn*>_YqydH z#qIGK+2os@RcjFGCi9PsvO){I@gMwmfDXE<;7O@V!edYAAuvM*fcoM&WX{-W7(j#( zq5I?G5*d~uzkdSv<90riiGDqB-*(~v1|G(hslb+LU2(vqL5ZTa5d?LoOoB~mcm zvO*`w1&IL*Be(Mdw4LwB7M?a;u2g%=UAh%xUZCY~Xo_GfCrqXVuf(^eN!ZWCC5VhZ zh1z+HmDi4rtD$Z80`+I~W_^d|xgRc_CF9y6TnxVw`dX9h zsVrEG1#_v3gDxmpk(=6FlHXDIE2O}#Wj=19tRMFQVb{^12R`Ro z@eO^gWoJcwWGW5J$Vk--Hh=zMnKwdA3wmkHyMKw9lV;)@6Sl;~!O_UglK{x9B>m## z#F@dvPEEGUUkWL~)M41f&ZC86DZ<__8NjA5BV^fLrIH5r^8u)6{`Daxsp6jGzv=g2 zr0Al*Nb$+xeuNh>@8|Qi;Rm_J6M!uW$6J}%v*2wsRzvYe4xk_e+e9)7v+DZ-HakN* zGJj<-uZ4FMCyZgUr#Jg9U)E`6Y~5O6R$h(;zcLK*Y(bhKAPg`Eut&wHs1`AGixcT0 zPuv%heo@fPfMtS<{K-!3XY^t_=VWa8Tf{p#qI`;KcKhqXK5R=Ahp0%BWV)o^ud>RV z?BGV1N;!H%roclKMrxbEeQ?JMGk-O`gW}ZuVw}@kEC0$$2Ia<%fn`Ryl7P{^ z0h`s6A95nP*MJK7x|#h853}x>N&A7HA*2;l#mLEYf~aRgb$t{dZ5(-HG;k`r|EQ%%L6uIX@jF3}y|Vwo)lM_FfP_dW>*9#|*zNsm?%xa7klL z86#}Gd=u~+N~iNPXw5)RccrJSZGZP`!am&G#_d|AEZ8qLlvSWLMS&{AMf~bB?6_09 z$|aEi%XKd0p>JZXW>P5Y(^mQoEwfB)WJW_y5VoDJ$v;wz$(&-l%J21^UskO0iAkRZ zc%);U0y(gM<9k=N>VPR^@Ix9zg&dkXRn&y)fLFj7WeEYU_6N=#QguXh?|=FFsdwE= zOhO8%$4Ns;d|%`=0pUeuoVo6}Nt^0~arszlOadxaN%@Og}7*=JD) z<1|17a@Mb(Xw8Z{QY-N>l7H})8L?iha|{2h`h?G%Yj{q-`26gWJ|O2wKrX23{GrK6{?=Ll>l!2&TSeSJX>VRH46*YhQM%Hi>>(PHY=p zKYb5X7UT56cjD`Z&1F;CMIa+Vhg&7IE6jEAVt*$D62#7{Y!GvV zRS!CYFJCj-A)5>!5zJrTpoC(Lx>f}sy}%hNJ1xF&FU{;A%di)86eB6z!4i3Sx!%F3 zOcioOUbRLjM7PxmqdP+Y?KyB7If^qD8HyQ~C{=Y;qdPL9jd<)Fzfsyv>$-;;Vcwx)iR+Q-W|ILSIXT%GkyIc%%O5s zwy*g0gDPS>w{xVw6~Gc~p5(dPX`RhC1qf225Yo}Zxk#m1L6M;g*L^`93L=cmlPluH zbldiE`0UG^EuKbuEfY5)h`C?w-{U94cRjf|2@&icVN9RScJL(5 zdJ50Y`5GxZHGex=5JSRN$8Od%@d!hCdvkICmhx$C-F#((FD)oTT&C6oQB4ezNbV-T zWGk7NBjqY5R$U;LK=lAxx7ym7ZXu|vht(OHKyEss_3v>Z^Aco2t z%(B1S!+-1n$0E#$w|zY`L)6x|;R>43AHo`B6<0T#rF1QiuM^~YVp*F%ja<=US>h|L z${zw1$BBhi>}Yu(LMZzp?Co~!`-5su(jb7E=(zvBs^nDe+V=Z z7S4pFs}#!`W=}uS0$T+|Zs$m^?=GPZ?D?3uf8|Hn@s0Kj%5Uo&ytfoT)wA;|us_OI zT7OQa?-J0%MMKV8*0{zheG8MUs==bDJs%-8jZJs!NFzAyKc>)Vh;_ZH=l$}LRI>7F zKj;!=lZ`_~1e~H8l7%U9@z8`EC;l~Nj=(Lu>m)DIDzM znUgp|tbEjm1WRHIQpCHU^K4%)JU|!M-z5}7tf6kSlW)5 zXRj_+hshh6g>;^M3Y*JS9`J7@x8o#HVc9te=YaSW9{N&E{@-+_Uq3KvQ*t*Aa(_Y5 z1j5RtMh5D;*b^qtf9Wgmhpyb5!wkp{Nq#ds$ZFD@gXZ4gM$G#j3JohK*Ks4soNeqp zx>4}5XD$2-jawKwQcY-?*6@p0{bXtWczqKUuo z1W;U8?vxxGMQ>~tUZX!LT>S|DZpx{z#V>ZW27@$1%!%wcdJ%dm`7=T7yAN1q%t-u> z9x3F~Y6`=}Y>=lqTI6wHcz^SZRRtvq;x&b9pU_*f1GV&3Lo!1upIrc@WdLXsE3Cpe zY%zS9sKjQd^H%36gLX~thX!nu%yMp*LMc=q9W585XSFDTUswzcU{W+>+e?KGhtl*F zGQQSO#o+kPJR|nDiP}wBt!9Lr%h;t&rkQb?@pe0Vt-P0S11$}b_&8t+BXWgtOkG0i=WHSMP9(cxk3PyldQ?&+$fN+ZE)fja{5q&e9k}a#h3Jr zUNfl+EM}7`Ew}k|@+ldTRUT|Q{qO&0E4TlA*Y5DDMx42g*?pE+>sL`*_1<8e)Y||! z+tI?8;hqE(myDJK6qhe%0}%o?HD1WwPR2=Bi1_(hyaCd1e zK+wkB-QC^2ahKr1-QC>@A%WoT9^Bm_Nbv2Mxp$KL?f%%qInYn}Q*Tu@l%$F(^g^Z} zV>5A(y$d~%fr%R+BB!DvtO;ZSFfp+(FflR1Q&Os0x!9Wh*1%J$n>jgKf$X{eun}=G zGjajTM2%d)rhjrEdw{g7Eda;@0J3ufIk=ga0L)BGT>mu$IdKC-johqE0dfogX^_2{ zGd!gT$idUe%EHnGoaw(G0n{cm03a6^2i@Q903kawCo2;pdw`sgi=~+zIHQS?EkFfi zVrAyy`9C43c`aRB9Jm=7-QC?8jO?5lKu#9?G;{!WD}NVDfU=phnUkBDDc~>K0C^)j zvwz7kz*7QLEv=mYQK^8;UEGbF%m844t(A$Hy))R!)!x+12>{LyP?3@aC^(qe|6?rs zj{zOvKkWek8G!$W`;YfufvoKRb~Z9G0ogei*?U^qTL8?hY|Q`);<5}b9xikMBYV@o z42^7^L4RO>BR3-}TO(tz!QaIj0mOxr07hVr|6%BC;$-FE;>_S|W&0OP#=pXV8!cvU zDgv^zGqZPbhX1QRQ7b1i6L9A}8UKA^8+(wuz4vcyZe?$3{udQfR|iHldn-p*Gbz#k zSb;_GzhxF?E&w(rCMHfMc7T~9z|6zMlJT!3s(+piW`8Sze~H07e7zk&4ghm76*FHe zb2IQCcyDJTH#2~XldGAp_n(UYMesl%z|_jb1z>DuVPy~hJ33fwX8sQbPl1z_2SA4j zJcK|1(_cUTe$oTanJLKL*7LXd-w(~GBrYN@E<*b+>3`M2!XOWTH$4XsK+nv^1OPH| zaeo0gIGF&x{~PJw9{iX8-%>>*tN+yZM?@)ma}a>*9~$8P{g;Z{f98YwKkGvS_}>ij zAn;t90jPhkfi4pplL`12@c;KR{O$7pwF7@e`Twy7|FHp}!+h<|}J}}PU_xf99241fJO(|w?0y6z; z3z^y20Y*+vMxOBC=LaJ;fHx4luBK)le@{4ok-;A10(Jp_$@v1zK~C_0y(&8!fPYcw zFVR1U9l$938*u;_MSder0Hf${#06j!`xkLA0T{)9BOriL;x}RjFiQSLEC5ES--s2! zDE%9OYmxblz_rN!M&MfHej{)#^1l(d7KMKic<31wea z0RNTzH3y8poq^2Y45n6QPG-*FyZYM*+-0*rAegB6A3k7Y^*aa4U(oHhIon@)kgL-l z{$LY}KOnd_mj6OlaBnO<9W2fMED|iU`U8TCwD|*qS=s&p!IbTOL-6Hd{C^`9_*yc8 zekTLlg1=S&P=kwg_^k%}I)J~{>}}1=U4Bb}|B?Q4bN&^BpAq~RR-ixH2?SI7gE$b( z<9AzG!8Dw0jhrq2FaXE+9T9xS7+ox#%>L*lxF8pI&>s%q7_NUna4X#YfM9y=e>@a8 zp64GB%+>36HDFgSGpB!I|9{Wko47iG$-4Y~oxw-pzxeO3Vly)jGZXm5d5{TDuysRl z+pjty0(bh|abB47v|pOc^y5YPa4vmf(*~OfD%n{}G8N*By+Cn&>kfHg$6q;XKYn`e zG?FxVvfk2@h#y#x7{|@O-a^hMdfyR}&7-tH zg7{hVbAyo!Zc+L)yR#Gro?Rz}a7Qq4hK&&MkNBDs;2`+-e`37^xyO7at+E@b)#bD`np>Gpr zux*)RJcDn<-+xi$HGN|woEa(^`l3P86I&4&#OySVk}uQ#X-X+e`~Wk4jRff&X7QXA z@$Jr?XIKaaW$&GYa?o*xQxSwWtKYSG4|R-vIY~_KMd%5BH?wxqO~z2!qXfPDgQ10w zsjDBxeZ*&bIV&C_LosN5Qi-mw86T~SqVH-ap#(G-BY*kCo~zUDjDw#;z+Tg^}WLd*BqQb5=$<8cwJPvet zsm%0h;5?M>RKD{T-(*et;RsFi9=nysSbq-f2!GlJb$}z}*?Nk?mB=!^I`MHIBY~Et zzJ+K2?3GcL{jJfOL>8h8%_gX!f)H@Y>s@l;IODVyN5h&pcivliXVFqv#NX_@-aujVP2I zo}J3~=BD{UtacdQ=~Wv~Jz_=!P7V%O`+vJJ13YhQ5od4A_VK)ysd^m@Heb!TVR_~Q>`C&{e!h2S+a&09F#C%J4c<;b>& zjUrb%i@uqy62)x{H9Y`~mW8XYu)UT8e2O%;Z<#@LfQe0n0RDDey(2{wCD z$1lZkoFq@VU_pGwz4}R=nl0z?!!{c#Jb*l z@1KR-*nNF1J?`)wb{y=|=3%2?oo$a~b5&F|0DapvB-YZR?v{C1n#a*Z-ao&4cqZq! zSDEe_T9B>BKFSt9*I?TIER@7d_R8he$i6AvC+dc|f}W}#3JWc{o_biGkf(=#_r4}8 z)m|=cx{_bj%71BPk(h1g+yOuMd%^W%`@P2S4Vh_!nhV?9xlrMTFVUq!jP7mE5b|M@ zPls5OEo0=7l7Et2n-aVOW~xXjjMbHi5#L}pYN-FA9&pPyetqVOXLI<(0F7M15Uo;jgn!sIm+W*S?Wr3(gMT?D2BUSX)#wnTN(~o|N)n3( zjr69b#zjgX@0u#{?$qMUH~}7**^J2MQ+19ybyYwLu_pyg>RmE=F_V6VefIv|OPRkh z@v(kNNlaALiDqUJ5ucQVGUP)qV>Qd`qPG61aJF0i$zhm?l1gl6CKK|u=Ff1M)k>HJ z0!vfzwtuo^o`J#c(aVvoiwKAJCeqVM~KVaTmGIdbvZk|lL2@O0mc)y1yU zei*FBze4U_-@1E)0r{D12IL24rYc32akcq|#DDunEELU?%!834kgtI+_9R_U?)>G{ zBLvnfs%W;Giv`u0UOgRBTw@>B%pRu*|wu}+X>>LT`)`K?gh@y0=%L+q?n znHO@xqq+I1fkp^TGNw9FKRcF>L_4asNc&i&pP@ukQuQb|!^*a1QW=>(4zqRmrsT>Y<3PUo&K<)(*;v;nkFD!s zBKhz!_>2L&)HJ6nI6ZPZEEQs`f^{5#D8)r-k;)G;UcawhkCR|s_sL{a%#*v+9tc(I33(YMTU_jr!YN7*LT zxzV?-@4eYKRP+_ouLiwVEE%~0Rr~AqqeJsWnK3ECBv~`($7h?*``qmdcZoUmOPr>X zW=dq!?t366<_Zg7$N7!hElrAtsl2c-G{rZjlkRHWauniZxFMS&1MaYdTYvs)jh&}o z_dT+V87T6riEDWv)6;}QIr_*A2NT$PM<3{!JfZs5zI|7JL{*5DgXJs0C^3sI-gPBC zLk%BWAN6zkYDS>wZDKAndVWEnp7bo~52Bdntn5Yn-6q63z?1v4{vfu;D58vjh@6mn zaF2$v_$?C8V)Yp7Vq2()fqy_h;?CVYqSo=q!>d)1FBS#$CrfX0oO*h^L7&4Se3}aH z%R1m*$o1l`1avFqM+l&?X$k;omn z%vEe9+FW$J_ux+hXjzP-^L3rVPBln=1DNicsC_eZ;OF~{C_VhS&;E!j&`>UX@tMJ5C7pIZ{lJ8imR^T&A zpc?NFF99X^(w-p`PjST`#O9S%^A_3zqmF0l3Rd%a^ncF&pU9xLf#Oht`|3j8mQzXD z`A0hr2Nsr-`zeRd(KUw6_x`)!sW6-c7+GBEB zsQ3Y`Lm0;1mK^Pl3bd0LNH+1YjrBJn3!44WO94hM`kYGTR2m{Ehub-}gHpr;IJ)to z9VnTJBY$fF234K~$N>$!x3Ia1^8_EMM=b z>-VI|{&-G@@&&9_H-0+JSi|O{F_B?g-`@R9iR<;JOu&2>=d{vQ?l1mEBPN|GOp(%O z6#pCdCM>>frSfW-{?9liEY?-?bWbGBD(_FzB!3=LKZhCcy*5$i)Gne{LKsAk180W{ z1o~R|Axnpju~H_9+*b(ZrY@{u!i_zbZ#bD1uF(-`%eQ?8rciF(xxeIg*S?%_0+do;*la~Vv}g^bquP|196p7% zlF-X#ydr-P+@v_=uKGSPOIX4CXJY4?hKWf{vErUiK9M~t^Eds_Hx$hu$MKpB#W(pVAJ8_>k* z-srvR<5)CH=>C`b+5;B;#|g5Dw|~~S2WC|c+_pGO-5y#^TSP-^66!T94G`oweg>0z zbsSTbb{CW4dL)Gg!WPiLC<53|7J^zDT3C7RmL)G#9v1H}ZGuwSZXYD07jSdiDmnx8 zl$2B2d?1Xgu19IVr8tIhXzRq}f2*P>lzp47W!m1%D=Nh_3echik;l?+*IydO>xOvnBgBq&E!|?{hs$LAOO( z83*U_8{8eeGg~+2xvp3Wr4LBe{xP99vLetPsHcdunMEH>qTWc@s)SQu%QB&B%N!zm zMeUD2_Ebu0A7n|7#Lq`bU6?!e45E1I(DH`+_Vw|q%pG2|9(?_V(|^y!w;Q&bdgC78 zUJObLLCBP}5=%hNSII6pZr3jml3{@>AJ{=UUU~H&|M3nXzYnLGd2yF1*y}4N<46P^ z!oBZ$Ll*`2&H~apfy0W1BEfOjyOE4&AuZWgX<#~+N_R#f1hA|*eQEpXPJ$d(m{eN< z?r9pw5o#faCJ72=0DtpJd`Gr%<#k|nG^xFlLV(RRCaoq60D7g8EXlkZ#OT%YZ@NjV z{{{bk8wwMj$SYl~EsQ_t%7AAtaq;ntZmD~qY-Y}m6$d#il{i7@H6A$_qp@dUUk8@d^Lo!Pjhs)&a9W@hKr`R>{{5>nw&CUuqFuMlrw;E-rY0!1uBFw4ei{~O$GYiQap#^M)-2U2Y>I0 z9x3cQf&xiCKdVxC2=hJ8t@WmqgmPyG`~YY`m%sLzT@xWfoI-z>vZCYEek|qSIZahl z3X5oSu2&ZsVW4i9MHW}cw~XQboZbF~ zKymJi0ZIQESfoN!9-td0`9yp<6?iMtizmCwB}+$S;92#XN3`UX$42Tpl9rw1Vp^nd zvuA%Kmdig8Dz<;19UbB7*+_rv>L{byxzso2PI-_O_mn_kW^ko-oz9ll1-Xdz<*8W% z?SVfsxrg5t$_|H>IWg2-aB{8OepmVBVjBK6bsv{5Ncr{IR4D}tKf_|&kTo(!;jrp5 zk^Vf2Sn2$AbX3zGsEeC8=YZ#-0~#K751}> zJTC6c*H*o>C-@j!S~+=(l3v62B)HF?XNr+TGK8=u!{7Uh z?ia?-+`F{lv~kHho)5n8VT{ag$E#miahg}OpkRN3%7QZu5&t3Yg6M;Tw;}cNc~g#W@~S zDpG$R&u)}~WlT|cDMs8nKzC-l^rwe&X`gwffEK%mR3BNr?1cE>_VP<+6nYkMsfa4X z&sFv8{UqLnW66OqJJMd6M~SuoCIF;GIZgZv;+84=lmKx=Cp40cFm)9gTtU+eoP20| zmI0R84y2k!`EUp zgWKyN_EWn@AQ%!E+co!0nXs(SiVmLv#48syas5(8n9*)Ca3jCt{UPgJ$VdwL^bY zKx{y`>5{GTCc7cLJ)oWUPz^&_?LCK>Q8+8>0RW}x} zUbf&iK-syAIoe&c2WHqCGQAhzX;jOXh|PB&VwS_qp7u^;dH~kUkDdz)>%->boK|vA zq5y^Z`8ihHJC==)VH5+^Oqz(U7$L+E+;ZB6=@W}_oT(cyFJCx4HuvtKn?rvjzH_;s zyLjbsyyZfr^cy`c37S9@sX=NE<7#}eN%el6NpUWXg?*%$Fh8uRq-m6KN0oGkDzGH~ z;68rFJyUuUMj(Dj6i>MGz>dy4>6SVP5R@p~6nxWRbKdTub;RAhcdkyt(E}Ndj$q{~ zFH`M*BW~+JQ5gxj^1gtpG%0@{4v`ATon)tSjh0P7V(w=mw~VQVHa>hkF&|uUI(@0v z;r>C9i(rUnw%4)37eWR4l+JJ5r1{`zlLB!@egNWzC4W3ub!9?)tk#y!?70-3miWaM zbqoc$qNYcQf3l{zj>1vbR&^w5m00ma6~bz0_JE;t0hU~!k%>w@{O*7Ald6N5_}Gc? z9aNmjr1VGvrl!dHXP0yX(3QY%3$djMx$}Jni)c!e-YXFNPC<0{lynTr~g1 z@eg_>2^@Dywn^Q4Aw_@7vyu^k;DcRPL(6$U+9-%KD)^1tlF;1xp$C_P#OR)&Ig?FV z4YXE{gS6wIQ|ZrWj`GQxcB*2Y6}svU2RZcwxC7nv%K~iAwFlwO8KGj>m}SB5gk#id zbIVPm=4eEeaEPR%{$tzk7N0#y3ZOhu+WIAH;t$(NbqtlRE?a+-sM*nYTVCj!Oi=ZI z0CWQg$M$y|K*pe^l?0h=0?_qpu2xD-?iM?zk} zOhj&1dG%p!R$hWk!94PYoVPP)D?mb;`F08IB>ZTG$?awinKY&P^feGh;znl7kvoVp zqd&FP@x#l?^pSs1dh*XAHzVuLTRn9GWAyO~`g8bnm;P67E;@9V3D~!+Sb-_<3<-oH zi|V)5X9RQm1GaVj_*cAS3leFsC`>M8^r49@gx{J$Nyoxh(oWr164USY#8B1Ji?0x) zb?3Pm5=<3zy!WUkQ{pC~tCn*Mk=7?XUXHX8_iFC#^@8-5_$XhLyc*HmqtF~a7d0AtJng4s z!$mUP277S8bnR8Vl}MG+rEm?@FhYon9$Zb z8%2%sB}jizpZ)?giTQ?dwl;qxjp6OZ=RQ$#EW;NO=LXDbg0b+Idxu2sL3*U1#t0h9 zn1^tpTw;mDo4u)_f&^4beDg-Ga|8_=J$qMzIbMGn7MB=OAfD_pWWj`gfsG&Y9Pm@L z*ZX&KAF;eAGoYzem zq0K#WleB%0N=3KgJHjj-^-&i(ffql>UCQ{W@kH7y_tDo$R=Dy5;I`KirlcOV0m2y? zeCjy4532BfyvwgQMF>wC^~J;ZXx@#qq7{GP68H+T@<%arSIE%t`d6DlOp_nK&e3ZZ z;n6x~{h+@*xqqS27$kd+XQW4>ZR;D5tfEj2yd-><-k;#p`Qq`$JK)=vL^vLej8keB zoXWadmHtw7dy?sT0aAPyN$#|CxsLTG{QgXYu7iTqks%)200{o25B)l%hC?~0IP!mq z{$*&WTk;-;q<8#`npJPS6%s? zqCRT|5=*nF5p(RP+Q`R|O?Dr4+d_X)KS&fY9nI9VP83UAV&pH0Quc2ge5zTU;M9u?Un}%1Q4BPY7MF-OtN0tB!}1wC3LAG(bQ)>`4i0v$@S$rFK2&x&Sd%s~5v(Ku>VxRy z0sqEf!4x@JSURX`G^ve`Z`}yS@XjKSHWxDwQU!aM^8L7g zg_3;z8BxFGDAGjzvwc#Qth6b1P(Puo*JQd`5p__ZzG>}Z+2K&j=2xl;>_X^^^88Fi z)lGr9^zXzzlt8K89T>34%oI354xbE8kl_z7N>L@qS#pn4b??z5G#u*^kEUwy>d> zrQDoQQswX&Qg>X^-N2^)_z5x#hK7UN&OJB`QjC5JD9`np(|3QYPC6X16gzYKbMfmT zzRfCP_zUH$5N!9AcDorU;?FwG)fkn$6DKC3x1$TEUf7WAp}YvNx3qZ^+Pt! zE?4QgTh^=1LG*v!b0*Yp7g=JS&A&R%RFc!J?BkEaihK$oaj#E14m}K)Xwe@O!pa6v zgY;W51%G$d;QHI9@9>ew$wcxtYsFJ>PAxH~jcD>lCZm-zUgwpM2^CchxlgSIeOraM(l#|qgQ4bR zp)f}bwA?&w<~&59ZJDiTaKnMn3Kn;q`Xz|#hcF(i;hP^!g~@9hFB3c{_k74CVd>Wt zv5!~#y={NsSrumdiTvrU4chaoZG294h|%W=slEkLNw8T50Eu{(#0Tp zgfN$hk`!K>lw&SPbpsc%x%@eG!lj$Wk&!(Df&`dvGK~sU zhech*>geO({Pa-DR^8)9yJaXd;Pjc4@tM1;;3I=yS(S_amd%g!F%mrC3Y$$&*uH+Xr|dtUfk?GuPv4^Hp;mQ_`6elpB`L51mm_4fVKtamObpc{ zaj0UX(%QHsEhvEpZF`fW_c+>Q=)UkqlzSokw8@LDPCPFrrTqCO{OGwsX4FM&DNeI z+kYh7@ai5OXj5lSd=4Tw4`nUtXr-NH8K8SxmuY)X>i0$W*O{+Vl;8|8u6}#m`bUsR z_tLO2Vi9qEYAaO|qlw?c!u$9gi(h|}XuO2+WpV4$QKe0wLITrZX&QSTD;>9`vY>bQ z3@4R%ykd8{!5wAC;Q&VWs9){(Lug5KF=*d4s=w$ulID0Uv{Nh8!(Js&dPd2Mz6MWv z6q@#O6~^Y0TRbQF_8Cf^zV!%Lkyo9(3=?qR^@_>b?g`a?u2%`*LK2!rY({@nfw%sU z_tG-DqKp~l z`q^P*a<~}|gQ)m)^HY_XING$Ou(vK*Uwq2~&HB4&`;PI=|=tcc-KjI*NuZr-Xm!G2sG*9iCw{SET& zLy2fZ{ZBUus|S!HD5igF7+H)|yx|!qr?4txOIY3RUc)dxNWJ#KY=Fn?LE}0wQIw`a z6vX0%ZdFZv`Nj!JGuWZU&6)9t_c9&$QwEA2Wm@S=U&!D*sgegvz$RxlU%jXf9Cdi> zXcF#Dy_0MZW8Tx~d$o)gv8vuOmFJ}^g=8DJPi&F<%fhzHN?(7}43>F5933G;`rFHN zCpd1|WZzYY0+h0k zD<2FyJ|_LxJj0jf-67@1ZcU|kKKi8<<55#`$?fw&u=SlM@I3QW#Eg<-M8M_Rhum+s z(HT?~9ijp&9BO}SL3VX^ z(f(cA-Q9?*3Xh{@B{V7sK3UrqiowMK-(IZ+=LdRBo$7zwOLs*Afd*ks_+`|PPdXU> z4=V+~zRWU44Pi2U6PjBVn<=*|NXU&(ru|A}zXU@sEim|*k;#IYV^$%HL>&7p@Y!Z} z$S=SDDU#G>)d;JWFtWX%df|%jttW!HaX0TW|EGnslY2pYDQ^sEL@#RAI_do= z?J`kR+bVzTc=+<;6kmjN>KEC-sjW70A7ahvF89`03nY>(HzHIP?eAx^DMeX=nK=Q* z?*IZl6WAa3`O|mjoGOy<1kC9Ju3&q0C@QlAgnm?>`2_%+0QhW=N|NY z<=Jb*r7ie_Rb2x%qbJPjFFJ1c|+0l{(2_AKQ`}T%%dI||kiQ{n8I7w_z zC+`gT#Iy>v5>T3Lwu2Yt@u~&BYf@FDm?)q5r41JgQ}`%;f?2QVu~={6wRe?cO9iFm zKO=vdWb1tFmDJL*&+jg{ij>xHowjw*mA%dn8p1)|9U`)}{6JDknQztnZ zS+^?I(z zpIzP^c|-X_tfb~)yHF+csYSEAXz03b8|V3Pz>gWRT=L!tr-LC+yoAe~69wOi{CQN+ zX6;OixTW|J=$R7gTlLhU4HTf<^gXQT&CU%na!1Ii-S#@)v`S+|=8rjrSQRt;!sG7+ zW{Z=~wA|A55y8s}6X;WzV48n9fB&?8ja5u0|El72L`o*^0$kN29tELwYj@s43JXI z#i73@N6kvU@8-pWpX1+5%T~;3W6M-Ui%a+vP*R)^NQw1-4hJ**I%R=o?G zI;`upWSmT5Qow$DPT;xSy*j6;!!6Vd_hySat5yBsocE~DE_?`bIc<61rQ`|mBZpn; zM0738g?#4IA^HOPKJtHb^4>+|d$X_0WcHyp&?qSlTNQUuZXu2GSsc*Xkh!}dbc6<)JhYDXPQpt}EFoK&#I zxyv=rs1%`!MqZAK;e9ERNsI?A#P$&kLJ}O?Ae);^S9gGvH1U5~FvN@vtLA3beEbv& zlC-1h7l)B0tu+Iq*8@~lSX2T|L{b~n1JJRJ`*2c1O*7;a?-FSgvMp!$FLg0#*=|mO z+{tR`7oiCuPU14I=I8eIBDLib_=qQ#p_>M^vdEjatWpp&5tUI3!A#pWUw(~{Q32%9Nc%&fw)g3ksZj?njqyjZr`ub0LONd z1&~NI@?J7hkl+5uPs2PuqKwt+P(Ea$AQuKGP8Y5ykzs!bf>|`yta062qaNHJ%YYY+ zNI(~5qxs-+DLFhW5!tf=GQw`OcFBk8Fjvp!J9dvH!BbUuU`roj>SHuQstez0%0Aq} z#mY%Ag6`HrG?njnm*GWs5r^!rW2X2Bp`@0MLP$eYTF53r2b{l=t0Xj?U?j(n9`g)l z-V8jAfm45k)yPiAiJLH&2Npsb`cd-~MYe=-Mo@0N{~U8}nJ;B=zeHmIfFly&Jjajr zAUA}XkI{xzt7o;%))qL$#G9|-Yj2~vXZ4*oR`u-7#yW*iFu-uHxd@lXwU4NvLu?r$ zcEx1Z%1h&-*sIUpRVs^HSrc3xHE^1pE|>l|j@*Alz*y^yEiBd!=SXt5UQ~g4EiZ(z z3n9j%-X4j8vo@b%vyHn?3j>@owmt)eG>tn_5D;LeO;8Sw=e<2bE>rCjFKt<2!`#QX z@O9+$a#$cr%T91NE;KD|k20kh4nFeXPUnD$*ghdAD&EP{bl4(taE_tOD?0(M6kNFyQaKDn@a=RAuO=@Rdg|xPfv8 z{&&{Xj=H)EkEu-0A>T(x1zB-vPr^+j_`ZJ`xPl~$Cd1sszA^>W>BiazH|o!Ats>U@ zqd|W9V$O7Yy~tnR8iFk7bh*AFyt4hST&Jo~?rNVQs-uz@frP3^r|b)9Tu8bW&D2$b zDi@*QW$u3721-}}>rJk(hAe7)@!DcG(PDXo@+5=UJG8GZk@Ab`B%XLX7Oi>>HY9(V z9V&;P{FxrtMkO=)BqOx4c?^BxJ|>8)ah!8nmr8c78g(w7G<_F=yVmF>%7Jy~%QD1_ zDiLQAnmZ^Gdpt{=o!(k8)SKTq4A2Hu*AUDZ<1zAkIM}WCEWMeLOms+&~FcGDhxwqz^^dUFs);_ zZHh`d@Iqg!18a*YyeEP^NxmS@U5<80Zw7DBSj{=cFS_fwVtpno6?)Y+XN}VRiYcW~ zAck=t7|^nFrjsL^JsTOga!iP|Q;^?G4Usy<1#>)Zt(`ZJH|(VIh=3eI zk@`MWmQV1`M1~y-gZ^EXa;Sr@IF}$m?$>Ik_KU(m-=q72oCDyj}jHdw?K8)qv zNUP|E!4|xPf0mF9$IehV5g$LWGxvOD#BED&b${bQa}=<0a=wZ ztkzu~cUJ^;YMzF=1CvUHCE?e__`a9xfYie2FoMIx|402-0bhUR6G=m~sI`OU?}nI( z{%ReAg9GGC-qT_7nqFs5x~*^aq6b*IB+Yu&@y2lr5Pm~)yE>oT zi-a^31}gf`yj8arTKmRwAk>>3z;)lm7A(X(8+)NRP>hf93BSu7`9}@~ToxY#U+RA3 zK#pq%cPr5(U8jE#l)w~@w$mz+tshFj$0gFV;o+a(3sa!q#SLs;IP9)})A%;jZI=Z8 zhv%h7Gj;sywHjG;yI;a&`MKMjnC18Dd zH}1vOzw#7BGiJ~+dHl$%iiv&L<{C6Rcw?{lq<-r8SQ-2@N&$10HKFg=$ZukbhBf|r z<8t)mcdH&VpWM25mEAS8ZFsIEIdP@1_h=W&gE{p70OprpkeA_}1Sz*~qXQTom-?s# z77#QsGYT(EWo~D5Xfhx+Ff*6H6$2FnHaR&nlR;%De~onqG~4a}wp!Jc+7vCZcf_7m zd#gNK6wW&R7RH@c(t=ii^9nbIiKfm`q=Y3DkNxt{> zS@(6{*YyoIyP=7&G8}D(&_JVb!lEFs98gu?#8L(b28)BhU@-tUwBEHkzWYtg~T}mjS*M`#uEVt{v-^% z19e6GW(ERq15F)~*x$Gb+8*Zx#UOxqzy%3Ips@G~4-_1M0pf=PO|X9E-kiTk9P#6sD>IOymAW;rLd!!2jXrQ47!g=F_fKU|tXCl-E ze~ZS~Lp`BL7pNUR;n&WgKn-OhAQVsV4?QdlgLK1TL0F{APl_Tx+u%=G9R*iKySgG! zI4t1j{?w2d1Pp)dJ|e$w))|HNLizn!*dtMJ`=2D>9&RFLD5SdwLR;-m3LXOdgE=5@ zKuNHyl$3-l5aA9)c*7h;ezrIDaYOtHe~SKu@eBg|+|X`7dprq50MZ_T{{i@6p`HjJ z4 c@cZ9^f3EsXm6mOus9efEGsDq6cv?`1WHTG0t5ctf95|2 z@HhEis38>jXLI0xI%=cr(LmYX9Po$tHxJK0vf%q;8GhiuC)`2fwTuAr{Ud#Aup}6U z{}TQGHo&iv|C{cAcKLrv|Gzh+;o;))E6n#R{(pp_u1FW3KM8m#d*JZ4&`0CVf%@-M z3&d|L>m%Su57+;WYU7}IdnltEfAA6)7L@>jC4S>btOn8>0XIbAV2;0q^!HWZXSg6y z2tza$`SXo~uL6VrD~5L+%o+by!QwCMR|tVO)xYPeqhM(GPxpyQN&%r54Achz#*0i0 zuL3_&yiMT9C)HUl<;8e?_7^u)lzR zO@n!0F!;=0qQGzE@AWU^BM{yQ7+`7~4U-RZdL7nqSfR|~CA`>A`uR&!bb@6^lq8O4 z;<=xr-sKF<5qFjQ@Y3tOsfLq95yRvDECL&c1K!pxS;4a@TF-C<>K*ecTf#X#M>{PJ z2v#_n*j+29#r3^MLOr6HE-$Y&lBm;QccraP;%BUOAm zSGTQPsx*R@iOyGtJ-auti;4bmmQF2}fJa4gU$rPXfrIIVYXr|Me-X5!Z3-e=_ihyj zWy-qzX!G%|My&=ru@VcUX>vqI7#?7^)$w}9;EHoFjcCJqR1PfhcxF>)m9K_$Q3=o_ z73be0p`RbuurnuNZ+_#kVwWl<8AT4W{KvjJ@A?)e<3?o%c$AVbXJE11tDe$NahcIK ze3jb{JiROTNGU65eBmRAwjbJH`*dRa>#c2{`-73luw^ih#=G4n9 z8oFOl(vsM@(#Z3CvA?sx`c`b6=ZsV4i@4e!aOa8Mjozy%f44eCzKn8MRrBX2OP;4P zuzqYH3TR`r?Vn2)4!r%%cc^XhYS@!)jBok(P4`RpL_}cI3KVq{hk~;&Vk!IYc|y;X zEMw+AiV3Zny$vF1tMmzUXjP^uXo}=hArpIefR zXX8g_a7o}pf07W#1hEc91(^*I!cwulk@tUTJ>OC7t^C|`kFDxpm8B|Tv0wxA-mFKE zcmP!}8qS%CqmG+8nx~H^RR2y>1o7BHy~sgrn-4ka5XwqqQ3RYt5T+BkCGsR{@~yc( zT$0Y3B7uasvfJKDidFm$t9}UJ2)V^^_2UP(&Y-f%e`PJ!)3e){+d$g~2{Fm{AmvPr z&D80kYQC8*o`B3olXWvTo9Yl0wYd;4ojJ582ORptF)_bG+(y3MvC3=jJf01{()j4e zHA6Dmw;;;daDa+AUqbFn5+n7#^k9V49*8VtQ$?zg-e@d}Y2b85-JyOyaKz}4t>b~d!N@+$uJYD96a-$nU96K=Bi@2RSq)Q%~6om)%}1hv^rSN}Bb12oWeoBik-P9D>c1 ze;oTH5g_ZjIlyDxBLbrWV=2s4t4|L(PrG`*`*t3iw(YVjL<;yY*r-hAyzxHi1sC3B zZ6I>zvTEu-WXp!_l1&#BR_DimDe}Cc6_g<=5Kq{WfLT0?oDJg*`9fsdC^bH4(L2zb zOtVg5ZRz^M()jiZpZW}TO`s&4J13EPe@%CK&u;vpV6Wyigh-1}Za|Z{`T@}9w4E@h zIgp@Kk16BoZZRzT&S*{SGKE!ezV8sZDC9t1Vjnr-7L8&~Rv(_-dse_i-< z&sdG}-+!nGYm3hJPt#dxf|+6eavSL`PKS1 zi`;%U9cY^h(=4AceTXQAihm`_f26g@dfVIed0Xvy(S#7sYe)9ZsnDY6*vTR0b@vNf zU?mBFrAGIJLV5lL$pdNg-m$Tc6qRJ}1G12j9%6kpF{$AjCky$^J{9Qnw{qFx1MHEp z)EsK_KzUkM&h4FyR$hYobPH7gqqPr~=jM=eUw~C{pt9!9d<);2o1U310D{t5Wy!Q^nI z<&S4HT%D6W(`DJ)Y7B~AQ^u#kT|rin(7&?j7G>J!TMV&<({lWWu^i9UrTV2f-+Hwc zIy5{u%;pN1mO9nOvJ_Ide|E^2zS9kB=OX1#oEvEkxkcio^tG)?+!4}lmeg6- zc!e{fc{gmIJi!i@UJKxFVh7FLtY=>NFl-TCd;iNrO4tBtPpa~4YX}#SSHbP;8x_$E z$~ORcT*pNvanz*-t)w+d%P_@}1a+}%)8pEiHgAj6EUgG8-hCsg>rS%PtzPaE&z{XtKO5R#*mp;GC5GQv zf~IfAs(_|wSm!y&`HkDSMBio4OMHobRu=xEELE=cuE*KP!mEm7yjmkG&yJ%e-=s~Iv4s;WfK;{k`mR=H&3i6>S@TzBj%ES#%Dl-{$N3RCz?h zQyZL2))KoJSLA9`3ly!&;C~W|A&I!{B-!ZbDmEx%;4xGKe}CobS$Qw@M%MIo|s*&Moe679;5+ znCA~b;bX>KGMfg!w~3_+OM?{tHyu`(zU$r{Vnr9ag|AOM%&Q0-gZXAo`O&mlkdi~> zUW6DIuqW%}f9`@i3Eg0#xv-}y?dG~f=IohdrlqY*E}`w=mTz8CX%kYP#6-Eg`Q{@K zEp}z}&9F}JCqx9i;k%UT&@5kKnbpDZ7d_e0drH(ymhOtr1DU+riEoNzC$HqD8hnz; zDlcXw;k1);$`*eSWry{6Q?#g5)7U->sJYAqZ8(T8r)*MF3-S5=QULtbdbe z4D43b*-?sz$lVOD&mL=Vjg z;jN>aZ?St6e{1EEiDI$aWQh8F{zF!3iNud%4fi+&Ao5SYE^le|OU;}*l%BpcJ zKIGC}`q|>CZp;<}04|z8MJ9YEI0^ zf8U3oLP(#~6IoPs5l@%qJ;17hM$(lk%#Ir>^_^+^J_tT{*!di}?^LzqU__uwP~(QO znvS&oTGKTso}4N?~l9Yli>BJL^U6lv3zw9@Qkh_e+i9ScMF! z?`0<0IuZ)4pK2rWVtHuTQH)%{XFKMKe>PrQO_yysO6Pgna@BluA5OXykDqac!(m+Q zyok=vfkD9~P)#38_ipZC=!noa+5kmYeZY^G<2-;jRarg!C~UFO@eM%0(6MaG*i;zsr^v3#EB z=qTQ0Fja9IT?2>(qp?25(vnPSf7HW!x&|`GW!&rf)^?qq0743bQGqT zov~>)nNj0%>a)83x^gX+f79`U%&JE; zYHFXVI&QyBE2w_%$;DPbPt?x12Pv3b_^dTzImB%3Yj_a>Le_>R^3^7vgghNf7=t!s zNYho`?O6NzS^VNeyfWvJGL7jt^jf*CPpX_f+Q&@L>%IIiW&G01s}U`j2-6VAaecZ3 z{Q>QbdyJgO<1rh7&J`Ck=rl#TkF0!+HVL=w%kJ1br+Bcg>S=&3k<=CM$OW-S8W&e=G^y^%~r?)XJ%t z3$IQLnN7(_5a*Pv*9$|_Ih%1>#0|n|ljs{mlnfqo5n`T^eyV(0-rOV1>9*O~w^o*_ zQ%nb>SypE!t2z;!ypXU=`#8ueEMaYX`_hbkO6)4dffE_$!$DdLbd7IT zPAJh+1kavQr%)aRe@E;7Su{Bn%^+qSzzqKjqm9w6Ut~(^{2?xKGVr(%!ZY6}E(1y< z;!*ERsc3j$bsl6A1PZnbUaPBIR|2R=jd{xi->Ts_%}Gq04C?cjm60(2t8H8F)uZVA z1b0fdaF3&t+P!;X#^C%-0VGkqPDpJ0G$yR25K&eZdc!$IUF<`I?qk9?x5l~874K3lH3#j(A z^~<(6GAhfq@sEio33q9 z1&|QGqPN{~e{Z68GkZ%BtXHLj_3+Wm(St_*pe;W0jSqbG_49|O^v3oqzTU)*((s-@VX0TrOz_#t6P{XKXjVIg~q^tIZgdMp;Yb*u;+LRlR|0Sf26GceQ6t3-XaZWA9^aZZN&GWQ*Xv zBE8owO!Lmu&ET@p0SCYu>htR3z$`h5+zEpXQ=rbmj`TdHfzwTLlc$h0;%k_Qs zdJ#wEdS2jC)Tkd;{hkghwIM4&JXBI*poy!NLI%Z4=;&Exv`MP#-8m)Ki%pv=f1Q}{R(h2 z(R9y1oOEGt0<0yV_L%Hz!R~vI6s0dGDb`6~Xqug`dnBMVHmHG9tKq~mE@a0yAg-K* ze`YkVLhEU=dH?Qt&@#ah39jSj`(YsvyP(Ttmho`+Cm;wdVk#4qx*BP8ae`3vg|J&c zxt}&K<7}$>GBIe)_Q4BKdqY*u{@OtS22=ryysp!ZCH{VCbf|nAtE;G2cYd>*$K7h<&bt-rWDv4u6H*`1O<+Ec*cPby!r&l?t%0? ztlpJh8qppV${A7XVkoB1T5E_3Q^B`8Qj$9ukOR**z%W^ z2Li|#y1z9g$>z%)&Qe^!XN${^@uq1#GvA)rRPqvowSh+7nCqIbcvIgUFsrP&G6c}8 zzwm0GqW>K57nE*2<30Mrrf%AMf5&%>heF47V|u3F$)zsa_N)HYv&*-P2=7X_b-r}^4clPPtv#8ROBDw9qEaorLq|Os zC6l-c$am8K9?DT7r>ly6g&k#343YtAt2b+vduLahsz$NEwzDK?HBB&z>YOa1l6+5y z#6SO)B0t$u%YdDE>tEI1f3)@5Jv7(kS`&Rhxe|(kfbnzMjt>EuNd&$%8x49}x6a8P zZg?e;2N}+6u3olNZrG_QASFn@suX&+kpt~lS^fImNvF7pp~c+fo~*f3>kJiSPJQ=A zS`}qkAXMg^<#F4SN5fZNUYDUGt_{>t_~F-je7#dVhjq^Mj6-rQe+))7xuyJ#*8ZAB zP5Wi6p-*mNL5tlC^-$iZiUU98jYQo6P>7uN^019jY?(Z7=puH6BagG9%z4ZEmBO3n zHPaIY_db3QnDKlAuU=nzztP{7zZ(3tYa^OTfJ+oCO>`$f{r-^(ffAS0xW)eH+psrZ zmwsS0 zY6b&&KN&tD4?YUh7}nBb2sr7pR%WL3c(s*4rXX(}z9pP_>dOx=jq)9-AS>0R;rI6b znEg1`4ALef7iu6H@nePeXWoj@9+x$ZJko5x<{ic+n1WN%a%zfRv_g^CFCAEuWmfB z9m!{3ri}kAM{x(dd+uaKwIy=1`zlyJZNl0O%SWWRN<{~m8#3%ODbr}!f2j#kPBdtK zDY0|m&1De-)L+nuICS`0e4DCm;_?pv!@87MufbwNe>OS+-k0@cV?fS2JzKJ>LV~X8 zL)s!&aWA=&F#_J^Z6oE*M-HYPefLne4G(!vf}HQO`f8r>NVmD%!R^i zxOO5je=Xgkk+{aRZy%RFs(tWn|3D@j!EdHI-Vo^4I81o=Z2@bx2r8D5gM7dUMI`2bW4%lSA%C{DCFkE#z$u<)`Cm}2}3=Pyk5VvCFRKia=-DMhW%dt_>;!6XD zy$$VL>RzMyGS|(gbz=q8bNO7nS+2jgHt3Y=snW2cx+pzm^M)=m>&dM2zZlEf<$ZH} z2L3-MF_9pb;hqE(0XUaY=>ZeA-UA##0x~d{QRx8_w=o|Clu-dPmr&^e6t_E$1W*V9 zGBlS_=>ZeBpq2zw2m&%Tmr>~f6t@bW1n>z0GB}q}=>ZhC5UB(^2Ld%Qmrbw)^C&Yj zG%-0VFfu1FFefPrFHLV`L}7GgASgsSGB7bSFgP$ZF*7qXF*%oLu>_t0HJ6a70UH`Q zHwrICX>xOPAUHTP3NK7$ZfA68AT%~GIFmtTD1YrcTT>gy((n2e`)H2K?A&LkDwQgO zgKvN@V8>3%^_74HbRr}oiNwyYKYf{9&E7D=ob&WmtWobwPv5(zXCq_?naHG5nKT)| zs>p)RjLL$`Ec_Fh7n$-dD-3-3ENJ*D2-Am5N^9VcA+yVgaW{1 zQhzI)u>feCahVJjNEIFckDH53S%*vT5P}$~ATli^&^99&I zOP>{J9xX#wXi!}%nH63b0CW}@auX@7$U z(`q8(Jy;uo0^-Mb1i)d)Qw0zRRR@RI5o!+Rhiwu~<2*zWssIKAV*(H`Fi>|`l89_b zTm)bVaWT{|hCtl{+2gjt%8^Ff7=f-wE=GX@8chNg0T%&Y6rt;(rHvCf2Lz3$IpAah zdKeR4e+wc;~em?22|Fl2UydLG#Y=`1_41}fQJKr0^|^afY+$FQv{&_wF6lU z@NfpGYKv)RoErUZ4W!)rEZ>`~zC6?u7@^n>061H~=v~M>WG;NelM%3%@o1&ohG=(g z8?+TPTEAUHm{)&fj5L#xd8If!CvoT4QR>`kqb>cle;Q1n?p z@#=am-Gj5rq7;Qhi?dv*U5K8Nh)ceLI7bX!?RE}jG7c?f&>rY-skXst8;%h1s40(L z0lh#Lw_rURN1h1^Whh*LnW8Y;cm#iVgavsBd;vJ5Nw5axg3{!)MEhEYmesfi%29|2 zK?zW`&=yo-IDl)w_=P~z08^OJBml97ilgcW9=$05m8FL?Lpw9v(ai>nIumJY(0UPe zG(CglJah@G`2S9oC##kaQh}WGtk{UTTbS+C-lT9sEd1g&aN`;jq~tq~Rivz|wu@vsW{Md=XL=GqA% z24NR8C6Pu`5vDE_#keJVqK<#gVf74@-Ha0{6NLyD5_bhr8#nT$5xNf9m|!2K#^}Ng zQxRd~sf;Bsbc5TzffJ3*^A2+@nulFSI4M5k7%0-{z)-s}U1fzBj`%D|8onJLQB6fl zcSa0HkCj`|$gqW;q7B_;gsxD~md&=^fhZ0AvlYMfOoF_APBrgERo;I_Og?jIEU*KK zqk#V`opn4i2C2#woX12+=H>$yerGY8t-{g@ttC295vIgshi)GEK#8?f)3IluRp`=P z5wIL6ArJ*>Hl_z25YPnE!ApV~Jiwf=@lXKq6h*hB1Fv9K)DSpoCMYUXReQ$7WQGo! z?ij5PVWbOcG$ger-rj%t!#m;#4P_CCuEAo!BhTP*jRm8iB0=XWBznLG3!5NCg+X9p z3m}uBBh=E)Akf@!E264OUx-pr&*2M1WVqncuF2(V4kR(0z|{<%3A)-@V>5=KmeN=n4Yq%f=_=cbVH)w|q1LA} z;tDjQ|Nr@)ebC~RH)&zPBT&bY%d+OYPO4p9Wg%nMnV6O!d=3l19~qdHPBRX)aej5U;A)Nrw)S<2DaqVipe@)Pm2;~U3XPlqos zs5BBCo};~yIv0P4SUiZnWphGbT(h^;!Le4f4J9TZV$mB3oOq9B8$MNQ8qpQDiOm?A z%9f^j79EnTvH}eAxQ>a&A<3m0-vt;rRooAaNTvFChdq~p`f1|GGjLO)z7C6}r&|vB z0ge|eQi1MTbf1EfN3D*~v{RAU!6bop>53ljjI>p}0absr5ewg#2;u4n3x}`C)(SVF z^%IgYaDP(?q(azE*J%gMS?S1Y3Qu3&QDgC%E(DDzF2k@!*-l}{hYtCaN4`WWUbUk0 z@e~_|J@4>t>G@QQbpvvpDnGtx!)}?$(Wtpkp#y|7!g7>{`XuF8G#oh`MR*ePcCjWB zW41@Wp-+F@ozRF9^T|jf>(&_gB2F4QBkNuyu5uQTt_szQ~MlJ`ftb}P*`oK0%PcPQ*B-dST;_j;<2@l6W0 zIdK*1a@vYP4W-)``dEsFH@=L1`e~FOf4eTTd~bg~TRkh6r;Ew;YQ7lJ^WpdkR-Wy= z`sL%!Lb479p#_mCa7IN<8r(>Dp%Q~{As?E z@8*BM zDVM9se3mcrWxmQ+my5E@ziqKDdlQfVUu}x){pjbP?_`@_k6-+@`;2T;445WF(j9 zDmH7XQf#8i^XKp0AMcVX13prw3STK6NEct+O&2Z1Cb}HFIy`#u5p;P!l!`_~U4#ff zqDvibE(~hInfMHHaW7`$tNeAopC3S0zJa`a7c=ui{t>eC6XfS_l%Xe!@#!DsYFdAu zuPS(f^dWUm=Tk_})A`laI6uqJCgq}BPL`0-BtTyNc{85o=lMDOo4~)n%KRLj=Qj&T z`HOOKHJ+WFOqXf)|2zL9pHdB&O&~Squ@qd77v+p3Ac*;2my5~#EWe)KEb~91TFh4^ zkRz<>ff2EOEX%)u!E*97Urxu%%esG_tp1+oH~C-r-}%@4TmDb}Pq~;kU9L89`Qgs- zo1@p{a@Fs0P2r3GXR>%0kK0>4eh5A++4dLf+RBzo>nhu!tNlg=_QP)uZ9sd9Gt{EuwF9a~w_g0y zFuL66WO%o?ySIm@+|ki+pXRGWTLgU5b&KKg@h;7XPAzYXO-_gOY`}A2d<6ztf^8Fj zG(3^id@lGu;(&pY-CMBbMg@QS`Rmt5@3AL5`aI+Z1x;aa)NtVY2fOwIWW)U$4kHFr zV7yq&|7Hu|X&cUij)|R5&yGL8Ln|H+HnU(vjsMRIF8skIHk7hqLwu}l zsPKaH-)&{_qB&l!Ab*yB9XBnP z%XL#bySX}n+BvzHZPI_=aua(sVc~9XXK!X_z~FSgC~xa*)ka6w(@!5ie|rBIj??qm zYT)(^*P|!&+Cq6%;P39#Itr|@X%s-Zi8Ktbfb@nzS}H{v1g8M`^QK0d_3BTLUw(f5 z_+Y&FI6wM!HK3DM87U?$|8mZxRnMm?;bNjv7>es8tVKhkclm!&Q=N_K_wjF^KfM3t z@xjr~!Qh)8sB=c)5A6*8QZT7=##pc{wudCYJLz}xq6D90L!rpAozV(W!$sT{Ey8(N(Is4XIp*DNn zW#90;Uvm#~bMCDd6m9O^xN{BNQ||ZBz4?Nm&HVPTvnqeFySs( zX|CTx^Y-1N&Ac0RVyMjfdxTxPX>YMtoxsz&!9J<;;U0Cq-7>b>_k^7viaURgjBj^D zZT=nCQ|%r|}kg zq9rs+^BcVBjo^8KJr@2w29bR|Ia_Acp9Xm1mGQ}ee`vzrTz~LyI`I3;eHch9nTCrr zy@=oOnG0v8_;vohcqkcZ@HGRzJ%8)K-zGj=_dS0eYLJJEv|aIC8lu|dD&1LUC8Ip9 z&z^5?R#UizE~Ce@m;~tX%*KLH30ZBNz%?1pKwf+bM>*RzSIJ>AGPQ57G_`%DzlBU{Y}I3ISsPpG zUNW>(hMt|ZAw!>+SpT%p)xwaMbR+Jc*e3CH^!A56QMZj4s03!}wn4p4E4=PP_C9(x zKYaqH#A3CTS>lyFdT~CVuV(XAxh=Qw54?YP_9fg=aYDsn#}f)CxN1B)y&PY!%EiAB z%NMOVEdbL?t_k`6n;ALFr7gdN5J$!@(B)QmZ9%LzD(~S87~5UYxugUzZCcrV|z`AUL~N&F6p9 z|GQaCVO2zl&nFi*i*oxE*i7cLZ3&XgqUc|9xX<>ldDs_1|5}0jL`Suzygj=*Dz;F- zB%xnag`!rTYpv-m{6P>eUBfwp9<@GwhVYTTfq}uvEqSUzSDYHXBRVf&2*+k1&VV#Z zHn>ALDb>KSI1|ztRL{tC#^X$AOIUw%t+56KLl;#!$uj|FTq*`o@u{GSvP>j1pi4-1 zfF@G7ewm7`8mV6-48+)n@C4{ARVo06oYlz$(3CFqTR=y~im@zD(M$hgXIkGY7BFof zc0^k*Vi;8xThF2!WM>xT5TZ3p_S0?Y6qY@$+p`!r+O%bENYlU`7F=P(Y1@BhfKg{U z!eHbX)1E~oZAU~3q0pYCFcO1;tES-L7QxBgu0@|L+Z20S(pe(flVCz!sd96YAQO83 zio(1f6y9(3Z0IV`#6a__US{Y5*Si94(4uEWq3F8kT>;UJ>RkZ|OeZV5Qg*l9rkVu| zJP2a|ti~tPq?Thvb-s5AO)P(8?-JGz*T00tAl@L_OBjdM1*=!TC~9|KN53WpC9D#C zOi@x*^sQhSQ@ut(6|C)B!8&F;$=(&Fv#nNuuS+^s7ai+~j`6Q!`fG0b=}+`;>6O}L zx*}f0&8(f~y9fOpKYvH&eB0(BDKRzj^%b$MA4{xrPzY74~K9XBM z|11eo04ahrK$;)}kRiwdWC?NrIf6Vuo}dDtf}j9UV9EkXM=r#M`AB3WnUh3Tl6gsF zCYhT=c9Qu?WGI=VM3y3wc}ip|nX5#$lKCp+`vpvyzqu}FPbob!TVI&|19$gg+?U~= z1QP-^GMC-L1R;MlGhGTVQ)zl-ATu>H3NKe6TQMLrATeDEFH&!BbRaV|Fd#4>QVK6g zZf0*FGc_?FFd$M2FG)loTRb)}Lp3o*LO3!vLp3l)G&4CkF+(sjG&M0YFfv6lK|($t zJT@>xH8Dm)I5IawH84grGdVahLohQmH8C%3NK7$ZfA68AUHNKAd^95 zD1Rl@%TE<|7{>A6GiN{r6uAi^7d@gPh=Sl1ywjp0pnw7@f;KL?FGw}rN^uIN zV0wX)q;#b!QSHo7Xa zn6?}$n6)z-qFoBhm~ChFIc+5@XSRdcXS7vN&FmnvLE0LqWj4(05N#c-pe=!ewDr&c zE1^j#Rr>2UfU#WQ8N4@cbN}FrldVjs~-c@gf_h?9||EGmdzh{Nld4Es9h|<(s&qiSkj`Pua zpac4#8@9qG*altT{B?5L1Wdw7_%7ispMf)Q7S6#O z%yT0iL@cG^4G2HU3rb(*Tp&Elr2E5e33cR3*T>wF`*3#4ly1E7tU~F*D_5j08kC-m zCI0*(lBOVpLBgU^>DF^ECW+Am&Mtc%egnvTR4e`Qr`Hkrs8xD+&21fvU4QxEkj`jT z`unM8#5Ra+%maxH5*tJ`x_~$aag1&ttkEXbKkx-ec5LJxL?tc&>5NXP_^+1`+aR{# zC)p=;H2Z)zv>$fU?gCdtIR>Q3X|E$vK}2J()IZ=EnT-9M>nM}hAa%h{RSZf;TD*>5 zkRW*pN4&*e5R7^;}zW32d?&kZR27(kb(!Ek2B|brX zf;TG2P|PX6GLty16c)i^SOR5G4i(^pErUu}4pmSMHBbxQP)DF%dia+w-ynS$ad+Rg1EB1y`*qRDJ1cwF(p8x?ozP!uNMv6;-(V zkE^vRJo&{{oAUCfuGT4^{l?XLVOQ%71vA{sUGYymXiP#snM 4, - PORT_ADDRESSES => (0 => x"0000", 1 => x"0140", 2 => x"0160", 3 => x"0200", others => (others => '0')), - PORT_ADDR_MASK => (0 => 8, 1 => 5, 2 => 5, 3 => 8, others => 0) + PORT_ADDRESSES => (0 => x"0000", 1 => x"0100", 2 => x"0200", 3 => x"0300", others => (others => '0')), + PORT_ADDR_MASK => (0 => 8, 1 => 8, 2 => 8, 3 => 8, others => 0) ) port map( CLK => CLK_IN, @@ -573,43 +573,43 @@ the_bus_handler : trb_net16_regio_bus_handler DAT_UNKNOWN_ADDR_OUT => BUS_UNKNOWN_ADDR_OUT, -- noone here to answer your request BUS_ADDR_OUT(0*16+15 downto 0*16) => bus2_ram_addr_out, - BUS_ADDR_OUT(1*16+15 downto 1*16) => bus2_debug_addr_out, - BUS_ADDR_OUT(2*16+15 downto 2*16) => bus2_status2_addr_out, - BUS_ADDR_OUT(3*16+15 downto 3*16) => bus_command_addr, + BUS_ADDR_OUT(1*16+15 downto 1*16) => bus_ram1c_addr, + BUS_ADDR_OUT(2*16+15 downto 2*16) => bus_command_addr, + BUS_ADDR_OUT(3*16+15 downto 3*16) => bus_ram3b_addr, BUS_DATA_OUT(0*32+31 downto 0*32) => bus2_ram_data_out, - BUS_DATA_OUT(1*32+31 downto 1*32) => bus2_debug_data_out, - BUS_DATA_OUT(2*32+31 downto 2*32) => bus2_status2_data_out, - BUS_DATA_OUT(3*32+31 downto 3*32) => bus_command_data_out, + BUS_DATA_OUT(1*32+31 downto 1*32) => open, + BUS_DATA_OUT(2*32+31 downto 2*32) => bus_command_data_out, + BUS_DATA_OUT(3*32+31 downto 3*32) => open, BUS_READ_ENABLE_OUT(0) => bus2_ram_read_enable_out, - BUS_READ_ENABLE_OUT(1) => bus2_debug_read_enable_out, - BUS_READ_ENABLE_OUT(2) => bus2_status2_read_enable_out, - BUS_READ_ENABLE_OUT(3) => bus_command_read, - BUS_WRITE_ENABLE_OUT(0) => bus2_ram_write_enable_out, - BUS_WRITE_ENABLE_OUT(1) => bus2_debug_write_enable_out, - BUS_WRITE_ENABLE_OUT(2) => bus2_status2_write_enable_out, - BUS_WRITE_ENABLE_OUT(3) => bus_command_write, - BUS_TIMEOUT_OUT => open, + BUS_READ_ENABLE_OUT(1) => bus_ram1c_read, + BUS_READ_ENABLE_OUT(2) => bus_command_read, + BUS_READ_ENABLE_OUT(3) => bus_ram3b_read, + BUS_WRITE_ENABLE_OUT(0) => bus2_ram_write_enable_out, + BUS_WRITE_ENABLE_OUT(1) => bus_ram1c_write, + BUS_WRITE_ENABLE_OUT(2) => bus_command_write, + BUS_WRITE_ENABLE_OUT(3) => bus_ram3b_write, + BUS_TIMEOUT_OUT => open, BUS_DATA_IN(0*32+31 downto 0*32) => bus2_ram_data_in, - BUS_DATA_IN(1*32+31 downto 1*32) => bus2_debug_data_in, - BUS_DATA_IN(2*32+31 downto 2*32) => bus2_status2_data_in, - BUS_DATA_IN(3*32+31 downto 3*32) => bus_command_data_in, + BUS_DATA_IN(1*32+31 downto 1*32) => bus_ram1c_data_in, + BUS_DATA_IN(2*32+31 downto 2*32) => bus_command_data_in, + BUS_DATA_IN(3*32+31 downto 3*32) => bus_ram3b_data_in, BUS_DATAREADY_IN(0) => bus2_ram_ack_in, - BUS_DATAREADY_IN(1) => bus2_debug_ack_in, - BUS_DATAREADY_IN(2) => bus2_status2_ack_in, - BUS_DATAREADY_IN(3) => bus_command_ack, + BUS_DATAREADY_IN(1) => bus_ram1c_ack, + BUS_DATAREADY_IN(2) => bus_command_ack, + BUS_DATAREADY_IN(3) => bus_ram3b_ack, BUS_WRITE_ACK_IN(0) => bus2_ram_ack_in, - BUS_WRITE_ACK_IN(1) => bus2_debug_ack_in, - BUS_WRITE_ACK_IN(2) => bus2_status2_ack_in, - BUS_WRITE_ACK_IN(3) => bus_command_ack, + BUS_WRITE_ACK_IN(1) => '0', + BUS_WRITE_ACK_IN(2) => bus_command_ack, + BUS_WRITE_ACK_IN(3) => '0', BUS_NO_MORE_DATA_IN(0) => bus2_ram_nack_in, - BUS_NO_MORE_DATA_IN(1) => '0', + BUS_NO_MORE_DATA_IN(1) => bus_ram1c_nack, BUS_NO_MORE_DATA_IN(2) => '0', - BUS_NO_MORE_DATA_IN(3) => '0', - BUS_UNKNOWN_ADDR_IN(0) => '0', - BUS_UNKNOWN_ADDR_IN(1) => bus2_debug_nack_in, - BUS_UNKNOWN_ADDR_IN(2) => bus2_status2_nack_in, - BUS_UNKNOWN_ADDR_IN(3) => bus_command_retry + BUS_NO_MORE_DATA_IN(3) => bus_ram3b_nack, + BUS_UNKNOWN_ADDR_IN(0) => '0', + BUS_UNKNOWN_ADDR_IN(1) => bus_ram1c_unkwn, + BUS_UNKNOWN_ADDR_IN(2) => bus_command_retry, + BUS_UNKNOWN_ADDR_IN(3) => bus_ram3b_unkwn ); @@ -1260,7 +1260,6 @@ m26cs_stopped <= '1' when m26cs_state = M26CSS_STOPPED else '0'; jtag_sample_pulse3_d1_next <= jtag_sample_pulse3; -ram3b_a2 <= ram3b_a2_base_addr & ram3b_a2_rel_addr; -- hope this is the right order (base_addr should be the MSBs) SEQUENTIAL : process (CLK_IN) begin @@ -2048,7 +2047,17 @@ BUS2_COMMAND_RW : process begin when M26C_CMD_GET_CRC_STATUS => bus_command_data_in(MAX_NUMCHIPS - 1 downto 0) <= crc_status_register(MAX_NUMCHIPS - 1 downto 0); when M26C_CMD_SET_RAMBASE => - bus_command_data_in(MAX_NUMCHIPS_LD-1 downto 0) <= ram1a_a1_base_addr; + bus_command_data_in(MAX_NUMCHIPS_LD-1 downto 0) <= ram1a_a1_base_addr; + when M26C_CMD_GET_M26CS_STATE => + bus_command_data_in(7 downto 0) <= debug_m26cs_state; + when M26C_CMD_GET_RAM1C_RUN_COUNTER => + bus_command_data_in <= std_logic_vector(ram1c_run_counter); + when M26C_CMD_GET_RAM1C_CHAIN_STATUS => + bus_command_data_in(3+MAX_NUMCHIPS_PLUS_ONE_LD-1 downto 0) <= ram1c_chain_status; + when M26C_CMD_GET_RAM3B_RUN_COUNTER => + bus_command_data_in <= std_logic_vector(status2_run_counter); + when M26C_CMD_GET_RAM3B_CHAIN_STATUS => + bus_command_data_in(3+MAX_NUMCHIPS_PLUS_ONE_LD-1 downto 0) <= status2_chain_status; when M26C_CMD_SET_CSOPTIONS => bus_command_data_in(0 downto 0) <= m26csoptions(0 downto 0); -- bit 0 => skip bypassreg chaintest when M26C_CMD_SET_DELAY_EXPECTED_VALUES => @@ -2120,126 +2129,60 @@ end process; -BUS2_DEBUG_R : process begin +PROC_READ_1C : process begin wait until rising_edge(CLK_IN); - bus2_debug_ack_in <= '0'; - bus2_debug_nack_in <= '0'; - bus2_debug_data_in <= (others => '0'); + bus_ram1c_ack <= '0'; + bus_ram1c_nack <= '0'; + bus_ram1c_unkwn <= '0'; + bus_ram1c_ack_next <= '0'; + bus_ram1c_ack_next2 <= '0'; - debugtdo_activate_strobe <= '0'; - debugtdo_rd_en <= '0'; - resetdebugtdo_strobe <= '0'; - - if bus2_debug_write_enable_out = '1' then - bus2_debug_ack_in <= '1'; - bus2_debug_nack_in <= '0'; - case bus2_debug_addr_out(4 downto 0) is - when ADDR_DEBUG_TEST => - debug_test <= bus2_debug_data_out; - when ADDR_DEBUG_RAM1BADDR => - ram1b_a2 <= bus2_debug_data_out(RAM_JTAG_REGISTERS_DEPTH-1 downto 0); - when ADDR_DEBUG_RAM1CADDR => - ram1c_a2 <= bus2_debug_data_out(RAM_JTAG_REGISTERS_DEPTH-1 downto 0); - when ADDR_DEBUG_DEBUGTDO_TIMEOUT => - debugtdo_timeout <= bus2_debug_data_out(31 downto 0); - when ADDR_DEBUG_DEBUGTDO_ACTIVATE => - debugtdo_activate_strobe <= bus2_debug_data_out(0); - when ADDR_DEBUG_DEBUGTDO_CONTROLFIFO => - debugtdo_rd_en <= bus2_debug_data_out(0); - resetdebugtdo_strobe <= bus2_debug_data_out(4); - when others => - bus2_debug_ack_in <= '0'; - bus2_debug_nack_in <= '1'; - end case; - - elsif bus2_debug_read_enable_out = '1' then - bus2_debug_ack_in <= '1'; - bus2_debug_nack_in <= '0'; - case bus2_debug_addr_out(4 downto 0) is - when ADDR_DEBUG_TEST => - bus2_debug_data_in <= debug_test; - when ADDR_DEBUG_M26CS_STATE => - bus2_debug_data_in(7 downto 0) <= debug_m26cs_state; - when ADDR_DEBUG_TRIGGER_COUNT => - bus2_debug_data_in <= std_logic_vector(debug_trigger_counter); - when ADDR_DEBUG_VERSION => - bus2_debug_data_in <= std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,32)); - when ADDR_DEBUG_RAM1B_DATA => - bus2_debug_data_in <= ram1b_dout2; - when ADDR_DEBUG_RAM1C_DATA => - bus2_debug_data_in <= ram1c_dout2; - when ADDR_DEBUG_RAM1C_RUN_COUNTER => - bus2_debug_data_in <= std_logic_vector(ram1c_run_counter); - when ADDR_DEBUG_RAM1C_CHAIN_STATUS => - bus2_debug_data_in(3+MAX_NUMCHIPS_PLUS_ONE_LD-1 downto 0) <= ram1c_chain_status; - when ADDR_DEBUG_DEBUGTDO_SAMPLES => - bus2_debug_data_in(31 downto 0) <= debugtdo_dout; - when ADDR_DEBUG_DEBUGTDO_GETFIFOSTATUS => - bus2_debug_data_in(0) <= debugtdo_full; - bus2_debug_data_in(1) <= debugtdo_empty; - bus2_debug_data_in(2) <= debugtdo_overflow; - bus2_debug_data_in(3) <= debugtdo_underflow; - when ADDR_DEBUG_DEBUGTDO_TIMEOUT => - bus2_debug_data_in(31 downto 0) <= debugtdo_timeout; - when ADDR_DEBUG_WRONCE_COUNT => - bus2_debug_data_in(COUNTER_WIDTHS-1 downto 0) <= std_logic_vector(write_once_count); - when others => - bus2_debug_ack_in <= '0'; - bus2_debug_nack_in <= '1'; - end case; + if bus_ram1c_read = '1' then + if status2_copy_finished = '1' then + bus_ram1c_ack_next<= '1'; + ram1c_a2 <= ram1a_a1_base_addr & bus_ram1c_addr(7 downto 0); + else + bus_ram1c_nack <= '1'; + end if; + elsif bus_ram1c_write = '1' then + bus_ram1c_unkwn <= '1'; + elsif bus_ram1c_ack_next = '1' then + bus_ram1c_ack_next2 <= '1'; + elsif bus_ram1c_ack_next2 = '1' then + bus_ram1c_data_in <= ram1c_dout2; + bus_ram1c_ack <= '1'; end if; end process; -BUS2_STATUS2_R : process begin + +PROC_READ_3B : process begin wait until rising_edge(CLK_IN); - bus2_status2_data_in <= (others => '0'); - bus2_status2_ack_in <= '0'; - bus2_status2_nack_in <= '0'; - bus2_status2_read_ram3b <= '0'; - buf_bus2_status2_read_ram3b <= bus2_status2_read_ram3b; + bus_ram3b_ack <= '0'; + bus_ram3b_nack <= '0'; + bus_ram3b_unkwn <= '0'; + bus_ram3b_ack_next <= '0'; + bus_ram3b_ack_next2 <= '0'; - if(buf_bus2_status2_read_ram3b = '1') then - bus2_status2_data_in <= ram3b_dout2; - bus2_status2_ack_in <= '1'; - end if; - if(bus2_status2_read_enable_out='1') then - -- STATUS register layout: 8 bits/chip - -- first 32 bits : CRC status - -- bits i*32+31 downto i*32: bits 0: JTAG_ERROR, 1: WRITE_ERROR, 2: WRITE_ERROR2, 3: READ_ERROR, 4: READ_ERROR2, - -- 5: DATA_CHANGED, 6: reserved, 7: reserved - if(bus2_status2_addr_out(4) = '1') then -- ram3b - ram3b_a2_rel_addr <= bus2_status2_addr_out(3 downto 0); - bus2_status2_read_ram3b <= '1'; - else - bus2_status2_ack_in <= '1'; - case bus2_status2_addr_out(4 downto 0) is - when ADDR_STATUS2_TRIGGERCOUNTER_COPY => - bus2_status2_data_in <= std_logic_vector(status2_run_counter); - when ADDR_STATUS2_CHAIN_STATUS_COPY => - bus2_status2_data_in(3+MAX_NUMCHIPS_PLUS_ONE_LD-1 downto 0) <= status2_chain_status; - when ADDR_STATUS2_UPDATING => - bus2_status2_data_in(0) <= not status2_copy_finished; - when ADDR_STATUS2_RAM3B_BASEADDR => - bus2_status2_data_in(RAM_ERROR_COUNTS_DEPTH-4 -1 downto 0) <= ram3b_a2_base_addr; - when ADDR_STATUS2_STARTED => - bus2_status2_data_in(0) <= jtag_refresh_active; - when others => - bus2_status2_ack_in <= '0'; - bus2_status2_nack_in <= '1'; - end case; - end if; - end if; - if(bus2_status2_write_enable_out='1') then - if(bus2_status2_addr_out(4 downto 0) = ADDR_STATUS2_RAM3B_BASEADDR) then - ram3b_a2_base_addr <= bus2_status2_data_out(RAM_ERROR_COUNTS_DEPTH-4 -1 downto 0); - bus2_status2_ack_in <= '1'; + if bus_ram3b_read = '1' then + if status2_copy_finished = '1' then + bus_ram3b_ack_next<= '1'; + ram3b_a2 <= '0' & bus_ram3b_addr(7 downto 0); else - bus2_status2_nack_in <= '1'; + bus_ram3b_nack <= '1'; end if; + elsif bus_ram3b_write = '1' then + bus_ram3b_unkwn <= '1'; + elsif bus_ram3b_ack_next = '1' then + bus_ram3b_ack_next2 <= '1'; + elsif bus_ram3b_ack_next2 = '1' then + bus_ram3b_data_in <= ram3b_dout2; + bus_ram3b_ack <= '1'; end if; end process; + + debug_m26cs_state_process : process begin wait until rising_edge(CLK_IN); case m26cs_state is @@ -2289,31 +2232,31 @@ end process; IDLE_OUT <= idle_out_signal; -ALL_debugtdo : process( CLK_IN) -begin - if(rising_edge(CLK_IN)) then - if(RESET_IN= '1') then - debugtdo_counter <= (others => '0'); - debugtdo_active <= '0'; - debugtdo_ran <= '0'; - else - -- COUNTER - debugtdo_counter <= std_logic_vector(unsigned(debugtdo_counter) + 1); - -- reset counter in idle/stopped states => counter times the elapsed time since start of m26cs state machine - -- also clear debugtdo_active - if(m26cs_state = M26CSS_WAIT_FOR_TRIGGER or m26cs_state = M26CSS_STOPPED) then - debugtdo_counter <= (others => '0'); - if(debugtdo_ran = '1') then - debugtdo_active <= '0'; - end if; - debugtdo_ran <= '0'; - else - debugtdo_ran <= '1'; - end if; - if(debugtdo_activate_strobe = '1') then - debugtdo_active <= '1'; - end if; - end if; - end if; -end process; +-- ALL_debugtdo : process( CLK_IN) +-- begin +-- if(rising_edge(CLK_IN)) then +-- if(RESET_IN= '1') then +-- debugtdo_counter <= (others => '0'); +-- debugtdo_active <= '0'; +-- debugtdo_ran <= '0'; +-- else +-- -- COUNTER +-- debugtdo_counter <= std_logic_vector(unsigned(debugtdo_counter) + 1); +-- -- reset counter in idle/stopped states => counter times the elapsed time since start of m26cs state machine +-- -- also clear debugtdo_active +-- if(m26cs_state = M26CSS_WAIT_FOR_TRIGGER or m26cs_state = M26CSS_STOPPED) then +-- debugtdo_counter <= (others => '0'); +-- if(debugtdo_ran = '1') then +-- debugtdo_active <= '0'; +-- end if; +-- debugtdo_ran <= '0'; +-- else +-- debugtdo_ran <= '1'; +-- end if; +-- if(debugtdo_activate_strobe = '1') then +-- debugtdo_active <= '1'; +-- end if; +-- end if; +-- end if; +-- end process; end architecture; diff --git a/vhdl/code/jtag_constants.vhd b/vhdl/code/jtag_constants.vhd index f27b318..03805eb 100644 --- a/vhdl/code/jtag_constants.vhd +++ b/vhdl/code/jtag_constants.vhd @@ -14,12 +14,6 @@ constant CMD_UPDATE_DR : std_logic_vector (3 downto 0) := x"6"; constant CMD_RESET_JTAG : std_logic_vector (3 downto 0) := x"7"; constant CMD_READ_TDO : std_logic_vector (3 downto 0) := x"8"; -constant M26C_CMD_START : std_logic_vector (7 downto 0) := x"40"; -constant M26C_CMD_REMOVE_SENSOR : std_logic_vector (7 downto 0) := x"41"; -constant M26C_CMD_GET_NUMCHIPS_ACTIVE : std_logic_vector (7 downto 0) := x"11"; -constant M26C_CMD_GET_TRIGGER_COUNT : std_logic_vector (7 downto 0) := x"12"; -constant M26C_CMD_GET_LAST_NOT_REMOVED : std_logic_vector (7 downto 0) := x"13"; -constant M26C_CMD_GET_CRC_STATUS : std_logic_vector (7 downto 0) := x"14"; constant M26C_CMD_SET_NUMCHIPS_CONFIGURED : std_logic_vector (7 downto 0) := x"00"; constant M26C_CMD_SET_JTAG_CLOCK_CYCLE_LENGTH : std_logic_vector (7 downto 0) := x"01"; constant M26C_CMD_SET_JTAG_CLOCK_TIME1 : std_logic_vector (7 downto 0) := x"02"; @@ -28,13 +22,24 @@ constant M26C_CMD_SET_JTAG_SAMPLE_TIME1 : std_logic_vector (7 downto constant M26C_CMD_SET_JTAG_SAMPLE_TIME2 : std_logic_vector (7 downto 0) := x"05"; constant M26C_CMD_SET_JTAG_SAMPLE_TIME3 : std_logic_vector (7 downto 0) := x"06"; constant M26C_CMD_SET_JTAG_SET_DATA_TIME : std_logic_vector (7 downto 0) := x"07"; +constant M26C_CMD_SET_DELAY_EXPECTED_VALUES : std_logic_vector (7 downto 0) := x"08"; -- bits 1 downto 0 as unsigned number of TCK clocks expected values are delayed constant M26C_CMD_GET_RUN_COUNT : std_logic_vector (7 downto 0) := x"10"; +constant M26C_CMD_GET_NUMCHIPS_ACTIVE : std_logic_vector (7 downto 0) := x"11"; +constant M26C_CMD_GET_TRIGGER_COUNT : std_logic_vector (7 downto 0) := x"12"; +constant M26C_CMD_GET_LAST_NOT_REMOVED : std_logic_vector (7 downto 0) := x"13"; +constant M26C_CMD_GET_CRC_STATUS : std_logic_vector (7 downto 0) := x"14"; +constant M26C_CMD_START : std_logic_vector (7 downto 0) := x"40"; +constant M26C_CMD_REMOVE_SENSOR : std_logic_vector (7 downto 0) := x"41"; +constant M26C_CMD_SET_CSOPTIONS : std_logic_vector (7 downto 0) := x"42"; -- bit 0 => skip BYPASS CHAINTEST +constant M26C_CMD_SET_RAMBASE : std_logic_vector (7 downto 0) := x"43"; -- bit 0 => skip BYPASS CHAINTEST constant M26C_CMD_SET_BREAKPOINTS : std_logic_vector (7 downto 0) := x"50"; constant M26C_CMD_COPY_TO_STATUS2 : std_logic_vector (7 downto 0) := x"51"; constant M26C_CMD_COPY_RAM1B1C_SINGLE_TRIGGER : std_logic_vector (7 downto 0) := x"52"; -- trigger on: bit 0 => read error, 1 => write error, 2 => data changed -constant M26C_CMD_SET_CSOPTIONS : std_logic_vector (7 downto 0) := x"42"; -- bit 0 => skip BYPASS CHAINTEST -constant M26C_CMD_SET_RAMBASE : std_logic_vector (7 downto 0) := x"43"; -- bit 0 => skip BYPASS CHAINTEST -constant M26C_CMD_SET_DELAY_EXPECTED_VALUES : std_logic_vector (7 downto 0) := x"08"; -- bits 1 downto 0 as unsigned number of TCK clocks expected values are delayed +constant M26C_CMD_GET_M26CS_STATE : std_logic_vector (7 downto 0) := x"53"; +constant M26C_CMD_GET_RAM1C_RUN_COUNTER : std_logic_vector (7 downto 0) := x"54"; +constant M26C_CMD_GET_RAM1C_CHAIN_STATUS : std_logic_vector (7 downto 0) := x"55"; +constant M26C_CMD_GET_RAM3B_RUN_COUNTER : std_logic_vector (7 downto 0) := x"56"; +constant M26C_CMD_GET_RAM3B_CHAIN_STATUS : std_logic_vector (7 downto 0) := x"57"; -- 0xb100 - 0xb2ff -- RAM: 0xb000 - 0xb0ff -- 2.43.0