From bf01ec437c44908b40f6b3e69eab29dafd674241 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Tue, 5 Oct 2010 09:33:14 +0000 Subject: [PATCH] *** empty log message *** --- media_interfaces/trb_net16_tx_control.vhd | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/media_interfaces/trb_net16_tx_control.vhd b/media_interfaces/trb_net16_tx_control.vhd index 99cc2f9..5c577dd 100644 --- a/media_interfaces/trb_net16_tx_control.vhd +++ b/media_interfaces/trb_net16_tx_control.vhd @@ -60,14 +60,14 @@ architecture arch of trb_net16_tx_control is SEND_RESET); signal current_state : state_t; - type ram_t is array(0 to 255) of std_logic_vector(15 downto 0); + type ram_t is array(0 to 255) of std_logic_vector(17 downto 0); signal ram : ram_t; signal ram_write : std_logic; signal ram_write_addr : unsigned(7 downto 0); signal ram_read : std_logic; signal ram_read_addr : unsigned(7 downto 0); - signal ram_dout : std_logic_vector(15 downto 0); + signal ram_dout : std_logic_vector(17 downto 0); signal ram_fill_level : unsigned(7 downto 0); signal ram_empty : std_logic; signal ram_afull : std_logic; @@ -84,7 +84,7 @@ architecture arch of trb_net16_tx_control is signal request_retransmit_i : std_logic; signal buf_tx_read_out : std_logic; - signal tx_data_25_i : std_logic_vector(15 downto 0); + signal tx_data_25_i : std_logic_vector(17 downto 0); signal tx_allow_qtx : std_logic; signal tx_allow_q : std_logic; signal send_link_reset_qtx : std_logic; -- 2.43.0