From c098329ee2a62ed92927be6693df320ec1d29799 Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Sun, 17 Jul 2022 12:12:24 +0200 Subject: [PATCH] typos --- gbe_trb/base/trb_net16_gbe_main_control.vhd | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/gbe_trb/base/trb_net16_gbe_main_control.vhd b/gbe_trb/base/trb_net16_gbe_main_control.vhd index be1f434..f18acb0 100644 --- a/gbe_trb/base/trb_net16_gbe_main_control.vhd +++ b/gbe_trb/base/trb_net16_gbe_main_control.vhd @@ -43,8 +43,8 @@ entity trb_net16_gbe_main_control is MC_DHCP_DONE_OUT : out std_logic; MY_IP_OUT : out std_logic_vector(31 downto 0); MC_MY_MAC_IN : in std_logic_vector(47 downto 0); - MY_TRBNET_ADDRESS_IN : in std_logic_vector(15 downto 0); - ISSUE_REBOOT_OUT : out std_logic; + MY_TRBNET_ADDRESS_IN : in std_logic_vector(15 downto 0); + ISSUE_REBOOT_OUT : out std_logic; -- signals to/from receive controller RC_FRAME_WAITING_IN : in std_logic; RC_LOADING_DONE_OUT : out std_logic; -- 2.43.0