From c8dcd3de19cd3690fe56d14b3621a711d42c1fbb Mon Sep 17 00:00:00 2001 From: HADES DAQ Date: Wed, 10 Jan 2024 00:55:28 +0100 Subject: [PATCH] set gain of amplifier via I2C, mt --- dirich5d1/dirich5d1.vhd | 11 ++++++++--- pinout/dirich5d1.lpf | 4 ++++ 2 files changed, 12 insertions(+), 3 deletions(-) diff --git a/dirich5d1/dirich5d1.vhd b/dirich5d1/dirich5d1.vhd index ad5ca51..d4ff52e 100644 --- a/dirich5d1/dirich5d1.vhd +++ b/dirich5d1/dirich5d1.vhd @@ -52,11 +52,14 @@ entity dirich5d1 is SFP_MOD1 : inout std_logic; SFP_MOD2 : inout std_logic; + I2C_AMP_SDA : inout std_logic; + I2C_AMP_SCL : inout std_logic; + MISO_IN : in std_logic_vector(1 downto 0); MOSI_OUT : out std_logic_vector(1 downto 0); SCLK_OUT : out std_logic_vector(1 downto 0); CS_OUT : out std_logic_vector(1 downto 0); - + SIG_OUT : out std_logic_vector(NUM_TDC_CHANNELS-1 downto 1) @@ -351,8 +354,10 @@ THE_CAL_PLL : entity work.pll_in200_out50 --SED SED_ERROR_OUT => sed_error_i, --I2C - SDA_INOUT => SFP_MOD2, - SCL_INOUT => SFP_MOD1, + SDA_INOUT => I2C_AMP_SDA, + SCL_INOUT => I2C_AMP_SCL, + --SDA_INOUT => SFP_MOD2, + --SCL_INOUT => SFP_MOD1, --Slowcontrol BUS_RX => bustools_rx, BUS_TX => bustools_tx, diff --git a/pinout/dirich5d1.lpf b/pinout/dirich5d1.lpf index 209f89a..f8e6751 100644 --- a/pinout/dirich5d1.lpf +++ b/pinout/dirich5d1.lpf @@ -149,6 +149,10 @@ LOCATE COMP "CS_OUT[1]" SITE "B18"; #DAC2_CTRL3 LOCATE COMP "SFP_MOD1" SITE "E6"; # SFP I2C SCL LOCATE COMP "SFP_MOD2" SITE "C9"; # SFP I2C SDA + +LOCATE COMP "I2C_AMP_SDA" SITE "B17"; # Amplifier Poti I2C SCL +LOCATE COMP "I2C_AMP_SCL" SITE "C17"; # Amplifier Poti I2C SDA + IOBUF PORT "MISO_IN[0]" IO_TYPE=LVCMOS25 PULLMODE=UP; IOBUF PORT "MOSI_OUT[0]" IO_TYPE=LVCMOS25 DRIVE=4 SLEWRATE=SLOW; IOBUF PORT "SCLK_OUT[0]" IO_TYPE=LVCMOS25 DRIVE=4 SLEWRATE=SLOW; -- 2.43.0