From c92b4d0c22ec0f2f2b33a73e1befa687069e17f9 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Wed, 28 Mar 2018 16:24:29 +0200 Subject: [PATCH] add few more registers to sync media interface --- media_interfaces/med_ecp3_sfp_sync_4.vhd | 4 ++-- media_interfaces/sync/sci_reader.vhd | 4 ++-- media_interfaces/trb_net16_med_ecp3_sfp_4.vhd | 4 ++-- 3 files changed, 6 insertions(+), 6 deletions(-) diff --git a/media_interfaces/med_ecp3_sfp_sync_4.vhd b/media_interfaces/med_ecp3_sfp_sync_4.vhd index 82c3e92..02612eb 100644 --- a/media_interfaces/med_ecp3_sfp_sync_4.vhd +++ b/media_interfaces/med_ecp3_sfp_sync_4.vhd @@ -342,8 +342,8 @@ THE_SCI_READER : entity work.sci_reader MEDIA_STATUS_REG_IN(31 downto 0) => stat_rx_control_i(31 downto 0), MEDIA_STATUS_REG_IN(63 downto 32) => stat_tx_control_i(31 downto 0), - MEDIA_STATUS_REG_IN(95 downto 64) => stat_fsm_reset_i(31 downto 0), - MEDIA_STATUS_REG_IN(127 downto 96) => (others => '0'), + MEDIA_STATUS_REG_IN(191 downto 64) => stat_fsm_reset_i(127 downto 0), + MEDIA_STATUS_REG_IN(255 downto 192) => (others => '0'), DEBUG_OUT => open ); diff --git a/media_interfaces/sync/sci_reader.vhd b/media_interfaces/sync/sci_reader.vhd index eec723d..8f17fe0 100644 --- a/media_interfaces/sync/sci_reader.vhd +++ b/media_interfaces/sync/sci_reader.vhd @@ -28,7 +28,7 @@ entity sci_reader is BUS_RX : in CTRLBUS_RX; BUS_TX : out CTRLBUS_TX; - MEDIA_STATUS_REG_IN : in std_logic_vector(127 downto 0); + MEDIA_STATUS_REG_IN : in std_logic_vector(255 downto 0) := (others => '0'); DEBUG_OUT : out std_logic_vector(31 downto 0) ); end entity; @@ -144,4 +144,4 @@ begin end process; -end architecture; \ No newline at end of file +end architecture; diff --git a/media_interfaces/trb_net16_med_ecp3_sfp_4.vhd b/media_interfaces/trb_net16_med_ecp3_sfp_4.vhd index ba4dfc5..90999a7 100644 --- a/media_interfaces/trb_net16_med_ecp3_sfp_4.vhd +++ b/media_interfaces/trb_net16_med_ecp3_sfp_4.vhd @@ -280,7 +280,7 @@ gen_LSM : for i in 0 to 3 generate STAT_DEBUG => buf_stat_debug(i*32+31 downto i*32) ); - sd_txdis_out(i) <= quad_rst(i) or reset_i; + sd_txdis_out(i) <= '0'; --quad_rst(i) or reset_i; ffc_quad_rst <= quad_rst(0); ffc_lane_tx_rst(i) <= lane_rst(i); @@ -944,4 +944,4 @@ gen_outputs : for i in 0 to 3 generate stat_debug(i*64+63 downto i*64+60) <= buf_stat_debug(i*16+3 downto i*16+0); end generate; -end architecture; \ No newline at end of file +end architecture; -- 2.43.0