From cb061343a987de77843cc002649a54fc6fc29b65 Mon Sep 17 00:00:00 2001 From: Your Name Date: Wed, 10 Aug 2016 12:52:52 +0200 Subject: [PATCH] killer ping added --- cts/config_default.vhd | 3 ++- cts/trb3_central.prj | 1 + cts/trb3_central.vhd | 6 +++++- 3 files changed, 8 insertions(+), 2 deletions(-) diff --git a/cts/config_default.vhd b/cts/config_default.vhd index 9396451..11a3000 100644 --- a/cts/config_default.vhd +++ b/cts/config_default.vhd @@ -10,6 +10,7 @@ package config is constant INCLUDE_CTS : integer range c_NO to c_YES := c_YES; constant INCLUDE_CBMNET : integer range c_NO to c_YES := c_NO; + constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO; --include TDC for all four trigger input lines @@ -37,7 +38,7 @@ package config is --Which external trigger module (ETM) to use? constant INCLUDE_ETM : integer range c_NO to c_YES := c_NO; - type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET); + type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26); constant ETM_CHOICE : ETM_CHOICE_type := ETM_CHOICE_MBS_VULOM; constant ETM_ID : std_logic_vector(7 downto 0); diff --git a/cts/trb3_central.prj b/cts/trb3_central.prj index d215547..ad25f8b 100644 --- a/cts/trb3_central.prj +++ b/cts/trb3_central.prj @@ -116,6 +116,7 @@ add_file -vhdl -lib work "../../trbnet/gbe_trb/base/trb_net16_gbe_setup.vhd" add_file -vhdl -lib work "../../trbnet/gbe_trb/base/ip_configurator.vhd" add_file -vhdl -lib work "../../trbnet/gbe_trb/protocols/trb_net16_gbe_response_constructor_ARP.vhd" add_file -vhdl -lib work "../../trbnet/gbe_trb/protocols/trb_net16_gbe_response_constructor_Ping.vhd" +add_file -vhdl -lib work "../../trbnet/gbe_trb/protocols/trb_net16_gbe_response_constructor_KillPing.vhd" add_file -vhdl -lib work "../../trbnet/gbe_trb/protocols/trb_net16_gbe_response_constructor_DHCP.vhd" add_file -vhdl -lib work "../../trbnet/gbe_trb/protocols/trb_net16_gbe_response_constructor_SCTRL.vhd" add_file -vhdl -lib work "../../trbnet/gbe_trb/protocols/trb_net16_gbe_response_constructor_TrbNetData.vhd" diff --git a/cts/trb3_central.vhd b/cts/trb3_central.vhd index 8fca209..e165ee7 100644 --- a/cts/trb3_central.vhd +++ b/cts/trb3_central.vhd @@ -589,6 +589,8 @@ architecture trb3_central_arch of trb3_central is signal cbm_regio_rx, bustc_rx, busgbeip_rx, busgbereg_rx : CTRLBUS_RX; signal cbm_regio_tx, bustc_tx, busgbeip_tx, busgbereg_tx : CTRLBUS_TX; + signal reboot_from_gbe : std_logic; + component OSCF is port ( OSC : out std_logic @@ -1353,6 +1355,8 @@ end generate; FEE_BUSY_IN => gbe_fee_busy, MC_UNIQUE_ID_IN => mc_unique_id, + MY_TRBNET_ADDRESS_IN => my_address, + ISSUE_REBOOT_OUT => reboot_from_gbe, GSC_CLK_IN => clk_100_i, GSC_INIT_DATAREADY_OUT => gsc_init_dataready, @@ -1731,7 +1735,7 @@ end generate; PROGRAMN => PROGRAMN ); - do_reboot_i <= common_ctrl_regs(15); -- or killswitch_reboot_i; + do_reboot_i <= common_ctrl_regs(15) or reboot_from_gbe; -- or killswitch_reboot_i; -- if jttl(15) is stabily high for 1.28us: issue reboot THE_KILLSWITCH_PROC : process -- 2.43.0