From cc14ebd9e37d4a51547f9a4c59e23a52a942a363 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Tue, 7 Aug 2012 14:58:23 +0000 Subject: [PATCH] *** empty log message *** --- cbmrich/cbmrich.p2t | 2 +- hub/trb3_periph_hub.vhd | 6 +++--- trb3_gbe/trb3_central.vhd | 4 ++-- 3 files changed, 6 insertions(+), 6 deletions(-) diff --git a/cbmrich/cbmrich.p2t b/cbmrich/cbmrich.p2t index 995161f..c037b03 100644 --- a/cbmrich/cbmrich.p2t +++ b/cbmrich/cbmrich.p2t @@ -4,7 +4,7 @@ -n 1 -y -s 12 --t 11 +-t 12 -c 1 -e 2 -m nodelist.txt diff --git a/hub/trb3_periph_hub.vhd b/hub/trb3_periph_hub.vhd index 947b142..8036fc1 100644 --- a/hub/trb3_periph_hub.vhd +++ b/hub/trb3_periph_hub.vhd @@ -493,14 +493,14 @@ THE_HUB : trb_net16_hub_base MII_IS_DOWNLINK => (0 => 0, others => 1), MII_IS_UPLINK_ONLY=> (0 => 1, others => 0), INT_NUMBER => 0, - INT_CHANNELS => (0,1,3,3,3,3,3,3), +-- INT_CHANNELS => (0,1,3,3,3,3,3,3), USE_ONEWIRE => c_YES, COMPILE_TIME => std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,32)), HARDWARE_VERSION => x"91000000", INIT_ENDPOINT_ID => x"0000", INIT_ADDRESS => x"F300", USE_VAR_ENDPOINT_ID => c_YES, - BROADCAST_SPECIAL_ADDR => x"40" + BROADCAST_SPECIAL_ADDR => x"45" ) port map ( CLK => clk_100_i, @@ -723,5 +723,5 @@ THE_HUB : trb_net16_hub_base TEST_LINE(15 downto 11) <= (others => '0'); - + end architecture; diff --git a/trb3_gbe/trb3_central.vhd b/trb3_gbe/trb3_central.vhd index 14b3570..771682b 100644 --- a/trb3_gbe/trb3_central.vhd +++ b/trb3_gbe/trb3_central.vhd @@ -498,7 +498,7 @@ gen_ethernet_hub : if USE_ETHERNET = c_YES generate MII_IS_UPLINK_ONLY => (0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0), USE_ONEWIRE => c_YES, - HARDWARE_VERSION => x"90000000", + HARDWARE_VERSION => x"90000E00", INIT_ENDPOINT_ID => x"0005", COMPILE_TIME => std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,32)) ) @@ -608,7 +608,7 @@ gen_ethernet_hub : if USE_ETHERNET = c_YES generate CLK => clk_100_i, TEST_CLK => '0', CLK_125_IN => CLK_GPLL_RIGHT, - RESET => reset_i_temp, + RESET => reset_i, GSR_N => gsr_n, --Debug STAGE_STAT_REGS_OUT => open, --stage_stat_regs, -- should be come STATUS or similar -- 2.43.0