From cdeb5a14f4b440cbe4dd548fd9496f53216fb131 Mon Sep 17 00:00:00 2001 From: Cahit Date: Wed, 23 Mar 2016 14:30:37 +0100 Subject: [PATCH] updated chain module for ecp5 --- base/cores/ecp5/TDC/Adder_304.ngo | 1 + base/cores/ecp5/TDC/Adder_304/Adder_304.cst | 3 - base/cores/ecp5/TDC/Adder_304/Adder_304.edn | 2281 ----------------- base/cores/ecp5/TDC/Adder_304/Adder_304.fdc | 2 - base/cores/ecp5/TDC/Adder_304/Adder_304.jhd | 0 base/cores/ecp5/TDC/Adder_304/Adder_304.ldf | 14 + base/cores/ecp5/TDC/Adder_304/Adder_304.lpc | 39 - base/cores/ecp5/TDC/Adder_304/Adder_304.lpf | 2 + base/cores/ecp5/TDC/Adder_304/Adder_304.ngd | Bin 167877 -> 0 bytes base/cores/ecp5/TDC/Adder_304/Adder_304.ngo | Bin 46517 -> 0 bytes base/cores/ecp5/TDC/Adder_304/Adder_304.sort | 1 - base/cores/ecp5/TDC/Adder_304/Adder_304.srp | 32 - base/cores/ecp5/TDC/Adder_304/Adder_304.vhd | 816 ------ .../ecp5/TDC/Adder_304/Adder_304_generate.log | 50 - .../ecp5/TDC/Adder_304/Adder_304_ngd.asd | 1 - .../ecp5/TDC/Adder_304/Adder_304_tmpl.vhd | 16 - .../ecp5/TDC/Adder_304/generate_core.tcl | 100 - .../cores/ecp5/TDC/Adder_304/generate_ngd.tcl | 74 - .../TDC/Adder_304/impl/Adder_304_impl.ngo | Bin 0 -> 268991 bytes base/cores/ecp5/TDC/Adder_304/msg_file.log | 34 - .../ecp5/TDC/Adder_304/tb_Adder_304_tmpl.vhd | 76 - 21 files changed, 17 insertions(+), 3525 deletions(-) create mode 120000 base/cores/ecp5/TDC/Adder_304.ngo delete mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304.cst delete mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304.edn delete mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304.fdc delete mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304.jhd create mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304.ldf delete mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304.lpc create mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304.lpf delete mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304.ngd delete mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304.ngo delete mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304.sort delete mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304.srp delete mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304.vhd delete mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304_generate.log delete mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304_ngd.asd delete mode 100644 base/cores/ecp5/TDC/Adder_304/Adder_304_tmpl.vhd delete mode 100644 base/cores/ecp5/TDC/Adder_304/generate_core.tcl delete mode 100644 base/cores/ecp5/TDC/Adder_304/generate_ngd.tcl create mode 100644 base/cores/ecp5/TDC/Adder_304/impl/Adder_304_impl.ngo delete mode 100644 base/cores/ecp5/TDC/Adder_304/msg_file.log delete mode 100644 base/cores/ecp5/TDC/Adder_304/tb_Adder_304_tmpl.vhd diff --git a/base/cores/ecp5/TDC/Adder_304.ngo b/base/cores/ecp5/TDC/Adder_304.ngo new file mode 120000 index 0000000..903fef7 --- /dev/null +++ b/base/cores/ecp5/TDC/Adder_304.ngo @@ -0,0 +1 @@ +Adder_304/impl/Adder_304_impl.ngo \ No newline at end of file diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304.cst b/base/cores/ecp5/TDC/Adder_304/Adder_304.cst deleted file mode 100644 index dd8afb3..0000000 --- a/base/cores/ecp5/TDC/Adder_304/Adder_304.cst +++ /dev/null @@ -1,3 +0,0 @@ -Date=03/20/2015 -Time=11:44:26 - diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304.edn b/base/cores/ecp5/TDC/Adder_304/Adder_304.edn deleted file mode 100644 index 93fab16..0000000 --- a/base/cores/ecp5/TDC/Adder_304/Adder_304.edn +++ /dev/null @@ -1,2281 +0,0 @@ -(edif Adder_304 - (edifVersion 2 0 0) - (edifLevel 0) - (keywordMap (keywordLevel 0)) - (status - (written - (timestamp 2015 3 20 11 44 28) - (program "SCUBA" (version "Diamond (64-bit) 3.4.0.80")))) - (comment "/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n Adder_304 -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type add -width 64 -unsigned -output_reg -enable -pipeline 0 -fdc /home/cugur/Projects/TDC_on_TRB3/tdc/base/cores/ecp5/TDC/Adder_304/Adder_304.fdc ") - (library ORCLIB - (edifLevel 0) - (technology - (numberDefinition)) - (cell CCU2C - (cellType GENERIC) - (view view1 - (viewType NETLIST) - (interface - (port A0 - (direction INPUT)) - (port A1 - (direction INPUT)) - (port B0 - (direction INPUT)) - (port B1 - (direction INPUT)) - (port C0 - (direction INPUT)) - (port C1 - (direction INPUT)) - (port D0 - (direction INPUT)) - (port D1 - (direction INPUT)) - (port CIN - (direction INPUT)) - (port S0 - (direction OUTPUT)) - (port S1 - (direction OUTPUT)) - (port COUT - (direction OUTPUT))))) - (cell FD1P3DX - (cellType GENERIC) - (view view1 - (viewType NETLIST) - (interface - (port D - (direction INPUT)) - (port SP - (direction INPUT)) - (port CK - (direction INPUT)) - (port CD - (direction INPUT)) - (port Q - (direction OUTPUT))))) - (cell VHI - (cellType GENERIC) - (view view1 - (viewType NETLIST) - (interface - (port Z - (direction OUTPUT))))) - (cell VLO - (cellType GENERIC) - (view view1 - (viewType NETLIST) - (interface - (port Z - (direction OUTPUT))))) - (cell Adder_304 - (cellType GENERIC) - (view view1 - (viewType NETLIST) - (interface - (port (array (rename DataA "DataA(63:0)") 64) - (direction INPUT)) - (port (array (rename DataB "DataB(63:0)") 64) - (direction INPUT)) - (port Clock - (direction INPUT)) - (port Reset - (direction INPUT)) - (port ClockEn - (direction INPUT)) - (port (array (rename Result "Result(63:0)") 64) - (direction OUTPUT))) - (property NGD_DRC_MASK (integer 1)) - (contents - (instance FF_63 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_62 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_61 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_60 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_59 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_58 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_57 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_56 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_55 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_54 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_53 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_52 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_51 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_50 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_49 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_48 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_47 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_46 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_45 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_44 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_43 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_42 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_41 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_40 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_39 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_38 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_37 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_36 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_35 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_34 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_33 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_32 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_31 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_30 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_29 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_28 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_27 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_26 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_25 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_24 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_23 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_22 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_21 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_20 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_19 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_18 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_17 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_16 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_15 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_14 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_13 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_12 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_11 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_10 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_9 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_8 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_7 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_6 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_5 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_4 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_3 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_2 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_1 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance FF_0 - (viewRef view1 - (cellRef FD1P3DX)) - (property GSR - (string "ENABLED"))) - (instance addsub_0 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_1 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_2 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_3 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_4 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_5 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_6 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_7 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_8 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_9 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_10 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_11 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_12 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_13 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_14 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_15 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_16 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_17 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_18 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_19 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_20 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_21 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_22 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_23 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_24 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_25 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_26 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_27 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_28 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_29 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_30 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance addsub_31 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (instance scuba_vhi_inst - (viewRef view1 - (cellRef VHI))) - (instance scuba_vlo_inst - (viewRef view1 - (cellRef VLO))) - (instance addsub_32 - (viewRef view1 - (cellRef CCU2C)) - (property INJECT1_1 - (string "NO")) - (property INJECT1_0 - (string "NO")) - (property INIT1 - (string "0x66AA")) - (property INIT0 - (string "0x66AA"))) - (net tsum0 - (joined - (portRef S1 (instanceRef addsub_0)) - (portRef D (instanceRef FF_0)))) - (net x - (joined - (portRef CIN (instanceRef addsub_0)))) - (net tsum1 - (joined - (portRef S0 (instanceRef addsub_1)) - (portRef D (instanceRef FF_1)))) - (net tsum2 - (joined - (portRef S1 (instanceRef addsub_1)) - (portRef D (instanceRef FF_2)))) - (net co0 - (joined - (portRef CIN (instanceRef addsub_1)) - (portRef COUT (instanceRef addsub_0)))) - (net tsum3 - (joined - (portRef S0 (instanceRef addsub_2)) - (portRef D (instanceRef FF_3)))) - (net tsum4 - (joined - (portRef S1 (instanceRef addsub_2)) - (portRef D (instanceRef FF_4)))) - (net co1 - (joined - (portRef CIN (instanceRef addsub_2)) - (portRef COUT (instanceRef addsub_1)))) - (net tsum5 - (joined - (portRef S0 (instanceRef addsub_3)) - (portRef D (instanceRef FF_5)))) - (net tsum6 - (joined - (portRef S1 (instanceRef addsub_3)) - (portRef D (instanceRef FF_6)))) - (net co2 - (joined - (portRef CIN (instanceRef addsub_3)) - (portRef COUT (instanceRef addsub_2)))) - (net tsum7 - (joined - (portRef S0 (instanceRef addsub_4)) - (portRef D (instanceRef FF_7)))) - (net tsum8 - (joined - (portRef S1 (instanceRef addsub_4)) - (portRef D (instanceRef FF_8)))) - (net co3 - (joined - (portRef CIN (instanceRef addsub_4)) - (portRef COUT (instanceRef addsub_3)))) - (net tsum9 - (joined - (portRef S0 (instanceRef addsub_5)) - (portRef D (instanceRef FF_9)))) - (net tsum10 - (joined - (portRef S1 (instanceRef addsub_5)) - (portRef D (instanceRef FF_10)))) - (net co4 - (joined - (portRef CIN (instanceRef addsub_5)) - (portRef COUT (instanceRef addsub_4)))) - (net tsum11 - (joined - (portRef S0 (instanceRef addsub_6)) - (portRef D (instanceRef FF_11)))) - (net tsum12 - (joined - (portRef S1 (instanceRef addsub_6)) - (portRef D (instanceRef FF_12)))) - (net co5 - (joined - (portRef CIN (instanceRef addsub_6)) - (portRef COUT (instanceRef addsub_5)))) - (net tsum13 - (joined - (portRef S0 (instanceRef addsub_7)) - (portRef D (instanceRef FF_13)))) - (net tsum14 - (joined - (portRef S1 (instanceRef addsub_7)) - (portRef D (instanceRef FF_14)))) - (net co6 - (joined - (portRef CIN (instanceRef addsub_7)) - (portRef COUT (instanceRef addsub_6)))) - (net tsum15 - (joined - (portRef S0 (instanceRef addsub_8)) - (portRef D (instanceRef FF_15)))) - (net tsum16 - (joined - (portRef S1 (instanceRef addsub_8)) - (portRef D (instanceRef FF_16)))) - (net co7 - (joined - (portRef CIN (instanceRef addsub_8)) - (portRef COUT (instanceRef addsub_7)))) - (net tsum17 - (joined - (portRef S0 (instanceRef addsub_9)) - (portRef D (instanceRef FF_17)))) - (net tsum18 - (joined - (portRef S1 (instanceRef addsub_9)) - (portRef D (instanceRef FF_18)))) - (net co8 - (joined - (portRef CIN (instanceRef addsub_9)) - (portRef COUT (instanceRef addsub_8)))) - (net tsum19 - (joined - (portRef S0 (instanceRef addsub_10)) - (portRef D (instanceRef FF_19)))) - (net tsum20 - (joined - (portRef S1 (instanceRef addsub_10)) - (portRef D (instanceRef FF_20)))) - (net co9 - (joined - (portRef CIN (instanceRef addsub_10)) - (portRef COUT (instanceRef addsub_9)))) - (net tsum21 - (joined - (portRef S0 (instanceRef addsub_11)) - (portRef D (instanceRef FF_21)))) - (net tsum22 - (joined - (portRef S1 (instanceRef addsub_11)) - (portRef D (instanceRef FF_22)))) - (net co10 - (joined - (portRef CIN (instanceRef addsub_11)) - (portRef COUT (instanceRef addsub_10)))) - (net tsum23 - (joined - (portRef S0 (instanceRef addsub_12)) - (portRef D (instanceRef FF_23)))) - (net tsum24 - (joined - (portRef S1 (instanceRef addsub_12)) - (portRef D (instanceRef FF_24)))) - (net co11 - (joined - (portRef CIN (instanceRef addsub_12)) - (portRef COUT (instanceRef addsub_11)))) - (net tsum25 - (joined - (portRef S0 (instanceRef addsub_13)) - (portRef D (instanceRef FF_25)))) - (net tsum26 - (joined - (portRef S1 (instanceRef addsub_13)) - (portRef D (instanceRef FF_26)))) - (net co12 - (joined - (portRef CIN (instanceRef addsub_13)) - (portRef COUT (instanceRef addsub_12)))) - (net tsum27 - (joined - (portRef S0 (instanceRef addsub_14)) - (portRef D (instanceRef FF_27)))) - (net tsum28 - (joined - (portRef S1 (instanceRef addsub_14)) - (portRef D (instanceRef FF_28)))) - (net co13 - (joined - (portRef CIN (instanceRef addsub_14)) - (portRef COUT (instanceRef addsub_13)))) - (net tsum29 - (joined - (portRef S0 (instanceRef addsub_15)) - (portRef D (instanceRef FF_29)))) - (net tsum30 - (joined - (portRef S1 (instanceRef addsub_15)) - (portRef D (instanceRef FF_30)))) - (net co14 - (joined - (portRef CIN (instanceRef addsub_15)) - (portRef COUT (instanceRef addsub_14)))) - (net tsum31 - (joined - (portRef S0 (instanceRef addsub_16)) - (portRef D (instanceRef FF_31)))) - (net tsum32 - (joined - (portRef S1 (instanceRef addsub_16)) - (portRef D (instanceRef FF_32)))) - (net co15 - (joined - (portRef CIN (instanceRef addsub_16)) - (portRef COUT (instanceRef addsub_15)))) - (net tsum33 - (joined - (portRef S0 (instanceRef addsub_17)) - (portRef D (instanceRef FF_33)))) - (net tsum34 - (joined - (portRef S1 (instanceRef addsub_17)) - (portRef D (instanceRef FF_34)))) - (net co16 - (joined - (portRef CIN (instanceRef addsub_17)) - (portRef COUT (instanceRef addsub_16)))) - (net tsum35 - (joined - (portRef S0 (instanceRef addsub_18)) - (portRef D (instanceRef FF_35)))) - (net tsum36 - (joined - (portRef S1 (instanceRef addsub_18)) - (portRef D (instanceRef FF_36)))) - (net co17 - (joined - (portRef CIN (instanceRef addsub_18)) - (portRef COUT (instanceRef addsub_17)))) - (net tsum37 - (joined - (portRef S0 (instanceRef addsub_19)) - (portRef D (instanceRef FF_37)))) - (net tsum38 - (joined - (portRef S1 (instanceRef addsub_19)) - (portRef D (instanceRef FF_38)))) - (net co18 - (joined - (portRef CIN (instanceRef addsub_19)) - (portRef COUT (instanceRef addsub_18)))) - (net tsum39 - (joined - (portRef S0 (instanceRef addsub_20)) - (portRef D (instanceRef FF_39)))) - (net tsum40 - (joined - (portRef S1 (instanceRef addsub_20)) - (portRef D (instanceRef FF_40)))) - (net co19 - (joined - (portRef CIN (instanceRef addsub_20)) - (portRef COUT (instanceRef addsub_19)))) - (net tsum41 - (joined - (portRef S0 (instanceRef addsub_21)) - (portRef D (instanceRef FF_41)))) - (net tsum42 - (joined - (portRef S1 (instanceRef addsub_21)) - (portRef D (instanceRef FF_42)))) - (net co20 - (joined - (portRef CIN (instanceRef addsub_21)) - (portRef COUT (instanceRef addsub_20)))) - (net tsum43 - (joined - (portRef S0 (instanceRef addsub_22)) - (portRef D (instanceRef FF_43)))) - (net tsum44 - (joined - (portRef S1 (instanceRef addsub_22)) - (portRef D (instanceRef FF_44)))) - (net co21 - (joined - (portRef CIN (instanceRef addsub_22)) - (portRef COUT (instanceRef addsub_21)))) - (net tsum45 - (joined - (portRef S0 (instanceRef addsub_23)) - (portRef D (instanceRef FF_45)))) - (net tsum46 - (joined - (portRef S1 (instanceRef addsub_23)) - (portRef D (instanceRef FF_46)))) - (net co22 - (joined - (portRef CIN (instanceRef addsub_23)) - (portRef COUT (instanceRef addsub_22)))) - (net tsum47 - (joined - (portRef S0 (instanceRef addsub_24)) - (portRef D (instanceRef FF_47)))) - (net tsum48 - (joined - (portRef S1 (instanceRef addsub_24)) - (portRef D (instanceRef FF_48)))) - (net co23 - (joined - (portRef CIN (instanceRef addsub_24)) - (portRef COUT (instanceRef addsub_23)))) - (net tsum49 - (joined - (portRef S0 (instanceRef addsub_25)) - (portRef D (instanceRef FF_49)))) - (net tsum50 - (joined - (portRef S1 (instanceRef addsub_25)) - (portRef D (instanceRef FF_50)))) - (net co24 - (joined - (portRef CIN (instanceRef addsub_25)) - (portRef COUT (instanceRef addsub_24)))) - (net tsum51 - (joined - (portRef S0 (instanceRef addsub_26)) - (portRef D (instanceRef FF_51)))) - (net tsum52 - (joined - (portRef S1 (instanceRef addsub_26)) - (portRef D (instanceRef FF_52)))) - (net co25 - (joined - (portRef CIN (instanceRef addsub_26)) - (portRef COUT (instanceRef addsub_25)))) - (net tsum53 - (joined - (portRef S0 (instanceRef addsub_27)) - (portRef D (instanceRef FF_53)))) - (net tsum54 - (joined - (portRef S1 (instanceRef addsub_27)) - (portRef D (instanceRef FF_54)))) - (net co26 - (joined - (portRef CIN (instanceRef addsub_27)) - (portRef COUT (instanceRef addsub_26)))) - (net tsum55 - (joined - (portRef S0 (instanceRef addsub_28)) - (portRef D (instanceRef FF_55)))) - (net tsum56 - (joined - (portRef S1 (instanceRef addsub_28)) - (portRef D (instanceRef FF_56)))) - (net co27 - (joined - (portRef CIN (instanceRef addsub_28)) - (portRef COUT (instanceRef addsub_27)))) - (net tsum57 - (joined - (portRef S0 (instanceRef addsub_29)) - (portRef D (instanceRef FF_57)))) - (net tsum58 - (joined - (portRef S1 (instanceRef addsub_29)) - (portRef D (instanceRef FF_58)))) - (net co28 - (joined - (portRef CIN (instanceRef addsub_29)) - (portRef COUT (instanceRef addsub_28)))) - (net tsum59 - (joined - (portRef S0 (instanceRef addsub_30)) - (portRef D (instanceRef FF_59)))) - (net tsum60 - (joined - (portRef S1 (instanceRef addsub_30)) - (portRef D (instanceRef FF_60)))) - (net co29 - (joined - (portRef CIN (instanceRef addsub_30)) - (portRef COUT (instanceRef addsub_29)))) - (net tsum61 - (joined - (portRef S0 (instanceRef addsub_31)) - (portRef D (instanceRef FF_61)))) - (net tsum62 - (joined - (portRef S1 (instanceRef addsub_31)) - (portRef D (instanceRef FF_62)))) - (net co30 - (joined - (portRef CIN (instanceRef addsub_31)) - (portRef COUT (instanceRef addsub_30)))) - (net tsum63 - (joined - (portRef S0 (instanceRef addsub_32)) - (portRef D (instanceRef FF_63)))) - (net scuba_vhi - (joined - (portRef Z (instanceRef scuba_vhi_inst)) - (portRef C1 (instanceRef addsub_0)) - (portRef C0 (instanceRef addsub_0)) - (portRef D1 (instanceRef addsub_0)) - (portRef D0 (instanceRef addsub_0)) - (portRef C1 (instanceRef addsub_1)) - (portRef C0 (instanceRef addsub_1)) - (portRef D1 (instanceRef addsub_1)) - (portRef D0 (instanceRef addsub_1)) - (portRef C1 (instanceRef addsub_2)) - (portRef C0 (instanceRef addsub_2)) - (portRef D1 (instanceRef addsub_2)) - (portRef D0 (instanceRef addsub_2)) - (portRef C1 (instanceRef addsub_3)) - (portRef C0 (instanceRef addsub_3)) - (portRef D1 (instanceRef addsub_3)) - (portRef D0 (instanceRef addsub_3)) - (portRef C1 (instanceRef addsub_4)) - (portRef C0 (instanceRef addsub_4)) - (portRef D1 (instanceRef addsub_4)) - (portRef D0 (instanceRef addsub_4)) - (portRef C1 (instanceRef addsub_5)) - (portRef C0 (instanceRef addsub_5)) - (portRef D1 (instanceRef addsub_5)) - (portRef D0 (instanceRef addsub_5)) - (portRef C1 (instanceRef addsub_6)) - (portRef C0 (instanceRef addsub_6)) - (portRef D1 (instanceRef addsub_6)) - (portRef D0 (instanceRef addsub_6)) - (portRef C1 (instanceRef addsub_7)) - (portRef C0 (instanceRef addsub_7)) - (portRef D1 (instanceRef addsub_7)) - (portRef D0 (instanceRef addsub_7)) - (portRef C1 (instanceRef addsub_8)) - (portRef C0 (instanceRef addsub_8)) - (portRef D1 (instanceRef addsub_8)) - (portRef D0 (instanceRef addsub_8)) - (portRef C1 (instanceRef addsub_9)) - (portRef C0 (instanceRef addsub_9)) - (portRef D1 (instanceRef addsub_9)) - (portRef D0 (instanceRef addsub_9)) - (portRef C1 (instanceRef addsub_10)) - (portRef C0 (instanceRef addsub_10)) - (portRef D1 (instanceRef addsub_10)) - (portRef D0 (instanceRef addsub_10)) - (portRef C1 (instanceRef addsub_11)) - (portRef C0 (instanceRef addsub_11)) - (portRef D1 (instanceRef addsub_11)) - (portRef D0 (instanceRef addsub_11)) - (portRef C1 (instanceRef addsub_12)) - (portRef C0 (instanceRef addsub_12)) - (portRef D1 (instanceRef addsub_12)) - (portRef D0 (instanceRef addsub_12)) - (portRef C1 (instanceRef addsub_13)) - (portRef C0 (instanceRef addsub_13)) - (portRef D1 (instanceRef addsub_13)) - (portRef D0 (instanceRef addsub_13)) - (portRef C1 (instanceRef addsub_14)) - (portRef C0 (instanceRef addsub_14)) - (portRef D1 (instanceRef addsub_14)) - (portRef D0 (instanceRef addsub_14)) - (portRef C1 (instanceRef addsub_15)) - (portRef C0 (instanceRef addsub_15)) - (portRef D1 (instanceRef addsub_15)) - (portRef D0 (instanceRef addsub_15)) - (portRef C1 (instanceRef addsub_16)) - (portRef C0 (instanceRef addsub_16)) - (portRef D1 (instanceRef addsub_16)) - (portRef D0 (instanceRef addsub_16)) - (portRef C1 (instanceRef addsub_17)) - (portRef C0 (instanceRef addsub_17)) - (portRef D1 (instanceRef addsub_17)) - (portRef D0 (instanceRef addsub_17)) - (portRef C1 (instanceRef addsub_18)) - (portRef C0 (instanceRef addsub_18)) - (portRef D1 (instanceRef addsub_18)) - (portRef D0 (instanceRef addsub_18)) - (portRef C1 (instanceRef addsub_19)) - (portRef C0 (instanceRef addsub_19)) - (portRef D1 (instanceRef addsub_19)) - (portRef D0 (instanceRef addsub_19)) - (portRef C1 (instanceRef addsub_20)) - (portRef C0 (instanceRef addsub_20)) - (portRef D1 (instanceRef addsub_20)) - (portRef D0 (instanceRef addsub_20)) - (portRef C1 (instanceRef addsub_21)) - (portRef C0 (instanceRef addsub_21)) - (portRef D1 (instanceRef addsub_21)) - (portRef D0 (instanceRef addsub_21)) - (portRef C1 (instanceRef addsub_22)) - (portRef C0 (instanceRef addsub_22)) - (portRef D1 (instanceRef addsub_22)) - (portRef D0 (instanceRef addsub_22)) - (portRef C1 (instanceRef addsub_23)) - (portRef C0 (instanceRef addsub_23)) - (portRef D1 (instanceRef addsub_23)) - (portRef D0 (instanceRef addsub_23)) - (portRef C1 (instanceRef addsub_24)) - (portRef C0 (instanceRef addsub_24)) - (portRef D1 (instanceRef addsub_24)) - (portRef D0 (instanceRef addsub_24)) - (portRef C1 (instanceRef addsub_25)) - (portRef C0 (instanceRef addsub_25)) - (portRef D1 (instanceRef addsub_25)) - (portRef D0 (instanceRef addsub_25)) - (portRef C1 (instanceRef addsub_26)) - (portRef C0 (instanceRef addsub_26)) - (portRef D1 (instanceRef addsub_26)) - (portRef D0 (instanceRef addsub_26)) - (portRef C1 (instanceRef addsub_27)) - (portRef C0 (instanceRef addsub_27)) - (portRef D1 (instanceRef addsub_27)) - (portRef D0 (instanceRef addsub_27)) - (portRef C1 (instanceRef addsub_28)) - (portRef C0 (instanceRef addsub_28)) - (portRef D1 (instanceRef addsub_28)) - (portRef D0 (instanceRef addsub_28)) - (portRef C1 (instanceRef addsub_29)) - (portRef C0 (instanceRef addsub_29)) - (portRef D1 (instanceRef addsub_29)) - (portRef D0 (instanceRef addsub_29)) - (portRef C1 (instanceRef addsub_30)) - (portRef C0 (instanceRef addsub_30)) - (portRef D1 (instanceRef addsub_30)) - (portRef D0 (instanceRef addsub_30)) - (portRef C1 (instanceRef addsub_31)) - (portRef C0 (instanceRef addsub_31)) - (portRef D1 (instanceRef addsub_31)) - (portRef D0 (instanceRef addsub_31)) - (portRef C1 (instanceRef addsub_32)) - (portRef C0 (instanceRef addsub_32)) - (portRef D1 (instanceRef addsub_32)) - (portRef D0 (instanceRef addsub_32)))) - (net scuba_vlo - (joined - (portRef Z (instanceRef scuba_vlo_inst)) - (portRef B0 (instanceRef addsub_0)) - (portRef A0 (instanceRef addsub_0)) - (portRef B1 (instanceRef addsub_32)) - (portRef A1 (instanceRef addsub_32)))) - (net co31 - (joined - (portRef CIN (instanceRef addsub_32)) - (portRef COUT (instanceRef addsub_31)))) - (net sum63 - (joined - (portRef (member Result 0)) - (portRef Q (instanceRef FF_63)))) - (net sum62 - (joined - (portRef (member Result 1)) - (portRef Q (instanceRef FF_62)))) - (net sum61 - (joined - (portRef (member Result 2)) - (portRef Q (instanceRef FF_61)))) - (net sum60 - (joined - (portRef (member Result 3)) - (portRef Q (instanceRef FF_60)))) - (net sum59 - (joined - (portRef (member Result 4)) - (portRef Q (instanceRef FF_59)))) - (net sum58 - (joined - (portRef (member Result 5)) - (portRef Q (instanceRef FF_58)))) - (net sum57 - (joined - (portRef (member Result 6)) - (portRef Q (instanceRef FF_57)))) - (net sum56 - (joined - (portRef (member Result 7)) - (portRef Q (instanceRef FF_56)))) - (net sum55 - (joined - (portRef (member Result 8)) - (portRef Q (instanceRef FF_55)))) - (net sum54 - (joined - (portRef (member Result 9)) - (portRef Q (instanceRef FF_54)))) - (net sum53 - (joined - (portRef (member Result 10)) - (portRef Q (instanceRef FF_53)))) - (net sum52 - (joined - (portRef (member Result 11)) - (portRef Q (instanceRef FF_52)))) - (net sum51 - (joined - (portRef (member Result 12)) - (portRef Q (instanceRef FF_51)))) - (net sum50 - (joined - (portRef (member Result 13)) - (portRef Q (instanceRef FF_50)))) - (net sum49 - (joined - (portRef (member Result 14)) - (portRef Q (instanceRef FF_49)))) - (net sum48 - (joined - (portRef (member Result 15)) - (portRef Q (instanceRef FF_48)))) - (net sum47 - (joined - (portRef (member Result 16)) - (portRef Q (instanceRef FF_47)))) - (net sum46 - (joined - (portRef (member Result 17)) - (portRef Q (instanceRef FF_46)))) - (net sum45 - (joined - (portRef (member Result 18)) - (portRef Q (instanceRef FF_45)))) - (net sum44 - (joined - (portRef (member Result 19)) - (portRef Q (instanceRef FF_44)))) - (net sum43 - (joined - (portRef (member Result 20)) - (portRef Q (instanceRef FF_43)))) - (net sum42 - (joined - (portRef (member Result 21)) - (portRef Q (instanceRef FF_42)))) - (net sum41 - (joined - (portRef (member Result 22)) - (portRef Q (instanceRef FF_41)))) - (net sum40 - (joined - (portRef (member Result 23)) - (portRef Q (instanceRef FF_40)))) - (net sum39 - (joined - (portRef (member Result 24)) - (portRef Q (instanceRef FF_39)))) - (net sum38 - (joined - (portRef (member Result 25)) - (portRef Q (instanceRef FF_38)))) - (net sum37 - (joined - (portRef (member Result 26)) - (portRef Q (instanceRef FF_37)))) - (net sum36 - (joined - (portRef (member Result 27)) - (portRef Q (instanceRef FF_36)))) - (net sum35 - (joined - (portRef (member Result 28)) - (portRef Q (instanceRef FF_35)))) - (net sum34 - (joined - (portRef (member Result 29)) - (portRef Q (instanceRef FF_34)))) - (net sum33 - (joined - (portRef (member Result 30)) - (portRef Q (instanceRef FF_33)))) - (net sum32 - (joined - (portRef (member Result 31)) - (portRef Q (instanceRef FF_32)))) - (net sum31 - (joined - (portRef (member Result 32)) - (portRef Q (instanceRef FF_31)))) - (net sum30 - (joined - (portRef (member Result 33)) - (portRef Q (instanceRef FF_30)))) - (net sum29 - (joined - (portRef (member Result 34)) - (portRef Q (instanceRef FF_29)))) - (net sum28 - (joined - (portRef (member Result 35)) - (portRef Q (instanceRef FF_28)))) - (net sum27 - (joined - (portRef (member Result 36)) - (portRef Q (instanceRef FF_27)))) - (net sum26 - (joined - (portRef (member Result 37)) - (portRef Q (instanceRef FF_26)))) - (net sum25 - (joined - (portRef (member Result 38)) - (portRef Q (instanceRef FF_25)))) - (net sum24 - (joined - (portRef (member Result 39)) - (portRef Q (instanceRef FF_24)))) - (net sum23 - (joined - (portRef (member Result 40)) - (portRef Q (instanceRef FF_23)))) - (net sum22 - (joined - (portRef (member Result 41)) - (portRef Q (instanceRef FF_22)))) - (net sum21 - (joined - (portRef (member Result 42)) - (portRef Q (instanceRef FF_21)))) - (net sum20 - (joined - (portRef (member Result 43)) - (portRef Q (instanceRef FF_20)))) - (net sum19 - (joined - (portRef (member Result 44)) - (portRef Q (instanceRef FF_19)))) - (net sum18 - (joined - (portRef (member Result 45)) - (portRef Q (instanceRef FF_18)))) - (net sum17 - (joined - (portRef (member Result 46)) - (portRef Q (instanceRef FF_17)))) - (net sum16 - (joined - (portRef (member Result 47)) - (portRef Q (instanceRef FF_16)))) - (net sum15 - (joined - (portRef (member Result 48)) - (portRef Q (instanceRef FF_15)))) - (net sum14 - (joined - (portRef (member Result 49)) - (portRef Q (instanceRef FF_14)))) - (net sum13 - (joined - (portRef (member Result 50)) - (portRef Q (instanceRef FF_13)))) - (net sum12 - (joined - (portRef (member Result 51)) - (portRef Q (instanceRef FF_12)))) - (net sum11 - (joined - (portRef (member Result 52)) - (portRef Q (instanceRef FF_11)))) - (net sum10 - (joined - (portRef (member Result 53)) - (portRef Q (instanceRef FF_10)))) - (net sum9 - (joined - (portRef (member Result 54)) - (portRef Q (instanceRef FF_9)))) - (net sum8 - (joined - (portRef (member Result 55)) - (portRef Q (instanceRef FF_8)))) - (net sum7 - (joined - (portRef (member Result 56)) - (portRef Q (instanceRef FF_7)))) - (net sum6 - (joined - (portRef (member Result 57)) - (portRef Q (instanceRef FF_6)))) - (net sum5 - (joined - (portRef (member Result 58)) - (portRef Q (instanceRef FF_5)))) - (net sum4 - (joined - (portRef (member Result 59)) - (portRef Q (instanceRef FF_4)))) - (net sum3 - (joined - (portRef (member Result 60)) - (portRef Q (instanceRef FF_3)))) - (net sum2 - (joined - (portRef (member Result 61)) - (portRef Q (instanceRef FF_2)))) - (net sum1 - (joined - (portRef (member Result 62)) - (portRef Q (instanceRef FF_1)))) - (net sum0 - (joined - (portRef (member Result 63)) - (portRef Q (instanceRef FF_0)))) - (net clken - (joined - (portRef ClockEn) - (portRef SP (instanceRef FF_63)) - (portRef SP (instanceRef FF_62)) - (portRef SP (instanceRef FF_61)) - (portRef SP (instanceRef FF_60)) - (portRef SP (instanceRef FF_59)) - (portRef SP (instanceRef FF_58)) - (portRef SP (instanceRef FF_57)) - (portRef SP (instanceRef FF_56)) - (portRef SP (instanceRef FF_55)) - (portRef SP (instanceRef FF_54)) - (portRef SP (instanceRef FF_53)) - (portRef SP (instanceRef FF_52)) - (portRef SP (instanceRef FF_51)) - (portRef SP (instanceRef FF_50)) - (portRef SP (instanceRef FF_49)) - (portRef SP (instanceRef FF_48)) - (portRef SP (instanceRef FF_47)) - (portRef SP (instanceRef FF_46)) - (portRef SP (instanceRef FF_45)) - (portRef SP (instanceRef FF_44)) - (portRef SP (instanceRef FF_43)) - (portRef SP (instanceRef FF_42)) - (portRef SP (instanceRef FF_41)) - (portRef SP (instanceRef FF_40)) - (portRef SP (instanceRef FF_39)) - (portRef SP (instanceRef FF_38)) - (portRef SP (instanceRef FF_37)) - (portRef SP (instanceRef FF_36)) - (portRef SP (instanceRef FF_35)) - (portRef SP (instanceRef FF_34)) - (portRef SP (instanceRef FF_33)) - (portRef SP (instanceRef FF_32)) - (portRef SP (instanceRef FF_31)) - (portRef SP (instanceRef FF_30)) - (portRef SP (instanceRef FF_29)) - (portRef SP (instanceRef FF_28)) - (portRef SP (instanceRef FF_27)) - (portRef SP (instanceRef FF_26)) - (portRef SP (instanceRef FF_25)) - (portRef SP (instanceRef FF_24)) - (portRef SP (instanceRef FF_23)) - (portRef SP (instanceRef FF_22)) - (portRef SP (instanceRef FF_21)) - (portRef SP (instanceRef FF_20)) - (portRef SP (instanceRef FF_19)) - (portRef SP (instanceRef FF_18)) - (portRef SP (instanceRef FF_17)) - (portRef SP (instanceRef FF_16)) - (portRef SP (instanceRef FF_15)) - (portRef SP (instanceRef FF_14)) - (portRef SP (instanceRef FF_13)) - (portRef SP (instanceRef FF_12)) - (portRef SP (instanceRef FF_11)) - (portRef SP (instanceRef FF_10)) - (portRef SP (instanceRef FF_9)) - (portRef SP (instanceRef FF_8)) - (portRef SP (instanceRef FF_7)) - (portRef SP (instanceRef FF_6)) - (portRef SP (instanceRef FF_5)) - (portRef SP (instanceRef FF_4)) - (portRef SP (instanceRef FF_3)) - (portRef SP (instanceRef FF_2)) - (portRef SP (instanceRef FF_1)) - (portRef SP (instanceRef FF_0)))) - (net reset - (joined - (portRef Reset) - (portRef CD (instanceRef FF_63)) - (portRef CD (instanceRef FF_62)) - (portRef CD (instanceRef FF_61)) - (portRef CD (instanceRef FF_60)) - (portRef CD (instanceRef FF_59)) - (portRef CD (instanceRef FF_58)) - (portRef CD (instanceRef FF_57)) - (portRef CD (instanceRef FF_56)) - (portRef CD (instanceRef FF_55)) - (portRef CD (instanceRef FF_54)) - (portRef CD (instanceRef FF_53)) - (portRef CD (instanceRef FF_52)) - (portRef CD (instanceRef FF_51)) - (portRef CD (instanceRef FF_50)) - (portRef CD (instanceRef FF_49)) - (portRef CD (instanceRef FF_48)) - (portRef CD (instanceRef FF_47)) - (portRef CD (instanceRef FF_46)) - (portRef CD (instanceRef FF_45)) - (portRef CD (instanceRef FF_44)) - (portRef CD (instanceRef FF_43)) - (portRef CD (instanceRef FF_42)) - (portRef CD (instanceRef FF_41)) - (portRef CD (instanceRef FF_40)) - (portRef CD (instanceRef FF_39)) - (portRef CD (instanceRef FF_38)) - (portRef CD (instanceRef FF_37)) - (portRef CD (instanceRef FF_36)) - (portRef CD (instanceRef FF_35)) - (portRef CD (instanceRef FF_34)) - (portRef CD (instanceRef FF_33)) - (portRef CD (instanceRef FF_32)) - (portRef CD (instanceRef FF_31)) - (portRef CD (instanceRef FF_30)) - (portRef CD (instanceRef FF_29)) - (portRef CD (instanceRef FF_28)) - (portRef CD (instanceRef FF_27)) - (portRef CD (instanceRef FF_26)) - (portRef CD (instanceRef FF_25)) - (portRef CD (instanceRef FF_24)) - (portRef CD (instanceRef FF_23)) - (portRef CD (instanceRef FF_22)) - (portRef CD (instanceRef FF_21)) - (portRef CD (instanceRef FF_20)) - (portRef CD (instanceRef FF_19)) - (portRef CD (instanceRef FF_18)) - (portRef CD (instanceRef FF_17)) - (portRef CD (instanceRef FF_16)) - (portRef CD (instanceRef FF_15)) - (portRef CD (instanceRef FF_14)) - (portRef CD (instanceRef FF_13)) - (portRef CD (instanceRef FF_12)) - (portRef CD (instanceRef FF_11)) - (portRef CD (instanceRef FF_10)) - (portRef CD (instanceRef FF_9)) - (portRef CD (instanceRef FF_8)) - (portRef CD (instanceRef FF_7)) - (portRef CD (instanceRef FF_6)) - (portRef CD (instanceRef FF_5)) - (portRef CD (instanceRef FF_4)) - (portRef CD (instanceRef FF_3)) - (portRef CD (instanceRef FF_2)) - (portRef CD (instanceRef FF_1)) - (portRef CD (instanceRef FF_0)))) - (net clk - (joined - (portRef Clock) - (portRef CK (instanceRef FF_63)) - (portRef CK (instanceRef FF_62)) - (portRef CK (instanceRef FF_61)) - (portRef CK (instanceRef FF_60)) - (portRef CK (instanceRef FF_59)) - (portRef CK (instanceRef FF_58)) - (portRef CK (instanceRef FF_57)) - (portRef CK (instanceRef FF_56)) - (portRef CK (instanceRef FF_55)) - (portRef CK (instanceRef FF_54)) - (portRef CK (instanceRef FF_53)) - (portRef CK (instanceRef FF_52)) - (portRef CK (instanceRef FF_51)) - (portRef CK (instanceRef FF_50)) - (portRef CK (instanceRef FF_49)) - (portRef CK (instanceRef FF_48)) - (portRef CK (instanceRef FF_47)) - (portRef CK (instanceRef FF_46)) - (portRef CK (instanceRef FF_45)) - (portRef CK (instanceRef FF_44)) - (portRef CK (instanceRef FF_43)) - (portRef CK (instanceRef FF_42)) - (portRef CK (instanceRef FF_41)) - (portRef CK (instanceRef FF_40)) - (portRef CK (instanceRef FF_39)) - (portRef CK (instanceRef FF_38)) - (portRef CK (instanceRef FF_37)) - (portRef CK (instanceRef FF_36)) - (portRef CK (instanceRef FF_35)) - (portRef CK (instanceRef FF_34)) - (portRef CK (instanceRef FF_33)) - (portRef CK (instanceRef FF_32)) - (portRef CK (instanceRef FF_31)) - (portRef CK (instanceRef FF_30)) - (portRef CK (instanceRef FF_29)) - (portRef CK (instanceRef FF_28)) - (portRef CK (instanceRef FF_27)) - (portRef CK (instanceRef FF_26)) - (portRef CK (instanceRef FF_25)) - (portRef CK (instanceRef FF_24)) - (portRef CK (instanceRef FF_23)) - (portRef CK (instanceRef FF_22)) - (portRef CK (instanceRef FF_21)) - (portRef CK (instanceRef FF_20)) - (portRef CK (instanceRef FF_19)) - (portRef CK (instanceRef FF_18)) - (portRef CK (instanceRef FF_17)) - (portRef CK (instanceRef FF_16)) - (portRef CK (instanceRef FF_15)) - (portRef CK (instanceRef FF_14)) - (portRef CK (instanceRef FF_13)) - (portRef CK (instanceRef FF_12)) - (portRef CK (instanceRef FF_11)) - (portRef CK (instanceRef FF_10)) - (portRef CK (instanceRef FF_9)) - (portRef CK (instanceRef FF_8)) - (portRef CK (instanceRef FF_7)) - (portRef CK (instanceRef FF_6)) - (portRef CK (instanceRef FF_5)) - (portRef CK (instanceRef FF_4)) - (portRef CK (instanceRef FF_3)) - (portRef CK (instanceRef FF_2)) - (portRef CK (instanceRef FF_1)) - (portRef CK (instanceRef FF_0)))) - (net b63 - (joined - (portRef (member DataB 0)) - (portRef B0 (instanceRef addsub_32)))) - (net b62 - (joined - (portRef (member DataB 1)) - (portRef B1 (instanceRef addsub_31)))) - (net b61 - (joined - (portRef (member DataB 2)) - (portRef B0 (instanceRef addsub_31)))) - (net b60 - (joined - (portRef (member DataB 3)) - (portRef B1 (instanceRef addsub_30)))) - (net b59 - (joined - (portRef (member DataB 4)) - (portRef B0 (instanceRef addsub_30)))) - (net b58 - (joined - (portRef (member DataB 5)) - (portRef B1 (instanceRef addsub_29)))) - (net b57 - (joined - (portRef (member DataB 6)) - (portRef B0 (instanceRef addsub_29)))) - (net b56 - (joined - (portRef (member DataB 7)) - (portRef B1 (instanceRef addsub_28)))) - (net b55 - (joined - (portRef (member DataB 8)) - (portRef B0 (instanceRef addsub_28)))) - (net b54 - (joined - (portRef (member DataB 9)) - (portRef B1 (instanceRef addsub_27)))) - (net b53 - (joined - (portRef (member DataB 10)) - (portRef B0 (instanceRef addsub_27)))) - (net b52 - (joined - (portRef (member DataB 11)) - (portRef B1 (instanceRef addsub_26)))) - (net b51 - (joined - (portRef (member DataB 12)) - (portRef B0 (instanceRef addsub_26)))) - (net b50 - (joined - (portRef (member DataB 13)) - (portRef B1 (instanceRef addsub_25)))) - (net b49 - (joined - (portRef (member DataB 14)) - (portRef B0 (instanceRef addsub_25)))) - (net b48 - (joined - (portRef (member DataB 15)) - (portRef B1 (instanceRef addsub_24)))) - (net b47 - (joined - (portRef (member DataB 16)) - (portRef B0 (instanceRef addsub_24)))) - (net b46 - (joined - (portRef (member DataB 17)) - (portRef B1 (instanceRef addsub_23)))) - (net b45 - (joined - (portRef (member DataB 18)) - (portRef B0 (instanceRef addsub_23)))) - (net b44 - (joined - (portRef (member DataB 19)) - (portRef B1 (instanceRef addsub_22)))) - (net b43 - (joined - (portRef (member DataB 20)) - (portRef B0 (instanceRef addsub_22)))) - (net b42 - (joined - (portRef (member DataB 21)) - (portRef B1 (instanceRef addsub_21)))) - (net b41 - (joined - (portRef (member DataB 22)) - (portRef B0 (instanceRef addsub_21)))) - (net b40 - (joined - (portRef (member DataB 23)) - (portRef B1 (instanceRef addsub_20)))) - (net b39 - (joined - (portRef (member DataB 24)) - (portRef B0 (instanceRef addsub_20)))) - (net b38 - (joined - (portRef (member DataB 25)) - (portRef B1 (instanceRef addsub_19)))) - (net b37 - (joined - (portRef (member DataB 26)) - (portRef B0 (instanceRef addsub_19)))) - (net b36 - (joined - (portRef (member DataB 27)) - (portRef B1 (instanceRef addsub_18)))) - (net b35 - (joined - (portRef (member DataB 28)) - (portRef B0 (instanceRef addsub_18)))) - (net b34 - (joined - (portRef (member DataB 29)) - (portRef B1 (instanceRef addsub_17)))) - (net b33 - (joined - (portRef (member DataB 30)) - (portRef B0 (instanceRef addsub_17)))) - (net b32 - (joined - (portRef (member DataB 31)) - (portRef B1 (instanceRef addsub_16)))) - (net b31 - (joined - (portRef (member DataB 32)) - (portRef B0 (instanceRef addsub_16)))) - (net b30 - (joined - (portRef (member DataB 33)) - (portRef B1 (instanceRef addsub_15)))) - (net b29 - (joined - (portRef (member DataB 34)) - (portRef B0 (instanceRef addsub_15)))) - (net b28 - (joined - (portRef (member DataB 35)) - (portRef B1 (instanceRef addsub_14)))) - (net b27 - (joined - (portRef (member DataB 36)) - (portRef B0 (instanceRef addsub_14)))) - (net b26 - (joined - (portRef (member DataB 37)) - (portRef B1 (instanceRef addsub_13)))) - (net b25 - (joined - (portRef (member DataB 38)) - (portRef B0 (instanceRef addsub_13)))) - (net b24 - (joined - (portRef (member DataB 39)) - (portRef B1 (instanceRef addsub_12)))) - (net b23 - (joined - (portRef (member DataB 40)) - (portRef B0 (instanceRef addsub_12)))) - (net b22 - (joined - (portRef (member DataB 41)) - (portRef B1 (instanceRef addsub_11)))) - (net b21 - (joined - (portRef (member DataB 42)) - (portRef B0 (instanceRef addsub_11)))) - (net b20 - (joined - (portRef (member DataB 43)) - (portRef B1 (instanceRef addsub_10)))) - (net b19 - (joined - (portRef (member DataB 44)) - (portRef B0 (instanceRef addsub_10)))) - (net b18 - (joined - (portRef (member DataB 45)) - (portRef B1 (instanceRef addsub_9)))) - (net b17 - (joined - (portRef (member DataB 46)) - (portRef B0 (instanceRef addsub_9)))) - (net b16 - (joined - (portRef (member DataB 47)) - (portRef B1 (instanceRef addsub_8)))) - (net b15 - (joined - (portRef (member DataB 48)) - (portRef B0 (instanceRef addsub_8)))) - (net b14 - (joined - (portRef (member DataB 49)) - (portRef B1 (instanceRef addsub_7)))) - (net b13 - (joined - (portRef (member DataB 50)) - (portRef B0 (instanceRef addsub_7)))) - (net b12 - (joined - (portRef (member DataB 51)) - (portRef B1 (instanceRef addsub_6)))) - (net b11 - (joined - (portRef (member DataB 52)) - (portRef B0 (instanceRef addsub_6)))) - (net b10 - (joined - (portRef (member DataB 53)) - (portRef B1 (instanceRef addsub_5)))) - (net b9 - (joined - (portRef (member DataB 54)) - (portRef B0 (instanceRef addsub_5)))) - (net b8 - (joined - (portRef (member DataB 55)) - (portRef B1 (instanceRef addsub_4)))) - (net b7 - (joined - (portRef (member DataB 56)) - (portRef B0 (instanceRef addsub_4)))) - (net b6 - (joined - (portRef (member DataB 57)) - (portRef B1 (instanceRef addsub_3)))) - (net b5 - (joined - (portRef (member DataB 58)) - (portRef B0 (instanceRef addsub_3)))) - (net b4 - (joined - (portRef (member DataB 59)) - (portRef B1 (instanceRef addsub_2)))) - (net b3 - (joined - (portRef (member DataB 60)) - (portRef B0 (instanceRef addsub_2)))) - (net b2 - (joined - (portRef (member DataB 61)) - (portRef B1 (instanceRef addsub_1)))) - (net b1 - (joined - (portRef (member DataB 62)) - (portRef B0 (instanceRef addsub_1)))) - (net b0 - (joined - (portRef (member DataB 63)) - (portRef B1 (instanceRef addsub_0)))) - (net a63 - (joined - (portRef (member DataA 0)) - (portRef A0 (instanceRef addsub_32)))) - (net a62 - (joined - (portRef (member DataA 1)) - (portRef A1 (instanceRef addsub_31)))) - (net a61 - (joined - (portRef (member DataA 2)) - (portRef A0 (instanceRef addsub_31)))) - (net a60 - (joined - (portRef (member DataA 3)) - (portRef A1 (instanceRef addsub_30)))) - (net a59 - (joined - (portRef (member DataA 4)) - (portRef A0 (instanceRef addsub_30)))) - (net a58 - (joined - (portRef (member DataA 5)) - (portRef A1 (instanceRef addsub_29)))) - (net a57 - (joined - (portRef (member DataA 6)) - (portRef A0 (instanceRef addsub_29)))) - (net a56 - (joined - (portRef (member DataA 7)) - (portRef A1 (instanceRef addsub_28)))) - (net a55 - (joined - (portRef (member DataA 8)) - (portRef A0 (instanceRef addsub_28)))) - (net a54 - (joined - (portRef (member DataA 9)) - (portRef A1 (instanceRef addsub_27)))) - (net a53 - (joined - (portRef (member DataA 10)) - (portRef A0 (instanceRef addsub_27)))) - (net a52 - (joined - (portRef (member DataA 11)) - (portRef A1 (instanceRef addsub_26)))) - (net a51 - (joined - (portRef (member DataA 12)) - (portRef A0 (instanceRef addsub_26)))) - (net a50 - (joined - (portRef (member DataA 13)) - (portRef A1 (instanceRef addsub_25)))) - (net a49 - (joined - (portRef (member DataA 14)) - (portRef A0 (instanceRef addsub_25)))) - (net a48 - (joined - (portRef (member DataA 15)) - (portRef A1 (instanceRef addsub_24)))) - (net a47 - (joined - (portRef (member DataA 16)) - (portRef A0 (instanceRef addsub_24)))) - (net a46 - (joined - (portRef (member DataA 17)) - (portRef A1 (instanceRef addsub_23)))) - (net a45 - (joined - (portRef (member DataA 18)) - (portRef A0 (instanceRef addsub_23)))) - (net a44 - (joined - (portRef (member DataA 19)) - (portRef A1 (instanceRef addsub_22)))) - (net a43 - (joined - (portRef (member DataA 20)) - (portRef A0 (instanceRef addsub_22)))) - (net a42 - (joined - (portRef (member DataA 21)) - (portRef A1 (instanceRef addsub_21)))) - (net a41 - (joined - (portRef (member DataA 22)) - (portRef A0 (instanceRef addsub_21)))) - (net a40 - (joined - (portRef (member DataA 23)) - (portRef A1 (instanceRef addsub_20)))) - (net a39 - (joined - (portRef (member DataA 24)) - (portRef A0 (instanceRef addsub_20)))) - (net a38 - (joined - (portRef (member DataA 25)) - (portRef A1 (instanceRef addsub_19)))) - (net a37 - (joined - (portRef (member DataA 26)) - (portRef A0 (instanceRef addsub_19)))) - (net a36 - (joined - (portRef (member DataA 27)) - (portRef A1 (instanceRef addsub_18)))) - (net a35 - (joined - (portRef (member DataA 28)) - (portRef A0 (instanceRef addsub_18)))) - (net a34 - (joined - (portRef (member DataA 29)) - (portRef A1 (instanceRef addsub_17)))) - (net a33 - (joined - (portRef (member DataA 30)) - (portRef A0 (instanceRef addsub_17)))) - (net a32 - (joined - (portRef (member DataA 31)) - (portRef A1 (instanceRef addsub_16)))) - (net a31 - (joined - (portRef (member DataA 32)) - (portRef A0 (instanceRef addsub_16)))) - (net a30 - (joined - (portRef (member DataA 33)) - (portRef A1 (instanceRef addsub_15)))) - (net a29 - (joined - (portRef (member DataA 34)) - (portRef A0 (instanceRef addsub_15)))) - (net a28 - (joined - (portRef (member DataA 35)) - (portRef A1 (instanceRef addsub_14)))) - (net a27 - (joined - (portRef (member DataA 36)) - (portRef A0 (instanceRef addsub_14)))) - (net a26 - (joined - (portRef (member DataA 37)) - (portRef A1 (instanceRef addsub_13)))) - (net a25 - (joined - (portRef (member DataA 38)) - (portRef A0 (instanceRef addsub_13)))) - (net a24 - (joined - (portRef (member DataA 39)) - (portRef A1 (instanceRef addsub_12)))) - (net a23 - (joined - (portRef (member DataA 40)) - (portRef A0 (instanceRef addsub_12)))) - (net a22 - (joined - (portRef (member DataA 41)) - (portRef A1 (instanceRef addsub_11)))) - (net a21 - (joined - (portRef (member DataA 42)) - (portRef A0 (instanceRef addsub_11)))) - (net a20 - (joined - (portRef (member DataA 43)) - (portRef A1 (instanceRef addsub_10)))) - (net a19 - (joined - (portRef (member DataA 44)) - (portRef A0 (instanceRef addsub_10)))) - (net a18 - (joined - (portRef (member DataA 45)) - (portRef A1 (instanceRef addsub_9)))) - (net a17 - (joined - (portRef (member DataA 46)) - (portRef A0 (instanceRef addsub_9)))) - (net a16 - (joined - (portRef (member DataA 47)) - (portRef A1 (instanceRef addsub_8)))) - (net a15 - (joined - (portRef (member DataA 48)) - (portRef A0 (instanceRef addsub_8)))) - (net a14 - (joined - (portRef (member DataA 49)) - (portRef A1 (instanceRef addsub_7)))) - (net a13 - (joined - (portRef (member DataA 50)) - (portRef A0 (instanceRef addsub_7)))) - (net a12 - (joined - (portRef (member DataA 51)) - (portRef A1 (instanceRef addsub_6)))) - (net a11 - (joined - (portRef (member DataA 52)) - (portRef A0 (instanceRef addsub_6)))) - (net a10 - (joined - (portRef (member DataA 53)) - (portRef A1 (instanceRef addsub_5)))) - (net a9 - (joined - (portRef (member DataA 54)) - (portRef A0 (instanceRef addsub_5)))) - (net a8 - (joined - (portRef (member DataA 55)) - (portRef A1 (instanceRef addsub_4)))) - (net a7 - (joined - (portRef (member DataA 56)) - (portRef A0 (instanceRef addsub_4)))) - (net a6 - (joined - (portRef (member DataA 57)) - (portRef A1 (instanceRef addsub_3)))) - (net a5 - (joined - (portRef (member DataA 58)) - (portRef A0 (instanceRef addsub_3)))) - (net a4 - (joined - (portRef (member DataA 59)) - (portRef A1 (instanceRef addsub_2)))) - (net a3 - (joined - (portRef (member DataA 60)) - (portRef A0 (instanceRef addsub_2)))) - (net a2 - (joined - (portRef (member DataA 61)) - (portRef A1 (instanceRef addsub_1)))) - (net a1 - (joined - (portRef (member DataA 62)) - (portRef A0 (instanceRef addsub_1)))) - (net a0 - (joined - (portRef (member DataA 63)) - (portRef A1 (instanceRef addsub_0)))))))) - (design Adder_304 - (cellRef Adder_304 - (libraryRef ORCLIB))) -) diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304.fdc b/base/cores/ecp5/TDC/Adder_304/Adder_304.fdc deleted file mode 100644 index 6fbcac9..0000000 --- a/base/cores/ecp5/TDC/Adder_304/Adder_304.fdc +++ /dev/null @@ -1,2 +0,0 @@ -###==== Start Configuration - diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304.jhd b/base/cores/ecp5/TDC/Adder_304/Adder_304.jhd deleted file mode 100644 index e69de29..0000000 diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304.ldf b/base/cores/ecp5/TDC/Adder_304/Adder_304.ldf new file mode 100644 index 0000000..7616ddf --- /dev/null +++ b/base/cores/ecp5/TDC/Adder_304/Adder_304.ldf @@ -0,0 +1,14 @@ + + + + + + + + + + + + + + diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304.lpc b/base/cores/ecp5/TDC/Adder_304/Adder_304.lpc deleted file mode 100644 index 2f70eac..0000000 --- a/base/cores/ecp5/TDC/Adder_304/Adder_304.lpc +++ /dev/null @@ -1,39 +0,0 @@ -[Device] -Family=ecp5um -PartType=LFE5UM-85F -PartName=LFE5UM-85F-8MG285C -SpeedGrade=8 -Package=CSFBGA285 -OperatingCondition=COM -Status=C - -[IP] -VendorName=Lattice Semiconductor Corporation -CoreType=LPM -CoreStatus=Demo -CoreName=Adder -CoreRevision=3.4 -ModuleName=Adder_304 -SourceFormat=vhdl -ParameterFileVersion=1.0 -Date=03/20/2015 -Time=11:44:26 - -[Parameters] -Verilog=0 -VHDL=1 -EDIF=1 -Destination=Synplicity -Expression=BusA(0 to 7) -Order=Big Endian [MSB:LSB] -IO=0 -InputWidth=64 -Representation=Unsigned -UseCIport=0 -COport=None -OutReg=1 -Complex=0 -Stage=0 - -[Command] -cmd_line= -w -n Adder_304 -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type mgaddsub -width 64 -unsigned -output_reg -enable -pipeline 0 diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304.lpf b/base/cores/ecp5/TDC/Adder_304/Adder_304.lpf new file mode 100644 index 0000000..325063a --- /dev/null +++ b/base/cores/ecp5/TDC/Adder_304/Adder_304.lpf @@ -0,0 +1,2 @@ +BLOCK RESETPATHS; +BLOCK ASYNCPATHS; diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304.ngd b/base/cores/ecp5/TDC/Adder_304/Adder_304.ngd deleted file mode 100644 index 56e0784083a0433d14354b5e83b8ccdddc8b1199..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 167877 zcma%E36v8>6AmS(AVfh>K@7?*VD{ov2)8I;1Vjaqz%B}!Y_fm|f-s`ug%A-@Im3NJ zxNi)n+yoFr68*F5eW3Y0K=~E_sy-&O>E8F)_vY<%)z{V4-_&&G=;?|?6Uj_lMvjgB zRfM5WCU6f%49W>Oojw}`1~{->D*x%c!Osoz=CyV>MhzK0VwgYQ(P`KqpFcm((Xe@T z)4{_=HgaS&%Wmdu*3xN%+}?Jo)V*7WUhR85j^)RltlXt@&LcgVw#?~5B7;O7dv$K# zr}Mx*-FkHH-KTvIH!2==k)l)QzTG-@#^RO`6+1lAt$U|puwO0mzMXsZ?)FemL_g=S zpxgKA+PP2rULEhZfp=&!UD2^eCu%K1^7eUoLxvB`a%OW$xl-icBNeYyx{mrnq~Ok@ zF6s!XwuFT0*AVf8s^=VxIBMo>m%Y_&wlcFIFWW%Vk%w)NcN6ZwgMA)%Q-=;?!B%Q; zb}QVUWzhbJ=X_aIdYNfPVlguli=&y6irG~3o7$WlEEbsB=AY(3Ol>xK@j^;V-FqRWC6~vhwAAj{l$OXI zm(r4xFPhpcDvmd`S!8OoStLs@rb^`FIJ0Utb!fa<%pxBrm|zwV6H;38f=aeh6cAa| zKO?23hF&&{nT+KW7?m%jO4RI2CN_(BoS4#*kFS`;OmgZK6P!uJy_(WeZ(mJm$%{!T zEx9}?r6s1`VMXi!dHA}i%OIcLFl*vygg<)aq-dHj`X@&D3U6-PcoEEWKeWGswd? zO=Sjk=gpLsym%|6B@f?9X{kGtQ(7WwGSia(gngSSu|y8OovMhXDXDto?i5o=rKz$W zxigii&M>gJ-r5r-OE0Jtym~NJ+0iRhSH>aERsJ-{h5>fG< ziKO1mFm*)43{yuud?-uVbg14pOVq*#W{g*k>ue@Q%4@IGIdliRpjAnslo!g ziYZm!yo$*Ub0~Lz=rHO2I$lTi3azXw4n)a%h8aFQK62By#TQ`~G|np{71Xt&tU zATQSn5Iby}WPz%L1&n&OQ7DM3jY3XrY!>@j)T_+`#DZ~))SzOM*k{48MW8Y%7Pd+a z`L$JQ$nrL+A)2>I4f(QNYRLWVLc_-49YVv#&KH-QUB- z-e+-tKf>|Ci#*)NciAYu-(X_$^?(o)2@x)41L^^>PgM^J3^jC+%c*A{b8!xt`B=co zfkQ%0n8QL&>N19d}Xb~t}?%|N{F>@tpavb zzbhu8&U|N}sFdgoyX^h8n1s6XeK83+_ybl-)uOh(FD4h~bhPeINied5i zvlYWe%!|b^SNP$dpw zL*akH_Aa-5*yVxgAE{lC?_Oih-rf-vUF;{v-CO*{cGBP36%px=(j^c{YRZW3zdsgdCgAD<$N( zTb7GieE-Y$Y4FYiv5!5^0}iKwJnuRoM;G$06LNGRue6Y3&+|$PIk{6t$jOCrVjp+Q z3J7^~Jr~o(N?v&`rvWvujM%4U%ZYtzwt~P@v*pD;HCsVosM+hqJ~dlW?9;A*Q?r$5 zANt{5We%X<@w`f6pB%V>5Typu9<7z%Jytd!rDO z12=Lp?cT(8``+IT_p35U-|i3D;2^Ued8BveUIW{A@7BIIs%tT5uOTCz^N#G_Jgc>H zK*Q{ojchRXW;|(2)$P-{SC4Kz+jl2JgpBldtH-Zg1kC z2JMzAhHgdZ>V_hxmh)~?+9hw?s=?+Z=#;rrG zkWGXvnq#TakRqqiKpJ&Fs1(E+;&2OjU{GHFtgHbxFe>Vm*{x8ScaPc>>r7)+p2?2M zT^1K)@KR?S8aeBI9o14cqrQ%&28+2nH8t2Vxl5y9hxbm6DR!{xY7~s(x*Aig;|*0s z7VAeXje>bqPorSbSkFLB@slu%aadndgY~?DM#1{gKx2xL*ifTjl+@QKSkD`2+Te#= zQ-hAIQ>~D}I^I;RkjX4H(kPg>cdMo{S;z0z)Lc6}Hr{j3+rQaL8D~uQB*tn{`r~VW(c1fy$NZLt14H>W+I7dL1#d zqIL=XP)|O60xN1L%^|bfSLpr8p@XsMI*-0)HY!iNj5?iJ>dC3fdJb}ky`{@T`kF-0 z%vbd_smasx?AnUi)a0K23B8iBH_oM3QXDJ|8G0pAyKGiiuOxz2KU0E|c%Qe=rLRd{ z36&6D*+kI#aZYW`Eb?~ij~=~}h5G<~&1|A}$JwC1ChE5AaO-u%!OHq2G)dGhwf+`a z&gLv4Xl}iz-YjvjJU66OW>7be+^MgbNo~&U?aXj6Gr(3!8O5%O> zb4k6Dx^n1Vk5-vM)UJESu2p6dK@q3EO>*{wr%SL(94tH@(pt?RXZKY!^h%0@O&_usaFzvpWGJED~X`f6;!kMuwwU`h`tU{b9iV}t0dj~r4xz{Z!qUo zb1P!H2W^g08{lszy$P7rM{%@2`++)8S9OwZ>0`Gz6|3~zHCij8$myx`{ZR0d{ z(9K={+EqN>2AydfRpodmfA)2UD#vbZyyQ{j^!(_}5hzakZOp;$zV?EON0wK12q|!y zF0k(nw<4!URnc}1MNZF*cDfB!j`o**5K-kw&%W0}svNuf{$DCR^ek!39*>Gg8g^-p zZZ>xJ)Xs#8x51=4oQgOL-Q0F5pvv*6AHO%L%8{N~);nzR%R`eAK5i3M@pO}WoyHD! zcVa1x9J{r@c0{p5&(BY9h$`|-w0~exK$WBab4EK4ODp+()RW$w}MCWM{B8Y zdTKrKic5jhv$NC7RWtN>diLR@iboov=T$j9B;9S(*uiL6UtZ%6(sp9BN41aLTJboF z(@qM6FDr^FVtU$k>U*_WdYV1|OO2e4dZTcLb7OvIFMMLXUCt8ShBK2L0J^Ga`Tp!lRxxE8rLaL5_U<(JKJk4qR z-Qu<0+-4}sEc&H9wNX`MlMj32st-AI@lZI#p_syZG~UkIgC0fMoZ5-*4XO&dXeb=4 zsgWVf73N0NT27&yTSYZV7chkz?MkH_QRm!cO|R$>E`MKRj6%KeDMPi^Qka|7E2%1p zy?sAv%uxsxKAli&$ap9m=2Df-MO)iCpf2tBvfItVXF@uK2tTi;R<rY0Hd^kzuu#jEr-?sY>=>^>|0S zQj5j(rhrB+oFApBBjbJVIj35Y4GGU{EXuGs_j^*U#iC<$RHqP*yr5}IM#tGNG$!d# zer$KBCh1U~+KlqFc*-U+mh=y*3U*?R)hdK{>SbYnG{=>PZZTAoENsTRbqZl}LReMG zuvzg|NL8}1dC9I*2$K^7s#1o{qJT?LX0e0$a#U5w5Lk9U>e6^*UE8AiA%9>#F7Hw6 zu+ipKr>c-)bF8jgRnie#RA8tI8iwY-l~ffh&?W_S3PI9qnp!f@7L3)j#iB#Ku^j;X9f-GB^v>rycz>WjyUvpYHX~ zWMMNVth&L%X1b;=^Ve&JQ>`V#=A=!dq}bhUXxd`&r1?e02UX&Le2`SzlJT_cNz|pC zk(n%PW(IW%VRDwnq$GKBOs&Ztr4)wjib;H|!%cdBiYQ9KFwEM$dK`LW=T-3Me%fIv0GKJcv@)J zDMWYGTfhDpGM?5<);Pk#W^q(CX@<>Gr>c};v#LWxRnkxBz5UUg14tC^e|Bg*#N|q@n$d zWt|}-2jZJ-MDLd9AtSjbZ!=1aUY)zzV8*ivY{T_1e!t^gW~b&l4H`M9gLps9-gUJ= zsV(B})^lKoM|yYb^cX#iGc2N>?R(&-HDjv>vGOE#<2HU-2=%Uq9_fSFmW1ul6K8ac zU*^C^c-?Ss!mtPmT@Q62J?_~`_3YYdV5eRk2li;+`$4>wz7dVt_Vw&Auv<@@|3PM2 z87QgKt!KABcn>?FdIU?Z?!9~AehnAy@9W;TV~>Y=v&o3Pu^*-Cn;$?;xS0XEJv5Mi z1T=vjZacL1RMB(LJeOG_lP(FQhmU#fO&val$m?M0@S%a%7eyucPqU)) zx=JLQaJE_^=?QFJds(7MXL31;4>%@R7D*Hs#!hl<{(&3fpVcc_U(SG+?_9X9SAX6mr;j4aTj zJ?}FTNE67s&zd@N>{(Msj(N=z@#i;@q%sTSm(MJbC&SEYgWlYH((m1z7?1y@_2-aq^9|OAsv5ml3y}W ziJTlR>(Ns-??_o9;B&G-PrSUNq)D2|>n$)#)cA8|i5z?0tVgaqZ|cbAC{ss`^Sd{6 zC4<}?ZR)TvMph%u7}B`9bjomN(U9kzz>wC#F^6UwcwbnkJs5TMJ9p~VWnlNt?P=WUg7%A#wlq7#J5Fh*IZZ|IzM#ly-h_9Y(mYL$@Qzi) z&1rgq_eI4Dn|kJbQN`2P@13B?bC{QgVuwv5^BO9i(eaXsXMVn<;%TaeccO}?nHt`S zDxR_PmLg~3R}^tJqv2J>JeyeM9k1e<`!6f_97Yen=d>RD9A^I&6;Iy3s+wmkOj6)% zR-<>4if8P$`Z)*A{uo3Znz0%w!B zyl*P_EJnjyDxP(BvMOip&k3fVL0OE3Id(cWQD-CFd?sUU zo?@QO(DKex%`i6kU5S-_Hc!wSQt^xiejQ#6&$_!%#k1}%QvG4wU8Ld}or_gGqhXnX z&)|4f&iq`W*kMz=y!_6?>OY&|%Ni#yk>p8k^JMp|DUCgGM(p}1@H=#=W8d&C% zWYdk_Ckq2Q9h<2Xb%%92_BemX){s_*CeOYd(W$7lP5rd3(T(MspF4E5=q7Q_!)~35 zy^}vUBdOKVP1wn24XuvurZ(-;dXht4&TN^`)uWrskFp(F74_iLXxMt&SpM&sK+4N3^^OldW%}la+W^q`nqZ4Jvx` zddE(EJ$CZNv^spehI5Sc<1|&2{fr9Hg0+dmx|klgto+53F3!PDo&wbfe!OM5g%BeyJ&QSRQ*6)^p}8(c zx@md>v*Bb^5#u4-(cYzqk&^wEJ9OD3cJlCIhk{0?W_49Q_`WUeFb~ z(@oPepW~09w0NY^!=KfSBZ?R)39m^gV(iV{-&~3qDVbH;qlmGS$N2OD>v5;GWj?+~ zahv9G9eodN6nBuG^{l_!P{io>qVg&lkJ*uTBkAZYWa`XkK}C%3%o-_DdI%Nm?@0&K z1KfRcBZ?R)dF*LJ5o6!i)KP5HbEQbVkb=hJetx7XMyA$17CO?549q}ERyQ*gF?RCM zk)R^ROZVSAiWIwkL>-S%T6Ci$4V;>-6-lP6%*k4_HwMym^z*hgq*S3F(xRJ;bhLbZ zRa7;Ru9B}dKkej9SJ4$h(akPZ%-@4-DoQ9S`V}nP>sI9Q-OtDSl%BB5$VgK~p2L1M zH%8KJu^YDo>qCm1t_J20aiz=I&D_F=J&K%uc?44e~`JQO)--aqDM!ficY?5{PbQ` zM@MtpMY~dmx>|TlZAbQXb32z(hpwv%kE?CSSCfS&ok|_~I&q#qtmx<(t}q(Z$a!Dq zoKo$vBYrBW)R8ZX3(u(bk4Mqb7*Kf5t&t0RpN18k90ekqRj+9nDE!Q>)RB>MCaT(F5u)6o_s^CQ zQW#h5$w=6i=Th3DBe(RvkRoT}&F2A)ocHy7wS=N$k@H1VBNzN6oQh6H$cb+h9Xm<# z)MjY-DlAl+kpWe7Ybd=L8SfvjRa>FhDg06=7hZoARLsd?f8FiwbRC-nb7GV|U7khk z94#MGkqaNxaSyrSx}E=o((AEL$c|N2?NJ!4Jg4B+IMbQFQFr=Xa+@ z&g&HZ5ma>Yh%Z(yhx@a+M;}iQD|Og)L3y_#rz84t>4+j{W6@>RFBwrA2Dy|vETaBY z{gn|__*YV?BhTKDa^KpY&6O+spX#$bKD!>XEB4s0)!$)_T=02SwI?Iw;GK#+_Ic#) zdm`!f*cW;W&!}>Ws9GKcF3-__70=8`VaNV8lxpnCw6sej=e;Q^6H;_?4B50GsOZ?m zX*m=Z4|sF-)S2%CijE?oNFCeoxsLGRdexph;v27c6nk`pwm+@bp-3#MsN!XW?6bTm z<@ehCGuR1SDWbF?Bc!OZL($2xVqGQWXwykDbD&BA$&0F}&B(whs*SA_r&OxEE9oe( zS2!KG*Z2x&mD#da#LnoQN74SF;FbnA54GO-N^=qSwXRiS_sd#z~ zxKKqRw|1#=YIc`uhIzkRg|pu7R`HCTk5oAehL2P` zEEpmRK9e1lJqn(`!;h$Pc69fsc35}kEBFlN|6WDTy1P#`!`$4f%2{{!sb-k@{i>YV z->=$X^c+y|Y&{$A0R_)r`5#pA>|8&n;u$?3t9Ux64>(hJ@o1MuWBNt*9#*R`S5r?O z|QdFr#vv>7#ym}pVJ-pPRtH-`c zo6|(!2cl*E6K-uiR4uyG(5k5GyM74kbXj!twx@1)tydq})V+CoL%MqSU;QNUqb=Iq>3$w~%E%oTJyYv+w zCA2!CbJjNzt&X~W_|33ZN51U+PgJX;`=^~(^!2FgM_L5Z>(Lya)3+wl#WYf}FI$e( zb!&BWp3l7M(&{K0X5Zn_>DVj$<8LZ%u=cRpys)9GhF=!Z2Zwqc9f9}n*XxMMS=YI= zHi@l64`^Ls@0{0dOX}*eJGWDyr-g!V_Z4kDb~ifrVQqWt)&H(0+CGrU^-tQhuFz5V z^s-(@lSMi^< zMrm=k>3fIcV?&ANez03TJaswe}@;W1$a zjlEfzVJKpxWX3^-a4U(u{ZO#qO^ES(X05aYZV9SRs7cPjdV19N3*1PVx(lzNrxiFzR#`gN*B|s_)q~# zi}#(r13CI;QV}ClE0=~9G4^KO7MCK%(cDnFPOsv(E>=v_tN0BsCem$WU~e`qLuoPp z*sJ)Xsdu4r*vU!R9z~4DX1HntyMAa!G#!l6 zqOnGr)O;0xxVj@6fi&TtXF#=ZWK8=_>h1b6!JFx{7`Zizb9ru`s4w z$Iy=l*Bx$Pq*r6l+Ga0tq|4cJwzdC7aq&aO?ui!O>`s@n*XJMJr{MX$P?>~+r(f72 zM?@nR{kYSi=;VXUqPq-5Cm%n)*9lc=KGXHx(Yg^uPAQibIz!RX z!C(A8<>0ed^hNbmynO9e)F7d;#aUS2!lCF`04P@){c*yBV6JgUsUsgN0Ct2FQJi({Hjka^t`={k1zKT~-q(x1)JFM7z4jz>A? zVb@!#Eu^&Q6|7O59@(2BcSKRi2-%T(>o0!!Xq6BQ-dvYbhsKs|r2N zH)Fn`FM3tcnH~?sTE#s!U&ZfPpn66TvZIc|40{k!uF~2-(@&m0piM1UYzK<%e$0KPeqb z$7j;mdhR|$k+Vn}n$XBaCYY!MGq+quowQTA5m?wU#t9((uNs10aO)_P_)H;`$)Z>U|*6H6?l|7W`qonC^{J- zX9uV{qGw$ZIlE!ro{@ z(aA_yHaDT@C=!dt=;Ykq`pT~KI;_g;4pq!+c#i~8W#E_b-lKNh%k6}BR^DT@yRZ}9 z-0VIZ!rf9Gz5d*1JLmI-OcwFSGEBxL-s4mOn1rea%~EGfURTK9w+kD*-9rB2kI955 zC#Y7Pj%=}#3G6LvhmN>JiT5Pgj&#J;NXB*z;I6AzGj@Vmol_#j(vrDqp(hG$BK_Nh$C-qUuf-GQo{VzoPT2Kn@t)Y6kwK+8?Yloh zAck*Gt)1b!RP{^{5v7`Ex9--{{YanImJbL}`3d1jiQJI3`06y?v!w3e9NoNm`}TxZ zO^J&KT-kMY6tOO9{HFx&;a}M{*v%?@-*#PPZ0ILeU@6SXT}OGFi9OuGCkVKR4DPg+ zJFN`B)=9#4*zyXP2%0C0&S-sp23jfw-g6GoTqWLp7+WR;1$Ba)F&JCcfCBLF0|8^p zc_5HL9R(qbE$`wb;tIxAa6q8Yh6jh0uD9_L9W@wR+0Bs@$1t`^fR$Kiz*u__kpW;~ z1!HfF;^yIrhhS_~J0cxC_Yh2&5rVPR9K=8=n{+q7YJgnkLVno5_pF`Vkuo~;;M;?; zvWAo~CmD{-210|Pi@s-E)J=(F?lW0sx0JEYGg*0;l(CL6S(Sj4(OH1LgsF={#_}nF zZ3?iVP@+H z6xc%KODS7fVa)q;kPLF6FzMT!B(p+BBLs4bqr=t}ul)eNh?828N*WNr_YoRtC1rWp z2FZ52sYSveLwx-uDu_QoF`e$n295=E5{jKOcrI{Bu#{Xii8F@qj3-akKm*8xJikhP z&odtbb%a=9dC9^13v#ptn|Ry6hP=rTmI6G7GkE`-z)}Ko_cGmY4hU>@W6E$e4Bp?d z-qr|Dunpcn5V_6C^Miu-G9tHycye*@{)x!#cAljiynltTWI*l?X7dWx+n(f^(ZTyS zB6oOrW_0lWgUB6G%<#_IyNbx2E}mN*d^QJ`!aTJz_)1~DT@Iem6?}lmT|u7G8hoV@ zx!cB*M}w~nB6kOPx>xX(4Phz4(@ul09M=2D&9hE}uL2@JituEx;JY4?csyBNZ17b? zWF*A1SA*{c2L$kpV>yk%R~hT=F?il<@Kr(No+M9u4Za%@x!1#U)q?LPMDC69%S5m70Nth3;2gvcXdo(dg& zcO&wsgJ(hqUlT+g4f4#l;AzKOA($d7<~6*y|Yfp zeSslq+&ddWBr83L$a8j{a2R~u5qZu)B(u`ffu$tmKF^R3VZBd1JR33i+=%=%3b~`0 zt`{QDyAa9h^+x3RFi(68zCIxceCB{$dSC;-N3h;!L9EA+k0SDdjpsfF-(!fp5P)1i z(>;#J3kj^pO8p%Wh`I56XI7rTda(%Pj%2z4h>Sb2#B>7@84vN~%HZ=L@^d?mFAO;- zge3!8V93E(@AD-3$x3;M{K5md!&zwvBEN{@h{8%wA@V;ip70rbLmgNOBhp4a8iw@} z4xW!1=$DT!406{o9sd5(r2xwf&3*yt2cG4o2cOrCx&+T14?Z7`yG3rER~~$RtoL;i za^GQA0*L&^!}G&~uK1XJaawx#v$?tH_sLiz84YsLxg9N2j6%^ z{^;Zh;=wl|ilq?hnAb01y`StnT|D?EBJwAL=c5PT%ZU6b$rHqb?^Q%z^zh{I;G5*e zQk18Q2j6R0?`IcJGY`Hu5czYMCyxi;n~40yftlYK6K^5%mmtse4!+4z2qbMh4?Os$ zV7+93XMP9YR755dJYzigf{6UDn`eFp-!w%2H-aVBhj-l&_|?htz=Ll(*84StR#pzx#oLw=~r9VqLj-@c( z2t;`vbnxv(E7!SrvT^Y3cVa1wNao9d02GvV@GRrtJBY~AL7smce1{NO#>TUbgYPgR z%LI5TbMPGrV=2M2jf3wf)+_7gdC0+c9Fb)sJo`BKP9U4#(L!qOe4-bJA=sbNuE?3d}k3^!NXIDgYO(7D?~AmIYWLLhJx!|JheFZ z&SSmn!{{~BT|i_-2hS-Ez8E4a2C>B2izBjZzleTT>@ zNuFdKeBUF|?t$D-nH3zb3hYtHJ!eo9{Dk#xbV2TUR=SADY6&bcP-g-ND%4?uITe=a?{exMl=7xehZCGOUZVqB80J)b~sd^Mk z37!}o@^7(2!Ch{igBtR0#d>!|u*8tJA+oNM=cI=GT8OM0!g@@1J0k1Zd0uMBuN}ma z!82AvKKfr!FUj*%Lw+4Z*7u-})w>gs^`ks*HRRug$ObNK!Jyuvy@Cc|o|qc)>tnr! z4lJ>H4G`Hd$P-mVej`LSvSEqo8Y8k%fG4ho{JVo#O7IleklzIBp`InLhWuuTY#hN7 zt9K6~?{@NJ){yT+p2rVp*7^UM`VVZr?H0oj)=^N@Wj`U-wBbKPM*pd@;e8y z6yiy)A-@aO%d+#-){uWcBC`yhJR9=6Au=n;^IJpy1BlG_@NDOh-`$R-D9>OG`8}{+ zj*I8EhWwt0%n9?1){yT;WOE14ferZ&BeHprXSIg>UO^~mVdMF(A-^})YZ2gCt|9*s zM7BuqJlc@o7m+R9Jk>SiKZ?kf5zPC}ID6a<1+ARGlDR{EKdjdZkKb?X81+YFYdgj~ zD-A$oYlCM<;_=6s9b=4M%PgbMSVmD&0CIEdYrz%9U1r3e+KM*I1T*mV%Q z-3GB*L6%-csLsCb*d27-3b8vJ5UU*q%7!9Tm}qUo2d9rgtd0v}jwn#J7NNpiXrmT+ zJ3{O(55(?F0wp{VDomf&Z}YM;5W_(zhQkPDC=n`5iFRMVM`}QB z&jK8?Vy-A|9-s^$LWP;u@>>?5!465>43?ops4y8?lficng;-}h#5#q5l6(ji=1<$} zdGcw9b#+3lO9Uw4iBMsxv@cfGL2)-X#PIHwvTFzxW_$bSgRjuwg8|$yE(0#9!VGA) zuUhjw#CimAbFf?)q827i`{bDZ$oS#ss_4QvI(KW zq-nc<+IJ7c1|@I{umlxCg^AEku4-BzVtF=*;n_VO=PSy#76q~w{e2_Eo^n8JNEj$> zgixJc?tW~_Wem2VE{HuHWyu_b3Nxtn8{MKc#Gdg$Y*-Q~0fbOtvb0;8--b+h4czQN z`5L6b4bhqla*809AHvNElv_in)|Yo2niRVMVu1*5ZlD|rLWSAXZmRwMEf5=?z%76e zDkD;biPL)8_cVmqb2f;L46@7yq88?6i}!5$7{s1;Kx|YPC>w%MVV<-j-yMDfVq;to z8y#f{41@|(t9ARc(MJ#)>w(w{NuZ<$LWNn>?g~9V9bzvUxY>bn6bKcjy?bD5y`~VG z5W>v~lutsaFgIE$M}@@@dntmOnlT zAogkyX6$iDgP5G{*cVeDhuG^5h`kmD%4;ADVgj{lWgB3Z-*iFjjVR0SCRCV$^Wd~5 z_d#s32V!p}S*|mo!fcxDhZf~PY>I)K9Vk7TP+>;R!Hx<^hy_EqIU!QtB~_S)a#x3u z?I1QSf}0yCU6-i!+6$if_Z2{KER=JcvPw|4PKWoCN6^5EEmLPMuC!Hh+3FRu5smOCO~YC2V%36Eb)O* zVcNKO%aI>LY@UG|KFz0>d=PsgfE)fIH@8t~33hG?zA&KIfe>4cQM)Vzw7QQlf(x=v zUG+J#z0wJ>6%n?goKWG4ZsWb9uZP%bH^f#YfY#ZOwI+DhG~Cn@Vrv7q;S}B>E~&x= z-`=Yikk;$1su^9 zE(&+n{pBHuZAs#0z?O{>slpZDF7|6t0I}_Mh;74Px{Kz4h&Hib+`3{8#CAF%wj%@fynhmyD% zK+C-d6)q8HwC+&{Vn^)|I}!p~heoJy3BSgtuP%jH)B~|&5uim~gbEjbJJ9$%4yvaO z-0VPWw+Iz37q{Vyek~yONeDM5(1JHYg=@q0fj^PMbI8a!H(QBCsBrDM>mIl?2x8|G zxCMX~ToEc>$dSYfPWjo&CS+55w&n}x=pLr^@G^=3EToe%Z>;YE+Y5Fni0tS zPd14C7zA2fM5u7txvQrud=Ig!PKf;z0a{>1sBn$BNQXvCAZ~L*?B4`i6GW(RCC2i> zSMwnb0o-urUscmXTqX`eJ5gLZh?@gw70B9Kxp~CgzHYSRa z5U*r|c*P*lY8^s_tJL)={)JFQ>V=jKJ@+=mYZ|!OftCOfDqLo6LEe+qAbxuYHz&|) z7D9!~*uC~mlbaxZM+7%F&=M3vg$v7_%AT|X;&l?Z1%TGZ5Gq`XF6YWSqaj|`2JyRs zKnqO>)tS%jVNcu15U=lmc)c*tdJ;l~>jGB)WXK&;yE^mXXDj)C@Br%(xH9B z-~I{l77mCv4+E_&Cu-sPq3fq#ybI#3To7*=1zHkLsBkULN_Y1A2jXo!5O19XTK`O_ za0SbK;We*8{5}IWJ6qsNsBqoKZT1I0fOxwQZcd=plZ5KbXTb|I-|PzU_7U9hlvrSw zDqJ=2bT9jR5Sx!D?0hHC+9aaZp(DYXyC-gh_=6bS4;nxVUkDX0xc87Fb1}qw+9BQ} z#J;tXsuqEqjSt)oakmrV4@KZ;nS`u`pFuTpwtF1nz1$FgH~}=#UDP`53jK8jRzbW^ z05_cef3sVv@GGeqw!u9i-ZzMw188cxsD)o)y}z;Qrx1TEjGK$i8y714E^6fUe?AKF zeo@>!KvT_y3csW>wjbFJ@h6hF89=kcg$h5LO7zTfLwuke;!lQvrtS+Beh9YZi=T!= ze2^33o(P-MEmZiO)RgXHr$9UxYYtAZY2ZSIUslb$(sdxjhXioLh05wDr9u3R>f`#) zO^5i?LEIcblgdRc{7mcAk`Ko~d{`Ja7n_JJRQNg7q=pxVK>XP#ZXTdX)k1|IVAX1J z_CbjIlDHW_bEkz0zpHXw|L~U(57;5@4*`9uAXNC>+Z{u@wTAd`C&UXPY-Y1i;TKcy z+png-`D3l)A)H8%X^Y>1Bz;)eagsntS- zA8fU$_6wdwFNAS(0nJhtD*Ui2_Un!)#K+O*0h*{SRQM^@_E9@KKzw`>Hv?$Su~6Z6 zSHC!h_ks9Jc8D7xplQlNh2M-V+xQ}m^DjFgJ~6`P{R$O+QgyMY<64Nn>W26$2{u(& zsPH4K{l_*{fcR?x-0;iie>~D4esQ&}!;BFSeAgaQ-)dFcys!x3QnU0aiXz65&;{`Wc!rDS z?uYmx55zxCvT2y27Jj((*XX(JAb!Na%?>nkQmF7Nt%7IP4~6)#5N=MONu5H4UxCdy z{rLchpF$5$xPj(J3Kf2?Rqw=u%OL)V9pYy~>^m~qR$E8QK*iS~e$EN;vk~^`l~CdL zw#zf^zeD`I8{(fPfIij|D*Wj7KXo6#n7t~Ma<(9}* zL5wchK)k)wkqQfKq-9>1Q+;>Z`m z61h!>Y`nbF-Qu{9i%R4sUF(f@jyutq+Z>;i$n830!|(EwnH>3&T_ShrT5mAF*9{do z@@1z)ZqwPUe<<4bWsZE+Es;BP$a;b4iFO?MT0kOq>RPY6xNo1IIP#64M6T5#@A}&D zeGiU&D=d+_b;vunj@q8Xk#9#$q|VPe&)U8n&XH4-61huPC*7W|zp1bgkd9 zucT1?+WpcemnN*TEAt$ z&Wcqya-m%!_v&occ%V{!G1e`1O5}c>&FX`uZC}7`E_F-fKAp{*%N{BF14k|oNaTJU zvRaiXKZ^KV8I;I_y4I^ETOKOIZLSVWY2c}VBy4fhny|B54z z7$#C@v(kq*CB$#>v5-U_*4eCh->8c;Hws%nW%m8B&gS(!Usx(e+E47#<|-YsLgQ7d z#JPFSDUmC6$nxtujNHmwKkt^v)jB`Ro!(pZEJt1lNaTDSvg|$mKAXak@t{O*(zRaZ zwn*FWIP#0IL~hq1OCNaQ`ad}`5tYbYI^=a_e|~m0M;0X|a<2{vPdPU~#gSjzCGv<4 zS!(_`-&l_P)+v!62Q?#utpYTk&XM1{C32kGM6OI-e}44J)(;sH-w88#_I%J!s-rD@i3g0J~NS&Xp z+tq*UR&H}sR3cx}AzK~2{=dUGa!XPoC+J#lc`Q1IU$NlJ2Z@}hv)Q6d+rOJ|n>(En z`I63N^XH$q<6n;4?Uu-iI%H0pYWIH1k&%EzPSCZU-S|=m;K;o}iF{dSGi%w%cN%i! z{;-ME+06WNXg5BF;A;(u#3!Ee_b3%h8CMsSdY0Qfl$6LxI-5@8mEEHCqjrgWRcG^_ z?WG&gyDfY`AN5G&BptHZ>R`2r9C_N1$X9i(H+|~Li{EqPCn1S^O=q*os!`KL%%6*x zNS)2QXYc%x|03Y~6N!9Xhiv?l@$?}=(CZY5d_~uKqtDB3>&20uJ0$WA9kOAW8AV+< z@;@$#d{ftYgH7Yd{mPMr9*KNiXR|(ZO1{gHUm6nmrVd$e+mWXCa^%+`iF`}fdfm+F zgWGcCw-JdPuS4FoJFoFoj{H6$k#p>tsJnAjvt8vll3hBmF=S3qgRIkJXp@Z`dDSUx zPS&;V7~AZde1^oAJQ6uq*ZLj3e`xp-M?%0v>RPXTCAs|@jw~IN$dJzF?Ms#|eVQXH zBqVa4&StHLdUhPbk(KBl>-#*N&6@9)ZbP$B@%O#5gZ|S<8`9alZDo@HGe)nE1TwF) zq2cq^KOEtGgrK)c0_k0-vw2IeUMoU`pqESZpITq2^Rq_N&3)b{1ifpb|Abtmvsrys z=C=kR=+zVbXGopRn_oJ8oS$*{CQ2d~>yXvD?RJSPmfJ%TxkT4`)jtpZagh-8p2|e( zY~J)w+j=b8=(UwZF4ZA#yfZXfL>+t7!g{n=*Sfvp+)tFF4MJM>8;kTzd5p5NFrD0Y*ySkr8)mC z#`j|qxm;)S`gP^kts?}zE|bU?qMG=u@NJXp`f((?L1lct;MO3^KjE%c#E~r=(k4C~ zP=DjfJ(|4y3P-kb2_$7OSCM7^9{d@<3c^=y0_lB4*Ls-)-McslL2usZKSlBzI%Mfv zuk5Z$2zn7m{|Wh)&d=-atyH5GA?Upv{U_vtpvF)5vcr>W2|=&v=szJ>=#ZtRF8NtJ zvwAQok<08Fo3^v9o#HCJr(GhCvps4Dql&k~rmfIEO$BCR0d8-b2-)s5T@lhJ*bxPzdy4LUg zDz78|g}QLrkjNT3n{8V6e9y}eIO|g)YwB#a{$ov@aUA)TOCoR6*=+T}L3U|?eXq)x z!`TLTIJ&7UwQT-matpJCQ$(fBYC2?#Zm(qS;mBJe5?Nd4XY(U3RE~1wZ3&6IU1u|A zbmFT~9Ca>5BkyoXB-T-Tl=Z(g;rbj|$0d<>=xkV zA{{!L8S~12_ZdUrTvUmyrL*bW*<|_{j%*N;$T~XYJ-df0rcE8PY0I6pI&);(uteUeYrV<#-$&fQkuH9$u=TriHt${+4lm@$4oPX#p|ja| z`s)uo&yk(&5?NPgvk@#f@-0Vpb(%<>&4z87b#K6t-P{t1$3Q*q4gOhHW;sVb7%-8# z*6a7XG>Sh%!wItzi6NuftoK9h2JAAN=FduGEgiD%-J`!g!CQYgDv=Fze%^K7)~*Ie z_D-5eoy|K7>ONnABm3GVvZ2mqod(IK4|C*WP7|rK>8Lod#%PZ0=a$GuI^-RL8+Od) z$VL%~tgmam_LTgY-*DtW6WLg2^Y($ux((*YK?(LBqwa2<&0077dgJ39nMeQF$Z)sL zX3Z<57w}OLXZ1>C6CLul{?WgeF$7NXmB>cA)^GIV-2V31lE5kxg|rZ$28jp$bFbd|`=frbAZqPG2a_ z;^zp+_{`9?UbTDu&$lxK&L);fr_Sb0x2+mkog>G%Br-#Xys=`X`uA|;SdT{W@}-DGX6cYOy<7{A0W<-Nd#nkX6cX>x4zz---Y2UW{Jcus(rt{-}Ut_G6YU)mPi~bRAhzYgBM)j z$jKgwtgdUleDKd_Dsto$Ln3e1*(}#3G<+&Y2162gn+{nv8NI0kM^1}KnF(_T zJjIdI6B2os&d<`DzB;;}Bj2ZgjL*h8R$T=Q~%+a-O+u-r^=E!*lN8%9H!nnp|S-#HOL1R@}@Mjw7%d#{N@Re?= zqFtET0zX75g%i0?;>Zu)A8`$yQc1Bai}!mf8Dd!$e>T=DOpq_BWm)Lk{F%)ITxeaE zrA1W8$S_{Zk_Scq)M%!7j1e z7jBX*QqwLZWGOb5)PJ)oV*^`}N|Oz2OUMKpz99Hp1W8sh8@S%gH7(iG`gI!)O1ARg zZy8BrV`g7mY5^xnbJx8;+O2G~bXnLK_dwFhM(Z#wr@(!dOZNDN;OwWq9xk-R2AUPJ z6dPe%xgR+jEnQM+vVm<$BiRPUhTjhU)?t#B$VR(sWn*C_*I#I;0{GkD!TTwJ`*H!J zaSs@5`3eymt=(2OaN=s}O!41mjg2YWowS?;TC&E*-~^ySF0`;Qv$b2uQfw4l`hE>( zqm4%@O*XJCX@s*8a09kTvJ%<2?^@544X+PAgodsMe>+@K zmtke2eF#hPOqsIBP0ML;pUv196vBXZaG`|_G%I8&HvX5)s?XVQ8B&>IqkTxo#F__4Q2$Lfx{}U}jgwJYPKEny#>RjI_&c#f zSd0xc%Yha)+K(I4h_lfpB9$pNx+a87urbmOQ%*)nRw5hiua%AKoBaL-8oB}e_j}UW z=o(;4>F@(N<&eY5MmOre$;N{=D;wY1XgL}3&k`H`F*dpexX=eetDO( z(JdjBDK;J?5n2|%=d^guly8}=L^kfbRyM-@uA{5J%HYRY^uq4^Y4S!FE zkcrqBg=fmoE|QhVMu%%1H_A2pVmunE0{)(%bT)c~*@8?WHogg3*>F?;B^y&N75h!O zjAzRCbo$N()~H03grmCVMq z#>N-n9hcBL{$@WMNoOM)BmT3XaNXb5u(HwHWnshL$Acv~ZcMci8v*XK85@saY~T$K z{uLWH(5#T9*yy(4rH?opyn-~;>z5QS7xc$@IPu;*{Fc^zE6;UmT{vu#s+`zQ;dx%mpvS4Ia8kX zhM(tbn3rp**ub_#1;NG(L6}-0NwN~zXn(C|N^Q^6Pokll!2cMYoyD%c{Eyn%l4qQa zskUNlJWli6&w9R zLMGT4XNRd(nc))I=ya{Iacg$bYiPYH_;JurW22vwEedYAYi#_tXK#8cRvr9#Mmih0LALy&I8$y4 zS=o4s`ftVtev)SSO=*F#@ssGJ$;JbCrsM|6>0+bk)SE&=mSUsBs6WT^*kF&MsDfn_ zecB-^h}d|^4pUpWNmddY-lwj05#EfVo<1~G1N={i(%E<_%oeH?Y}AZc*%(IsH`#c` zWo5$^pydR~Kg(}QSB#CP!dz$_MQcWcEX79aY`ch!VGgNG#l|x(Arov4VeAX&+5 zxUcc9?fStl(_Pyw;D08P&c?7PTf|eaQ8!^_!%O`)+3X$>8N54UL*CSG z4l5hO4GSCo5g{uZPdI2fP42T98y!3t&?HM6H@Z25EEOB~J~>{*#&AO_O*XJCArov& za>LXo93(4=4e!uvjg1$7u8wzjwwmA{=}2S4Kf=it%@u6)D)tNe9Q9wuhJRGV%El0k z4SpYG88_NtY>aS{)5S;8UV=7DY}}fh*M`T&b0JZ|GK!9h2$^8xH5@mFxJXta8&6;J zDEdLOt!Stg_@8&BvoXre78Dk2^bcc??efZeH1*$PV@$%zM!tuZQzic_Y_!Eubd;M5 zt!GMq0kp7jWMlhNoQ=^DQNhB-n1nQvZ6E`*cJkTY6crkyk7>#!~-HHeR$@*?8Wd@)juY{g;qB5qC%EBQ*c?g2RR#K z6H=KvQ(hzy3oy>cn|P)?Z;-4cHuAb&>(y7Qx}7edq1xbo(MV@wT#zkGE!b#I{WoJ{ z0`=cy!*E#HFhaDPF8OC+qcz6HI3cvM(LBL{me?qJ=-G0djR~Zqo?BwWa0r<=Q{KWe z#R!qCBsRRyUhBB=ZWq^8G;{~}u@A*ZQU8Q6Tfm#M;m2pw7B(hQ|4lYtc3IhY17jme z?pfJr=>Rmyg%&o@tdON*W9-a1D>)kz9a5Q!jh9_QCfJx9g{f~CBrB1PXRh^3+5gE6 z7ts*9`f?S^PODa=rO!5esU}Fl78`DB0E0K+7ua%98tDZQ7hU$QSQX-v=SCecR zc)`Z=b}JjNQ~ymiFd8h^8?z#`oHY4oVIvy}e^vIJn`HN}&)YfBa;6NvDR7jt@w!JU zQ*7`!z_UlNF%{30SrNjO$i_3*dZzs8oLhi~?gamvcy<=M-tgmSO-tkpHVmhgjkl=( zCL5DORyGzTXgO{2&%#C~#>N}6=N2{$CkI;C_@(wJ2WR6gLn>2jOb!W|U?YfU%Ay3x zN@T-(t+BCjO$BoCF7UtYNM~cRlO+=nY#=w5Gi3_(U$QZEbgiNr3i&acr!(=71ajmh@;!uLvs0;p}D~*k* zv)nAvf?#7&z{w*7WPZ}HkY1pfy@+tAuH4ZBq z)2aV5HvBVeRyHCS8^4CQ&n6p9FgB*io?BvLje`R%XG(76tCx9fOixH>_ZHfG?NayU$~ z64}VVRyJ0g`1=#}y*lEOY(%KXCL6P;WU?{aWo6@Zl+p>1e-<_xVr+cC zoG#8r#KD0UHbVFN#r4K4Q<-98wo6nHY`l+W%IPS{N@n9)&y?R@>D&bkH3a|cNIDy{ zuvdrW{Eey8iv6a{rT&|2%=1{;h$ShV0{7WGQyO4w%wkR#XJeX^11)Tf`1#*Vex}TI zNo9(Sc^)AXYW08SS5Ra*Q_!sFTGvoT*-vHYg^7Z{>~VB^CmO#LcK zvJ%wjm04$6Ku@FapPi=WF@i@xK=iXHmSD* z4c!g?C60797CTvzCBepNkClz3)PIwWWf3bImyyLkT;!jH4F|@?VkZ|`Vq@xQkC3I< zs4{fkADoS)A*oEUu`D8Ff{odDrd(z;m(0esjvHl;v@pCWK6| zF((SxBFRc*qu^TE7bJ0Da zY?|xZ4E$@2G&ZJQ!h6vJ3E}$Geqy4}$7BQB5;DO?$PL&c$x33wJK|bn{lTcDwPz`s6} z#)f}gm?eDUu@S6L?8)sIN zY;1H$Wr~eWE+G?a%nw4aW`blTvN8NxN6~HL-Br;LK1e(or68UF2Q)-03zcI>%RyJ;O3t5VdN=5zO=4@z>7>X% z3met`PuhRLNm1ng|M>7Wf}9Q#41l(Z2qs3)99z^AFpYxY2-+&f1Gd+I2zs`Po*38` zGp05pf{rNa322L`7=Q)??DXtzi=c-DMfKe__xJzl{W6bxoXl&g-u3L) ztEwmLOc&0LH>yI^-RNvfO}Vk|(}}kWH{P=NLFL8_M{3fIXK#;oK)<;L5d>>%BE7FWulCTV}_#x+^p*yo$iXr=rWYThx@ZoFNHH=h)4 z)VvhvZuoTki5u_wx*I0Wjh}tu)7)rhK+W3)A!=@5S*a;EmKg_36K?n(Kd9Vz*O!`f z@fVyMb8I1MbHm-Q zBsJy6(b13cQ{oRIeo(nVFOOpTq#G~bN;%Ua?N8mP&FaQ)Bik*&LWe-jTqo_uhi<&R zt90X#W-H}mI{w5B{1ilcy)nq4?evIGb7LEv8z1sK5GCoeFZYl@G}PwCd2e-kO3aOq z>9Kg?C^tSaq$b^%j4Nf3L)xFZac$P~#%)_3(S(H#g_=*iv>P9n;*D^n8^<=A8}sP+ z*$sDSL3iWg0&OQqe3~1rEvWgJ=Yi(Nv8<`N(e2D*#|k&*8KR2z9u~)vnsj3du9S;o z?N8kpnRRa5@#6spWA($JX1dS*u zZk+7i(Lv0O&tw&CZs1t5gLDHwp?9w>koKo;jLf=H9&EGS5m@L5sQDt7cH?u*_-+0W z(;e>VZhT3{pSZD@9!uV*I7Qk{Q!poPY>sEq&+|gm&!WRUsVO(+A2a(0;l`H^Kd9!$ zV(uW_DB((Riq!5;-58a1ZY(`z+WA=MNT^w2q}^DI8P76!ZjAJGH|pv56F18ASmMSw zo3;}rKJC2G3g^b+IKt-7up@oZP&lnE|rf9l3jS>5>ek_S)6LPtYQqm_1}0W+S# zpAy&1Z*~@KqT^58_$sHn@d)<&-5@^Y2JI7H(_jlxa|6ptO}X(>m)GTQmzpAeP`N>G ztEe*nJ>}H^+(+WVf9^)s&o^G!rR@?dehk!n<)qz+Fyk2(&y5@D`1Aan@--cQ;>I#V zcjFoC_j{a{=EiThQX(m8Zn!r_^5B#kpH_Qy6LaHhdW?=-`~JorBqZk+Vy565HmW1(i5mv-ap64-Cy*&u#8bjQ*0CvJR0$Dg?It*N^)75i-@WPNV@ zf^*~Rk`Q$_#>s}-d1J(+MU#aa-x#uk=Ek?C)TA4)<4Tzt7yeT>PRQ!U?xR}2h1E@{ z`PNUn@lAkkqpH|i&m8@6EP=agYTOKQ@MHv+&BN&7Q5hFzZZ8Fu1B3wmRrmsOGQ5B*-Hy$A=UJMKPm*WGUIY7QLUuE%w zi5obU)TA5#&I67}+Ml{nlhuvJ>wD1R>1HRfPIi4buM9 zjjOY|5%ykjC>A;%YJMpXWx+PrmNPo8*R1e3~0S;M}Md zgs8hAmOPy(lesZ<@+D)08$WuogLdBd$>$;mIq1Of87|bnX^{4(Zj8$8#@<`)goRFk znzd%yjh~9>hRtqFYv#r}I{w6s^^xudzGABtf8o&F!0A)-lbkTR8`Da3AkEy^eZc)7 z+*s%HgKBQ9kEAB&#w=VZvkcPy%#DkFbaGqCs|qY|@gIlhVCZ2E{^JP#$KehP`yx+D z{Er-r{@L0LF6y6$%g&1)zbv>C%by4}_-i(?e%ivYg?SvYX1$HqlgQyc7w^@-Q4;s& z#rNo&8~D6D>{Du4|1P$qd!NPkW*6hFbB8U8@4Z)uH?kfU#Qo;vMaxg($L3Vydp9=t zROS5uGs(e?Z^SJ>DBJP zzrrY0=u0t575dj0CB`g6UzWo+{3eIKED|r{Md-_YyyuVyTIlB$(U?Gp9Qu$v)YA!4 ztU|HUw<)3ddDcYeZEzyczeGC~`r;yMD)g@`)>P>4d910>mzu1J&?&gD4XMe{@eM`& zvWPSlI=4yp}WsuTok2vPG3@h+QU6Qy@%MZE8Y{Q_mvo>3VkI;sX||cQDV$8^wl1I=H+te zYaH>uUWATMkNBAfd7yVsLJhB z=qpKzPvuGIXaH*}^pz27B6JFFl`l0JI)1`Xzs4a=h5kE+{=FqN5&CezzNIZfQKOOp-Jcd``&{q{PJiI4D|IXDyub-RKL;os(+QYC~>}UOQ?AHbF ziO|<#lq&Rf7^Mn*Jw}N!%g{HN__1%qq5o`%5BfytzvSpcQ1Um zzY16rp>JuU`9;=5=vx$UbtRe#{X3W2snFMYtREuFCF@Z5{6gg(AQNl zJiI4DUt7>a59G;Stn`&O)E*jf=xeZFXS^pu--uDF(Eq?FlhDg}loYcFy_}2iZ-fOI zdbyP^KKYMBFN25oZ1O-0{cIPFDG8B7KdT7!D`i7|PT#Bw_3NtKPJ|96oG^)|LSI|p zb}ICZCTlA6pvamE{SSjR5jqBkhbT1}`sN1Up^>IS$G_6x0ZL7V-iG={LZi?(ZKeM< ze%$j|>lCmJClz|RRT0CpIrKkV3=i*#(0>o~(Ce$>o(O$i9{c4u^q;98-iuu;Z-r4N zp_jMDC{^gKF-nYChTg`(Ka)Eg`ZkvMQ=kaFtx10-B@eXF`v+)XVt$M=;!K++%@ zhu+GD`afLO;?RfxffM29L{p*v9&o!PbUXysROt0p){@Zi;8+u(Q*f<4smajMj`B7Z zX)1L5vu(MpDK#1Twy3pngodFvZQ4rj=bdvP);bmJ?p8YVHdUxS#Fbu^@u{$OXS^3X zQr^neL;u>hu$$Kz8fGy z?-{RS!-HW>g#NpYt1Hox(D5+2oeI63!Vg(}dFvwf!)mdgpB#wb+k28X_jhcV+DI1&1;4tU-y1<$Wy$eYQh|kMd1%^{_T_UN;(6__TckxJ5 zE4>|tzN;fO8G0w|+tn8uhW_KGh3<5ExdCgP4)%dYI`mx%P#u@p(WT z)hUBFtL*D~KKQACnh29NAKffe38G29ZTNN6Hju#Etl$GAv zJh}tc8UXg`PCE4SEDX=)&jEJDA$NI%ym544qjY#t4?gvg;E9YFa^gEbNQ zDjUj!J=VlZUs=EvmuM>Vff2V;p%0DCZytL0L{p((9B^L|It6!0Noq3my$mQ{W|O8u z?_ojt@}ksa==*t4zSI>Oo!&Ps^w%C8K+oxCfqj;h4*jwm)b?^Y^h-<(58H^9KGe}e zFJM3_^g%xMGkB$+kNvi*a_A#uOA&evMyW!#F-nYChF)91tCAjF> zD)btg`-;#hIJ+n{8TvjL`jvUoROtI+=vP*yCPO~}`{F{1+tEtjwCD7(6Yu#JOPvk& zATu3$tp~OHmpJsA2*YzY^bv+0dOvxx7tiSzTi6e)#eSAAqkee~eH2DX(?f)Q9Y(1_ zzYe3sm}Tgr1H7P*$DxlYiHnwq(64v#UPK;fp&uTgF`f{4r61-(`C^MT5&E|_lxrf^ zMCi+_IAIb^g+9XIb}IByvH8tcdOwrfsnD;B!=!d1bPDdeI84-J==(=d9#f*eD)a(| ze!VL-8T!Gf;SP{-I}Clzrmgg0pa1u7Sn3?GFEG-fk1?Tkzkox(&Vkx(Jr4a^Uk|;1 zB<_jOYjW7n;?S?8egzKwCX7;reltd?Lcay0#F%C1V{N>qRh2`(wJ5G)BtpNfKv#Go z546w^Euw*@5IOWi@)$5l@#+_$FDs$>4r?OxuWejiiKaro*5`IA^qXSyn}^;%;&v+Z zn@g;T&?&fET&c;>55&-KEs~}}KgfXcZ3U^x&=1GHV{M^P=$p3EU;l38AgpyR*q7pz zZ2mrdYy`FYRyp)r457fsC^w39n*w5k6ucv-R4*gDyQiXmOMyW!-8>7US zW$5GccmYI{Lmyuimo*ci*9CabArG|B@pGi|*hq*R`d^Ds9_6tnLSI@y^9|NS=us6{ zSE8xVZ?d?Z3jNO5{N|w#ak!ld{jNAnwbCiLyW=p`N{EE2gA#A=y&;0+ZNlzj+F1r>7ie0=%L?iLhU{t zhkh&dvpMwpF-jHs{TQVR{Q-;;W0s*mSi)<7x*YmLuDH&j2>oH3t^r9NXrUjNM`Iiz za_EHs$~T*=iO`#DDBtC?CPHs4;EGE$75bgjEIv!9(C?4UZyx%k2Dek8-(O`-taJ+Q z0SV2g_ai(gKjcz775b48lpnUGCPP2YfbxSSp<(FXZQ4qIYT$xNSZg5ISNiGDA2Kk! z0*C&9h2iBm^!q$LblcZMzcYf`y|G&CXSojhZR2w2k7JZ7^d~S%6}pE}V$3r1CyRI) z+=xSesvs_WDnfrcPZxzH546znHD~!DDRSuh7omJ-BpS*C8D6QP`4(#;^st1hE74Tw z_j%k-h5mSKe)G_6pWCU>pNPX$E1iP#;xN@pKL$g8sz7~J=*ME{Pv@m3Lq8GwK3NnR zhQ4CcR(j9%kN*d24FVfa%Bj=)lRk!5ht6 zz3<~f`F@8rvC_*nnom;PPK3UsimNNpROpYJ+)jl)DK@`(=%X!er$T?Bz?xX;6x`&z z)Z}w|ZwtyV2BfLbkH^rbl%ys@?}OUps?cbqZ(8VoKkaHd*uh}`(@BT^qJ`nv9Qq3$ zhG%l<&qsRbV{>}wPZ-z_eHSbJDeTue;Lu;eC{^gwFiI8rs~9E5EJJ_I#ccx|4t=^U zE{!fif4xYTjVBMZm5%eX{Gug94!vg{%1;=qiO?69p!|Zznh5<%8&_PSsnDN~xSb09 zmDv2|p^v3z@g!2APm9B(b|Q2N?$tO<)MV&?!_cSO)K`Umk_YA2i&B%JpMrf~bA?7L zebY|w>v!%zp$`Fjtd$OZdJbxPxE%VcCWeP?Vn@oaIC|)JdwS@TeC%g%=u@!Y)>RID z21cnupNUbb&}U(k7_$uh?E>y25^(76SwhTacD zehnft%h<)EK2n|F3cGE(C?DdfcV6F4OzQat1{6O2U_TR=Fu2Wh`iGG2%tR8Voij;$cFOFh&2)V!U9g1L{p*9Fu0ux{r%Yd z=Al1mayu3J2XUBcrBiSp#9^wHewqR0xh3kWLOiw2rPg+`%o+H?BCJBHkWwJfk7$fZMH7(wlxRSrEcpms~2L!Xa5C_M4m`(#HC z{e2JnIUM>&)UU{)FTp5P==B(-3cZX`V$3r1Fppag`W$*#72B(c&?^CLJx4b!^j!lq zCK4itzKaXx_dV7`=<{qe-(XFI{z(;ASE8xV=Ud!Pg}x*`l> zvm5dp`f?Xzj`;NcjZJ$|k_TGoU5jXpBSa3pOCHJ#Ox8r`AD5t9@3SUC|H#G_muM<< z`hC4POQ_J7#^yH<{WXKzsnC~JSregCa9;;flcE0|LtpNara~WNK=~V6YBF>S`z|X9 zjh@ptE%b$RXEk803&F-WXsNes%MA>#z@dL_VR$(X{VPuoeU`6>z9fR$J+NBrXSss? zHg`Gnl^CT8eHBKjLSKzhV$3r1HAUR2+2YW@FNh6T#Y(T{X>(fgKns2601Y&R$f564 zgz}PzH4*yU0-A5JCPK%T69~$h3jHgOH5K~G*!ZvKtC7KF-rOEA7=<8zh zn}`0P#qCt+>kF)j&?&eLd8x_JFYuuJb3mF3{lW;!zm%jVL%+o>3!2e|Mkax z_rzL5!Jg`*L;u;r@N5o!y@%nM9QxWw4}D=y4}Fz^{n*d)_t*~t4t*m=sY3q)qf9~% za~LJYEJ6=kx%ks)ejyivEw*TnLk~AA()RV_ffjm47Y($8$f0*ALV1VnhO1r z2=D-|8$0==9j-d5F!TvhvVC(}b17 zRyvv{tQ@vN*MyZd2PO5OMX399IQ2Fh;WD`X1Pf{o_INafI&4LrMTL57(hxO)T63_W zwUeoztsjy^J=EoPEkhl!?bwU4=jAZ|HM};%VLn$;s1wh&SWHldt<>=)>S3nVPM}`j zuc~Ph^@tK{Ekm8XOTV7j^9mUMmJc;YMqEXqUf<7QF+rVpL{ZZu>XDYF3DlaS15FdC z?-8?bY`z z!=A%od{l&*6HTt7p`MVqkf4tDBW|cr|K@9&L_I0eG>N((V6A1SZ*AQ2dF(j?#(!Ia znm!g+(NIsaSyZTV4Na4-_Kh@6qMpnWos%UhzDbj}mZ9$1`>qeLV-1X7Q-zw79j>CG z_Dcd&s0%D^s9ZgjCBl-Zrx`3N)HNn+Ekm6%_R&wUrw!wO_Mql8kE>{?r29q4JAMAcsnw&dl?Un~En zr+}-Wt}CJrGPttl>c9ev3bnPPY0}lfo~B9EA-<+b)GkTAmbv=hwSRj7t6c+i-3{6( zo-1ppLy9aWsB&v}(v_>{`&v7RYDJnRQTI&hwG6dm?_F=fY9pbp7rJ_Z#g#Qw%Vse_ zmHV!fu23(Gw0078C`;5%qVE6y>gs&+?^y0ysKc*6)eLo#(&{z9MJ|g8s@xQvbcK2e zHzQ4=UTUzYP!IiobanXFr#E1=QBZfBN&BC3WzE$~N-QeW&c3F}xq7*wX%bbvhft`d z&Fxw?0p`5ji(Uj?2X*}{+MJxLXsDM5EGpD)k)}!1VWy@@)Zvz<$qCTcWvykX6So{P z6MK$^x&ipodU(WDG}K{L78UA#zNSgk8cWjzYTcQprb$%wRM9fj@f!zTfYru89scwL zxAj&xKCjl9iKbBd8Z4qFQLl6~O}cuOr)d&(u)$i(P-hfIUV}Zahq_A;_3EUG=IT`i zF0D|{3^YxmUgK$+L>=jCnnb*zV)-u!^y0jaIJ^u-HqY!m;Qbj|(&gRkzb!ebz5_L?ZX%h7YmbCNg zwGN9dLxr{R+n*bu?k1bIlIAKJs`yqpJ)lqziMSzZ67@!wwDYQCutnz1g7qbi;Pi)mzM15Sm0C=dji? z)K;CYJrR4}1aYgpp z_QmR!On`AZmUPuAa6{$losOnS)Vn-Qlc>)`thEgFm+G&FV9&8o_cA`Q-feId&DFbf zEQ#6-U%EQpO|Gm^&1pzd8nz1QN(3RUi-OAjd2mke&GQ16R0O`<-) zQr!0-hfY?$%VNt=PrvK7+1T@6Q1`J%Tjp{V4fXz{v_gF&X{S&h(lv?tu)!kr6{sJT zSZf*T+zUILj6H9My3Y{xVUMe5s1GHj6{>G=LxuW?u1VBKO|6|oT@Tp}-9l>f@%SNz^ASO_QkNTOFjg4E4$_Pgsea?tr@G=;{*@ zRS>u2Wc7HJr36*(-AcMbebUm}Nz|ttO_Qk0l0$D9>dcS#I~1$k33d3VWZb4z-4fKN z@+>B(bsw3UCQnw+IGQF=pY=3NqOML3y=AB~tQXp0wYzW+(unp@<;vOwc(%ZzLS1BO znnZox(=>@X$=5WAx*<9AmZ3g9^swu(+TGwH2X1i6l{M5!MHUrm=xCZmo$PCxM13*R zG=b{ol0$D9>RS_^8;I4$gWCq5Sf^NASwnr%W>KLoOB$jkQKv>)JAo><2_>ES9^~Ls za$84qpKdKf9o^-!@3B)Ixa|@3Wrr$=8_!j_nJ0+~^$%Y((wEgMEKxh@>NJBzg}S}Z zTFX$|?K0-C*c11K=z^%zJg%a-`bvq#1Xb>|NjhrM)z=KIokX2(YMOMlbHrN9P@{v| zpN>86!A&Wvw5cXn(NJFtSX8Ln7d1_yzF}&bM19lJG>N(=OD&uLGfrz9iCrh)j**D^ zW|Wjs9|XNoWl^Dawlz(nzGZ2eM4jPinnc~-V6A1Y_8mO^SnPQ(xQFFwJ4$uqxhgl1 zqz4jIxq+nABih zQ04B9q@yNLeNSsAQQ!47O`@6xYb`^abLEd0W6%4+?T4<;Ho1z1s{VSHpvtWmsj)(R z&*#plNz^%!rb*PkCTlH2J?5bft+3|<;Px-jE{j}6L!G0~OYts1?u$r`73v2OcUGu# zS)z6l^-PP!mZ4tPearu1&j-OBT%;`!xr&DRq01r-*B+bjriG*{)Q`CtY0}kC3>FpY zAcwVYSU>T&iiY}eiA9BatggwqI?vGB2~@d}An6MA;sUp88S3Rj zCl0`#4})8SsPlcUqEO{_fAoMt9hB#Ws7cho)HLbpLQB)+1Q?O@Z5irS8@nHX)h2>F z3Q-qETv?&Yz4+(>g*w#dh6?pFOVcFk=Z>aH)N2c@wG8#cJ9?dmJs$!0CX=?^Q@7;2 z`g!7lLLKgLLxuXKqiGU#vEELiIz?{RGSmgVulNCbJ__y~h`Pk!Dw?Z{6BiWfNS_-j z)Ot_TBx+f2CsD`Q+^%J)mzKvhV9&?Ez1N}*>$r-BT25S0sC@!%s8B1urb*O>NYf{(NG%_7ZOyt{~I+{s7(=fMoprA#gcXgcqFOUGSnYG z-TiVb_XN1lqN`syNonq)_RTZgEqn-x!)EUH#V7G>JMjsn;^pgWue76jpl@>R&Ku zlQgcZO#rd289ks-Cm7sNp{_7BO`?8hX_`QlyP1*RGSpW_-q;a4J%u}fxwL~BRS>tt z)fH8i6zU@^X%k?T#Ui#7sB)_@(y4De0pwO=q_qrn-s^Ylj-8&y?YR(j4Hb|#MwJ_a zk(!{&4Z)-)pRK-k=sw*NRJjkB)FkSw2HmGy%TQ13F=21)^bGEug{VImR6*PrRqobB zqC!>k4K<1SqbEA*s6Y9dCQ;usSZf*T^|#$Z)t<$Ttq^ss$yKzu`csibg*vUIX%cmv zuW53wu8%ZLqRui{Bh*aaNPcebbuVG3=Wr*ch_=S!0=ZeimzDn=01gZzRhtsaOl*dULR~xKZCv;=XgLnkM(yc|Xv# zH(VO`gA!}WHsZn{VFTWNirQv&?2ei9=^XStZnRRReWj?gxbb(mH*dj-1IPiiD-+J%nuiXthO~O5Z@b?3o8C*ckF}cYRJwQhz=GX$88Q=lD<)Ge7~<$y)ySbbjCgky_~x+WZZ&(k&G*nC&l#2lml7dPeD zSC*~`$CjF!CLR0Q&^6)MGL~?)$=?acU4!TW<=Aqc8)jaWJD*uN2Rlv1-Dd*Y4u}hA zb8MN-qH=6$N!Ns9QB~Js$K)0{q*Gtv*phLKzXw~ZA6n)NS-U@#xC@La%^3d8(7J=8|Ku{Yy~pRHQ9XsI#~wjDUQ> zUfI*7%{RD!=GZRHj#@a@#pZ^}v7Jl0CLC+_0|0s9_I6dhop7vUvwFg@Zob}5IJT#! zX>yKrcXUlSwwJ}4a;%4`Yr?UfhSD-R_AmFl*RazoP(B`iSbZ4x$Nwg)a<70@az{AU zJ+Eu=nZMkvsB5ufayJLksjrx0on5`1aBSCR^@L;lTY5X;ST9r4q+g^GeI;*thl%L$K3pP__)(aDWSFjt%fxRF3s$Nqeq5 z!(@@#3CFr7zJ>l4(W_^WYgOj7ideX5W$x)Lg92-*Qg37V;0$mf1 zonKN~M#oN>cK2i0X*ymU-lwbea{4#VRp!{HEF`J(E-;}j*Thl znsDrTS7{j?`^25|6?S?Puj%d4Mb^21=GYjMMIslDUFYbUaO_%N*MwvJBV7}Y(VP}H zswW(~($O{H*iFe%Q#;|<&B;-dJHoMBlA|U~I5yViddjg|i@GKpyRD$KjE+5X*K+!+ z*uSCdnskM7bxU54jg43&a^cu5hOP<6ZnAVuI5x!5HR0GOPuGNF*BiPf9J@0)YUS8n z$x$oE?oN(cIW|7e^^{}dtGXr}s|%Et(Xn-h4V#Re-ooqMMs#^^E}+e^@eYg1vAcX- z6OP@{K3W3MDfP3?qZ(~_emcZ6fFCPz)0aO^dg z>nX>k+qxzkd%dW%jE=p~qis9v^fr`zi!N!*1vJO#vkh@0a^cvkrmhLcUU76yICi(E zYr?TfzOD(!rkJ`W9Gj6GwQ_7`a@5MPS;}Rtd0i8Zy;D_MM#s87xAYS1 z^bV9i&e8RNxq#-_+a8O`v6+#s3CCs_x+WZZ(9|{I*fdMmgk#eqT@#MIpB%Mv?1SW} zm17?yN39(DFyMO1vAHE(6OMi4DlMa9`465w6g&BN?N*O2rppC1$L5+WD#t!>bWJ!m z$JaICm>21qaBL<^+AG+192RK|;n@7-s7Vv6azS#`p1CLCLm9JO++J~?XTSUEXrd|eZcElrM^`ieQWG&yS2%ft_jD! z@^nqiv01*Z3CEU1x+WZ}Sh^-0TbUfSa%@#{)XK5d$x$oE))cv(a_swpt_jDgd8K7^ z?60Rxrt{bwDF15E1w^@k=GYpaMdjFPmg4y&99wC!NbQ7UA6mL599!z>nsDqJmg0KC zv31E&Q#~=q)+a|z?uhf4`VkgsVvcR7azW+T&w;K9$9^d(Eu&*KckO&Gc6uK#_UO~q zH@Sf3*v}S=L@wsodQaDcV{0Q_6OJupDRx3Qw#s0U>Iuib_jFA-wlO(sY9}1~BROhv zCw5F;&64_)7CR=dQb}6kn7qOzYq4YU3YS95!ONdmxh=#+4{yBO&%yk5E?%FpqX{?e zU^c&G;Z4td@)Y*^0P5fIa1jpp^fxpwcfvdAU@`h~nP-@ieS77s9`oX_W6gu$NaEWp#v?yp80j>`F}@UGbzS zQOX`vL_>1r)i;BTD1Y7Y))`poBM7&3(kOi$u)nbwrHUVat@GumjACOzeH|%ElnoA4 zswBk$J$^vefDRoD^ittt2)Fy6xw6_ulz>rw#A~y*GO(>4P%Q=|PNO)1B+5oa`7v%t zuFSKdjIMM#>a*Xm`X>;!%cWiU9{)1>t;r~BO}yr>iEVY1D+8!}?@CdkEHk09Hf~5L zQ%d+{&rB=m#L@lFz)GJ&xc&dkl^;roQeu?#7KH5`IVuNqHO`eEaIlz9poHzKP+4!0 zMksGh&N^*xzH80X*l8Yw9jvq~KLud_$6%EI;stO!dNhKzn6JTrehQ>0Q92>se`7pC zSv)waE9YP69fXzUL)ghlqpYhUipwa!2N3R9OreYnpt7#o9A!rzD!<2ggfe}ztf#nz zTiZRc(gFx~Dx^{VYl6LkXJh4$Dug@RDU?yx$@rB}IvX7gxi^q!G%(ZL^+NHh=5b@37NC z2)o*8lt1vivLa%X%?l9jQj()G%4ioIaHJ?vb~T`3^8#svGXIvWb0ymT!eg=1A_#YN zQz#9sJg~njGRl@k2#r9F$|%?80h>utqU>fu!8OWHKiy~jzTS8C5=$t9Ta6m*~Q&<7QZx8bL$3 z1q0gFlA=U8rUVV`^P~~V6YpgW=&C+vt-?Gt(&Bdx#P+$__;cdpk6OhH_gG8n*YOC{cP> zp<#z2X@ufser?!jrr-%1$}3zc(1AYYDIls8ve z8BtD|+^IWuYJ{+_pGN6yAd1T1u+Fuk*zS4ZBq#Jk_8PG*|8l&;e7566I9H+pS6(p-j6zYe28{d4*2= zxP!uJxireI7NXdU(k&0+=_ZY!p^VR?1C|se%IPI&=$0pqP@YHAvpq8}g8PPGr>`I^ z8flc>97Ks2rF#LwGb|cGL#ZPN94ShaGXiMnULcK7UhJNAuCyQcQw2LMh44%>jk1Ra z_76oy=~0BRze6KvC@v1WhbKje(!UA~J&L3e%Hw+m8C`jP=B^8|)7KCVu+k`d`iSB) z%HB4FXL&S&hB6@!4SV`hlqhGTwzo|hp*+;q&4_aA315uFPRk&~FTpi`ZQHO{1on>w zM%mYe@Eo5;&`|Cz0ydMPL^-zr4g0#J5z2yc*5{z9tCpUMot8s*u9rsHJBKJ9qwHUT z@b3|gprPF7q64InC{YF?-u@-h;(+@58C~f)V4R7azJYL{pGMi&0Q;vrqZ9%NdlqQ~ z4dsD6I$%gqqV)8kp%9QpD9=o)W<*&~K5032`WC|T{^zCB{wAV0jB;QV!t>K850V2W z4Xa!^A5jjhk|ta+GNL><<@nvP(|;he3Tamk@(`uUCBjdBFOeP3%a%8`h2kt;{#*R~G_&~QYlIm*x+G#r_r z%zHTNV*d2G4`*Vf?;sp%r%~_~jJ-Bsl-@-MFXmAhUA3DU`=BpwmdxrtK9LG@PC%jZhXHUd%Xcm%rxI zn~&8HUJ;~G24Fzz4MsT&0~!{OpiSE+FrWb@4XakrFb5jWDw0MhpPb}oL>V}ALPzYh z28XGpQSeM-uXh<`kO$$20*#=dctvO!=u1%sG{S?1K^|#@GWD8jMwBzxZ~GE<`W{03 z+%t9Jzn}p2h8&|@hymI0!D=W^;#|3a`6P<%L&Jr6M)~kwJ0r^R2X^}zdsQKK{ z#c8|2W|Yeu2(Pqh1P$eB4Cqo%iW23@2pTSPNF!HXxhJ0y<>@wO-;JGqfbgnf8f7?s z0Jb4wlo0`hSGzQVhVl#sG`uQBiE>R28b$=95z5@7tc)l-e%|jR?DQjq*Vt*4t1Ym9 zE;7nBCWIqPa#UW-&tgDVTT+xL*Ba1pjY%4zJalPRl#%B>z9V+}3BqgLG|K1zQG7-j zQ-W|*e6X4;&jrvhnnj6noe2$NVrD{l;UX`iE5e&p1Zfm}>u3MsG0N>Wgg4}A z1P$c{4Cr636eY?H4m8|ulSU}-ZR2EgW$Il;6FaTPVXA4A@j0-6%`?jQ2*Mi+G=hdQ z83P(m8i^9+Mh~@!G(vfLUeTfNkx&(eN@aBMM+@?-(*|5}XlR35@xT^d1i*-e#v!p7#(XV3bJ?g#RkZQQ4Ie2K2lqMTv5|0S%KJ z(g@}4m;8(@~Rm#Pqs3upw*l~*vJmtqIhT)D$Utx63E z<*{?J26RC0?`hip2H_p0G|FoZqLdhAx&`5#@dz5qGz{o9hlW)scUjOd-6D-p-Z&^L z%Dy|^ISD)c4&hxv8s)73?B5MWnNfmpJR86Py&6EnTLBHLP{upZFr!2op-g=~pE01D zPP=hC?6eVwsislhH4(*Sl-UM^Zh=P7T$zq@r5u6% zN0CuNAHs)9a#VKZts*p(BPq(c@~{C7p-&nG^xl(Mr|rPClm3Ayn?d-nn@0Jnh$udz zEG;K>PSN55B%XL_MOB|+}Mp^4XI5=6%>jJ24 z#iPoA!ofB)taT)xKpFma6<Bq!G%Ty&Vqd|3I1a&$oX=l&v6q)=Z=P7iY(F4!iQ3 zgD5;IqdbSh{kKr?~$EW!jtvEJWEF!so3NN@J@C!bu!Z<7Nd! zsmf6q<%K+8Gbu_}`~qNO(g@{)2dWue*>&?5<{?UJ2w!m0C|lbQPWGiMjjeGKgC$30 zloxSW@z>L21r2`}@ml-TkWd~wBUwTJhby-X?J*8f+CccCmqytZU6~RwN*-N-cnYX# z8{(_D#%&`SRzoR4V?J?Z!9TLjm5y7#hR@8cwt;Y}pGN6egz)7YqjbXko;Ne)sO-wi zHZ*oDHb!EM*L6huO#Njwo$$&?t@4*@y5IgHgKT(%!5jM`e^(Txjg< zOHt01IR$9!nkS7=-Z{v~h+-BW#$K)d0^zh=8fEu9gs+;6vWG=mrpr+o<<$~2?j8@T z0(#$u#yu=*NGQ{1*%?v%Liu?_*%rdrj5JCQJg?wuH*v6yJ@LG@Ip0yZX%P!>|42Jw`bxhbVRm<*ht4o>-Eibmb!(8c!lAc4f{z zQO1CF>);)XDD5D8%Sof0l7n!D&nTxB5hbD#w18%!1N4?I7A4BZC1^agNE*5F(Uh!b z+cs^kZ;f@fhj6BsMmf`la8|@9{e7t2G9E!g!KcHSCbq6z}D}B6o z-b0l35WelFQO?CvoS$Qqfdxc~N6=7w8ye4zXjl{_$~@Et7DyvkCgV#N`3JlI<7|7* z&?7vo(*XyK(kSN_A;j1DVuVJ^gW9d)5j2$9E;OFcR!NlkCBVjv^7KM4Bg!6I-@Fk~ zIzsqfE{$@r2jLu(Q7)+>N<4ywG6#pfm_>=QpbCwbR2k)waw#Lq+dF=88=`cA@O>kV zG7Q(%2Nt6Yx1e_GJdL2Cd=Nlm^0~4^Sy+I^;TEIJ9N=U`Iqp}x35)Ll;fH1#%ssK?O8bL#uiw<1LqC{C_L*rEeHKe(+c>Am?=z?!9pyE41_>q-H8C8YwV~(F6RLqPh_Lt@3v3M5m> zG_k-a_uiIu+P?PPgDVkb7YOT%X_P055SCp=@jOIf0~jUDLE{re$tO`l49N2s<&#=3 zqbv8DpRPlcT_FtZG|IC+gq0GbJfDZ!wlsh~R~igxeAbtuM5$mv_)!zy;uVzn=`er$ z>t|zeoS+SE8f8iW!p4A6raFjX%Td{tCKDQ`6r?Co8Vk@k)gg^gp4u+!v>m?p&i5k9 zZV)z=(kRm$2%{>ayc!@%L?dV`=qn2vr#VuTC`~w5UJXbilrL|}I&BZMrhkIPcZcw+ zAdT{76~eFcjPjNVwSOtfQQ4KR9cX;B+8hPx8{aZXBb4C4taGJ&_P9BSvIh=YO{2VH zLAboYD1Hf1d>TP>Ww{59?^sfluHXkTjedzVLRl~*$~bMSSNwh+7Vie(H~BQm`vHXC z78&J318TP|$WhsqZ+&QdKWL8fH3sydK^mdFSIX*2+u1LTMU*`u{7)f`@+nT{6*i;b zi?iBoJsLrCWkm#ypBhq>t}H7-<2;)*LYe%6k@jA%0c5Iw>ipkoGYJ2q!G%S__=+y7nHkRxHnDPy&zm=r%~#25dNM#Gnb2q z;?M}1D;sfM)RRV{bmdzNs9YqCQ08Bo)s>fL9)U;Nst1G{y)+80%J7efU5R`|smf8M zE0tUk8k=k>N|gVUpfU1EBa~^|MHyYmcRC#3KDFuzm7JeOS&qM$06y5pZ}U)_w`c_A zN(ImXe7+N+L|IVxS39H;if;uOQOXm_k0Q!GP}w4vM)}c$O6z0={Zxh8b|#IWxzZX}(2t%J zB??Zu#-FOB5z1%JmNKG@J*C4MEWR&P+WgN7`UQVmvQ4srezl;sLnKFKSK8tV`XvrS zP21HN(61IXB$WDAW=524o;e)i`74(M%mA{l^Kux(4)FvM=G0L_EH2x6} zt5Ci#05)cn*DvuhqD)!heS;|bLuFex6;RU_0aUgN7-cI1QG6Of3n*^_Hj|Ok#c zlqXhYohysij-wTH08~2uPe5G|uu~FHS6s|H?DRhY^~A;8ISFWQT+BPfBWNg{9cb#wR!LXZV?cXbjPiLQ$~aeEo^0%aC6=EJ~E$FrX8tU5xVhs$52t-NwFJi^UIvN;f-=($|2>o+U=< zXG87I292PhbT^=>FN+f8cMPbXO%2JFPX}jxWTR?{dK`cT=wz$ioWh!V+B8D)PDnlALE zC|nr<7|_r>Y2?Zq?`K^>J8xWjETS9@m0tNY%H?^e6pD;;g$1=;*Z@W;_|Sx(`{6B_ zD!oV)0|4^4DS-<(eu~4ssdgS`%u!7UZbx%E38k!teItO}Vlq z&XsFT(g@{?yZwyQ_P(*>9>h8(R1UV&DA!w1Ii$oWH?qY*S$@Y$g0dP|DZm8~$K z8%m@R${h_xMwFlW&3X=t9|x5~-89P0C8!)0Fv{2*)b5g(qp~Z9o6vM~Jgjo1H3o$5 z!zId$H?yvwBbRPD8Buyeji9-5qylMdQQQbos&Z6zfGii+mxWmjYuPu&v$S$ zqICcCiN7Jr2{>pqjq*SaD#sQW<-sDM=^G3bnf#G=er)PRm2n%bpaaE87>KsZ=G6P@Z_s%82sUJ9fmsO||L^mD8Lw z%JeE!PWKt*4HHpZIV!tS#9^n$!>YN`-iD?(Oh)1$;V_}LBIC6ZCfmUGE~m=(j?xjk3Un%DE<^1QFEkQIw;y zE9aJ=X+b=!nkzfzp(%(M<@K>{Mpq6Qu+JJqISnd*H_|9y;@Nhf#VCu5h~lSE2BHIB zlBS()cPc{D;+UCSney+f0sU*|kMVQYR;NQ{keNoQ*iaelFiL|DwcQFSl)+VKs@Tm@ zc1FAgpR_oj0}2^knLc*(#fVab$`C7!vNVFqc^;!IDu0?42&Y^~c z^6~Szj3_td&wmwB&VB_DFG;OdL<*{K|UD^2a zaagI<030+*qx|MTo$>IHH^bm0@NY1z!VI zhC7VXE{7kDo|lyjjn!b+obGN4l9G0F}$ z)b=oF1T7#Nhwa3oMCo3HXa}1b(p-7q=VHdW(s}+-uVC@NL&bK|C|zu*)cTCl)kl=r zcMavr0z_TnVb!$VD}bo0&nQn_l=W=;>yI5bAj&|fT}zl z?SMRKgz{F`taD|L^DdwdONT(^x?CFNkUUgIn~ZX(1+{y#0qn}?5=4i@!>YNmZvfGu z7Nfk{uA0%6%YL0a8d1)J$`~V!a+CuV{4_xvY;<&hC_as#xpF-Ydz2$Z>B{~^z{aGJ zD<5BOXGGceGU^l&V5U)eSE2Gxhfz*2p?05w9F<-9XBDE}RVhl8UM@r@n4}R( zuqZ1^x5n4zA&Lc+8?7`-UsN5BQTmk-#iJ24S8mEf)Yp=tL@5Li^(&D^D6_sRWprh` z|2+FW7QX;0H#upP(@Rjf*=Lk9a!|W(UXIGH+){w(^mte`R}MtHGpJpRGWne-BT5Hn zRRvKlgvu>m8s%&QDq|x?Imbp6heps`xwQz<*@hIQEBHxTgun2^8@ckxmbr{5r+(U= zrtL*gxz$gj40fUNuN4?ZKO@S1tL{7(QHJ86 zQ5xl<98~a=CvmXR&?40CXVD0nE8|>mW)=j>@joRUsN#XpV9?;$7>IMkq6X&5F|Fx%dOH%b?;~X_V_dsNCZ*$_-Vh z?Pbsi+FY5Chv<4wiqe%MixAyVC5=!%>6rDI`HlbVF%gSj4wVT`8s+9HRPOZ|Wvq!P zt{jzJxvv1x&GE2mt{mk;G}dI4NAaV?Y+o>X@tM(+5akM}+~=iH##vCgKVpE#% z0d!X$KnKQIQk1UXlU0Nh1aIWZ#EoV~l%98d`92o^2UH&L(4G+wZZ7>^ue0--IiLA9 zzj6Mk=RDu%c^i6C8-xrqHBW}9{?*N4Ge zo+6J**$_V6Qm$=L=XzXx7#Od|yrq1c21847DW8NOaaq)+@>S9DV1Atb-%@UfgZT+r zqLkUCPxonCt5>%gSc(e98;Z9SJp#s?8kh39ilt~okgm#Gcm?!`ZQ57m#uS*JtK`vD z*;>my&F9M6%P#&N7f*okR?=I_dMxm5olDuEL*nwVP35aHFAC;*fyMglQaWV7+@NzQ zYyQcfW+`nCSN<1E84kug&0ET56O4CEE@ev;OG$c4nI8vpvuT@lDL13HB}yJ$mG9tm z@1X1AgK(u%4}&pZ_m;9P4#sNOX-*aGaDw4N?H2x={`W_ ztu^Upegup~A#W*W7>xI0T#BV&DH)r}rM#a8(-c_uxzafdrloKx-~Smt&8zapJAE$0 zQbvNYIN~kk$0Qg_6fR|d1`=1qJf$qbEAV5|Htnm@B@X6(Q8QhYW&KXKl$Z2PU9gl# z!AQrvr5wnEu{6o09ExEnX-_Fj^I#sx|8FT>vAjbu@~D)xZBwUtRem!&Ex=Mnfw5Ng zmU2V^*@D(}HS`ehGvQYt?My~s`y)^|mnLN5Gn+BZj3;*zrDHTItY>sj% zmEu^6AV{Tb*1)PrROzbpjDuAvP99yA509Mg9W?jDJ9S*=aWK9KdrPSj2V+Z|OR1_s z;z~h~O8G7iRuwkwtAf9-vZ`ua%9b(l(|p=iu2i%SOBn~oR>fONEgg()8kbT#jHO`N z;sWqX-1ab7wb-;vxjh3`?J!NGtFquDc(?lv|UA@R@meQ-u1N8Hi31IBfy`?nIgRv*XrL>4aqK&xjQYm{> zu$l`jnszC7X22?ZM=P!h{^#^w;ySjR@hp}y5uv8Hl*<(`j0l&~GL5Aq>13r+j1*Xx zE4FEua#t9vmTB^+lpQs+(=27{mVM9R;!l846!MmW-~1ZIF)pQT1WVxyz)#!aG+0+< z{fgyQefngT*^%*mckc+OUdQI>L9T0b49`O zZZf%)Z}4x8PVc!=vB!%$u$0MQ{GfPC=@J5Cug0ZxjYHz<7!joB%DymI_#vZU+E?Y? zI9OfdQzAF2pVBMzLrd`T? zDX@Czng|i3tMW5mfjdmwv`guq z0qf2vc~r{ydU~j|y*&*|7*j2e8$30lGt_3Td}}K%HwAZLO47DYlqn47!}BjO#zn4F4xE19%e6J-j+0sO49H-*wZ+2zUmJLvh-IiE~&Q zKU%+NY`TDhCVN4E6;t&W5b!MSgM|NDt5TA465tlcbOCv1UJ&4|QR`+R;5ppg2>+2> zW!(w7XK+wu(*+!kuoncFJEHbj1U!#>7NuzuBzHKM=(iM`F5qa4y&%AW6JKM2rCz|D zh%&Ub5$B{!^n0337x0I|UJ#&X`g;6GS_)sTPDE(KBF;&GBN;Ybz;Oo}1Zdgs^&b)N zA{eJ)v<(rjB>|4**>nLX9cU0>&)Tw=AYd94g%sLch}V(;$75`|fPWom5a7w-oxelC zOSr>NlC})uwIskvg-r)wZwEwv5MWjO3HrwOW!$$%qm6)gEeY^%l1&#-#yQm>fHG;r zJOsRgyYc9>y$`SD0_?4O=nF33Oy^XC0M+`nn~cfnxWkM|oA&$%ptR1W3ph($PWUPQ}3FFfSFKKF+v;c@LCe!EXQ*J6`WHI z0!&|ga5Dm4g`&za+BU~o%y})cx5S|@xPVH|sRjWan7)#pMYEvj9ECQ+`42#a7@IC2 z?3`*4;EGB0>LXw_?nslQEpFVwFLw6!HS`4+P{ldbAi!hQcb&rI9NcF{qm66+1Asq{ zwTBC+7G*C8kXu>f83eqByT|CX9S!HC2l-r$O&3rj&R!7U=;&`(AYd-;h+@(vGn|tE zRdqI9KrNNMAVBV)j?EG9Iuz9j(bh4XlK|CCHeEpN6njB{a}U(G69F0&)r-)EFr1SB zwH(g{)J?M)1o-9LgG&(b1{6hNw9O0WBtY#5n=at|40}Oc-e~0rm6j1p(S5cV!Xq7Ve;uq%B$8;oJx3D{Q)eMq&1X07oj#J_7-7r|nZXCjlC2Y`TC8_cknQ2 zQxwigfD3dsT|hIHy&%Bxjz640zw`;~6MX&Pjll8k;WQiqi&|otyVXz#=HR z1_AfRc`XUhCe5Y`XnWd#Y@_Y;@BQ9~qU$xN1NQ~W1#}DZ#2~ZI++V+8S65x5qa{Bx<;Eha83fe>{ROlUWxO>AVB5*x70(xCs6cSn6_x(oCKI+A&qS~vb> zDgstR(OU{_yudjL@P1bBDB z)w>X|28!^PxwuIJ=On;lr&<@VIL{M<0PS0iYm9);pyabJ7`XaH@3y8&fXCq)86z$Y#qW~x9{wQI)%BBn0;Yo<(0mQBj!M|MObX8SF9HbOBkN zy&yovK2NMczY`TEz8hb&2G11oarvEJz z*Twr_iOD$$P+Moy1=J0*7X;XR<-xlUkb&Yxcpog|82;aR3>r9#xqyZW&kO?m(X#z5 z2>1?)+u#{2k8n-`T$p6j1vJgD7X)bjV5BtywnFiBDm8cKoCLV`Kh7YQ;)y|ksn72E z9s%31!@5Z=+c_rzZcMZ3p21CQ1>Og1V&kxwQrn@pYm^$XJ3;p`=K)Q`2bIOin5DCcsxfYC9Y7zCK`%j9tgFravXN{z!gCjrJgi@AVg ziYEpEemnFqeS9y%?%gJ}1LvFscrwkV3wVmHz&p9h*1_~EmSQM=K2A-(ouGRsKO14w z1w5y+7jy;--!$nRtpti+)~R(j=cF^3mSWQdykxQ$1n52P4Z1|wxpQ`y8gg?^0?c$4 za{;rQi9vt{JtqBx01JxWNK%{a{{X!1m@Yumcw!J>ZKXvy1mv*Sb)K4Mb51&gc{-ae zV1AgrAi!N~l2~A=AE0=#LM^d5Cjk~k*>nN#C)o=ETr_;erwG^!#mm#w=$dm9V41U+ z3-}2#`x3qQa?iR=P7DR%{dA1 znZl+E(9`S%0jkCCZ;yceP`oijt)@9A0lv(z=>j%H*b4&m+12qV0)B#G{PR+5Ak8@m zu-RG61$?9O#2~=atN-qYfS;jwyH0JPIVS`EM}3ovz_7zF4z)3^))2e6NFo*FZA zPCA2qj_CsShk0TUpyJei4UH|tmfxWGc#K*jb51&gzn#nB0{&5WVi4fYGnH=;kcSfb z8>0#;=OnzW}>MF@3=Wl#TGjAV9^%6CcCm zVJNAjQgdR?xo2QE9;Pq2fQn8fL4el=T-5-RN3cV%NiB!}18}ZGbpchKc|m|HTm9S} z0Y{;v9zIR0IfY4QP$$Z(xq!M!_JRNd_V!tgfMZb72%n}koMI(F1C1AR0S)u)1pz9L z9eV`={(zE;@M&5r!#N4iG|Z+8Xr{0i1o-UKgFO-OCzM>8rdGb3lK___*>nL>r;;GR zhGsXfLcm{8awR@Z>p8_rfGeEETtMpxLxTYKEh|2ZfWM)n9X?G9f0|#&@=Jx?QkP5@ za82rT0Tp(3`va5zVDDRenl^}YPCA43X*ONJ4QvJ8$)n!BeHa3cLrJGNwa9gX&ijGg z{+7Pr0y;VqgDz3?3nyQK$rDi0U8Bag{{iUcEan2@I!_D&tS}xPgMgDz(mPD;Y&j=g zqMjz3F5vbkdqIF}`yTCqfKyP?FG)>mIVS=7#@TcMcWLYe0jhMKbsqx$#ZIw#YCX$2 z32?8@rVHpFW-kcPGjipbxL62E2FIwOEaxP^Ajfn84=Ow{2=LLUdo#FLDJV&#sZA{B zB*3sFn=U}bP+z!lny&Jk4GZpj{O32U1W5`>LAMsFiaPV4|~_3z+203j%Z*-enOkb_SF@6Q}m8 zPSCxRr$pFv0aI1>f&k+yR7@b?OelF#r>3f$lg{9U6q_y}WwI9pSP30k;bLW>WM-6F zrE*RJOm{pNFeA=p5TIA{+ADCev!G;dk{Y0LP6Etv7IOiwX*@9qaKVN5(Uh~X3u&I( znsQD8ys5M40^W8i2?7jW@Y)t!tQ?dqRH#`guO$KAbEqz0L6Rp10amFqw&7ysp=4=> zT99&10xZ$kbOGr+dqIGPC)6&uSOq9qiFb0>B1_8=ZQfc{#&*|=CGDETr(O+Yy(0oG>NbOGxk>;(Z%uK9Q^E>;;z zzE-KVC+8%~uUA@O_-kAV9h5D<|V(=R!%bM$I)jCjktVO&3t4vlj#?z3XQD zT>w;p68v{s*fNuI5+G-?=>qmf*$V=E(zkmjT&yaT{HjnROwLJwpPj{Az%S0kAiyh! z+YG|RszJ%`8ES9&AAsK+(*@-7JTVB+Z*`Yr2&fJvf5oV2CFi6w_#@1w3;0uEF9?v- zmg7$yp$3$kN>eLJ&Pjk1Nj6=;$qaizfI$o9eT9qF1oMm#HJEe<-v@T{Ncw^UFv~=E zVi2IlFFSf6pca_rRcaf_Irj|gmXP!X7f>$66N3QPPv6=X7dsDoH=5K8l5-NEa+*yS za1L96-yaNVHt=O!tTvc6H*!uo zgF4P)E}*W?6N3Po4$Y?k88MC1k5XA)DY1f z&VA6zS6S!DIFgvBFB_XdR0d8?j7tk@y6N3P^{WX9-{5HbAfF?B> zJ%@`m2D6_| zO#wM40s5xcbOCpn>;(Y^&aL}BF4hFh`=iwAk8=_LzmK+u3+NwbF9>jJukllGu?xW* zoTLVR?r`pdLC#_>;6aTi1_6#Vf8`(onqn8dJhkQHoOA}mbT(Z;BFtV8p!;6sc3i9( zn4=VGw#PXMFfz)f3wSiiUJ&49^5y4ov5UYQm!TGVoRa`!H8x$q<9YUi0J}09>ATG4 zU_Ke4#(12Q027_XT)?ClPYeRI-8Jk7TJI+afDGHk|U}~DZAi#_D=IqDC zT7Wq%L{02CCjnl_u;~I`jIb93xNBzRGPu|!V7`lhnI`8X!1NfKE?~aGUJ&5C$$f|6 zVo@-c;A4Q_>^Q@@4;Ja{xq!tn_JRO2LUDSzz7)(ADz%a0oOA{sIE%S} zf&k|&_+~IJ)(XrWNou;rISH^W&ZZ04uCW&cczx`UQn*-a?0lA|R%)D+0K0WIT|hR> zUJ#)3(P;~Cu`9vM#i&7=JDmH#bQW^~mckQ*0B~sTZUkHf=1*yAd&W8G41P?q=>qm= z*b4$2fsl%ewE^?D2sJa~oCG+KXVV27awY}=E`Q+bt+-fQFps6EMH#Op0gfnax`3l; z_JRN#&0*K!Vpn6IFq0aOaZUpK?JVX3jyv;$0Bx7|!MSh^SP-XnVouPxlkHYv^aTfC zw+bUK2vFg|SG(e@c3_>QQ;_Tz80FUBtR{lO&3txsU!$6@aB(Sz{T2w)j*-H7zFt6f%bRcVmE?y zMT**AaZUnUuCVC>TBg|x0<>+`b003&0j#S-)YQry&a>#M44W>XZG^obz>+>s(_7|E zV6|7NRTbx?Gl<35bOG0;*b4&ulv;KRE_O3mH|x}ZigOa6gR__mxXI*+L4d}8WvAg{ zw}90xN^PY$Cjq)Ro(t$2XEO+}Y-Jx@6gq;{Q=?{4oRa{BMo{zx7tllJi9vw*{m0D0 z#X5o2H%u*{I41$_FxhkgcShO6-%^5gw@Qc585K7&6kjiOR;Uz=sgBnevRH{dAXJt@ z_lQI2f{I&%2}cU;`B$+s_@XL8_X-2Lf^{#qtNK5oJ(?$UpH2pJ1FL_??r|k%$fQ4$ z!lHQv()|%K@IA)5U-1i_O41w}c4$CS9CQb3fbJLC8>2Zi?9f0{9NY@l0}-E4wo0Dn z(6B>;V&dR7um&alLe;}GhlU+0eCG>2z=$aLctWG2B6Js6qgB7q z)hSPCj4+@dSYtANp>}CcXl!1P?gs1es9z|S@r1_3MMwb)e`8#exVz~9?}G1z`bBii2H?Zih4p5RZ-r3U`g>H#^LQiBws6SYf!hWGn zVqr0_@WS$BR2K*ljo=XetV6dL^CG`;t zOXa-~76%W3^+MdQyrw$MpVn!Sc1#4Q^FLaMdb7R(8>p_dCJ6|7f$NdqFBvPsjUWIzI}>8f9O55zp787UDO4%Uo}U+6)_ z6PlS9p@+eGHR>07DCr5!Dv(BiHOrSYRP%&p7f6qQHOH5v>YmVRaWY^eSg)o0${TKa zLUYq1^e9+!^M0X6IKvOF78apVU}n&f> z7{wEMTO|X=fc19Tue`^Tp3uCE2#p2nov>eMyygkbkBZRaV9i(kLKAdP=-mQo99Zx9 zlAbUYgq{U!b;d8$SDXUPD^+;UT~i=E2i6*2 z(mQ!3*`d!0r02o<%$M|D*b~ylD)0hWpGW=5TNw3(*2c+z6j*Chexdip9GYjB_eENS zUIgolykBUEN^@w~p)U)hX<&WnOIn&LM4Zt20_i2N*87q^5OXBb#xNbg%V2Gc`<1sM z;~{;eiqI=yeU$Jd zJ)v*KD)1^;->QD)>8dA`Ns$4wz{+I<kkwnrA<_-{nPUHdtGuexY?~nnS}5Z7Y!G zfVIt+v_4aaIHBzY(raMt@Fi^&b0pHvI32)Tuy&^W%KJL(A$^|~q1VCsKJORGD4x)6 zu?lEl?T-6}wkAEHJq6MmVD0fGxxc`%ubxpLy$P1#OWLV>NJT0c@D^A_X}|JznVwK_ zMugr5t0e3f%5sJuTr(;{^T0Ayzfe(xQ}#Jo1=2fU<$OscF;D1+0%<;2KlqX?#S_|_ zA_Lw9Yj4J{ydRRD(7wC~y$9BhQNPeW%@f)m7oi1U?N9lI_UoR|PX*FKuzvO>{cL(d zzZ6J|!1~3PbbvGbIQ^O?2i^zk*SueOr=py)AE$$15n2q^!MIPz2#IQFo*g=*iqI0U z4yFAynjl~;i?Ogb7B zp%1`1s``a0ML1=bcTCg^%fUL9@e74xp3t8K(h9Kt^d+6EctU>_NFRdrmoKSW#1r~E zPX>Gh)<03d@@m99q2qB8S_#(ilwYWp;t8Edi_phloyhxzY9~FRQw7p0uul1s>S~_Q zzXj4KVEyY$I$!_aP_9gj3|I}hGD*Mk>YFr&M&ZHDouSbIdBR9>MJd=9ztnqPU% zlQf5h9jc(y0jz~w#gJd9g+_B|*rCF`0$)I`lHwPN>LTHWDhmVFK`!h|y3F*1&WVr# zUqbF2U(ywv;quN+iqLw|(t74u|HDSO;$l))C|NG~# zMq9-bs&0}2UqP;hFX@^j&7onJS2IQjunBTCeM#49G>3*As-@8Zd<{A54(?Olbvn(V zVTWpq1K13?+A*I{_6) z;#XeZJk6nDhnmLd0CqyIY0@urcbMkTutUu>I)LvX*Ua<_-4mrbH0)6G7#+Ya$TjyR z-4~}hGzuZ>DuIUGkh{j0biZ1NIH5i!8L$U(?R?*#2B!Wul)E-5LRrXNtNWePptL6x zGeyXN+;tJZ(BO$DHCv;;>gi0WHW74m@;ZaYh zgC;^Ij3?AZ7omNS>l*S4jmvvN-6A6NBjma%exV6rPbjX7(0<5u_a!|M z^@MJXh|o`vyVaNUWZViMDy&I<$H8G zfFqE*H{@5|oHWg$VTbOE&;cBU+{nnS}T4cF-a{)ODbA-~Z27|o$! zhejxLP#WJiM);C8ia9jT4n3mNLFrPE8|h2hl%zQ{?9ihTIw%d0dsOi&Z?i^oXxO1q zNjkud{Bomozfj?4wKUHTJ!aBD=`xTT9q|io57QhPc4$nJ4oaT^xiP+^ol%-Y!w!u# z>7ewPkbB&hv@1??XxO1~F*+z+7INc~e&y{^X$}oLRQSDS>9Zg=-t-F@sY1jFO%TVW z&xYKDm|v(k?FmiP$S7S7auatvL!2)U<1exdzQnnT0BdWGMhmaYW3rxm}@&+$T}5GrU;KTw1!1?oq(g-R8&G%8c5 zGN7(3q|ZR53-3%&KaL7j7L_K{S)i^83w1WCq)_Eh<%KE_>L-d&6;Ne_stD@pm{65a zrG=^t>ZcK*!l+V0odfC`AwuV(QiZI7$`q<9sGr4!s)kAzsye88RHz!LG@)vO`gvHW zTBwpjorfwfRBce#Dnivkl@Y2gs9(f{s)s5q)cK&=uT2qDDdE)z^-Cc_4N$2Pk?* z4GVP@s-#eDQ00Yc3#$F=m8(%@gm(?7-^GM#hbk@9wV-Z|2o*z>66!iow+Rtyk4hEt zdQ_%RH-Nf5F4T>vbfG$cx+5yoO{g@XZU%K{Sg2c2C57sUDlb$gP`_7%>WnHQR2NWp z#f0jLDlJquPbk>Y9OkNP!E8*KPJ>5RB53e1ofwgP=isWgn9_npM?kw zL8S^g6qPB|Fi?Mq3#Fpcg-U?>YgDM=s5GG-2K7K#s1c}=LOp^iFVsj-4=O@EiYg=2 zC{Pc@gnA5BTBy;W{uU8x462k+V?iw}5_%k!D!g&1Orgeu`g>fcBr08~37{U13N;ax zCe#z49tjII2~|?4CsE~vnhfeuMX0AxWrTVf)MGKBrl3j-H5Jr9B0@cbDkapjp#CXD z=s8rXkk6wsg?a(hzv4orQ0YRw2wmgM5x)QQbNrE^^_2y*HEcK&P8Pk^*X5k#)Z;Q=|a5$iBMFi zH&JOqy#O4LVXB{ zvlXE}LX{C}B_ztlg!&j&TBuc!C?65(6I3aoRzsqK5TQ>|sY0$nWeW8fBr3**!d<8< z>Oy@EiAqtS)}qpc`T`P_!$Pe?l@#hrRC%G+Ln5pQwE~CnnTakf>@3wFwf{ z;zE6mN*8J~B&tV+`UaII)D}q82n+Qss-#dERC%GkgG5b5sI90nLT!UYt(Z{TQKg02 z0g3Y>LhVGA66$+M)D|MN3zaJ5Zd9gFdmvFKE>sqkE|dX@x>2EuP-#LHL!w?-s1j63 zp>S{WdU>HNNSv<-l|z*g>IXTOd7*xXL}Nv$!>BSs9f3rXm{3Pi zrG+{Mi3=k_{edba)Sr-ODn#fnRH~4FqcVm12NKQVLLEn?3v~h#7e$3SiAodd6eOC5 zh58p&l2j@Dlhx*Vp-MsGVnrxGl@Y2mBwECTDuXI5)ESVtBqG$As8T|eg+!D@sMJ}g zRH4pBWeQae5|_q>DvwGRssbb~6OmFCQE9@f1c}STLRChU6e^4=FVs1ZXsHNwE~<=B zRUmOiOsJ};(n3{(M5~BU)lsE{ssV}CBtoTXqEdybg~}A_JV;y_7pgWYU8p*cxGE}C zT~wM-^&rtEEY$g^l0rpL<%OybiMEPR4Nzr-YUpft-^kf*{sL#S_e)^RIfcZfFs5pR z5-=8aZ-|6S+5fl)e=(%}Pz%2oIE??y$CT_HvvzDk`v_!1G07e~pxx_eAB8;!<=H1j z4{wC_G1zlVmu9znn;OcO{sXe5B9guS)Vu>|{|S5kme;;`z!p5w(tkm=N>ZA=XK~MJ zX#Wk_YMNwUz4*l%X#WG*nsLdVG4#>hXdj2{d8%apc%lQH&I!oYNlEsyAkeBQUU2lCN6e@%NPzrBO_rf+A(D8S)OF_0t zRI;y_a}v-7$kxy$JF;q78MI47_Uedax7F(6FC5F1fo!{&WH-8S^o?kr0oj-$*)LZ3 zwF%m1LbiQUvLn$oBhW4j*&8&;URCoS6YaAg+d-G?DpUX1fcDvtz1fuPXZ~97H`?WJ z&)JY<57mYrL%Td=yF?`W_q9W3pj`p7-C~m6e&R1X(XI&D?uul;GWp;eXjg*lZArPx~^}A$xyXvVXhs_vUEVfb77G zWItO5zCgPsWC!IXd;j4YC(*8jyF-R0`~B6uUPAjk$PSH4_SD0#k4L*UWYxH2f7$FC zdWh>lcDO3pQ+xDThIU=Zjz~%NCsiZ#Sk{B=$h2f9o<4pR+UG-dR7SE(%500H9f9oV zykx6?%{m9|`na24Sh9O=ow)|>29O;emF(1-V^h&?2-yj7$=PzN||2;CRQ|(7qV5(^HZi z-frOQV3`(>otc*G8=w7+>`Nd!D(L?MrdDtgvgB>UP6< z@1lJfWc!9B`}m~G@g=Ox<&b?RDcN=U)Zd17OUS;fNp`ozOQL9B0oet*WVi0qcNW^M zAiKzv>@5|#%|p92?zj|^?Czt>>_z)Z$S#dYcC|%w=$5()vLD1Gd;Q`um!jPUvMUtH zet&9Dn%x$%A0;JwaD|$5y|0Gs$C_kou`%=#b`4}d(IxxXvVGs6-43#!nvy+uYw7cS77GcS*)BGU4;B|xSzNln3?Xj^m+PH7T{=OElI#JoE1yUEHprfouiyjK+vA6YGCd&suPM#G zs^;bU(C&%57=$GI*P~PD!uA5AOhmG~x0tmG?c2dPTdw4{M&<8EyEho+^hx~&^5UejC1mmeN%MrO=$Op-UGvu{ouJXHMH*nqq@9E zjejOzi*`RSYRZdDzW2JWXy1(;-sMHQw!iyRv=uP$8y@$J=W>Z_9dM^s;q_I+S9Oi6ab_LtBV><`8TX~{l1t1`Wl+z&>RjAXBzcH>uQ4*;WS zUb5diJpUuK2VzI=uw-{{u_}W017Ng>O7^2at?P;QATXkF$$n+=%dOCU5RA)I$zEC0 zpf`cRV6;q0_NcK9=q>soFj}Q0`;l>}N6{Vv#+4b#e)pl{Ikbm@(Izk1-xWSX48sn} zVadKz>rC%y*cjp3sAMmXFK>r-0*ve8l6|qcAc^*H$i5-Z9&xyPL$n_Tqf=6vy?f)J z=g=MjMi))8mA=#NMEemiy6KX=q*u9aXpaP=yD8ai&J9gM`%&z88eAzAj{>7t zM7H<-bfN6;P(Mju78Tb)|780|4&^i4|k>-83mLwhV3{WQt$*65~Z z(0&{YMVIV%mB_DXj|1agQ?lE&DHB3_Ja#AzN%oLAhv~&M3C6&PWUp*D`*E}%D30<;p?|gj> z?a5#~Y)W>AnYCA={SG0A>8!9*jw*WS_C} zd%9g;zz%>R$$owNr+=ZH0%J-KzlkEub7g3{()ok7Ci$ywuL17 zymGH>M0+L}vm%lm-S}n#?N`B=6O-)rZ#JV(Gqb>$t4Q`&f6V>|?b%>xNy#43=&G~P zo&(04nq1k1B~}$l0BuvNP4op3C0pdvbT(EMQgtW#?qu@Up{C02(;e@&#Taf-lg6FV?$oDo7ViS2HNwnBT`th^Of$PSIu|9*c_GYd!D{*DcbLW zu_Z3qlh$99M0)`k+ce4Evf!@+XfFg~hc4OupMK~rv=@Q#y(!t>U)`|??f0>(PDrvV zZ+xyd+Ka(3B9i^xnF)FmSOP|IOtL>*`SLkvr@=54$^LZJwf~~M6pUO_vde9LmY$l+ gz}Txv_Q+=K2BG}{7(eQg-Qex?B(#@<@ss)g0QZkJUH||9 diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304.ngo b/base/cores/ecp5/TDC/Adder_304/Adder_304.ngo deleted file mode 100644 index 582b4c9683580ff9ae9f00bbad5a4f72d9a1c2fa..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 46517 zcmcJ236v96_I^JQ1VM~~Acz`d5fGv1g{6~DDq)eG$R?sdSVci7Hbq6I6vt&m2o5eN zXh2j{)NeX&hhS)=nsBo(BlOJ^;gIb-_y3l>d3XW`Oi2xhB6 z>J?=|Kh$Qz^7E<&LVsV1q-9H&pH~6tF=mleRVJeAGs;#);+>ij`)S}lpHO0dNH03Z z0gztJDI5BTJ`hk+zjBC=WE>HFMt3+Z`}Ku*dqP<`z9${AAB;C!juD+kH>VsCy+${< zRw7@ObF9?Qnt&rBp;0s8h}7+B%@HZai(D(6t7R!GH9g%iRuXq!#xYX2i(M`Sb%Ehn zDHjV}GMzzEbFAcfPRXkSfPD~KG=IU;p5B;$w_)yR}1 zQdHFeN2GqkZd*E|%7kO3AiKM5DU6d1$4c2a(X~?Me#|IqUz%?JbFAe=J~E)J<Y1LAGEo5pv`U*NPL0{M~S@6vgh8BO>I;yOtwTGJZ{vh}T{}aP>cP zQ%IDyZKlJ7^hU;!=wxnzBwxai^cysi&<^3~H|6pILe(4Td4XSNzXgD2o>5`|d+2(kGFii>N)VaJ)VcyW|RA1oOsJpq! zNjc?VRxa<7UbFf+hLR|h#g2`FUg$^^*fL8oDU>@M8-&Z0iC$v*N@eOxIl4&8H|tAb z{=u*1xT8;2w_EX%$>Ce>52TxtXYdNhLKMV$w8tbAEYEk zdZSkaB&NZP-krz`Xc2udCxr@}d-P``FVIQ6l5c~=MsG~zo1pV(I?tjx6kVIkThL{6 znW9mfOB4-Rh{~+aTEuSwy%VwxjeK;Nl^5t-p3(B&V3?vXMRr;$&!U*4nY=*J^v~1! zQUI-Uc?*Ur+SSSn6hKGShC*wR$XjUS8X0*3{ltzBkT8?3X0gMWHIpu#`qvth7R(2J zKsHz~f6hXLe2*oYQ|m)B+?p=tu{!|a31=1CDj`CT-DR^DfyWL@F{JyW*io_}-TuS^ z0jVd4_m~m`9wX^h)b!{)otz(=U`iEEKPD41ldjXT-jYMt$ykp(2WJxNoNr5+ku zv2H2phr;Onq*Rl{VHt!SqTBC!E)l`-J4vB``KD zAUWiINS;rj#U+RCuwo-J%%SUU%mPFz$<64znz}kOkLgS%1tgt98=sJvLYSQAP}^xK zsfYXUmj>bd>zW!}0U3SJl`ytkXG|}a*j(v=F08TH0oKz?CH62N_|*3zn<2ed#?F&! zdTGR#m{L!1n0ZX6d2yaYZCB(m#dnDfLCmC=NNlw(F?IE5UJrO9v=k*ZU9U?_QC?$9 zOd(tiNE`}bttl~uupzHUS2b<2q|cu|5uY$gF_XgEl!t^j+EPs+-KI;7kZL;T2%s#$MlX@v(GH#p!0~Z3N@6|UkZCK#|YK@ z-6-VXtZLo}7HSY-ys6F_e#t%uh}h`zQ4=qWfo@7`Ru%fEOJ~id9P{Oy6TNZP?2sPv z8f3QS=|CX|8K}87Td1M4OB#h5>V1(}sG+kvEm^2R2I6xh2QI_+YK2CyD&Ugudy#piIIWW{=A;L{lS)c>ek3(sQ)7= zd{I1*$8>^alEN3x-^;L}TZ9mAY)TCG@!=BF1t{JfYH;X<5I<7t=|UK9DfM*w8E>vL zhc1@!R<^_x&Qeoiz>ZQ)ce3$zI;-jW8*h`x6j}$UsVfTS#JnD79zVg9dJ3&;UQbS&5C z^%Ugkroc+^+~ zyjKU-@opumE0cg?+?=J$7c4uoUq!VxwR?FF=oj#-rbu5^MTUW1Lx1Q8?t-7PPU8{7 zz>kU2X*{B=uC@V--47ryp^QgAkn~2*qpxDa66k9=>ZehQWkIij&tSRcHB?ZGr2(&@ zFBt};y#~@R^afi+=Ulxg>uFHMr+1$1HT0tvlTDAIf(>(YkD-!X%`-fPDvtKtl-I!L zH$Uw)R8r^Xm|g>?s=tpbYH)Va+klfkH{mf<@-R)ydJWvg%z)Rx^J%u`HE?og1-%AN z)ePusO@&H6zv(%zfiu$2^cv{=re?f)&h1&Y*T5$}CG9a(@GzZec@3PAGg4jypWnEk z*T8*DN_q`^ey1B=1Ltsp?lo}MCnmfG&In$owR2d(XFe+9F_d#3rv%}W&S5O*HSmeYHLrokPY-wvoWq)& z*T5$j&Uy`$k%4<{81&Qd0>TFpAo(uQo!&jj{Jl2>PGp}%-XA?ws4h1l*@@v}rpG6a z9}^pm_p9p4K!I-f;K_JDYeF=Ehaz-uS}ot-$DBHN`rvVcrjL$|ABh{hTXLYp$KZ`E ze2Wg)Y515CLk3OgI~{L8;Y~@Y89sre>+C{1-mAh}96L#8!pyzl#v*hmne6h9+CeMxiXz zl?2lq<%B+BS6>GUzBrn(Y(`w&EAZU9BvV^Y^F7>1^+KOXLYtLDHcHW^`v8dR#T58`O||j)L6N zEox|+!=!Xuxx5p)O%1)|NEGz54wC|VLsLu&tf3E-4X+*%z7#~B zG34?yLp7t$f7X;lVSeX0p-_HvnCLuQrRSM+=NUd$G3k~*e1yZqsfU|u$|u4MA8jd# zLb+0TAyao{qK|js6O|MCa3AiSQ{5qy@EB7`)Il5qpAS7M2!|XSg*i-DHtOM8#|B}7 ztxSj{)HDG}A3C^iqJpjh;q_YH3S+f=DqA=U`64P4S*WH+8ylN4dG8@~9~)!^!(292-LOsd|p<@qv!XP2eTeM$Nzxs(R12%q&#}g z!+mLwp3}e5_UJKs)we@i9A+ic@6tVb4(INqN6)8qkKxhtq}iVE=sBHt1U-5_tvfZ3 zp3}1};L-DN-Il4Xm$!=-TebDxi!=D2lJ&)pF zgGwLEzhCv`_+L!d)-(MIPneQ^VM@`Rw>`?*x~xadSxDtPdhYdF+oR{~tj&1zoc%SH zN6%Ti%BZdH%i;ei<kDe#bl}V4DURwimo_IK%%QX+3!~di1(Q|q( z3wZSGXLZn{=k#b^J!Su8ThZ0n^2usC)H+{()eUL&E1B9dEiYY;8$Qo}7`65CogkN}t(WfvKbp1m@}1xp+oR`c@N3qi z=gb_;dGwry0~wE=&+Ff5kDjOT&z48em)@UJ9zCb+`=m$DdHBKb=y|+f@cN<@bMoX)Ru9zCDdH&7Q3uY3rxFYD3sboe}7TQA=U zY_qnWv;0rnqvouAVb#{lcY@DS9zCD#UelxJ)A}G&TQ5K6KX265^Vxo)d(?bZ*`!C$ z;eVR&=-JO6&7xKz&c1wvuq)uv^CbT;>(O%>o=bZ4G}+#> zJZcXAecPkw(|sr9(er7&oA&5AZEu?%J)iF1^xArPX@4u}(etF0A8Y7pr>-%5`E++C zJba!FZ)zSrzgV6&YU??i*P$)WvV6kvM!=)z^!z33(X*dda~?gX=UJ$0`KLFUFLbDN z+^i4Wl>q8A7@sSupAE8-PE}pl2kuII=vuW%${hh16*f zhy!=)42O>)_Y_yBL1QOQfbc~Mff)Yw5I=@G~9F+=Im`<@JOWAsUB9T6JE+;x}LeaI;KRaOrl zlbAT6y7*nJua!3t;E1j)5SSzA}76w+-56R zl#jhSV$iK%p^m00R)u7XbdZGpH?vT;*CyS4Nu_H9k(LAr85h1Adg7idzlIgddcRB- zhl5e1@3ab2$IVkgr+F&fciP+S(EJcF@atM<<1}|imzvXr$E3mw1G|+6eKL9Qj3w0b zz5K*mmpqt!`9pMp?6@;lEMw-d7D+C>q$BaK~?OD#oYyNNv@*UN~= zy-A>)T#GCs_v=6zx#m_x9)!(;?P1DsdE{dtloQ+ouM#%fj|4Y;bt1 zRz!YD0_EgFF%dZk+dR+)Ve6ivc0FM04%^8FNxgzVmWcLF zkkkiz@046Ojbj$OA=f&KC?45GD`D%e5wkyR{b8#PkW_7BPsl~mB3hHiuE}L+BC4C% z19I`Thz^2n5Nty-)NUwjLtz_cku*FBl#>gwMRb%7l#xriMRaTs$dc>aM06Z%r@=PC zpmq~sn*iJC36k(2E_!-OE|0@8i`|gR#&NWtI_4+xiSi*O}M@@;?GZ^!g-FPA*&&(VKLjjQn2$5xoVrn_=6WqIS2!wi&jx zLDH5WkR|^mK}5Gfb9+ku8w8G7?1o%FDWdnlb~kK0G-|g4wjHoN5FqIR8+$@7t`yOS z(%3cmPZ0E8hCLvcd(x#HJ8bkZjNV^?EV&Mo-U)#q{hA=#LF~V#MD#cA;eR=xgk0c_ zV-CA1mvrN3Vb|qq&1ElVCxLQuLAKD(4FXwmAvca$?1o&BE%Zfbw+QVPvzH~1mOxs@UX~|; za&kGi(D5qP@havu*f?gf8*+WN&@Vx|OVI8Q?8Ss+Lb{B-tWE;uM$gU~mk-A1&# zg}rQovXc0;cJr8{Tr0lC0e#9HV;8M&HR#9EaBrQ{-C9Bu3g zx%^keTBBWSv^zS384_y?sV$^qQY5w4fiiNnuZVSo=Gc^6t&F3MJt25CuZVRrv7=pQ z_R}Iv7Ti>DZ#6KakQ}~w|WE(5^3gDTh=Jsgk`^=|CB| z)K|m?lmVp#FAm1h#-5N%e?_bY?P}02%3gFxI;1#z8KeVcwR%VyA`@z35+A8iy>XYURD_*wyI377#6WhH6UBA2gWgn-IR-! zaYVZ-(C%uwF2$~fbTy=F*vlG2#MVH&mSb6`0oiiNFpfFwrd$w=Bie01yBpcdjgW4H zw2{5sY>3#+WpYWdh;7z@Y`G8^#~gN3E^fyW?Y5%b9qi=}NOwTGi@j_&L~MJRT+A+F z_i8}4Tvv``4!bF07Pqs|&O*C~S$Y`K!;l_jFOL}__E?!*sVrhoYCyJJcZ_2WyNS=X z-0nHFdk*bhVCe-&FF<;Uy}WFQ*vn;d-KdDYssY&|_PS0Pd_9NV6tOqC-J59lrY@I~ z;t1(2NPF3~7t&rxpR>;|3=#XHOfD7`v3(klEtiqvn8R+$#iKYv+7BtmUUC+YE*F!E z*pCMGGPx{O#D3O*Y!N%4Q)CCw?tm%Rm*NQNKahTBFTYzrx?E!_;=;g=wTO*Pw2L32 z0oihqF^)Oxrd&CSBc$e#T9TJ|OAEU$SCWeO5e9Z>k7O@LX+XAIHi{$KwK3&_QXC<* zgVce&bg+PQx$soPk2A2B$)%(s9@KzrxyTepv^x>)y6V(>S4dqUb!RW#Eg)SkDHZXP z4ea2Y!m;$$fNa6*N^wLx4ect}O9i9~Nd4GLKMP2gD@{dwpn<(it}hkwum)ty6{$F) zT?Fl7>?H;%25B&R8EgURa?PoTpK4$)lWTQFe1ryM3tm==BifBZyRqzLETplJ#<7=i z7LYC%ri%DP1ACcVUMk{~H6UB?!c!d4ZVK8>WiL}9O@)+XFG&kXmupT%e3pT|OfJI~ z@wpn1Emu|Jh<5YPZa#aN4{1K6h3sXa1*8jJwk+a{4eVud)v$;!(|~NbfEP!!J0I;X zWG@#&x)9Pz_Oj9f(&d_05&wgM9eRvq*-N4tU)|ujOEum#@VpSu6Dk9+L?2C`M{^C+ z`8j&E)1#*9--ABPNcBzI4oKz;4)ebOA7;(2ogGe*%$E)2^EW)(@$?=}_0F6snXhV! zc`)b03_bA9A%i6I^_*hBNcealE|`EI;I7nol_Qw;bj#whwc_ zw9E_{Uu>_##CJVjpZ%LW^Bau?e12i@U*z-OX&+|4Z$H`9STgrX-(ccf%N z;}4sEkj&pL{)=QcD7_TcbH#he3(5x+0jY%iZ=q)`#)_TX7}fB`aIwJ5r$%ZVfipm zI&R1P7s%d^lD?6p&(l84ZqJ{)J1m)Pa>^&ZN%Njj*PW@Qhe&2Shl%gjyv!~SG#f(i z17&zavXsw%7(UF-pAKzqOXhKgVrG**%oF!lcibYGK}|6~P53Z7P5WSqoS%53Q_N3v zA7=1~n}c$G;!RL7_h>%M6U3Uku9dxaw-j@C(1&^abN_mYJ~!js=^+^YBJ;ZfKFs6Z z+Hnef`2c2bO))<%^I>+Z-1gg%lBqdy;%h-~=8v7c_80joj#oI$53@eZ4pUb2ua-Xh zS*rIBGCs`q$MowEkj#OGV&YpsZ|}z(yS0k$(Qrm#O)=lIe34)9 zI^E&J=LqMF-b(r~j~afU$Mcdo$~mK*2_NQ>ozrJ*kj$|T^KZHjGce+buJRo=KF;D6 zm`iVJKFlLTusPlRBhHD2Vq)o!_uO0UJ1etOGAC<_`9{Epd3e7!j%y^DQ=B+oFY{rx zeDjlaXGrE$hxuyGhuNY{)06UcZn^CioNdFZq8Wl`yKzQcSm?Za%krCE#dlDW`QK3_=rFq>4( zy=0PPE;ba?Hhq|f47jIktYj|J6!Uq*huL`OCzoxL%=4W%pG*2M8})2;F5e~MM$%zE zTjs+Qy9X?LRr*|MsowGJxOXmoQk@!4yV+16oVMXAb?dqxBIPwBr{GTZC?7w7&^&WAZ*{}cCYmduXy zhtKH2tPivQz1CWr8F&E2f8o>0_%QoDn)`=*H^jp!#eBf_VOF(l`|T!X#JZUL7e4Q| ze3+I0m^<#@%!r+&E9QM^A7;g3y~Q%g>`8z4jCQ1anB~td8-1Z<_Occ8Uekx!*Q_4h zNizGGig}OW!_<1d^ZQ+rS?)0JPWmwWywdX{c_$F7(v{Ec2_I(fhd-IpU;5-}%4c+! z?!!D~LZbuuaj&+O&pS0AX0OI0ex4zH)|iTUN6?3Pa^o95%8!xmFt-JKm_0x4o|CWh z*dSf`yuHka*(1>M)qgMp4+0f)OU{Sc{gyRPGOlN(VCrwJ9wn{Qbnu>W_ z#)sK$(|a=?m&`E^^H$r3*;VhbO5U-=PScgo&6W?d%cDCUoFaWrpg%lDo6&b3@RFdF<0`PLg*lcp$5M{yE^o>~QzoziyO1muiZ6U6~KF{dcVf=dU)) zbBdYD`7n>Ua?%hPA0F~5=DMs8v)v6#y6^>w9sn!m+Kdmg?e=*e$UFboDnl`^wSAaJ zZ=F4@4KwiISTWaFKFl^({+2WG-j?CwCv!x?CATj4T>88%rzn%Eho;4 z(mu?_?~DsvFPRTJ%nMUK%tpsPciAJ7`Iw=4*MdGwF*aC!hh#pf<(ahb-Wz9G)gvR0 zlgy`c%I5`XLb#^p7l_fFW}k%8{mo<>bQd@}E2wnKHK&&f&AE5&p+(PN29^QP)(EYa zxskif$gc+*?U2m>aXiFa8u0O1b3@t8G0epB0p)WET<4#ix<11*OtPiu=eCmy-B(sI4md6kNoFJ5y|Z3FlPpQn5SH9eJ1ZS z^*)yBeMXrNvsb9ick+sgr6GzrJ?FzbdDjn5XJqeHnqp4N`Y?Mwb^bGP$?Ts~%&8e4 zW{>ZJOD0HWwZlBi_F;D4{>UW@C9}p-KF_p#m?!PuJLmz))D6X)lJ;SCd-=^rn@i>( zO)<|%`7paa8NXqvWDarSoNW3qyNtOkx|?jxiK-V#0^n>6z;)gOZuZD&_>;hZ)@8ar9e~IXV*vRLYoDcKZ z`9Cb3E15IWia9##!|d?LduO(h%-IfeRK|zd{)?xk{z)=%<-kV0kF8&pelg!mg`b%RJ3;Hly{kr1TC^2Y>75ycq9`Iov zzWCgS<`ILIU(sJ;qN#U&w!Gn;JyVH6OS9-NF>7)@%obgDj@(WREZIuaUt)%{KFq@g z7%i?MhS1k1=`S%u86RfzyUPPV5rdX{(O+U#+dj-@pLQ9Mzb@U7Rm_2w5A)Fb2cP{0 zF=&~XVh%|AFq>}MxA#!V+~hF(r+k=AB0oglmdx9d^q1o7XZkP?*|^or_r5i#m{o=k zv+@2V|IS}Swq+HwGU>x?^oPn!IWcI-nqrpwF-6TYe_bS*_b4X)7>IY=%gWAwK0ogF zC8baNr+yPYCHkL}!hf1r^VqN7g3?rk8d>iD0U#Xuw}3BnfP#P0!2RyPy`=m9`KO8e zoh~{>rV-5Hdqt$yN?_toT*<7&+VTRW~DlYXK> zXeL5O21>-WBTGJLtCzU)ck$$p<7#iwkk^iD*Q$5AfYDrp+9gWFb!V1*&{jWj$gGF+dv<&^9E=ts)Vb9Bx>YA1 zwAD{s^79b+xq6PNsCN&YylsPFuG zRGYO1j3Y#-CQxGDud&GoZS@nE{2WXEI4*n`@VQR3TR7%DFajbpsMP$rI!!)ktDm^q zYtmaju2H_@`1zzm{sG#NA~d|zIIhkrKlKxr{ESXMIbY*^$8pflv#tT-C=p7O8plgj zSoM@I`9#2pYqI4xj_bXKZF zT=I^c{M64l%BLMpTyuQKF&23GAyAGMp_!%T*ODappsjx5lFwGiAJ4D(zT^1Tid*jk zqpb*?Q)(O+ndF1E`iVL;%1ob;BD3tve2yl-zA zcQ`!`JWhnJFEx&1gUV0+#8qP{f1Iz)zVqv~%%s(z9WO$+q)N>DQM&R|KXJ(?r{t64 z+U7fs#)d3CPB}q@wv?J*LrwBQTm8f(pVN{*j_V%ZalB*Fgnxk%6rt^<#&J-Ze9%@u zamlB`xq6W91OJHvtF z%J`1si097w6^w2ow6oMW_Ry7|`iW~&TKVI+KCt}e{jNjyd<@!2BJ^IVaqO%sKlKxr z{9c57a$LK8=hqLTy8i-3cM4%?%}6VM9M^Zg<9N<9HH|?# zS%mhN8pk7T<)?n)nw?huIIf>Hzj;6PnHEQY)=PwbEH#d;vdT~W#C3L3`Qx~L^POKK zT6Ux7Ij4vaeq+V|^LF!~@>4%?o$L6cxajAeeeMfqT=&#gblY2m%Sz3!rYfv@dOa@4 zDu*0bbKh}n`bN{QLF*&JO-qeqnXdfQPreqVl|PQ_2;Xr$V(m9{|D}oW;U&fqKb@oe z)K6SX(#jvlb+qL-j=2G^H36-!2)8aVj`+DB<)?n)TJHGcxbQg4XMWuoJaZtrEf?YT zDgSvN`N^!`xZLMM9M_4ytIysopM%z4ga-yo#I;9Pe(EP*@_T>s z$#KQ;*ZV!+#-pL7V&{jWjU6o~LIB;CUbicT2&fiZ92nLGqkW%CL zUQ+p~pSZ3`D}Nl<7}GDVF&EuM&*iE`cvPuzeA`rh>L;$XY2}aOnqd3I)pN&={-A|K zcwDJ*{Hv+_)K6UNlgb~*btcBsJHH-^FM9&Cun131mzZC#rInxhiA$~yA)g%A4Bv5l z=f&3VgHa>G(@M>+7qjGpw)%3(s&a?xQ2(627Si%X5;<3SZz{fy&n zN#&38b&=^8SJQ9jZvrhQ!YhI$=KX_?pZbYwYp^ITe2wTcj&rrgXyIU7gjbcCU-#?E zPyNKTEvx)-zOM8g$1N+*qwg07iSXs6#&Nr;{M1ieccqm-j_X?AacthG-v-bIi|{q2 z#_Qy+Gy4Bw|$?#{E3YSd58#KUn^ww+rG7apLb6NmpJ@33mWl8r?JO` zt~O7D4!@z4r}4{tcb0fhq>FSZuWlC@wRyV|UfpgTI{ZFtp}$>9$p6KHI{t0n!r$K| z-7Z8%zZk3NoW6UtI;QVV>$`dIFjR!^OcuX#Rr=y9E!735DuZtG|EWxRCw=(wsUjXE z{4|wOsB4(?xhbGnD1G!JyQ*I|eeNsDP2VHB%fnNGr}R3eZ_3K(%kXfj2tR6-NZ<$YnSA3< z@Gwk-U$#r6Z?U1eaMQQ2C@uWr#ZRUc@ia;wUJdz;+BQu3+!VO!bKisA^tty7Zu(xa zReYSjkN793X>H>FNxwN-6pq(hY=#YH(O%% z%|#;Q#%akQ75QJiAg!CetwpKYgI4rYfcd7(Ynb%8DR9&0e!_6m=YAS;)AymS;^XxF zY}YY;e?9E`bKqg52!Ed|k-lVcS~8TrsYPj#FW$|X3WZY&3BRyt6zUo#eQpZe^u2AW ze%X^PaGn;q9!zfWB^b+ZtQk<#`rEfBlBR6;UVbMdO4h;GM z%R*hlq|Z%(o4${Os$VyK?z0{@efw;ehxE0{)-ioeBER*5htZ-YkSLM9iH7QeQb~h={waZ(wUU1D~eJj-#S+33V98a zJ~st!`hG%0a&y!7OR`YM=|c?!SBLb)>w4eU^XE9;bMT#8O^sP1ee##Ws2ewZv7)rd z7w@H-3Wa%)@I%2yp{_yFSC9fXeg93Wex3A1%k)B>OkYc-;%8$ur`7eoZ~Lihp}OF-aQgZcrA5xZ<+&oBMk!s2qui)%!=%qm zftx<}n|(KZN7^bD(#iB4XSzD1Z;@HYYv1o%#e8@eFKW&$b>CM}oR$pIf?p+8-EvA1 z1pPL6kxnDsc~+sWVbbTOz)fE}TlMRvuS2>}C)3w0>FSWaRjE3r&%FFOdht#WH5Xw> z_7`Nu`OwxIiI5woDnscz*(lPPl&W*BA{|=M53?8Q8YX>i3f%Msld4}geVz3}olIXJ zrIOdaYwLR7_tYUL^@EFvqUP#AiS*&S<^1NRuWPPIXHu$WDpkR?Z@R9O)V5*L=cd3- zUk|5WH+}AsKKI4jKda)y8>pz+RM-2y`%-N#gNI3?=B8wc^qp9osto$U&y=ffIi)70 z?<^eU#yT*loIs(jVba$>TiC}g2voF4>D=^HDHY#J%h{)!O3CTlQP=yvxd$)#3LZ`u zHFuS|?>o*=U2s}5l)esDkUc}?{;Y;lNMr|8r_PHr= z)90=^chfi2iN$^Kj?-0qJp0}V)-ipXp81BB$DAQ*UP+Zm-%&_}+}!kGEmxt=r1Xu% zQEtrR^bNBMbq$j~HwA9`M(L_wH+^G+g*rL=res|mX5ZiIdf)di^X%8)VT!1EFI^&i zEiKiBo4)3`BArR;8>&2p)yrf;gP`gPOi z{`6qxl!AOdGWd_aMOoRU-`{V-;!jZPG0*~1zjD|*R8HME{z7~{tOS( zM5I%R8<%{|2GxD zm6EP~k*Y);XWx@=oDznI=^}!{I=(O7Sk6*ixalkWbeCUcfcj!;bJKT{UZ`uB^j%>W z_OS~BRh(3to4(cALY(Z_c=^LD^WBSTB%#6T8QbeMe66yOor@C;{w-0kmZmctD z_I1HgZf^QI73mr#eQpY+%rhSYFK*cx8=jx8(-HOm*-~p{2d-< zh{%|1iS&J8s4m>}?L|OxbJKUcU8F;TscxRyh}SUbb5r1^?>bZU>(0LGlZ85&zAZsl zhxE;?>y68f-p?Ki4>Lssm81M$yl)k!Dnn^`vsh=6uDz~8;goXv+QK5YhD+a;V7?2! zHp|&}OH%3F^xdi#>SX%vQ7X(*q;GLuZ(MrK>-7j+%o36FFg*${Y3hL$YQ(8NI4zvM zSCJgKvCgFQwZ>6yZu$atp{`+OpPK^eSEg^9>bBs;duLWDDWx)f52all(sy~Fj@Q16 zd;fDGJj@o6+P`h!r0-=zb>XJ(1*=GBQu%~^L8l{9D6 zn#!3oYD1;W867Q9#+*@GDq+s39hEOfHEQ70-(&geucNzSMPltE8Fix)G=NHnGa5)`!x>dm$#6y?Di_WuOr^pZ)livm zMiD9z&L~Rd!5QgP8k|v#%7QbB3zP(BG>FQ9Ga5{#z!?ppGT@AcQVDQIr&9TEM#HG| zH>2TH_M6cND*4T5B$fMSG>S@nGa5~0z8Q_765ou*Qh9Gi2`cT)=rk(p&1f8z^ky`k z%6T)IK&8AHO{6m3j3!YDZ$_t6`EEv&sdP7^GpKAgqbXFfo6(t6uA9+WRH~cNR4UWW zXd0F1W;C73b2Ca(X>LX{s4O?5nN*US(JU&*&1g22;$}35%5XE9OC`7&olWJpd7DS2 zw;7#7Ww#lfOC`4%&8KqPj22L-ZQjnKGTV$63Y6Gpw1~=UGcu^OHlxKFve%6ML?y2oT|?!r8LgpG*Nm>EGS`gOQi*Fu>!`dnqZF04X0)ElS~I$i zN?J4eGnKPuw1G-lGrFG2STnkTN?0?xk;+#yx=EmPHKUDGwwlq+RI-}UEmW?W(IzTY z&1f@~sb+L5m8fQP8#?xk|mjCN3|X-4-^nQ2D%Q;BIt4+xZ(W`x4CT_k#t z%1X2DAu1`&=wX3!(u^LVQqqhbr83ft9-|V{j2@@*(TtwJ^PU8wC-IO>@}9yYG>M+3 za?xykhDt>vdp2|bB&K4*Q&FBRx3(e?7DhbW#B`OEa=w*DvBhf2V z2AXw$p%T!HUZwKSj9#PC&x~HDvd@g(z*oBr%Kl2_o*BMLrJfo6jmkVT+DRpz8NEg2 zoq5YpX=g@nQ(0$5?@&o+M(hQs0=fse^Lo%Mqg6-Wk&m`^fGT>QQ2iiUsK6tM&D4mWk&y^Qp=3?Q<-H(-%^QX z-oB&q%8XD3`D>HW_f%Gy(GOHonbD6_PMNo#sFX6Je^VJ{Mn6*tWk$bH`D8{1sB|)K zzf##`MhB^6GNb=cxnxGaQK@7`|D`g?y!}okk{SJv$|DoP9VAL4GZIu5nNcGuiOi@m zl|yE92$e!+)P%|)GipjDkQp6{Z^Q|diDp##m{D^od(7xCDtXMP1(iEy)RIaaGdi5g z95ZS~C5{;#AyD3!QGiMtGdhyW8Z$bIN*Xh2P34RkwV_hRjE<%<#*Erh31dd>sC+S_ zV+2YUGipy|iy3vGlEsXUrEqpnnj`2PS_-3hn= diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304.sort b/base/cores/ecp5/TDC/Adder_304/Adder_304.sort deleted file mode 100644 index e9b2fd0..0000000 --- a/base/cores/ecp5/TDC/Adder_304/Adder_304.sort +++ /dev/null @@ -1 +0,0 @@ -Adder_304.vhd diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304.srp b/base/cores/ecp5/TDC/Adder_304/Adder_304.srp deleted file mode 100644 index 4222cb9..0000000 --- a/base/cores/ecp5/TDC/Adder_304/Adder_304.srp +++ /dev/null @@ -1,32 +0,0 @@ -SCUBA, Version Diamond (64-bit) 3.4.0.80 -Fri Mar 20 11:44:28 2015 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2014 Lattice Semiconductor Corporation, All rights reserved. - - Issued command : /opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n Adder_304 -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type mgaddsub -width 64 -unsigned -output_reg -enable -pipeline 0 -fdc /home/cugur/Projects/TDC_on_TRB3/tdc/base/cores/ecp5/TDC/Adder_304/Adder_304.fdc - Circuit name : Adder_304 - Module type : add - Module Version : 3.4 - Width : 64 - Ports : - Inputs : DataA[63:0], DataB[63:0], Clock, Reset, ClockEn - Outputs : Result[63:0] - I/O buffer : not inserted - Representation : unsigned number - EDIF output : Adder_304.edn - VHDL output : Adder_304.vhd - VHDL template : Adder_304_tmpl.vhd - VHDL testbench : tb_Adder_304_tmpl.vhd - VHDL purpose : for synthesis and simulation - Bus notation : big endian - Report output : Adder_304.srp - Element Usage : - CCU2C : 33 - FD1P3DX : 64 - Estimated Resource Usage: - LUT : 66 - Reg : 64 diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304.vhd b/base/cores/ecp5/TDC/Adder_304/Adder_304.vhd deleted file mode 100644 index 37eca12..0000000 --- a/base/cores/ecp5/TDC/Adder_304/Adder_304.vhd +++ /dev/null @@ -1,816 +0,0 @@ --- VHDL netlist generated by SCUBA Diamond (64-bit) 3.4.0.80 --- Module Version: 3.4 ---/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n Adder_304 -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type add -width 64 -unsigned -output_reg -enable -pipeline 0 -fdc /home/cugur/Projects/TDC_on_TRB3/tdc/base/cores/ecp5/TDC/Adder_304/Adder_304.fdc - --- Fri Mar 20 11:44:28 2015 - -library IEEE; -use IEEE.std_logic_1164.all; -library ecp5um; -use ecp5um.components.all; - -entity Adder_304 is - port ( - DataA: in std_logic_vector(63 downto 0); - DataB: in std_logic_vector(63 downto 0); - Clock: in std_logic; - Reset: in std_logic; - ClockEn: in std_logic; - Result: out std_logic_vector(63 downto 0)); -end Adder_304; - -architecture Structure of Adder_304 is - - -- internal signal declarations - signal r0_sum63: std_logic; - signal r0_sum62: std_logic; - signal r0_sum61: std_logic; - signal r0_sum60: std_logic; - signal r0_sum59: std_logic; - signal r0_sum58: std_logic; - signal r0_sum57: std_logic; - signal r0_sum56: std_logic; - signal r0_sum55: std_logic; - signal r0_sum54: std_logic; - signal r0_sum53: std_logic; - signal r0_sum52: std_logic; - signal r0_sum51: std_logic; - signal r0_sum50: std_logic; - signal r0_sum49: std_logic; - signal r0_sum48: std_logic; - signal r0_sum47: std_logic; - signal r0_sum46: std_logic; - signal r0_sum45: std_logic; - signal r0_sum44: std_logic; - signal r0_sum43: std_logic; - signal r0_sum42: std_logic; - signal r0_sum41: std_logic; - signal r0_sum40: std_logic; - signal r0_sum39: std_logic; - signal r0_sum38: std_logic; - signal r0_sum37: std_logic; - signal r0_sum36: std_logic; - signal r0_sum35: std_logic; - signal r0_sum34: std_logic; - signal r0_sum33: std_logic; - signal r0_sum32: std_logic; - signal r0_sum31: std_logic; - signal r0_sum30: std_logic; - signal r0_sum29: std_logic; - signal r0_sum28: std_logic; - signal r0_sum27: std_logic; - signal r0_sum26: std_logic; - signal r0_sum25: std_logic; - signal r0_sum24: std_logic; - signal r0_sum23: std_logic; - signal r0_sum22: std_logic; - signal r0_sum21: std_logic; - signal r0_sum20: std_logic; - signal r0_sum19: std_logic; - signal r0_sum18: std_logic; - signal r0_sum17: std_logic; - signal r0_sum16: std_logic; - signal r0_sum15: std_logic; - signal r0_sum14: std_logic; - signal r0_sum13: std_logic; - signal r0_sum12: std_logic; - signal r0_sum11: std_logic; - signal r0_sum10: std_logic; - signal r0_sum9: std_logic; - signal r0_sum8: std_logic; - signal r0_sum7: std_logic; - signal r0_sum6: std_logic; - signal r0_sum5: std_logic; - signal r0_sum4: std_logic; - signal r0_sum3: std_logic; - signal r0_sum2: std_logic; - signal r0_sum1: std_logic; - signal r0_sum0: std_logic; - signal tsum0: std_logic; - signal tsum1: std_logic; - signal tsum2: std_logic; - signal co0: std_logic; - signal tsum3: std_logic; - signal tsum4: std_logic; - signal co1: std_logic; - signal tsum5: std_logic; - signal tsum6: std_logic; - signal co2: std_logic; - signal tsum7: std_logic; - signal tsum8: std_logic; - signal co3: std_logic; - signal tsum9: std_logic; - signal tsum10: std_logic; - signal co4: std_logic; - signal tsum11: std_logic; - signal tsum12: std_logic; - signal co5: std_logic; - signal tsum13: std_logic; - signal tsum14: std_logic; - signal co6: std_logic; - signal tsum15: std_logic; - signal tsum16: std_logic; - signal co7: std_logic; - signal tsum17: std_logic; - signal tsum18: std_logic; - signal co8: std_logic; - signal tsum19: std_logic; - signal tsum20: std_logic; - signal co9: std_logic; - signal tsum21: std_logic; - signal tsum22: std_logic; - signal co10: std_logic; - signal tsum23: std_logic; - signal tsum24: std_logic; - signal co11: std_logic; - signal tsum25: std_logic; - signal tsum26: std_logic; - signal co12: std_logic; - signal tsum27: std_logic; - signal tsum28: std_logic; - signal co13: std_logic; - signal tsum29: std_logic; - signal tsum30: std_logic; - signal co14: std_logic; - signal tsum31: std_logic; - signal tsum32: std_logic; - signal co15: std_logic; - signal tsum33: std_logic; - signal tsum34: std_logic; - signal co16: std_logic; - signal tsum35: std_logic; - signal tsum36: std_logic; - signal co17: std_logic; - signal tsum37: std_logic; - signal tsum38: std_logic; - signal co18: std_logic; - signal tsum39: std_logic; - signal tsum40: std_logic; - signal co19: std_logic; - signal tsum41: std_logic; - signal tsum42: std_logic; - signal co20: std_logic; - signal tsum43: std_logic; - signal tsum44: std_logic; - signal co21: std_logic; - signal tsum45: std_logic; - signal tsum46: std_logic; - signal co22: std_logic; - signal tsum47: std_logic; - signal tsum48: std_logic; - signal co23: std_logic; - signal tsum49: std_logic; - signal tsum50: std_logic; - signal co24: std_logic; - signal tsum51: std_logic; - signal tsum52: std_logic; - signal co25: std_logic; - signal tsum53: std_logic; - signal tsum54: std_logic; - signal co26: std_logic; - signal tsum55: std_logic; - signal tsum56: std_logic; - signal co27: std_logic; - signal tsum57: std_logic; - signal tsum58: std_logic; - signal co28: std_logic; - signal tsum59: std_logic; - signal tsum60: std_logic; - signal co29: std_logic; - signal tsum61: std_logic; - signal tsum62: std_logic; - signal co30: std_logic; - signal tsum63: std_logic; - signal scuba_vhi: std_logic; - signal scuba_vlo: std_logic; - signal co31: std_logic; - - attribute GSR : string; - attribute GSR of FF_63 : label is "ENABLED"; - attribute GSR of FF_62 : label is "ENABLED"; - attribute GSR of FF_61 : label is "ENABLED"; - attribute GSR of FF_60 : label is "ENABLED"; - attribute GSR of FF_59 : label is "ENABLED"; - attribute GSR of FF_58 : label is "ENABLED"; - attribute GSR of FF_57 : label is "ENABLED"; - attribute GSR of FF_56 : label is "ENABLED"; - attribute GSR of FF_55 : label is "ENABLED"; - attribute GSR of FF_54 : label is "ENABLED"; - attribute GSR of FF_53 : label is "ENABLED"; - attribute GSR of FF_52 : label is "ENABLED"; - attribute GSR of FF_51 : label is "ENABLED"; - attribute GSR of FF_50 : label is "ENABLED"; - attribute GSR of FF_49 : label is "ENABLED"; - attribute GSR of FF_48 : label is "ENABLED"; - attribute GSR of FF_47 : label is "ENABLED"; - attribute GSR of FF_46 : label is "ENABLED"; - attribute GSR of FF_45 : label is "ENABLED"; - attribute GSR of FF_44 : label is "ENABLED"; - attribute GSR of FF_43 : label is "ENABLED"; - attribute GSR of FF_42 : label is "ENABLED"; - attribute GSR of FF_41 : label is "ENABLED"; - attribute GSR of FF_40 : label is "ENABLED"; - attribute GSR of FF_39 : label is "ENABLED"; - attribute GSR of FF_38 : label is "ENABLED"; - attribute GSR of FF_37 : label is "ENABLED"; - attribute GSR of FF_36 : label is "ENABLED"; - attribute GSR of FF_35 : label is "ENABLED"; - attribute GSR of FF_34 : label is "ENABLED"; - attribute GSR of FF_33 : label is "ENABLED"; - attribute GSR of FF_32 : label is "ENABLED"; - attribute GSR of FF_31 : label is "ENABLED"; - attribute GSR of FF_30 : label is "ENABLED"; - attribute GSR of FF_29 : label is "ENABLED"; - attribute GSR of FF_28 : label is "ENABLED"; - attribute GSR of FF_27 : label is "ENABLED"; - attribute GSR of FF_26 : label is "ENABLED"; - attribute GSR of FF_25 : label is "ENABLED"; - attribute GSR of FF_24 : label is "ENABLED"; - attribute GSR of FF_23 : label is "ENABLED"; - attribute GSR of FF_22 : label is "ENABLED"; - attribute GSR of FF_21 : label is "ENABLED"; - attribute GSR of FF_20 : label is "ENABLED"; - attribute GSR of FF_19 : label is "ENABLED"; - attribute GSR of FF_18 : label is "ENABLED"; - attribute GSR of FF_17 : label is "ENABLED"; - attribute GSR of FF_16 : label is "ENABLED"; - attribute GSR of FF_15 : label is "ENABLED"; - attribute GSR of FF_14 : label is "ENABLED"; - attribute GSR of FF_13 : label is "ENABLED"; - attribute GSR of FF_12 : label is "ENABLED"; - attribute GSR of FF_11 : label is "ENABLED"; - attribute GSR of FF_10 : label is "ENABLED"; - attribute GSR of FF_9 : label is "ENABLED"; - attribute GSR of FF_8 : label is "ENABLED"; - attribute GSR of FF_7 : label is "ENABLED"; - attribute GSR of FF_6 : label is "ENABLED"; - attribute GSR of FF_5 : label is "ENABLED"; - attribute GSR of FF_4 : label is "ENABLED"; - attribute GSR of FF_3 : label is "ENABLED"; - attribute GSR of FF_2 : label is "ENABLED"; - attribute GSR of FF_1 : label is "ENABLED"; - attribute GSR of FF_0 : label is "ENABLED"; - attribute syn_keep : boolean; - attribute NGD_DRC_MASK : integer; - attribute NGD_DRC_MASK of Structure : architecture is 1; - -begin - -- component instantiation statements - FF_63: FD1P3DX - port map (D=>tsum63, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum63); - - FF_62: FD1P3DX - port map (D=>tsum62, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum62); - - FF_61: FD1P3DX - port map (D=>tsum61, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum61); - - FF_60: FD1P3DX - port map (D=>tsum60, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum60); - - FF_59: FD1P3DX - port map (D=>tsum59, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum59); - - FF_58: FD1P3DX - port map (D=>tsum58, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum58); - - FF_57: FD1P3DX - port map (D=>tsum57, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum57); - - FF_56: FD1P3DX - port map (D=>tsum56, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum56); - - FF_55: FD1P3DX - port map (D=>tsum55, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum55); - - FF_54: FD1P3DX - port map (D=>tsum54, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum54); - - FF_53: FD1P3DX - port map (D=>tsum53, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum53); - - FF_52: FD1P3DX - port map (D=>tsum52, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum52); - - FF_51: FD1P3DX - port map (D=>tsum51, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum51); - - FF_50: FD1P3DX - port map (D=>tsum50, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum50); - - FF_49: FD1P3DX - port map (D=>tsum49, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum49); - - FF_48: FD1P3DX - port map (D=>tsum48, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum48); - - FF_47: FD1P3DX - port map (D=>tsum47, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum47); - - FF_46: FD1P3DX - port map (D=>tsum46, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum46); - - FF_45: FD1P3DX - port map (D=>tsum45, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum45); - - FF_44: FD1P3DX - port map (D=>tsum44, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum44); - - FF_43: FD1P3DX - port map (D=>tsum43, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum43); - - FF_42: FD1P3DX - port map (D=>tsum42, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum42); - - FF_41: FD1P3DX - port map (D=>tsum41, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum41); - - FF_40: FD1P3DX - port map (D=>tsum40, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum40); - - FF_39: FD1P3DX - port map (D=>tsum39, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum39); - - FF_38: FD1P3DX - port map (D=>tsum38, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum38); - - FF_37: FD1P3DX - port map (D=>tsum37, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum37); - - FF_36: FD1P3DX - port map (D=>tsum36, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum36); - - FF_35: FD1P3DX - port map (D=>tsum35, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum35); - - FF_34: FD1P3DX - port map (D=>tsum34, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum34); - - FF_33: FD1P3DX - port map (D=>tsum33, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum33); - - FF_32: FD1P3DX - port map (D=>tsum32, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum32); - - FF_31: FD1P3DX - port map (D=>tsum31, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum31); - - FF_30: FD1P3DX - port map (D=>tsum30, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum30); - - FF_29: FD1P3DX - port map (D=>tsum29, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum29); - - FF_28: FD1P3DX - port map (D=>tsum28, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum28); - - FF_27: FD1P3DX - port map (D=>tsum27, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum27); - - FF_26: FD1P3DX - port map (D=>tsum26, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum26); - - FF_25: FD1P3DX - port map (D=>tsum25, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum25); - - FF_24: FD1P3DX - port map (D=>tsum24, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum24); - - FF_23: FD1P3DX - port map (D=>tsum23, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum23); - - FF_22: FD1P3DX - port map (D=>tsum22, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum22); - - FF_21: FD1P3DX - port map (D=>tsum21, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum21); - - FF_20: FD1P3DX - port map (D=>tsum20, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum20); - - FF_19: FD1P3DX - port map (D=>tsum19, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum19); - - FF_18: FD1P3DX - port map (D=>tsum18, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum18); - - FF_17: FD1P3DX - port map (D=>tsum17, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum17); - - FF_16: FD1P3DX - port map (D=>tsum16, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum16); - - FF_15: FD1P3DX - port map (D=>tsum15, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum15); - - FF_14: FD1P3DX - port map (D=>tsum14, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum14); - - FF_13: FD1P3DX - port map (D=>tsum13, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum13); - - FF_12: FD1P3DX - port map (D=>tsum12, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum12); - - FF_11: FD1P3DX - port map (D=>tsum11, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum11); - - FF_10: FD1P3DX - port map (D=>tsum10, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum10); - - FF_9: FD1P3DX - port map (D=>tsum9, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum9); - - FF_8: FD1P3DX - port map (D=>tsum8, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum8); - - FF_7: FD1P3DX - port map (D=>tsum7, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum7); - - FF_6: FD1P3DX - port map (D=>tsum6, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum6); - - FF_5: FD1P3DX - port map (D=>tsum5, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum5); - - FF_4: FD1P3DX - port map (D=>tsum4, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum4); - - FF_3: FD1P3DX - port map (D=>tsum3, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum3); - - FF_2: FD1P3DX - port map (D=>tsum2, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum2); - - FF_1: FD1P3DX - port map (D=>tsum1, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum1); - - FF_0: FD1P3DX - port map (D=>tsum0, SP=>ClockEn, CK=>Clock, CD=>Reset, - Q=>r0_sum0); - - addsub_0: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>scuba_vlo, A1=>DataA(0), B0=>scuba_vlo, - B1=>DataB(0), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>tsum0, COUT=>co0); - - addsub_1: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(1), A1=>DataA(2), B0=>DataB(1), B1=>DataB(2), - C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, - CIN=>co0, S0=>tsum1, S1=>tsum2, COUT=>co1); - - addsub_2: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(3), A1=>DataA(4), B0=>DataB(3), B1=>DataB(4), - C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, - CIN=>co1, S0=>tsum3, S1=>tsum4, COUT=>co2); - - addsub_3: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(5), A1=>DataA(6), B0=>DataB(5), B1=>DataB(6), - C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, - CIN=>co2, S0=>tsum5, S1=>tsum6, COUT=>co3); - - addsub_4: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(7), A1=>DataA(8), B0=>DataB(7), B1=>DataB(8), - C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, - CIN=>co3, S0=>tsum7, S1=>tsum8, COUT=>co4); - - addsub_5: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(9), A1=>DataA(10), B0=>DataB(9), - B1=>DataB(10), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co4, S0=>tsum9, S1=>tsum10, COUT=>co5); - - addsub_6: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(11), A1=>DataA(12), B0=>DataB(11), - B1=>DataB(12), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co5, S0=>tsum11, S1=>tsum12, COUT=>co6); - - addsub_7: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(13), A1=>DataA(14), B0=>DataB(13), - B1=>DataB(14), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co6, S0=>tsum13, S1=>tsum14, COUT=>co7); - - addsub_8: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(15), A1=>DataA(16), B0=>DataB(15), - B1=>DataB(16), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co7, S0=>tsum15, S1=>tsum16, COUT=>co8); - - addsub_9: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(17), A1=>DataA(18), B0=>DataB(17), - B1=>DataB(18), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co8, S0=>tsum17, S1=>tsum18, COUT=>co9); - - addsub_10: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(19), A1=>DataA(20), B0=>DataB(19), - B1=>DataB(20), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co9, S0=>tsum19, S1=>tsum20, COUT=>co10); - - addsub_11: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(21), A1=>DataA(22), B0=>DataB(21), - B1=>DataB(22), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co10, S0=>tsum21, S1=>tsum22, COUT=>co11); - - addsub_12: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(23), A1=>DataA(24), B0=>DataB(23), - B1=>DataB(24), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co11, S0=>tsum23, S1=>tsum24, COUT=>co12); - - addsub_13: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(25), A1=>DataA(26), B0=>DataB(25), - B1=>DataB(26), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co12, S0=>tsum25, S1=>tsum26, COUT=>co13); - - addsub_14: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(27), A1=>DataA(28), B0=>DataB(27), - B1=>DataB(28), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co13, S0=>tsum27, S1=>tsum28, COUT=>co14); - - addsub_15: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(29), A1=>DataA(30), B0=>DataB(29), - B1=>DataB(30), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co14, S0=>tsum29, S1=>tsum30, COUT=>co15); - - addsub_16: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(31), A1=>DataA(32), B0=>DataB(31), - B1=>DataB(32), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co15, S0=>tsum31, S1=>tsum32, COUT=>co16); - - addsub_17: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(33), A1=>DataA(34), B0=>DataB(33), - B1=>DataB(34), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co16, S0=>tsum33, S1=>tsum34, COUT=>co17); - - addsub_18: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(35), A1=>DataA(36), B0=>DataB(35), - B1=>DataB(36), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co17, S0=>tsum35, S1=>tsum36, COUT=>co18); - - addsub_19: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(37), A1=>DataA(38), B0=>DataB(37), - B1=>DataB(38), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co18, S0=>tsum37, S1=>tsum38, COUT=>co19); - - addsub_20: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(39), A1=>DataA(40), B0=>DataB(39), - B1=>DataB(40), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co19, S0=>tsum39, S1=>tsum40, COUT=>co20); - - addsub_21: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(41), A1=>DataA(42), B0=>DataB(41), - B1=>DataB(42), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co20, S0=>tsum41, S1=>tsum42, COUT=>co21); - - addsub_22: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(43), A1=>DataA(44), B0=>DataB(43), - B1=>DataB(44), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co21, S0=>tsum43, S1=>tsum44, COUT=>co22); - - addsub_23: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(45), A1=>DataA(46), B0=>DataB(45), - B1=>DataB(46), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co22, S0=>tsum45, S1=>tsum46, COUT=>co23); - - addsub_24: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(47), A1=>DataA(48), B0=>DataB(47), - B1=>DataB(48), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co23, S0=>tsum47, S1=>tsum48, COUT=>co24); - - addsub_25: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(49), A1=>DataA(50), B0=>DataB(49), - B1=>DataB(50), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co24, S0=>tsum49, S1=>tsum50, COUT=>co25); - - addsub_26: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(51), A1=>DataA(52), B0=>DataB(51), - B1=>DataB(52), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co25, S0=>tsum51, S1=>tsum52, COUT=>co26); - - addsub_27: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(53), A1=>DataA(54), B0=>DataB(53), - B1=>DataB(54), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co26, S0=>tsum53, S1=>tsum54, COUT=>co27); - - addsub_28: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(55), A1=>DataA(56), B0=>DataB(55), - B1=>DataB(56), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co27, S0=>tsum55, S1=>tsum56, COUT=>co28); - - addsub_29: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(57), A1=>DataA(58), B0=>DataB(57), - B1=>DataB(58), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co28, S0=>tsum57, S1=>tsum58, COUT=>co29); - - addsub_30: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(59), A1=>DataA(60), B0=>DataB(59), - B1=>DataB(60), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co29, S0=>tsum59, S1=>tsum60, COUT=>co30); - - addsub_31: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(61), A1=>DataA(62), B0=>DataB(61), - B1=>DataB(62), C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co30, S0=>tsum61, S1=>tsum62, COUT=>co31); - - scuba_vhi_inst: VHI - port map (Z=>scuba_vhi); - - scuba_vlo_inst: VLO - port map (Z=>scuba_vlo); - - addsub_32: CCU2C - generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", - INIT0=> X"66AA") - port map (A0=>DataA(63), A1=>scuba_vlo, B0=>DataB(63), - B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, - D1=>scuba_vhi, CIN=>co31, S0=>tsum63, S1=>open, COUT=>open); - - Result(63) <= r0_sum63; - Result(62) <= r0_sum62; - Result(61) <= r0_sum61; - Result(60) <= r0_sum60; - Result(59) <= r0_sum59; - Result(58) <= r0_sum58; - Result(57) <= r0_sum57; - Result(56) <= r0_sum56; - Result(55) <= r0_sum55; - Result(54) <= r0_sum54; - Result(53) <= r0_sum53; - Result(52) <= r0_sum52; - Result(51) <= r0_sum51; - Result(50) <= r0_sum50; - Result(49) <= r0_sum49; - Result(48) <= r0_sum48; - Result(47) <= r0_sum47; - Result(46) <= r0_sum46; - Result(45) <= r0_sum45; - Result(44) <= r0_sum44; - Result(43) <= r0_sum43; - Result(42) <= r0_sum42; - Result(41) <= r0_sum41; - Result(40) <= r0_sum40; - Result(39) <= r0_sum39; - Result(38) <= r0_sum38; - Result(37) <= r0_sum37; - Result(36) <= r0_sum36; - Result(35) <= r0_sum35; - Result(34) <= r0_sum34; - Result(33) <= r0_sum33; - Result(32) <= r0_sum32; - Result(31) <= r0_sum31; - Result(30) <= r0_sum30; - Result(29) <= r0_sum29; - Result(28) <= r0_sum28; - Result(27) <= r0_sum27; - Result(26) <= r0_sum26; - Result(25) <= r0_sum25; - Result(24) <= r0_sum24; - Result(23) <= r0_sum23; - Result(22) <= r0_sum22; - Result(21) <= r0_sum21; - Result(20) <= r0_sum20; - Result(19) <= r0_sum19; - Result(18) <= r0_sum18; - Result(17) <= r0_sum17; - Result(16) <= r0_sum16; - Result(15) <= r0_sum15; - Result(14) <= r0_sum14; - Result(13) <= r0_sum13; - Result(12) <= r0_sum12; - Result(11) <= r0_sum11; - Result(10) <= r0_sum10; - Result(9) <= r0_sum9; - Result(8) <= r0_sum8; - Result(7) <= r0_sum7; - Result(6) <= r0_sum6; - Result(5) <= r0_sum5; - Result(4) <= r0_sum4; - Result(3) <= r0_sum3; - Result(2) <= r0_sum2; - Result(1) <= r0_sum1; - Result(0) <= r0_sum0; -end Structure; diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304_generate.log b/base/cores/ecp5/TDC/Adder_304/Adder_304_generate.log deleted file mode 100644 index 6d09103..0000000 --- a/base/cores/ecp5/TDC/Adder_304/Adder_304_generate.log +++ /dev/null @@ -1,50 +0,0 @@ -Starting process: - -Configuration data saved - - -SCUBA, Version Diamond (64-bit) 3.4.0.80 -Fri Mar 20 11:44:26 2015 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2014 Lattice Semiconductor Corporation, All rights reserved. - -BEGIN SCUBA Module Synthesis - - Issued command : /opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n Adder_304 -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type mgaddsub -width 64 -unsigned -output_reg -enable -pipeline 0 - Circuit name : Adder_304 - Module type : add - Module Version : 3.4 - Width : 64 - Ports : - Inputs : DataA[63:0], DataB[63:0], Clock, Reset, ClockEn - Outputs : Result[63:0] - I/O buffer : not inserted - Representation : unsigned number - EDIF output : Adder_304.edn - VHDL output : Adder_304.vhd - VHDL template : Adder_304_tmpl.vhd - VHDL testbench : tb_Adder_304_tmpl.vhd - VHDL purpose : for synthesis and simulation - Bus notation : big endian - Report output : Adder_304.srp - Estimated Resource Usage: - LUT : 66 - Reg : 64 - -END SCUBA Module Synthesis - -File: Adder_304.lpc created. - - -End process: completed successfully. - - -Total Warnings: 0 - -Total Errors: 0 - - diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304_ngd.asd b/base/cores/ecp5/TDC/Adder_304/Adder_304_ngd.asd deleted file mode 100644 index c265c78..0000000 --- a/base/cores/ecp5/TDC/Adder_304/Adder_304_ngd.asd +++ /dev/null @@ -1 +0,0 @@ -[ActiveSupport NGD] diff --git a/base/cores/ecp5/TDC/Adder_304/Adder_304_tmpl.vhd b/base/cores/ecp5/TDC/Adder_304/Adder_304_tmpl.vhd deleted file mode 100644 index 8e05d6b..0000000 --- a/base/cores/ecp5/TDC/Adder_304/Adder_304_tmpl.vhd +++ /dev/null @@ -1,16 +0,0 @@ --- VHDL module instantiation generated by SCUBA Diamond (64-bit) 3.4.0.80 --- Module Version: 3.4 --- Fri Mar 20 11:44:28 2015 - --- parameterized module component declaration -component Adder_304 - port (DataA: in std_logic_vector(63 downto 0); - DataB: in std_logic_vector(63 downto 0); Clock: in std_logic; - Reset: in std_logic; ClockEn: in std_logic; - Result: out std_logic_vector(63 downto 0)); -end component; - --- parameterized module component instance -__ : Adder_304 - port map (DataA(63 downto 0)=>__, DataB(63 downto 0)=>__, Clock=>__, - Reset=>__, ClockEn=>__, Result(63 downto 0)=>__); diff --git a/base/cores/ecp5/TDC/Adder_304/generate_core.tcl b/base/cores/ecp5/TDC/Adder_304/generate_core.tcl deleted file mode 100644 index bb1c8f5..0000000 --- a/base/cores/ecp5/TDC/Adder_304/generate_core.tcl +++ /dev/null @@ -1,100 +0,0 @@ -#!/usr/local/bin/wish - -proc GetPlatform {} { - global tcl_platform - - set cpu $tcl_platform(machine) - - switch $cpu { - intel - - i*86* { - set cpu ix86 - } - x86_64 { - if {$tcl_platform(wordSize) == 4} { - set cpu ix86 - } - } - } - - switch $tcl_platform(platform) { - windows { - if {$cpu == "amd64"} { - # Do not check wordSize, win32-x64 is an IL32P64 platform. - set cpu x86_64 - } - if {$cpu == "x86_64"} { - return "nt64" - } else { - return "nt" - } - } - unix { - if {$tcl_platform(os) == "Linux"} { - if {$cpu == "x86_64"} { - return "lin64" - } else { - return "lin" - } - } else { - return "sol" - } - } - } - return "nt" -} - -proc GetCmdLine {lpcfile} { - global Para - - if [catch {open $lpcfile r} fileid] { - puts "Cannot open $para_file file!" - exit -1 - } - - seek $fileid 0 start - set default_match 0 - while {[gets $fileid line] >= 0} { - if {[string first "\[Command\]" $line] == 0} { - set default_match 1 - continue - } - if {[string first "\[" $line] == 0} { - set default_match 0 - } - if {$default_match == 1} { - if [regexp {([^=]*)=(.*)} $line match parameter value] { - if [regexp {([ |\t]*;)} $parameter match] {continue} - if [regexp {(.*)[ |\t]*;} $value match temp] { - set Para($parameter) $temp - } else { - set Para($parameter) $value - } - } - } - } - set default_match 0 - close $fileid - - return $Para(cmd_line) -} - -set platformpath [GetPlatform] -set Para(sbp_path) [file dirname [info script]] -set Para(install_dir) $env(TOOLRTF) -set Para(FPGAPath) "[file join $Para(install_dir) ispfpga bin $platformpath]" - -set scuba "$Para(FPGAPath)/scuba" -set modulename "Adder_304" -set lang "vhdl" -set lpcfile "$Para(sbp_path)/$modulename.lpc" -set arch "sa5p00m" -set cmd_line [GetCmdLine $lpcfile] -set fdcfile "$Para(sbp_path)/$modulename.fdc" -if {[file exists $fdcfile] == 0} { - append scuba " " $cmd_line -} else { - append scuba " " $cmd_line " " -fdc " " $fdcfile -} -set Para(result) [catch {eval exec "$scuba"} msg] -#puts $msg diff --git a/base/cores/ecp5/TDC/Adder_304/generate_ngd.tcl b/base/cores/ecp5/TDC/Adder_304/generate_ngd.tcl deleted file mode 100644 index dd9a0f2..0000000 --- a/base/cores/ecp5/TDC/Adder_304/generate_ngd.tcl +++ /dev/null @@ -1,74 +0,0 @@ -#!/usr/local/bin/wish - -proc GetPlatform {} { - global tcl_platform - - set cpu $tcl_platform(machine) - - switch $cpu { - intel - - i*86* { - set cpu ix86 - } - x86_64 { - if {$tcl_platform(wordSize) == 4} { - set cpu ix86 - } - } - } - - switch $tcl_platform(platform) { - windows { - if {$cpu == "amd64"} { - # Do not check wordSize, win32-x64 is an IL32P64 platform. - set cpu x86_64 - } - if {$cpu == "x86_64"} { - return "nt64" - } else { - return "nt" - } - } - unix { - if {$tcl_platform(os) == "Linux"} { - if {$cpu == "x86_64"} { - return "lin64" - } else { - return "lin" - } - } else { - return "sol" - } - } - } - return "nt" -} - -set platformpath [GetPlatform] -set Para(sbp_path) [file dirname [info script]] -set Para(install_dir) $env(TOOLRTF) -set Para(FPGAPath) "[file join $Para(install_dir) ispfpga bin $platformpath]" -set Para(bin_dir) "[file join $Para(install_dir) bin $platformpath]" - -set Para(ModuleName) "Adder_304" -set Para(Module) "Adder" -set Para(libname) ecp5um -set Para(arch_name) sa5p00m -set Para(PartType) "LFE5UM-85F" - -set Para(tech_syn) ecp5um -set Para(tech_cae) ecp5um -set Para(Package) "CSFBGA285" -set Para(SpeedGrade) "8" -set Para(FMax) "100" -set fdcfile "$Para(sbp_path)/$Para(ModuleName).fdc" - -#edif2ngd -set edif2ngd "$Para(FPGAPath)/edif2ngd" -set Para(result) [catch {eval exec $edif2ngd -l $Para(libname) -d $Para(PartType) -nopropwarn $Para(ModuleName).edn $Para(ModuleName).ngo} msg] -#puts $msg - -#ngdbuild -set ngdbuild "$Para(FPGAPath)/ngdbuild" -set Para(result) [catch {eval exec $ngdbuild -addiobuf -dt -a $Para(arch_name) $Para(ModuleName).ngo $Para(ModuleName).ngd} msg] -#puts $msg diff --git a/base/cores/ecp5/TDC/Adder_304/impl/Adder_304_impl.ngo b/base/cores/ecp5/TDC/Adder_304/impl/Adder_304_impl.ngo new file mode 100644 index 0000000000000000000000000000000000000000..5138fbb1143b5cd4d39cb4844f78f76473d7abbf GIT binary patch literal 268991 zcmZr(cYq{S@!r|XVfT6?o40rO$a3_|W`fMY9XSklBst)k926KbDCiI*NE$?fg3y2o ze=36rhy;hAL}AF1nz9E%q3wZk@xYiidW3}G%j}`tL zkNlepn`(7OL+z&;+^vK?NcK)S?f1yuF3@(8?A?52kJ0#j*OrtGImZ{3Ifn3q$&AVw z!|=<}+D3VM``U*5<*v3N@4IBi@hInT;fLH~LhXmVvvSHFMgH#>wTE)h7{lLRRQ3qs|E&EZ81MJm9!0x;<179s%K4M4 z>=DTQmF_m={f)d)cSbPW9fA)3y`lC)?$bGKW4K3)+D3Q(5o#Od{43Bl z?`s?KepgU7Y8&Z3)Ba(|`9Rp{-n*{i52Kuqg$=nYi`tL! zz6iAq`KtnD4?*r9^2(-keNI}LLo{69%$&BVoW7}nwo%SpU)zXpOKY3t_caSY$?NNK zwV&klZCX_JAo6cOX4IZRYDeEdsBOqCWR(qh8w)q&Y?V{)K@2}CZ14vI?T6gWg-!Ch z!lL$j(7TPV0n*zYYCAPVBXl1WC_-wKhUjh*z&Oo7*B2sWoNDU&NCSf&81Lt5RM8%~ z@)tcR5V2ia_=#WEc50lu(mf?qgw!~R=vw6pfco0CGA#h=R5zLNn5l7UMfaRg0H|!H zWRQ&Rc}2lMGq&+HFouu;3DB_Joq=Fb?cM9-1b_+M$<+W#>6HWs-Q3p%Y(Bf!PYVFb z#iXakAatp#8Q24L%R#V7?iL+QjiHp?C?Z;SsZr?KEv*5h*f-QRwyNEGldU2k^njuO zpzDS}1K3n_pXF)*+p6y4eGOpS*L_qV0Ce=Qq5x3aF*yN1`S*PdV0+qqa#{c=#b^f+ zekci0epx6YFzN*oK+n%5LR{UxQC`B$~nI zfOPe&<7*&ArSvqV1puK3g&IJLPDvnLJqt^kkVJ|FA|eGD>q&r$?HQnmxEm>yvatj( z%19s>s4Z0#0F-Z*(?AkJ4=ZW_qwb#3b`qoRkQV^8xMy)e04QabtN@_vJ2D57?kO1% zy1yqvpzHdQ0+QHC^yKmiNa47=C#xAL4?2!?1OpvBq$~i`CO23ZdD>w503h^30r39uw1QyN)b@Uy);1P( z@AvW=z*e+(ladBTNN8_;Mg!RU^{f#gIF{=9N`zpi+w*x@grNG#f&i$t-sv(2MyZty zDz^LoY4SAJwg(o>;D8{5Hc=ubOd&Gy{8KX zj?H^dlmI%K&FYXLjB=_3p!^(1FfdBa697s%udD&yVO}5^M7Sm|2pIM9k^s>2OJxew zc2z+zpz9`?1EpLq84&v0tO$Xw$4VN&&aL-uM*tZ1wu}JKuvl>bu#$njcHGq4x#eI~Dg6k7abK>#S_g{%g64|rkp0O@j%%EZ93u@qgVayQn%_!xk>#h9@?iM?O%YOTFGu+L+1Ok*4!XNzNdq2$%^eL40T|3^ zUlKx=kVKQ&A_!scUu7@0qmPsj4>W%i2!)ocP(fJR~nFA znX+X}*h>&t*FhOg;8G6AYJi0v=4pU+t#lMH%kv@<$yq!a{#XgsA7N>vln4UH{H2!Z4?J)KS0)%eY?v+`xN1&EQfVDrI4m-3OP87%Y* z0YF#ZI(fwyLP+0?k_LF#wF2OVeO=ZJF6EY@29RQkAV6r}yu2o$w!S$94M2HcQ&s~I z+BZ^Cz#xaL?`Qxr`g$`87(&ncIz zyVmt-UPtlfv+F-PYS2TTuD8pILpMASP25ZGP+Ehw8VD}u3ORv{^LvTH?N04(Nz zl7b29`dT7rZ}&V$L~&6wB!c#JPw@l?Gv7T&A`sZ!TGE^`=;>}OYh;`y@0!sF%G39hs5TlD#k>bOKZrM}b4EHU`>e12D z?gJbF@Zfu81j3vpo#I4=o7}mvvxSAT0SviEx+ykk_1H9{plRBO{#W48a*; zJts+o=jOPAjvD2;kyWt3)3Tbv8}{xWX9U87pD8&UrH_}FrAD}jYfCzcH?!UPpolm; z6PJ0K!yEkWtIGmmfwF}>_^)JQt}`g;Al{L5|4t$-@CiqAhB!(e{47fivz)(VG-sH5 zTF457%lfNCcy1n&nYqhFPegGMa`kYUhs&BW#7pIsyg+#HXG;R%(w~x1T-J*P!Qsqr z%RF4x8fy~2thRcG(lH~@1gBBAb+Kr`> zTzNVa2p6%vL|F2EIlmCm$i>XSl}UP&GEP_-`7ZzCCi;CfSw~k5PmJtY(f7jbq`AUxKOBnM^n-5n?n zGtSdCU9kj1Y)+Fb@0zp@;lqHQ%X0$ZnYq*#2p4{pL|E`mL{Y8adAQyc2$%3%iSRr; zme!mxj`~|sAUyh?CBmBj6lzXoe|@UtV6N^6G-Zq>Kadj$cl|!e;UfO&3l2;EFs%{Z z%lAAZ5Z*iYJn3r=@11*Ia0SABKRwh4SM_;X0E~R%3WOzpB@qbhU76LKB&N9cl$=I} z(81oZqDFW(*4r*Pyr1ozB{+P5*qe|D&&2AmM$IUn3-(Tv2z-hM634}T6qChZzy;}qV;j$J7PYlMT|F9?7K56c4KzQ0-$2$%Ja zL|9-sD>&TckMaUx$zMnW0^M~An!~$Hccv#0jPBM*gcsPVjOJAK;8&+Lf;!!)jsPIp zomUnJ3U%j74vKJ_N`eE)Zf`*#Xqek6IVhsWWcu?OEdeNQ)zGyK6V-Z;QA`(1_vL@zRD3b8MtxMUpb^C z3s0%^RgRmv=`p9FBI`rTZlemDoZpua8V~;=b@a0DybHa(sk3EcNXQc^L zG`g@lP}#5|4P87QsBCy);mUF4@&hcoT0KzNF2W))1C>1wEHX|hQd+8E`8Zws9jI*7 zV3E#&%Fh}WsTw%jkC{~aK;>r$A4%z}oEwleh5=@0BPQRatei=}M>6{>2m7$7_P)v? zJ1mmf|3)T?8zn9M2cj=fhI@+ryXWJaq@{mhDYi&Uf8|&gSrB)q7yHnp4b!njuD{1& zqcnJb5T_i)6zv~};i;hhLM95st;PPQ6qDN0zh2D5BZvKKN--0{K6PRXO{&kt7Nk^m zawv$5$`+iA5%j&X!-0kA+9Hg?Mj)(m)&L)-`4BhuVTLL@Mfj+ofy%B878w`%F%gqj z+0erUUDuO~TZ=n|eXqn7;6bE;KL?Qy_Xr0n`#p*qM91zfM?Tyj>)$0CGg0|QajnCc zgvwbJ#o8qT0la&Iqva5v;15|eVu&^L}(#11`XLklF2LSMqQQ3>bz{FN|kgzb7 z&z7PvJQg!h*)ftYg~}^ujj&Mb6enh4f6pJnM{KFa49yC^{-BBVJZDC&VxI4{imxy%;xvXF%wl>osTWFu(CfU zrO9DTOJ&!~CVEpjCW47YGEmu7vx)BfBaAcQCiB3XX=S3zD~rk`fde0q#q*KG^9lp+ zy2`}-yyhzt<9-n;6Epi#PMIWfeoZkp(cXbamB~~6bT0PtYI@#RCNzIWRz(ID^T59X zWkTe~t}@Za7gejMp!vRP&GGF2E`zjZa`DSq68)RVtE#o^g{j=TJ zgyjB(%7m)^O>#968Rhm@u82|WC|5+gjEWbn=|3bLb5TLR@5fFYF!$f0OiWJy^;U`pZKwtRlFX=CaZWdj7>CspiX&t+||mW8J+4`LJKz8A@=kh!1@{n+HjwG?9$8vCJYBD0FeDG`q|#HsF1$0jepN#(_` z{U0hX_tDMAWbWK|y~yM#IM#_RHn%IqCQsj(@}e~Z-^#{bUdqcevB}f7v=E!z<;VTV zWD)1)V<&g!`{meV?=QUAgv7pQ-N@vV@qs^=St(vAm3tvH`+Rkw|F^L>$>UrVMr6M5K5&0FHu5Ehw8O`wLIm zJll^tvCa4X2TCPn^D--El+8b~7XoEt_~#1BWcg2&mCf=#@{|pE^P95DhMf89y$r-r09NWBt2G*98jq>Nu&^F4MKc}Gl&^v#?Q#Q(*Kc%c} z=%3%4Q8u^tYwc%!omu7QW%rdE+uZHnII+nqZQ!Sh&dYM(%1rF%rPsG6t!&=@9pNjR zS87t*JY08C`S}-9PiAG+^9`x~J+vQs`WI_I|7cDLV?N*48K_*d#xH?~`=4OcDR*JgnhY)nhVNHcH*l`a%9);3r%?%_K7{dlUYngmkZin+ibJkgH>j0 z`yFa(r(Bw=shRfao=X=mS-x=R9TqO7iQmYH$dvD#fa1KRnVQ=6GkK`E19WuQemyx= zPjKC+{kN_}=#a7fPoYG{(YJt*tC!NDT>I}0CsN+zlQV8Jt`oKA4TKII+ph{GhmI}V zuQ3oh7H$8TD>-zq+P1hT5u#iaNC0xq4+VnjMC~VMC4vgiC`$wte&3S_Bp+Xp2;>}N zAdpB(S~Th(cMNo=}MA)Z3@ZJh*(+-Xx=NS*mTbw2UIk zoU#CL$+dl+5rjf#dXht3Zd+w=Ag9JasI0axjVKb>wz4eqpzoh$B|`0KJ2x#5ocOl= zTq3x1({`tf!ufpL0|gO<$JE>2@+5*h9~c~}tLz@>+a;I?hzUzUs0a2&A{#G;~ zYE0K1CIp?=mLv-o>f0_eSwy-eElIfU-&Hx!iW-v|r&Z7uxzuQ zqLt9~bH{)n{$ev1lwM{+sON%`5r{e5FC(E*=sLkMAgrQ`ixPs;vy8Yg#C<<6N&I4p zADR&AIk{x8Fd5%9Sx|ax#$ci9gUvvQKimvN)xKi{qV+o%xp0lYYab&JcOcq+LUDSL zKvt$C5p2P`_Auknh+Q0mg~?cGvLI`*38BQz%s?pJvSj2!i6a>UqCR*2!GLg$y6vo- zWTC=!j8wQQ&{a8HkCz~BB6Kat8*!*7WkQ&;jm%tVM6bz0Jp&md7esWLEHt9oF<7YD zF#}QJdqyC(>YbmJWFR){T?r!>E-81-Gy?I5P+fCP2n9_wb5TK^6DEra>Rg>MMx=r| zzcg7?Q0Ipx3+g{{j6kZf?T1AJBGQXS9JcVCua_hVSFStX${P^X)A@>#9d|@JA2A^s zu=6Q15K5mlA(Z%d$w*I4ZTo)FfQa-^#z0VE(MW|GBWO;&p zDBY(dS-242xnJIZQ1zZhE)Tug!DK<{E*T>bC2nm7Ld4>d!NM=&*qi~;!vpd+96vlT zGK^;j)=vvAg7=fbn6{3414+SYO2>a)31Pp~Svhr%Hib#tMDHAsH6?L;)0vWLlQ_5Q z+$tS1JF?l z3&NYC@>ze&1jr{cl18LasYp1A@}KOctvClW(xln?Jh-MD=w1 zJ}@AtzdV!>P7^zBat(;2w)trZp@G-<62X~b$MvoOq3Wvw147_MCJVCiCWI1k4M%q# zuMFup-!~wXmH*MKk?2N^6JTy*e7pZJ7??^k!6W(4Bk zqT>t`Lavj{T}#^HA`S?QKq{zhpP~T~Y1AO$;G$zI zSCVjW(XrHoP|xPR!NQbn8X6E}4Vn<@`Ic+2(7}d4LU`FnM^|V-G-6xjs%N~3K=%1Y z+#rf}%{a8V-8E97o)+JLAbz^Zf~>mGU_tyW6M~3Z-(XRj+t&mJg!Q&dT0$WG*C1h+ z)c!x$Ad%AcuR;T&KD1Ao5S7^esc*2Tp7ys*7AbB2j|ovd?XUVZVsYUJr#*BHh#JxU z@4$elp7#4g3E_C7{ZZe5AfjZlp#C`%g8F~CMj*=mM_@o`&fi=Eg06c*144~|4h#rV z@9+%>zk?le21Ljvo<^48Vsv}uu2p5ZE#qg%+Ybx{iT~oP{ik^WjpM3z`*n_laACat z=8S}Ji=u6tvV?#PM%W{X!!4N(Zr_nc zAUFAFw?-}8W&BqY?S}>ug4DLLf`GU+yM_Yc#}wN4Hy|i&+afDj5W1Hy5!Sx1Dn&S6+_j$2;^E$O1QrjfS=m*zc(?S=UnO&$38R2r~6fGWZ&aA-VK|@!( z?N@sSA#KGYrD_j4u;S6M+QE}EJ!^4rYi8su9&Ys1jK#wXXPR&E@bZ|Fws=_cWU<;a z%sa4YTTmYw>W`YCVgGC$z?~crXVmR)rQ1DqXRwc(|OeauyGovtmWw;^7V0|1uU27y5;7@$fSIJZQ5buhg(o~EgqiiPss7j$sXe7{3odP4DvW16fGXsW*lBbe?B$HJ@}|>iQyi6Sh9Gy zqwg0i9`4RNS&N4&eK%+EaHa3%EgsD2M3c?qA>BlCUF|%xQaoguOHW!aI*R{E@E+=M_=bLMpZupaH#akJh3qdnNn+J0>F}-AQ z@sY+MJkLu(`OmQv#cdn~})bTwGR7*5=|hGwE1dqx|D}Gi`BUN>;AP*gWX_ z%GK5&G-IW4UK0JZQ=^=4m1jvf%3J>xWt$6qS~)?Us@WPvGgf|Cvbnfoc>yXuKA^X& zBkGHyEsCfAb;sgj74MUyO2r6Q{E2UK@pdk>RtyoJ2bQ2w&R5P^TqE4U&+;}Gckt7! z&4npm`BB>D;$D25vAMY74_%uJZCd$XTUjIA_YWLf6fc^$3KrKekM&;J=HiXX8?MF0 zv;R)X;u+?O-wkapZsFTSn~M{Md7F#NdNZ)OxP`B0Z7#0(jhxNJEqu+lxiJ4LUL#M{ zQsbeX3vC|G_mXRKaapgVZ7y!cs~MY%*VD_M&Bfh)kv!D`S>eAOn~Uf8`LfN$-F>!X zb8!owDcW58J9#`~aSd|9r}8!zi!TK>7kA_7g3ZO<_*d5E;<}#9*<9TDCw!ZW8}+DX zb8*R!rEM;raie)@dvbngfdvi7ycjNDY&BZ+|7HlqFHuvRiE}oQovKCj8 zw@@#K78eh7w{P(zxfypjHW#b-t7mg@#doD`E^hptuFZw%TJciJ=E5A`RVl zHIYd!>(8Oh#eFXnEiR8MzSX9};|~5KutY)MwP}mP<287lZ*y@gJqJjxbOWTv<{L{KDuqEW~{|0#pyk;)R+FY2E z6)#$4psb&GmY^{%>%z3n#eKTKwYkvU70>4_F63MBLSXT5x*wNq9&W{Xk`NY%XrpiDjFM8+BaK=Hj(+e97kGMr8{&7dPsAS&M6!WgMNexGLu@$K-7;{@p!i zjl%ElsEj43a?t%<-{#^4b!6J+;_muIi))CZ4lY|5!m)e0Pi))DczJK24;)z^du(^0;91z%C+^79=HW!z*%%**ano&FD zj54COTfFOXZ~4MSi|CHio5b{?%<6B&;{yVmOLp;)AZ4VmoUPcW{woi{gS8yEYeha2xVet+W)+)@C`2t8(D_ z?V`=aKd*FXb8#~kmTfLxPg|92F7ECYfz8DwZ&|Rpc+qU0x4F27<5`=F8#U(JT-1%) zw)15azksam(z0OVO?Ziw3rYftf75(rd*z^GbFtWA&*tLlN76PIw{tXOb8$O|T$_vM z$1B@h+?W)3s@6i~Bw^Fg=E4eE@nF8%h4r)INw?aOI}HWW@0O z`)3OVhUWviUd$R8-}>KBVvJnm2^PNsq3c$I#E)`y8K<}@et%2XBSt8HucYhovP@Tb zZ~Q}MK0FA~^;eUJM-{s6F)=(3(sjFMho>^SZY&x+JeJXAoJgg{Sl?ASgNJ7|x-KH^ zknRA#WvI(58W>OHhG_%iiR?CcTy39;v6c=B6=QHmXB#|zZmX-_!1(>LU5z;-7CmS? z-S|=Ruv5~K#t(RP%^*k*%a6KsuFV-3TG08X!5hTywDTjA$F2Xu;PGpaJ69A5j2{R| z@r!Lb%V`6{6tx*Ai2Ul+&iBnw%y;KI2F7pE>3rVA(9zD<(lugOenV~NOF0AM(w;6F z7+Tf&Btcs8AZDX8pOzTE5~TCD2CuS1J}GPP5c1le^Gu>?Dg0WT&XY_YOFqrSxCO_Uv0R36cAiRd3yw+? zQ7uS*dw1tjBOkvEzVjdx;|=7|#_x(}Z5d_L>G;(|od*;RjLY3SZD2e@JDEI|w_DEO zak-1q2FAa&?Fka&a=Gc8f$;`%Un!a2-O{nLBxp%~ReI;Vyn(UgO=P+xzm>jom}qKP zy7q_#zJHlrg7d@VEmr9RuSsYRr6)*Rd*Z@K8pFaqBC|2N@l2 z8Tt5tqV1rpjK#1WUm7%i#a+i|8A;>65a{?IFEKtA=`ijVC;6TF9q*P59*^;|k&jQT#x<)Xze}y7*NElaZpTJ>8Oz6&9pgs6LDsS`WAM1PJxz?~XiGC6&r!-TV!4hH z6XQ8rVCLgF8Zdc0AM2HjeCR>jZdr+O3mhX97Y%DW*2x<*me-jvFfOgBWMJHa8WZEz z&opCM@?6J=<#MOy4Gj9)b}C2=>DpJDp{TZf!oc{5VoJe7C@z`~k40Ba|5B3K_pXiEFbW3ok+wU=BSzpnN1)40ca`ActzIH`dalZPo3v_q#|07dVGbNwdVb|qb z@40k`Mf)Ix;uW;(!d>WnY+pZxq={X(TeN)9l5LjnvT*56lm)kb65g)#61iz-r}2L* zim_y6QA{aO<_a`E!cgL?3xTAzTe<|nc`~lvVb>k@pcm48bsF-sh4;PnkU^nKmn`35 z*QI;Z)XqG$%mAeyucVr2uVmkK(Q^8ux|tsY${(%CnK!!%s>IaJ+DJpAk;q~?W{pLG zvp02OXne53n)OLuK|>MrC(RnJKr`?1Vpg20=*Gx+)Ro!C>Qt#p+?<*~v7*}$GiMSK zwKEbw^#Kh<8m2DPP^H7QQ)`MUFe-8CnK=bTN~cw>5A$qABBs@9R#f$j^C+$&Vl;xL z|2VCnDE+h(RMn%A)M*bEW7b$)&_p4IqPXdc6lpYS*No}Ji3W_6PX9+vK~ae_w$)JF zh#SI~H4={59`3F>Y4kf*%*zK&f3zC1ET?FT}MDg)<`64R)@+y64f*F zC6#IEreGx(gcMoX5LZ^x>~bbXMxt`& zc%>K`j#@M0upow#(STF(UJS+S;R}Tf#VhdEa!eYI8(+EZ%=?0>={6%1!-1ZEmB8joLEzY*+K5a_2r<&{$M%U42GlvE~N@ zjYZ|oT~bz9D&`$bP}G)GtmU@6#^UKX!O>V$TisMwWAXHUA$V~eH{~=hS}t?nAt)X< zs$<3qtuHEfcFEJUc*dQA#-h?@U#hYAXE6Imf#Rv3RC7jQUL<*1V_sqLUTNxO0*l%* zb$1<`j7ppOjH6@YANS=VHqtz8so+JepZ07?$3}I`9Lp*!f@ZYpP%n}){fdmHMKd&g z51r17w;<08ERsCqDv>Yl{9bvTFDhf^2EN9kGG@Fecu^TMj|em`(wtbxyluDT?@3Kj zo9_jwu?76F%alSaV!?0(O;bPk1!JiSYv!q?DD{HzC{C@11>++T>4TgiMX6@qOb9Qo z1>;fRtc^4@S_zzeY*w+N0cU-pp`i+E)*s7?H5>&l(9mE66?OV#1)5WnQ-NLunyR*u z3&tY#Q`B+#g0Wcrj4%!yi_}l82^18mpQ^T*3&tX;Q`L55!B`}9n%def7>lG%RU6R- zBT@Pp)6|Hgao`C~JmP4?nx-}>3&x^?rl~E|g0ZOVnRltcsGjLKmR;?;+b7X^?5YPKI7a_v7&lrY^wvKM$C9vL-A7DC#wP@@iQm#3aZS{tY3yPG7|M+ zR=d(L5{aMrl1eoaiJ!H(pWx6KnV4S_| zDI_Xqj#r4G;dsW>#(2R{ypnD$DOS8}JPpOGvYb(@c)cB`p?Hm?@`@EHo%*?EMI}zV zO|v4YvyLvPz^FH~&L}FVG8r=;^VZx~!o1XK;QPLxWN4XWf^Mp(xd?Csp>r7}`Zc zk*ry>f;et4s%O^4B?U!Q&kkJ$MY3k^<|rs?*X(*77?n8t`arSb$yk$9P_!{~6)6=j zu4fA|DVdB$oUvY7VNpTT=W8fx{WNvVu^<(VI8_~GE=WZMO?8WLu2j4%LzOEPFUz-x zRN1(s;)1r)P$Xhne@?NYPE5JfS5T$KY3eX#K`N?e+B?LlWKTwA&q)^*G!zvyXLr?T zI+U(toBr#x;zjbOAEHC!h54?AqPpiC=+?wz4o5oX9Oo%4{w2@3Rrh}=s&j5_TJxe& z=iFb^STyY1tpyg3dqbdkQ4w=K7vo0lotw&PUewGvZ-yF+*TQcJih38(W*nANP?T-X znQ0BhN}euhEK)q@vW&)}w$JVNH5N_J+(yBRIxzQaqD4vuqsrz!o7Y%e+2tZO>S$eE zsCiKtb05rUEUI?yL7Eq@;JLSD6)#?|bB`};EUKe!DnW6l;yV5(cu^g*OOEEnb-W#F zEUx1wUt{sgSW?nhJZnx~V^JMbclQ*QjK}>^PGgbg*_Z0r_@^<|DQI3aZ_}2PH5QFK z^=W}c`leo%)x2mOOluTaRNJ)61s3%nQ91viqfLBQW5!;Z;YHb}?@>_My{Lle&7Q`h zuFqIeQdpFH`tu?*YRL2}L}*m#jFz$r^`Zi5zQ_ZEFnTTB%nj0-5oMq8Vpe1EujERR zDJpyBSVr@r{o@fi4MnpzeX6Ul3M-M|KuDn1;MGiWYFPB@yu^$G*s$o`dWrQ*u|;pR zOLRK1MQ_1NG%F^(m@mR4@yVnTF(HullrwZm~7zWiCcsq#U}(qhac*A`x6;FYPh zCp(da*Sgp4mx+BCZnu1FQ6FlzFUJ;S?x`$DIns%J5PeV~wjk_SWkJfmZtNr1rKQNg zJ5g)TQWhrR^la>d%nQ8O!r<5DV+%5`R6a<#u^js__|2KvqT5=ljtn9zMZclMs@gC% zX(O5V`U6*)}lt13@JQ72NgeMzj^ zA*Z}hv0tD}NZu>0Oo%#In^192L3wKut4H0~qMu=6RmqP{+WsY0T^q(GZG#f4&i0iF zQ73B?u~~9fdO>d(Ppmq#$7n`Ff z=s`!Bj^>iOCWQ6<$WDsQz{R9sTA`j=sB(mSFPtB(ql ziA)*g9fFEQZtSHOHz!tanNubz*ioC%xPPF$$h>!2nUH*lHlgta)i{Knf^{+DW1OllUQ9jcSq0Zn-GcBm$}Ld$v-J76Pd5dDHAH5_G6PiYn@nqXP`_B z^!remXvVK{u{j9Iua=Za&Rf&5MIR#$Ni`aANiA z%B0U4Csw~$jJ+vHen*>7@wTVD zMdHMo9zQnez08R<4S_NdJ14D78fZ-$S(Sd#$G;P67Q_RkJY-&1d(nc5N-O{*9xBJ7 z^j+k{nmrw5Vw~-@D4Lfw3k%9i^Ri}FZ9>I?CFMotWm#onhgbHLNzNm*lQc~^ER3Dx zyU~e^B=#+9&d)0o8h)Tn^ywr|d7=NzvNECYii|Q*&L!Fl!PgX&7j3({6r0$^t+~Zf zCc5}LO-2{L%Ew+fZ_maS4wu(FQc@-)Kj0}7qMp(wR>>Bb*o)2h+F4F)(%0$|Yd%$8 z92u;sJhsGZ2)%iw9Fy_o#x?J26B<`(lboxZm`mT_ORV{_piGjywl=Fw(zv!iuS^8Lodc0=vOR8Pq$GC7CKv4tJc+G9(xNyoH_wR<_S zi5>0Q9aJcNohh+)>w@w^@^0FMsDnz%iwgG3Dia!y)FwpD(y`>cARlwFhg*BPHZegb zd&&#RXO)!+6<21I3CWjgFGO8iP+s~?)MUfh#COwcX1lS8?fBXk^0A4%$=Z8L%7l!9 zqfE5wW}O)YIhojtOm}2siw><5YacBs6W#|sWuk(THX*s}$6h)RPpo}A6PrV5>#JpD zqOBij6DnE@%1h4GZfwzK1rlq&Dk_r>q-&<+lu29Lnl1gJtq4GlV{yAkr)KS`mih->1lJkD0316qL`IQ?x@s9qQp9IQ;iZiqc@+oQM zMFr=ORVgS5jaT`~L_wEnFC_o6sJzhlmrQKZex$bM_u31|8% z+SRnZFBqh}VO3rLL`Vn1rp7dF5o!R}ftofE3|y#b8ukSPr6nZ;LN^Qr12Wcg1wcX@ zzX&vdQ;?=P5}+InD@FC_MvoxiDo|601dyW1tx=PLFElk(HUyP!;PO+`JYO)Nd`c() z2%QlKfQoHgV$GC(nObY~?o5r0*l0lTaEUzhp5OT0&Kh_Q!5Vm(osKC~Jh!SsLQ`o|`9-k8kHnFW| zh|K&&*4A^%l7nB`yCscaq%%Ej^VeouPb)|S{XaM-5!CQq0+gC@DiUPsbwsmL8!REGgkF?~_M73rN4kT}1lpM%eOn{P1r7?&m}-5#<$d3=M^Oa$urXuL3fg}JEW#@JR>Mn zLd(ZF0gTW{Evt$Wf#4d0gQzdll0zl5Oc0>;(NHa)W_>sHm3r2v9=}Q!83t&q)MP&l()ad7&sdR94I5If+2vQ)!8ytUC>a+S53k z7aXMdIoV1MKAG0?I~jz}v9{b&lpILDEhiDGyyXuB=sYMiFgO_NS82&X2d^zk1chE< za8TA|27)<0Sw;;a>Rd{rM#optS}rg+(0p-Da-jK#X^BA3Sq6gIzn_yFRB^1qfx;7r zqDMy$ziV)yxmj{>+R(CCa#G;zkt0%c(3?tOto;lQ^c-B29MpAqP9mtwCqU=HSo@?U zf=YKWIMAFaN)EcZLs}xJbR)@0g0mS>)L8huV#~suMDVLv;0OdmZCMmR5=Era5`n-G z13~Eva*{*sY1}9y5uywjK~TItF|xpC5x1A%i5 zgo;`9Y+t% zujFMEwW9e68HJs3bLDD(Wq+6)r^=h3GxDJH%EhplLzIU~A~8OY+Wd$d3I{XIm2>zg z2=}|2Z zr{~2`*vmKPG7>>qrNIuSy9F%^j5ru_%WL|Pm)}F&TikR<61as46qx6eRz{k(p8Gy?KdHQyXU*9HQJ_k`&bWXGy_@?xq_h2OmFe`h8wRVK3kG8-oMM zR~a14==F|_g1~Ds5GebJ^veSzSQ)f>K+9Q5kIKv3{E?oHp36#O-N!%A0kn6gt=1LOGP z_NLuDf#6Tuo3<$k1b>X*w4Fror|wN#l?4ZX>fY2RIQ)X0CQl-e(=?XVQDdxU3r`@Z zvuQ|1@uJvJa#-QF$|4G{DQH?ZD-f=$Tjt>^+GP|pH$E*mBj7ZaJ)LI+dK$kLoKdcQ zwnVtD#;lIwcg-}liah+LnWlOf#dS@Q9Ik76S>!=ojcc<4L0ygilN_#MML}>-MMK%w z2n05UB8Xo$)A+s!;&;e2ev}nKn4HFUB!~07BsnbSHBUsLh{oq-6dKm>eyBN2`CC~7 z{B6<3e~QHX!nuZz0>MGve~F+GF8nc1Pmd1-j6u$?iG4JUpe*IkIX-=%TTOiS^Q~3p>e-AY?!to~4iKB{DIDZ~#;$$H@3c$PbYa4e8 zWCZ%XZzvGfevmH^uJ|ZdAiNrn4h6z}I?NXc&-MX1Jt$r6;KKJSS2;$wj^$3ZgX{3!Y6s8wfnK!(Z&98&fbefj(+F3- zZ>ieBbu0_39bEZ7g=z;E_?=?4gPXW_Q0?H#Q`ss9zchZuJ=J4C)QWqHRROF?9!f<& zfgx_;Zf;cozuSLesbB5jhU}TEc5rX^%2zwMw|i7q!5=@E*gahx!E%>msvRsh<5fF& zHg+mkIry6b6XL>Tyr~-I-tOvDMet`5R@_&rc3_F@P>m1qYTGfa3gB-sOl)7Qc5n|D z=c^rD#2$S&r+i_i+QC!4 zl~?WHUTs16H-2aQ-P4IJooWY9`DW#62YNMmq$O45>!D+%>JT(*@+iw7{I#OV!@}wi z{vy%j;g<0$-!C04T4FHF4sMkr#h;d$+^aeny=EIR2l&-7{B@(rg93{O^D=oz(c;0x zOdeX@ffRq*X!78KB?eP7>E|pSEWXJD^A-=QUY@mhcy^X~)gJz;(d2&VY7c+ZXmbCI z#lx$7q+szt+IO642Y=CMa$nct;pT{2(eWmM{)7P8cFR@A@Nwqk5{rN_UQ>INs$<5u z1$%}T4>xpa(cCqzdQj;0PIU->!*_B!a(rW}lH%`zPA&?oJ^UG> z$;D-h2OF=+ZAumoHzyrfJlukX1&fDU{q3B^gSnpEDsS;{+qTSFJiOXQooWw%r)hHY zjK#wnhszlu$2X^EnA?^rSv*|mU}*7h z+mc0#hxcrrr3DZ$k@TxWc>E3176*^ANzUToIyVh09`232^b{RsrT8dhazWk_!%J#o z-{N6y-^yA%yred=)QL|QB_=oUEHT{A^<9gHw;#QQY7c)AbaJ3<@v!Q3$??q@ zuIE@h{IlvSSv*`%e`xV=uic`>!`Nz=! z2h%gLz_WPp>zSFcIJg6|e2a%g&q!N5yr!nP)gJz;=j3#Y0RE`wWKC#^;Wagd9N(N# zj|)wdEgm#yVs*jdK|K>|OBN4rD%TV(9#lH9DzJEXySs^N@gSr>SLNWZ0!`dn-GL;3 zm22W*OGxE}?e(xa86QRMaJUcdHd3{czAZo( ze6u+$`aizK!CiYVQ|;lS>WQ};i-+g!9na$7Rq(cJ@o;nAB*!W?* zc(QEq@a#NZw0O9jCoqt{kdN!fNj~78cr>thxX{N677w@Wk-WvjD`&l8wTA^f;8`3z zZ}RS0wDYIW31CgFZxap2Lm5jl?!Z5Mi--I9VA|s0*(o{}57&9WYw>V7_m(Xl?!e#4 z@y$*TaX;?~Egt?M{Kc>KR4%6dHL!SC_1}sX4_A7(rEUBT&WXDUmKg5a9XX4KE4?#s z@$kgmp0#+mZ+}i(JY4B*8H;e~T?$>QOLUR1PrxY8eI zsy%!)cH)A(#l!XdB(QjRvM(%HJlwbQvK9~b?fjg@!`(U8w|KY*XL}Y8S9(s`;^B^- z<|a&Vuz^|+GYR32h_Q-;wU;IDozIMD_h z6S3lNX&oDH$Z-?-!lJ}+bHDXc1A^Yx^NFOF3m!>mJzcQq4|xE|=Fp{Rf*Q9Abs&HG zr8Sq62-kILQ9`&$-+EL=Lb#XSdW<6>+}v+HC@&!vf0zNW_ybCk#p2VF#m^MA?q)zN zV(*LySmpKwbiLFbGKyJkL28*TcRFZ*sfS`3zMnYWU7Dg^ENZ#m3@h7NS-j#v; zOiSxLN95wii&{HmE`GkKbwdN<8j~^?KVj55EOYTAMy;C|fm~z1!QvX%EeR2$Tw_m0 zLR@2`!Q$y?%}W-_Zj@J*Q&8F>&u3Hos8Q<-8OP5Wwa$?P^5?2rrx+~GRW=|D*s{_H zgwmE(j*vBi5?j770{OQ*76=xKZuzJr5PqPj?U2)n97_vaaimKvw@VBao$DZ9rUhJ}*av`o;}?0dd^klEhzVYB^q} z;_p$loM}L;^a4ku;!k(BTvU<}mnipnDgIh!%V`FS>p3GY19{z?Y^3K#93}&K1KM(w z5y%sCOh)EHjg6c50^-&zmn8ncX3N2jBw<_Jvdmy{)ft1uvX+))AlI|K5y*Ys*??HY zqPz^``B^s*5SO!&BM|=3WXrfr#ovl*+0tOK_;g7I@?<3Q65=)w8@af|O^iUUr{7?4 zJ?j||*VB`i=~?|e$?`b;I*wp@EVZK~A(q-?1oCfvhQVT8bMi8f3!3Uk2ouye!`Dy} zN*h}x0#(gLS8&D{`dCP)e2}uSFBB~3Y+h+7K+VmoL|lr$0@m=FFXF)YOsGuqceb0q zC2wN(gOle#@5-DsKKjj7NWS1nA{Zve1O)*8>Tmd(AJp65_`6NCIfT+f0~viPSl#}yFAHA{pK1)6&UL8?4>TpvmZOLu)&ApEWE<^f+o{E5ou&QL;J z;W`Gy<;*l7o@&RJfn3hqKte2jYA7LINeNd%n4YFjBs7T5H+>lj7VpuUCIShe^G&OL z31KBQeHKax>YLsRBm`YeANmr4`X+fJB*~w-Zh9+}ER@~!iYpyLqixSn4dES|7m z7%Y}`oi78qo}U_lJmQrG#1nR@D+77LenCj()S4y?e=U>^V*nGzVLvh;R&`z=S*-m+ zUqU<=XNMBvqE9tgto?LXvUn~|3?xKF(-j1tbb9=khwZP8_d*Gw6Ado~ z8segF$i)B^O@BsJ|Le2>=&z{ie_0d&{pnTxPbGu?KC1po3DBQw)&E3>(BDhdUy`fQ zt))M*sy~lx)oc1Yth&*X256AF&GXuZtZZ7?7~@A0Af0tvWi$i2Pbq2}e@9&ZgPZ`6 z^F*>^5%??O`XeL*GQXD=43v5x*(!u|*B??807~6IEdcu2*MD0u@Tc7MyAmPJF^XaL z%n1O(zLORJYT3Og0MxRrWI))C5#S8cv9+zo7Qs3~D48m)i>Mk`nMA<*kY3OlfLi8SKA-!aqDr&e{a`1+S z2EBhJ4)#$EMPKB>2E0L^`hY{dYq-bAL#=7J)s<1yiUz$iM-)c?qc1rq^g0=ZH#{`x z0~kc1biLaKg3>P(rQ=WF8?FpQVr-cke&I_5Md+P4rNOqj;Y7*78y*_;z8DhvfSL42#OdCC4yyex?BcG)9nfhUhvTHEd!x0*X10+K?COp0-#r! z*EVe6O9XYgp+pch&6NoB=`$DV1vc)^>OgYP(}XV(s?(VWB|=?xo{|VQRL(2D;NYE1 z&WC|SNRRWmD-qJ{=<^!VgEwG1pZJnPl{)&g0~~06FO(c=q4S0-5vtUA*5E+%i-F`| zZcdeRgTzG{L@&&(bsq6W5MI*cJmE?NMLZNp1clyeAT*S7mn%6Ccuy!1jDEW>5&T3? z%u9qQe+(sns&1ADwlnk2^fV`lgMhmEC5<3$R@#`VUl>VH_l>>?!5bi)>jH_O%1aFn z@?0584r;pGl?dv-Fpvm(b6zMBDyr^iM=b zhbIv1ubmCE5<#J!%!B>4GbHn1f9-5y0_S~Qka?)Ad0%)Ep%&I1 zn3o7qJ~D!+hI#Kx1bgRscgr+*CG5N>C4%Fvc`q4J)WLag8XQEumKB3z4?gdCBMOqA zE=vxTRkwdhB1C!2NP}Md(@29N?vrV-zn=GiQD35*~}zPcoaWYTh{phk9DKBqO3Q_EA{@ z3?uPr1_I6*27)?|E6XTM=t%{Mpw44FiJ)J5%RD#(ns=CikmS0(GBS!N2b2YXv!rQG7nCe z=22(WhA@fqp?O;rB!Vi^G7k=C=8YM7Q2QnZ2UR2u1WnzrATy)(9)p8P?)M~zs;JvF zFA<{5kwJJN)4ayApkQ}AueBf%6fw_}2nwBIa3E(!R&uC_`n6?=;1{r+BM{P8|E>(e z&bPi?kQ5rcZpV^9C`jROPZ@AX~TqeeKe&PrOm#FJD3pIkP(e-D^#9a9gMVc`dc&y-z;ktAEDI)P0u2s>i5ZuG+e~)jzBo` zV##5FJ7ffh3;ni?LI>*?mIQ~3*i1%2Vcn*_=5U$;LE&ej>Qi}5;RnI$21O7zaAQYM zSn-0AKzRDr&j^I0Iwgno_2vbK>ui=$+|xEkaJWuKa?s_vw_ME`=GF9>FAyGmW=SBd zaBf~8n2EYCU4fvkx(@<@Kw;g-zCh5zx_3i?psu>tC5QX|vP4L8V)DeSj*6bA#3QPc zZ+TVtI6ZAzTep5F92n*A0vO_PpK>*YpQ);QMsiSf-3x)>aMcg{0^y1tmk2NZ2Lr+3 zKKxa3xW;>2!Qol>i{$W3{W8#;LC*7Q!5QSS{tyZdYc2!=Va+$Y0^ymu!50Wuafw8D z^ebJ#VTC^r1;Rc2sV@+!D>3;_Mj+7}mw2k)mDI>V4-?lmJRcenNb+{tfcX7McvyMz zl~T0>58O_MPPGFM7f-(FRy**d^5kpfY6l)Io_vGw=;lRywSF8=WKX^tRy**R^5hG- zDo5oN6fYO69e99x@}%kr#{V5u2XNuyL?#wB#%+BuUmbzxttX%Js~ud%^Vw<#x9XX6 zwS((>HdF23Rz2-iJ9s*dua00*C-_wX|IgOBz{^nne|&vOerb1SZ>O{8?9qhemc}(o z8ux2Vk`Nkl%XM9o#E9e;mqbaEN_5eH_xt%i&&=-Gvpe%Fo8C^dsLmYrB#Hu7>06;FU<+c4MFCsez0m)2T<>S*yX>NX zEy!-CC}8K#*H%%$*7hr-C}2ytLoW*0N_`;~0d-iZZE8`#+T9*63fRoQ)QSQ&^DS~w zz~-@4DGJ!?T2fKKmgIBj|5-$KpIrWCp(tQS@Y6(5z-Deh|4*r#xAuP!F9O&OO8IFo zVp9(ScDGsnCc9_`Tar(lqJS;QMyn`btNW2&6tJ0ZFp2`Uh#zW20Xu@rgrb1WJna(| zJ`Z-543&#!Bp=JKTSauX*;M`#rzl|4Sehsb*orK+ivo7YU(<>L*3?3yC}8tjWEKT% zsTSx(0XrAsQc;kc-~4z{ovqZXY7xM0-^%|@D*~#sJ%kGGHHs2;!)C$IqNGO6T)AilJBV|nqJSMMT__6J%FlxS zpT`v2c%tANr6^!qJLS)CiW0Ucd)1kwI|2T)G`Nu_Nwybltwz1%au$5)WXMS#vx03_ z29iAf1z%`I3A>@Zz|xBnc0+l=79#^;%Qnr*K$13}t3?63p}b&oJOg2i^O=%?umxR_ zp*40xdBG|xLk>GX(mv%$J&V;~+cXt?B4x;73%XIrK-i;Ws+oZ#C;Db4kbH6|SZNeZ zVK| zs+EDTYIF1qggxTt8W{+CRL#~h5VkmJpZ@cSvfH@}W~oJUYO~+|FK6l?c?@2WGo-MS zV5X9Rut(JlDFb1r$5ep-KIPPA(@B3v=l}nn#V6Fs4kkMpa@a&)PGlf#*y>5VoS@;~5BB15L|7*r_v4 z%|O_SJ}+e;Yz@ZB8Ax(P^Iyu;LGmDsbc&|bWDSf4`0pXD$)@w1kb$tp8I{OD*n$qX zG7vVM5q1W`7H625fv~60!5KP8PIIDCG=rTt1LO>VO>=NO14&LMf0A01u#V$;2EY!$ z5UnU-ThkN_QZo>?ngcWS%+{=*kRgXnr@xeeur+%|%s^PzeF6S^JZrFrTkk{$lAKTe zi*ixIQdA=YVC&h_%0SpepSCj)cG~oEG7$Ed>S1OeY;n4483>!`Q+fu%7N@J4fw1{> zi)SEgsh?Cb5O%OTB#IJ=`8*+HAgt@oQU=1-po^S=ur=r;W*}@uI|BUokV@<*w6`-5 zHlN3w41}$lVrC$0rjJ?~2zy?ckZC^2=aqU&krZ5KkR)=^VmCKjDoFeIF!lSb`pwtJ zZQ@0%CExulR?t?@K$uTEBLiUz`iPc+umx?Eq3fHkk6Wu5a@bP0lrs>vpiKe(b9k!X ze0|(ZC`xX=K5i~%AZ)3%OeDDkjhvz>H(wt&PGlf#ane5%NZqy1wlOWJuVu(#kC6sO z2Er!#u$h6dN5VsT29i7v^T%gc0@m7t@eC>KG<-lUN^ZUiu93(<*y&zJ%s|+2t1D+9 z>@2un%0SrJRy#w}Y{R93T1fftvA#dKIQio;k>qi!?qo<|D=pa>2wQ?`W>IqURq%aQ z2EtDFQt_gM?K4wwSE49kZ!;C#BNrv?-LHZwS_Z-vw5pzguw|>HW+3d$shpv=n=h&> zDj9OvnKL#+*UYAZlp%#JTX~@1 zx`I3H41_&IL?;7b3z}zUAndFzV`U(0L1RV+!cM9jtth$qF68r0QNkL?R*M3*ZFxa7 zo`JB3?;T18k~}>5V=^oOTY<2cA%)E*B4r>fCkXK0qf?8`GbCgnY}JHB2Exvd+s&e+ zCOfBmb_T*G>US~_cIJ4k41_(i+@@zBY(Axp41^to|7jTrduF-r6eTye<9yC4O4x$l zs%8Le*-9!I2wR-uVo}0&Pbw%OWgu*EZjmz(wm8KA{(IoqE=>g_WFYL!xsk{~lFOF= zSB4I%vuBCXW`>+($~7wkNG?JCzjg+~iWOukmRy|tEAgT^)mhX3=ov_Iaq{zx3?#WY z`B$|JBzflK|E**oYzZ!_8A$TX$-g9LAneRZ0Q~nL+}uR;PoXGb+ivDx6f+REZqFJS zNV0+7t)hUvKFvSxWFTy!7m~fPJ?r=#MEF9qWVLg42Evy5jFEw`iJmnx5VmZm^$Y|D zoBZh?c~!qZ`4P78VOp6QY!?sjMA6M>n_hU+-_NMQKA)auq>aJn)5Y=!q-Fj8>n?U0 zjmp$ba?+>bZi&d6!R1U_S6^p<2mL<^yJ-QOOVe10PE1iOEmv z18dE6Dfv-+;LSp#*mRZ^lGvmtrzh5BiLWNoYO$+;0t*X`V%;x^r;lRY&y><7*4Lau z5?hIBg%a6>#upmJT75B*UW=`2`a3!|w_@@>ucnP+hL5M0VwZdcdZioQ&kP3^8pYZg zZl;xD7aIkhEtJTnI3$riiXEVSg=(=Tx)vJ6npe&AM7GZ9f92L-kDx%y^hCC!QsB{e zTH?(sipHzyQu0_ol3tx{yA*h!ki-ldN$Gj4(`JPvwh#^C>7&?k)hslM9iKXh^igbz z_Z1qIJiCNn(?_xW3IZN8Es2Hkd#~rZ~F6kjijM)-b`zYT}dST8Bdpz^AXOZ zm#USVwXi#V6x-lPI8bO*(oi^-K8jtsB%F}a%d;kqnCVjT0C|TyX%d_CzCzX648Kh; zRWrHF!WZeI*p>{!SLxN+mJGtSLZjGxJ}XpZcWPh?YUP$-dg+Q>{F#isZ`p;5`c{C{cgsOs#} zVc|A8U1EKex6&kb@vu-;OPAP$(%%QEe)IC-UPk(;q_g_mL%68o>Gk78F63njJmQEbvw zXjHO!e*p%$wUTZ5ugK|AvU$IwrAhZEYk9kg=~8mgA6A;eE-3b=zp$>(_HOi_l+#AB z-}3&`PiiCtA9cTvGpgg+{U7*IVhM z*gCwWrAx`__}`J!B{rWGR=SitDZP&xX%eeGR!dXZevAIaP7&^3X$QqE&*s>?&?q*c#)YJ0 z_rA^P5_`J#wh}#VEjFJ@5P0>Pta@EJU1FWqFEolBjfbuDQEZBmmM*d8YZa2%F{^5& zk7BdFtI#MmpS$JsQLNRxLZjFe|FY6XvEP)w8*-Y&c3$%Pv~-Czkp+cMoBh%1k6P&x zn@~_rm)J^_w9+Nk{cT#h#HL*wid#Ops=h0QMzQV-3Q6oS)l5xGOe($~(g#&%(#b+n z($IHK_PB=Z^?@(>`;Pa(URd}}Yw1#QA$&g-8pS$wtn^XIDf$k}=~D7&_;x|z<=GaD z-bQM=lpOS(mZq@RD86s3G>N@p@a>S(rQ|aEwrc58vQuxC=t^w)K9F7E=Dtq8Ppou_ zwf->_w@)^~_q240E$KUjB$O|9d1!J07c{AkHzNkxD8Y7WYM9!oso3RVGUF14zHBg# zpN4Bmv2juy=HSPLja#ilIUzuyT>~ua}&wj#;q|bTm1h~9x6Ug zU}G9TUZXJvO_AB4Ciro zqsJd>*|u4`&z7yBniPp(aIbb=qo8~EY5IQxN$YXVdc2EQmLf7oB= zcyE*_R_wB>6}y~rg5bIR=F(B1!T&oZ#hYtLkpd$nCbi`8r1F)mbZwYiR7pNcv2za5{63Dm*>MY0)839qE|)?4s_; z^z?s_&K^D`(d;4x=NnGW?2yaxwiEkdkhj zw{&AqaTv=^_B^F+uOEISZ>~w+Y=QkrokDjBiMEsfChwgI1RfXVlWTA@tGr!PsK1N6 z<&afQX7@;?P(@vySJMkAj=%$}iovt0D(kKtJP%1CZ)JiZJ*@QX zdf^x(iM$V4l>Bv)LXDNqlN!H4-iGjMsF4@HCR3=nP~Ee46M35gH3h;;oD^zh|4=4x z4S8Fd2${T(I2^UrhnC124k?cCu-CGwtoDlc$h^BCN#s>5O1@l8p^nPBj$Ip&w*$O7 zz^k*1x(Lr)Te_0Goq#$4b#vS3X8%%aiI2QpO@vHd)or7P{^Q_sJ0Zmp9`g1wxL0q* zj!hc~Nh0sl7W=b0)y6YQ!G=S(k+(0r`oarOvMDr3SU$hh=j0s#Gyn*1siaWcZgY9w zujCzKB4qa7Q3{RFf4?>L}wKoi|ICfc=|Jn1LzizY&*%QXJtS?=*v> z>B{IAKPU!CBJWg-yfa;|S;_dYnYY7-%ocdf(GyL!+4`ENpsBRu3a4UXPdmev?@ z1d>GF_bl>$=z4vm)W3WQ#Xd+N;I+|3n}h?sww@;M$3PzgeJ1jPpV?!pmc}FHQ)GTB zvs)=s2gTC!uHMxFQXJtS?>4uM?aKQX=Z}FTk$0;_-mhG*oyz_W=kScS1717ewcAB| zgwNamGLO8wfOY|W>$dT&t#5zvJM!)|5i%w3)=ahWy?&t7z6T)15gvBiW(plt%6)dO z2_%WUKUm~F;(Gn4{IaKA7I_cD>oB~Ix#(x%dYy0jk@qK{pMXxdZJe<4N@nAca@<77 z?1t8q*D1aDwefgb{xy#9u=`t?bV-#q>}H}1o>{)Ir7T2 z)QKNM63JKEB%hG{6~}%%V86w$j+Xj}e0~QJCtuh_5l=k)Qg!l$fI>jo0?*2}&b9Cy zBHtYbLLy(T%~4D%MOz<*q>?WuLB2b6jzszUV3jW*iR3F|lCPZWRbE~Cz9*M1~lWdk9RubR!#ecG4ilqQf=^4*)DhB8d%>< zey9@p9x@OTyU9FNu#vXE>l>{hspOLr8sb(8<-I(Rwxo`lzv@KRmW!;|OquR*@2fSv;CCGdj1 ztlYuNu^LYs2#I`sZI1eBbo=o;A*tl+lOW#!ouh$r<$=-dkVNwJH_11|^@_`%bm;(} z!O4Kva2Jj6+$Eiil5ZH$Fra4zUhr8fOS*t_ew2ZbD7gVdYRb=RcQraY6Ou~4=Mv-_ zr*kx3o=LO5g(Q;i1(R*Ckjk1U|JCaKTgW#7UK8Lo*=^%x&yKn!a425_dI@Nn+r~7j zNzR1*aoac^A3y&$JeD1Wd_OznJL!7; z8b3SsfqszS^$Wc4-cG9E8R^@#{Z2pv`VHuu+s3&>R{z%zkneXJp@BiDtK0-02^F&BMUZ9}NQ7|#Jkl90|VI(BjD^?p@X}l~K?*N^;~7XmE_T50d|N9U+qc4T~cKPkP~fkdV35A^!>&t&CT=?G;RU1<-P! zw=|x$S~}D7hnvV)j{`Icc z2j(lKCcFs=Uhl(egNr_nS1JE&8Aw1M0e#}O@u}pUyrwoJf&7~cZ2Bkj4mT%uw)o$8 z@_%k4RQ5?-s*SDYnX-W!l61=v<>ua}-UGdV(7vi9N4YU*J z8@G+UQvX`ty$DGl{~iNd7`knImoN?~8^~|l2o?JsdU%IFm<{)Re-HVS=ly<>k{^wx z+Bj@(nNjc=BzPT!*HIV!6#wn&Zwnv+{Rre}JnOhL<@?SLA$IhvOR}vd9_Nq?)%Qiw~A5y1KfjKm>JVO3_9U)TkgYOi& zVUCh6OCZQb%aPl!1W56B`TbG5)!-whlGfWvf}!Qa~K@~3Ijzo zUNA?pFGjzHB#@A8U|Ug{qrAlDV`g?BA!Z{~>`Ln4=q~f`<&QTYLDUf_T;BD%+w8mG z$ODkzRSsSiT~sMP=C-YqAOYP2R8`{z@0HG6j{F5lAfbxEu7LRe<;51Nl`kznL&AMF zLd6EEZX31CG36JZBB7>^5J|wb8>y@ZohH{4k3-T(sN;};i$7B6VPo#f_m4vYY5+f6 z1d>9H)nOIO?SUkd&=7vOuq1_=i&L(C`2r*l2~FXL3rtd|mD6F5xr2n37D6H65!b7& z(`98R%p}H640YSxcVhku%p^EI3Ns3CZU7Pu7XLSF5)c;&4lD3 zp_7h%bKN$&Isf#_zeGY;3!zZ*!k<)D59f(JJqQxKRCwW{ofPVA{7~(?1CW4v0pY5j z6naK2H~EVSkYp12+U)9|6dEM{wyW*)kUS&|(6M>2+eX~!DfIY*gdr9}AputwrLsmi zzxRC04+&nw;f2e+QfRc%zRCEKkbs^AdfshgtlBBDeIO*6gfTV=J2hD`!4YD=M2VlQ*e8<0FC ztkkhbw#3mIr5ifZW|ZWwS8i>A;~11vnhG)X{z8w@%A!%--6^J zA)&L2UQ_6A=N0S2og`ec5DFzPeodi&oH6!gJojFO*Hw63bJ4#>LM;6 z!94M|`DfNZ(h%?x-7L{rll{d#6u1*vcOt8tn^oQz)b{aOkdRdlS@)Q{U`4gljFP(` z$rPwyQ=m$mqpD&b&+Bs`c_>g>r@(!#mn4>$_YkCN@T#WZTMt}R(>YtQeSiWrfNB8M zN$`UA8!uj%KMRtE5GZ+hc4}S^s)L)&@ygDfOmI@bjcJ|g?F_8kD zfI0znb=&A>wCeESR7e^^pnz&}uO8~v4a-kJk}2?%P06eGQ*HDX8@2Dg36h5bPwN!u z=XyOOHW=0HHwyHHS6_GybkRU(af^yeDKG$N0ML*GFBmr#T)nd{Bn=@@V7STA2=$Aq zw>E$zQ(%})$$J4(ZHyMzH7nl>l7|ALbP7E0dW{u}H@TQcfidtJ1F!Ke8t;_oe;McD zIG}MrFD7`wiAII+A6Fr12!R5VO^#kx7w%l&9+FIfmuw15i*qzxY<}n=6_SSnQ*{c= zbiHPY^G`HtOo3M{1iWw;MymNa&d!;=V-%PTG#lvE1V{6YUv~t5futb>O5XI5%37ov zE02wXBvW94O@SqGj+TlaZ9jqLmv|_!Sf{`;*K4`>P@PK;Qow+h0k1b*^rlnk*kSmr z1X>BSI>8IBF=B_d9)bkCT41-fq}q5_y}I$}t&n62ykoO_V^ZjSaaY!=>ySJYcu!~d z$fVFmqNo2iPg39mczpmb+?|s`8=Zx*5kYoyMw%Lt5DYRW2`eOaJAbBXTRcE&urO<9=(S}mJDe!fI0y`9TyHN^#D~vn) zbW;j^1N062_KCd1efA)&*ZUOs&P2fP2Zy5r`lyP&3XtLm_#HMlI-)eLR^>b-i2{c# zN`4z`%Ilc&XBn*z1&+e&D7=ol=!76v%)uHuKn~EaBG3BO?tJPYqQFTLAyeSA!_gW2 zrvvSmLW(0i6gX#abY6*;dg~k{i2}b{6iB#Ue=7ehj*g(fAMpAEUYA_-w=lBVoQ)Lt z3+OMPt0FIW)h^Sj?g0v1F%dEa3LK8E>5rZc-2o|%@USml{QoHjJ<9y5+Xh0CC~)1P zU~%phETOd9ES;nvB@i0>;@(tNDPgz0cMSzg0+j?R&D#i;w&zu>_ZS6lGZ8WceY}mJ zU$5Eawnrhw5gzu{qN#!*rTOSlQz1zd3|QkpG7&NbYdIX% z)?Xeq;eAMPgolE44UXz5S6bz*gd|b$ev6XdIG<|cA*E@oc_|7$2(Jg>CA+Ah&~}P& zfr1YMJq*-D_N$Q112aP*{p zYbS36QXJu-V0VL~r<8Ub-rfyKqF^_Rf<0ZYr9`Nb`uRbp7D>OecekcWd z1N8>#FYrbz|z8z8=;i2GAgQH=}KOMSYd520Aj9U~O z>3WS)V*MJxYXrPT!0R~|jS-ez?hko%GJswXdBGR#cHKW-O~J7yLZ+a`pJ0O%j7OF) zTm}glnn1xxCeM0F^{jtj6(nR#v?(|x&e2r0N>{4|Bo75&)+so{MX!k6PXGNLB%tX) zy2P_|XVk8Pb168>LMRlRo8V}k5n3>=JR}VvQ1CUAqXp{8)jiKck|{Xfrr_(Y*J8Ee zg{9RY!D|t`-f)p2PG1z?1qo;=&jxJdP%rNtQX3M`exSo~Uhs%mxxt`CkUSJTq*L%GiKCyKW;-7`K*6IHLZP6O;OMyV z`{pWfNE$+*;7Ql(SHsvE#v=v4pn|{H6g=&sGwRny)ozf0egire=LOG;FOB}K2_z2% zf7dCPkT^;>_ca;xD+T|s5DEqVN^o?^=r>LJ6Ox7yD0s#7x@xpr)#M9E@VX4I0vBCV z+m#q{7!puE(2aPiV8|nGJ&VtLgEu@ByslHIxWrL$=jZ-cKc^5`2!%o=6CB-YtTRt9 zg`^<_3f;!NLZyxS8hvpcl1!oh*%b1*$gk#Atq_C+c;v72V;=nbsxNHxu~{!V^J}zVJ)DVKy~B1U_G(fC4AZ*s_UW9{W^u}OB~gA4zI4z zgF+8l2!%oqCpeOgPa93?3`s)>6l&~xH8FhG&rXH}uSW1{?xGfIi;h+Ag#^?LsCAqd zY$FN_o}CWKL!nkWh1y9RwR46qSlNU^Z7qaCq4o)m9y88QzIp_bh7c&!+2N>*U2fgX zzaYga^ter-ZZ7JsmpS(Fmym$E!LNtGvwAA|o}n@%i9#y;daE4u5x(ot<}nKON+96( zjL6Y5_Qlhkc2TIGi9q&1*K3e{tMujsNbnlyVc)&{|E~c;L-qSkmD~*pC=N8jZDXYJ zR+&*hLXs#n+@jEEw~gn7uN7@4g`Q0yGzyJ%+ZbyvsXu8hg`PJNGKI#wZD{uY#I^My z!D~FcCc0>nJ|z3m=O6)11bW%v^O~X@>NoycWDC-!(r1=U+@R|d!`7U}*Z#wSjPapx!2U=wCg0Cw#`Xx`a zMG}P;S`=EUa`c9&&o}C9n0$Ky~uG_{sW#QiNL`V{a)>;&L-)&>PV7GW$q>!0FXq5a4@l?T& z>{nY2!o%T16CqRbtHe`ill|NJr2`?sYomvf-;tg|pX<@B9lwDD^cj$4aI{7F$dT`aLc6L<0y0{fzT*)!ENJ$E!16PQ|P>jkSX-1>vhrozQmPtkm3k< z{q3U5dcFGR(Ba=ee*^tv@HX<5wi_#zg(OkvszsrHRgSI;#}aqfrO>qmLZfgoF@?g# z>=U==^s*!iqR$F2oHrzx#)koQNG7ENI<24ZZ~*AuTpZ@-!U>YweVaM=VxqwqZ&jDRddmOdgBlELy&-~0o5>g!J5iD+vf~{BvH7!Md4;H zYOZX`pSFR*wG#-9!mU)E)mr#?)#6(z+!ClIP+O6scEVfx4?afWM@)oF;r1?SZ=avs z{2vNG3iK$@;||a2sMpK-s{m3Q;h}J6gQG6WUgu~RND_rRSrqQ3a@1Y8-~?WxaMuJv zqi_$`tEVvgXn!AtRd}iJ>g}T5_Q9PKD^R!>P%of<4lnqOes$6;FQhoaL*ao2M}w6A zEw2@UBvE*PMd7&0(NLlFp}*gt@Q?&TqwomVYosv#*oqMp9uBYJ@EYx+(e{T+x6PyQ zvp~-RJ@4>>WA$2X)LxL{2oHtF8ysm$N4n4)l0@Ng7KJCO98D5_&+)!Z;TIDKjlwUx zUQ>i=ZRcE|@ML&RhSzi#O}9^8d-ec@rvXg^n(6R@v-BgU%Y-1s5grQ9F*us546xVW zJe(s@c(z61`6@@R3HgJ&tf%m+34})BMXuND!l!L#w5ISvcrAq2QWq_?w_CVuHM|69 z3D7c!7hJCU+X(*#1Ju;qGf5f+Tu46iRt1iZGpXuG{^^9!RXybWj@&{qyG zxKpoFaqL@=;s_6gcN-k-QC^?143Dqf5`}kJ6#iD_$QCNZU(KiR-ULFU@b|9Qe&O2M zpaVch9A5B8eZ>Cicyc%rM|dcF%;4x}W!(DtS0G6g z{>h^736-N?gjT!aehME?AT$b}Q8+p)m$=w@9EDFi6#iAF@Oc+q@EmWI^)!Xg0iA>2 zp90VN(~8+y*%VF~2>4yHIr>}cD$0`~sT9V0h~cX`NB_viS-m_Yk-}F@3SV=*{*~Kx ztk;{u1@J0}Q^dnjq?o7es6X)xa0BQDPzfPbFjB(WaBR{W6e(^XB#PW>b5u$j^jZ7< zkW`A4Oi-k>&e831g$47fLJ}!*n@JJB>m|sidzEWK5ubyASI9+S&&ad4t)WN|C(x@$ z+Rxudk>>Df4zD&Ydc?D;(dCCI(i*5WkRtGcinVOaw7)6R&Ok^MdCcahgXZM_bOe%0 zk@g9SJfU;cNxsmf=lhUEigYw7@}%q4RqkD4%U+6ffmauJJ>??RbEZqvcPP>ws5{Wp z0x$TqHEPD>eiZ3xAS8*AV&L z@}*8uWRQb^*Dx0i_xMJ%Z%vV*Ktq8>3B2Ga%UL_)7)3@J2#F%k*&L10)+rB#A*mD@ zouJ4II!EK==Spm%>g^PnVjv`n%&<9nMfNky$21=DA+4$~EPK zzf)u`yyn7dfr}P;mW_zLPLbDuUISVz@Pdo2y!qM1DDt|2kSOwo&5@z4AaxTYl_E5l(yxwuqT2Ga6iMAAZ8|ZDI_XJ+> zJ*(6H+wnxS&Ok^MS#NXnf%ZbxTknRXQsn&vMKFJtruks=?N6#2yU+O0i( z@_&y}WD~qL#VNAa&H7gUWAYOtAtCD-gOjxdikV&{c&O{Kxb1p7r}Fa>YT!DRNEV=$dtHPl>C^+s+XZ zMQ+$Bl;zPjU2TRF`-V!9>j}y#&b_iqXayDXeu5-Y7MYZFtBXp>?Yf^$NWtC4*RwdV~vZhzwHWL!OD#Ght7gduNEjn8X5>Qp3>IyGd!}DP^ zT#ub4IfyuA)fPCaZ7sfL=2KQJ10hjXU7MqN+CAMnUWTMn*8K^}s_%L|q+Kalb_yhT zJqRz^MGfT<`hab+TY<6~JBT=CwGcRJVcjzDU~$T7ZXhJeYHf4W zMr)ma_W(#LWwlCBRy)^A(Uei%`ys)rExaCcQ3t$;TKfYep!PsdD7;`N&n-K4uA!`s z4kAujPYN78X_eaeSVPL{Vjv{S>TYxNly*yZJf&oHS1GGog0gzLUQcTsyT3XO61;lA ztB;HN%DdJl{(%J48>qj+3l8v%Uq9|RWj*5{;*>R5;ApTFQezlB$UsPxHPq&4n0DWh z2P{Y`WyKSeHQM6nIdk}`3Me>Qp{x#JdH87>&zc|&YSw=OB!RNV z!*7zz(WJyaW7r3jHPJ@EZ;FSbDQ3e-WnQPOmvw|lS<_vw8RmjAvkM@>YZ|;}xk!&! z*;nQ+NI)}z=4!m)JgI?QeIX=)vgQ~!HwYo@w17~t(?HzA_E^9^3);;sMv^--AT-Lp zE0HRaT~0f-tNE1V#tR6QvhOxIx<{ULw>&H22;K^w}doyJ}SLh>0}TcmYVv}^<^7-hqf+)T8L~~;BjX%B>nWlB;ic?R9>_XnKPPcC z#+qK{uWpbmghJV4U9WLgpVhZ?r0f?o1iUmCy{NS_T6{^_6M!ZFO)`1G$#Q$C%mT`O zNrr4w_LMkB(>w!vef}n8PxV07DSL**(JR(VYs(gcWFZvFp5=PYwmO`tSp)OFq3n4;^MGD6dBKJ9s8j7#%3dHtwkiAdI7dr71Ezc%qU^;U$U0@eA#r3_ zKheAwAXx~7vX{GFE3MU+CX}G;6&eCwt6a2No4RsReae0d=q;ePO*5@l!V8PHyhYjXc_8bQ{l3G|2k}Sxm->XV*T)gS9~m5d9RH}qhhfUzAVIb$ zdy|Vkm3%^pRh0b+&?cbIRi3puaqW=W5K;o6QT7&*qpfDS2G2BrWFlnB-tKU;Bc69^ z_3xDZWgOw5?47RH5p#NjVf!fiYk2L1*HJg?So{Nf*;>l}30XfO%W<<#NV-vZ17#ma zmV>O5D);&|aq0GJl^`V$8fBjrIXYwhv-3M2BoiT1_V2FOc~f7t{Bz1a2d{JR`ol$k z#@h#TT2pocC;{}B!3+K^Rmk^uq3lZ%WQ($|s2p8Qj9$HRKBNRfqwE5aqig1Oo*j5f zDKHT-WnbrBQIGkqGPxvW(>_`JFGcGE z)dzam-~}5>B`ZYwQB;;7TNG`qa?~Udx_;L#NC|{S(dHsYEzE80FUgQh1peE~^=e~2 z**>QtMe)u=w6%w#ZC#|qpR#UUN6~gb?SR@FykG~Z{N91*DEgQL*`jDim7^yTP2TQO z1yTZ`QM8N5(Ua!j@-OU#WFlmWc5}U+GN&r?0E*(BiD-9t^>ESC@#YJjtVPkDKs|wa z8@ym&sn_-@?@_dm1lgkKGb%^@6CHvJMng&Q%qIT~zE8T{vDNG3w2Xx#N0W-h7v zPGgGVor&mBc#Uw;sCfNW_ne{VNT88GqYYkgjMPGIG>oFpNsujyj#W8&A@PvX6&_;~ z2#une$k7C|SAEY4NG1Y9+|QJwQyfM6VirYTHYhsDL(%C1&zfQ6>@hKVI?#0Z&9phv z)vxM5yojQ+RLJm~qjNM*%&S`ZH;T>`A;WLJ!qIEa!tK)^h2$XO6kX(cz3xoiP%aA+ zycWT0sf*q)c7OI=J4irFftK04;0kqID`^5nm#dHy6n#_Y=q>Tu^?ltax=Ms>Qgn^N z(c8|>{Feqpau9KfzUz9ebGDu6a}OkVy$i4RU9{eiOiwjPK<@*6X!C*_)S1HQixmAx zg`A-1MxCQi#74&M9u(aqLN+P-nZnWM&JQ(K9fjl|;uN)9uPx4=5_f+930@Yww!7#{ zqfh@+-$4S}4)m4H3x2KsaOvLF6y2#pPEd5W&e1nwh5mnu6y1Z^ZBq1Gg(KUkr(4w_ zIfyt#zjwX%JLBTpnn5xU@H*(CL&h)7T46N~0v!Z8V)KGW)p_%J%%SLyD&z!3kLesa z;+S=_=TY=$5wc0q6ADMaI5jUcUIWQN#3_2p_4>`ZgRbm@1g}%@I_sj}jWu@j4+|(Z3arE<42=y?PjugNRe~AJ;42 zIX?E=7Lbtn54`?$(RJg~8O?Fd{|of5L^&QiRWOIt4cW6NP);!wa)NS7=p2<4N9so> zQO+$QWRr4ADIERJnPj{Nxs-#5Q_k(&E63|B{;+rml7WDi;G%#rtk3v=Apr?MA)6PB zsE^1c3n(Y7LQYW59Xdx*@%T)fE;-pEWRr4o6^>%g0zEPwl7om-&YiB8=#*ac-W*8q zx>KT@axN-wc$JR^KmsZURKey2E2>wT-O`?N?olBpD5tW{QC0DLn~%>^P8AWdNjcRN zj_z|FzL=N=$w9;^r-tiQ(|KgaT|*$js|LL4xafZ4ivxJ~FQ*Pr9iVzPFZiJPOvjN4 z%6UMAjQ>8QbM&ydX#cTOl+!?jY*J1`g`>yB_9N~b1<66gDd%w)Jt594`A20)K#v1; zc2O6n>=e&HNI;!|x(U2scVkF}A-foUt^JgbDW``DIYBwSbdLIn_kZ%n zRm$ltLN+O{F+42T1Vh2d{xH8sxN_HvDBsKm&o|0xvk!xVpL7caRK(L^;E4 zjz+4Z51qQ5az?0-6O{9;&e3z?_01diP|j!(vPn75yIwDd2Nt!#iTymho`=_X7irFs z2j&ll1T-FKqQDDIG9EwFcs3*hAyLj`o1-b}?L8A~Dd%Msa)NTE=^V`v%Z~1Th;pWj zkWI>&>3Zp+P}Up=30^bdHOEDBowiit9Y{cPfaVLl;A@6?_H!J{`36FwoP{<=udB;P zY{VJ0NQIoBoFzI(Z-`#!2u3dzA)A!5%=KC!c4{@^4oL7?7N?vyU9`%{oAlNXkbvF< zS|jj+ZyUcY&1wS4K%nqio1=B=g;nZk%6V6XoS+<2=V-lnO*!9-a^4pqo0RjR>$O3Q zHre+(BzS!YuZ=F+O zwg*1B2+2T5l(Wy~XutaN&ff1+&i5+h1mzsiIXWZ`Z*qPOYjL{oC5weK{;o1 zj(!){92)Wu<(w5Eo0N0j_4-3>7vGi#$w9#DPZwQu8l9Zl1rpGoKz|Fo;ALaO;7TuG$>stI?eE?@|ul{>ZtSpqy(uN7u!&B^FMhoPR~gCgr-HDd&>dyv}6_;0w0xAJiino#bKO*1)JKHGl!Z%8@ID`HK2Qes>{4!4f~%aAN7P}BUH+*?cu17zAY>G6eJxXQf^&~qX(1~ zzF%XMTTg-PP;PzKtAX;)sk6-}_aS)IhnMW4M#7Ju9q>?YLm(NbiOdT&wI7^xDIb!J zP${>ChohGIwhnl)l-oi_h?Lvf;^-0O{PnEPl-ovu>`-ny*XvQ`tIGS=QLX~7cJO-4 zMUM+>8U(9TZU>;pfS!(xs+JO8`El>0Qidcv!Zi~0#YJZHL6ZeO52K>cN2aDaVU?$QL3jZi6f zu!o}|`qItsbb_QKM9Lj%aWq^RxV+(B${nUab|`nG>-DUnkI0@*xuf7U5?;@_=y{=P zcpz3|4A65xFUY*$IQzo1z6&7P2$gatcsP1d?@{^vpCRc8k#Z+l98Ff>orZ;S$EX4lsgq(Q{XkjMKgtRhlT>Z0yG0imwCb2_LSA~RY*2MrQCTQj$YME zdpb9Tq$5Piea+%%q4LM6QWGe5fdbi~+}B;NB}%KVM_!=Z#qfF^UT?T)nQ-{RJ2)r? z&>KK2WL|Kkz3s?W36hOaDfcZ8N2~Q)jGkDiw{(O^xo=w>tyKn18HPjojsn@C+;y&( zrLXMs?{&(3Pk;=st!~zKW!heQ4CQV^)>dTgaIt__)(&LtlDXGzyKZpz zlaOqLO1XPI9DS=-srwrq%X@W%NV(s+Uf=6Elm8k@x%=St9lU;U(Ltr#fd!A91W(Jyb%aQ{Cta^o z`sn`C?xfsb;dK&Tr(JYbY57TeO!*AZX`pi&FL*(yJ=Xgw<(?NH8}^q# zVig3)2E{7L996bE^qbTPl8sO)cCUw{YWhTF`+P_`LZq1FdezWN4wTkYtUA0Tc-3-I z9p!LkTnQYj4O9!LuEq;KAoM%2xgN#p36Kqn)t5PX$c}H$84Srrs1%bu95vK`>{6i% zBpo4Atg-9WRKHT==N~E71YV8d)!aobmCIwl>QAv2K+S<#YrNniLZccbu2Zay0NJ2e zJDDTJzBXlgNk}$ArPyO0jymXb4lJ7kNk@nj>*#uQ(nCvUU7*+#@ahP!E-vb-d{MFj z&W$I5x&U?8ctKS-U{%{sv8M#c2E}^H96fCho>HYEBpabptdEDIzWN7M|2zUoM~D=A z#`PMY|FL-!PMiMldInyDTr@-}J7eb=iVX%D1T<9R1&0fA*7PA18zw+DC^k~&Xq5eO zSrZ+Ov=J)Bp7U@tMt}2YPaNgvbc9H;v98xR{b02v0gAlV# zrPv!Djtu=u#}z2}hK>*^w%ql4%UW~#w=9aS(jYq&Ta(~f?`Yj>?HNh2w}IY<-@7J9 z@5%37U(%Xl>tx9Adq2+62c9QR-jAoR^&ZIZ`$*zwgVnaozgr<$2!&!BU9V59g-h>l zOR-Jx+61r9T(ntRwC~5O6#E?Lb0GImv)ESoV*U+eZIL0{6x;5$vBRUj_~ie?W3BPL@t22U7j=qVakH+<6~-0d3HA!IE+ebJ z&AKMub1FI-60-702r9He}s~EWexOw<_;Dv6=FG$nqg8nBWCNM!y+%%!8yM z1j@^@Il4o;vi{$`kW|WxBq%S(Y8B^gv=%?X{YH>Hl-E+HytWEQ z?L3JE3%gR@BMu@?dF>^R9uvFuIg>?sk6H+Y@;bU%Pk2@=&NV3Sab!J?tS)X=7iYww zy@M&QGqO4(tGmDpcDJr~|LOnOx(_fJlJ^hbqc|38wPhD;ccy1%Ir&;RIgFecdF4Kw z99ni_TdYjWndY2)jI=U+tel#YV~ZynPUiT(H8oZ9d;VMdJoVIh>+^lPd$y;ich;F- zQCvGn!kdI~zxdfwNBt3cpAAYX3<1UcYO0VNT|4fLV7 z-xRhqOuqfH!$Bz7P~1=r#f=Q5MtO2naFm7;q((q$OemEo+LH1#f)b=uNR9WhhDo*w zo%b9?@Uby46cjf}VoQ^(xQ;{qL-6S_FuW*kn!uK(+nTwC9Y=9fbr=$gn;A;Yw0?>F z08a-EQt*-!H#d};Ys~9*={bs<1F1QXTHt357wSg~&w+*uR2Tw^TVk@MrRp~C#-E@h zqqxO>6sP;x(h7a@)#-L9c~IPP6UD6xrT$Q--7W^_;VMY|4ym=F)H?Z(9PqEK$E}6b z8c1!_Si?U(ODCu83ndZ7ZSbKupTd^5h)&zsG*GgkxXl`h3%wH=WO>19eP=A)vU^0$Vz5T(pf|g5pj=>J+5Tg;J*e zS?Z$Ne4;N8lw=fl!H?pu_}J1_`FruO>rnEbxXUJryP>hAn`+y- zlQTm}L~+-BDDF-uWy$kC!r#D*y924)kh&jAJ@D);Qy~kKAaxH?|0t~CV^N%wkOCze zihHD?xMyCr^xRf?L>0KeKDA&dDDIWSmi*$4lzMQDdTGG$qPTxUskgQ&i3i4`xHph` z1E~+8)Ca3qLwOyFdk?AikoqF9hF^>or`N`#xX(Ha3B`T$v!(BPhLV-9K}m%nAUiVY zl0B`FrfuH+P?C{7jUU<5vy?r9UOenldnlJ$6$dGomo;?TE*m9sBRl+nWp^sbo=;*+`7HR`$d!;iuK~l0 z>;(n3RLC}P>e>>i6PRWTFZ47mg)1=-;TEqe`i2Wzk4 zt9EkoS7fiQ!4Q$Xb|_UxYf$`2UMNATwhh_qvzuFc17-D_sb8SvMfQ3YvU_#5t;XI5 zVFS`ZNkaBU2C_F(*-~@m)Z2ejpd=uBQytk8LaA2X#qu0zm;kAkkZK!BwUZKu{`n9} zkZJ=dS!NA?5ptJnRSwxZ_+hBX-r2*JI{U3}@PD${JDD(KWbY=jrS3xCq0KiUdsiO} z53=_PrF!`{r$0Xm*?U5&C!~~6N-;Cv%-0;*`$DQOqz2kp!-2l#7hK86K0t#ZBKr`F zEe+Kw_ld3xB?X2J*@qi!X@t*{vY-=`yvRPxLiW+2l&Vb^$3e5vkQxoCv7yvB#ohi! zQz$_y5mFO$)^MV?_x6*Gp(G)Dl7Z|~RJJr#>ejF^JS(RN$Ua#|_8Br;(!3R$m8_2J z)BP}1WS<>M&5_C`UflsDNX>%O{7`CvaH7&)_)<^$M zAp2iBvLBV%(lKGcoZFd^{fHliitM5H5ACNUMfW{J_7f%y8QITZ$)g*l@VoJb}~`NWBcD zUI{}k&O3XWzF< zr(q92X)r`&|IcDe-?aLLpTY(9KLv&jIno$($$@-(goJ@m@*;=LLXIC;%8_1+-c|WM zloS|{${0#zQr_G=_6SOl3WHP@oi&W`J}K92A(SNK2se-;o643VrAH^*notsuL(q{U zN@h#Z-kPgg_Ck&vei$lpjpG0=#hEy&{#f4IKAwW*05Eg{tsQf)=nu&voj&C(G$+W262kVCeyCE0iAZJM0O z(LsYDB1b2SEp;}(KmP;H!%hke8*+3DrMmgV#7Sk4qbsDkLaJvd)k~ZBc~Cf%Ak`C6 zeGS%7QKWB&3PZ_@9DOY07@)JIf!<>m|7Zav2|4;3$T37^OGA}fli}}hI)(_yF<3{A z;i1$B@81XVwuKU;hCym{D5XjX4XfIr1gTMw8Y{DgBgupzBgYhxElm{$=W6Igj>$e49^{x2O3mlpwVdQmaF$HHv+0>}M!J>JLb**IC02UR$q5YoR0|$2tQ!Lcc7q$>VSu(4)`p-O@Q1T+jMGHA@vfEV0E#qVCl_gM;k>jd?92UE|b==keJ~TBBN-7KiIUa;k z57mxe-@rxh0i^Exk>jzCZR?4=F1iz3h#q^8;~x__o@;FBg{Nwci4~zFBF8fya`+Xt z^ja>owsa0C*^uLvh8%B0sdt`D&p$4O5~Tix)W=Zjllc9@=TA_A)CWj?^|FTl+2ZbH zg2(WS1w%oiO`=Pp&8irg{0=$38!)^`Oee6VA8eaFn?@rstqwy%Vpu2@W*v2{c#p&k z1`J4rhf?9jxx#-qkeC@#nIV5(dsXI9mN|1_ylp~Z9*MbtH?2vM6tYN%o#HgEN zp(G;Fr-ke4kL_H;IGv_xV-3xBo>EMaY&VxSi{m*#Ol{qkXXuq;YDJ3fi0CcZ29W#Mq)V~hJ?gQ zezsKET6=nPcPOba1SD1qrK%a9%k+7L;4kIEfK<&;s+Qik{fS?p1gV;ks%x@__0${@ z@8RLCD>yUuzCohB4TI>M7Uo033 z61zxjsjIl}!W~%p)qvqeVvkU&r|tNHt;3Pn9a7yP)hCqd1OICGl4(fn4XNIc>MyW{ z{f#wm)67L;KOKgI#6f2(AFrz`k(#4=8BXOu7i6cX)QF_a9 zwUVF&sgaNx6G|njtHrespadxuQsaHBVUjF7-BT1w9wd%4kvK_XOOrjzi#MqcB@u}e zeMp?9u%+qp$UbXeH>TN;I8{U9iqN)Js&&3ThVlv-i8^fSkI=SO%L|5`+7BfU5?8^t z)`hmU-ZTE|GN^=D{Xo5T}eZ6l!sO*g`}ws_fYZ1o&&m~KrykU9-1 z(_{_LtCLcOrG=7=#B+WmUi7i0OLD`6{2!s@LE_&g60d4(>6&`F;i+R#5|Mbths2wq z)GgU87+nuakh%dWE0ntH*><$sA5enS9Y{S;Si^_ny|A(=P_iNMzJ|odUbghaR%z?T z^ho^2f}tSsxx|)Uh~=sxNIWxOc#-H2rC!^LUygyLSCD!IskfojTWi{*UT!4*3#or0 z^-*9AKN`+VMxeX zD3mH>-M`m84mk@#svx9(3Z;HB>NV?k0y&F9swkw2`&q*h`Xx^p1SJ)QfSjdFwp3cp zAMg4DN-}c(>_^UWKDJa||M+HZ0+c++S=L0(N}*I`^;72?1E2({ijb-rN>!8nbGBrF z5~Qj?s;0&o*7E$A>CP7@iO5;Qhn#g4wp34i)93jbDA|y+j)t5Ky=v;sm|Yg zwY`%nK*@`o1AWLjg5BIYM`}OKs9XH$@Ku@8m^ zIdvOb()~ZwmEhrBuE7wIb5$s{%1n-otc0AuL+W=(tqrBt`lLDOMXE@3{-aF2z8D_EM_%N7Xd&lQoh?1{8drCx zgOY@tPYmRIsj{V4%DlS&9fXpAoG*0bd=pCj>n$=WrUjHB^%_#|L#Yo^k23eSLJ3mu zAoW>h4ZjF!h4(j*^OGNjik#m(Z0VbS>dGPTK>cUJkdX_1mJ0sspsuvSSUu)1#ZNgaN5cp;RXG>CM{tkt-vlGD0fC#u`TW?CIt-K&~tr3=z4q zS!^j%tFx)J0wp|b$Q5OMPlB}nClR9q-!SM+8R zVxR=6SV+Nhj~%ikSktCt^zVk74$wTzUww} z<@du-k*kQxmWoPw-e=ziB}f&9RIyO1xbSz$B-oAwDG5?Pdsymc|A)?(Yamxi6NZdj zWkt4B)*Kl=E)2QK_+WUDtD=o9RrEJFY5NnoDrhi7ItcykP7|c$)%X5N5!5%uD(7P9^?xB;>k78_eiS)$2CBMAtG1k z7f-ICrf!yO3nc}H4Y@|x*dC7X)hz@6@2zV%q=rK(^ou8#sy!O_8+^Y;Luxdn=7dsn zd?!5CW#k&`MXp2(x#nA};R5Zb{htz0g4BFSEjHNF5?}nqy5pb(sYQ@l9!lw2i$jTL zp#-VrkorB8TBYQT_+c!RAhi-wYjoCdt#?dp(hev|$hF!)t_><%+9+jPxp5(s1ms$; zBiCk`E&05eUY7riT$}tbROH$gN^O@8)UBN!N|4$Lshy$JE+Mh@{Y}V~45?&D?e(yR zd;JOChR2a>j|oFYu7e_5I%wJkSGtE>2YfI*$aUDpmJa(DG+9v_xejSCMC3XaN*yx? zRJsS>%A=4v3aL|})G42Juhn7XIti(hkUDFzhUc_4+t<6Hq`XlHw%-IUa^#W2aAQk$>lk1JYXT<(QFg(ch*~XSW z`@-vP?TB2TG#Das{b#YIZzig`YAKWy7&hcaEagVNrt1fdLGCmf3=z3Qzj$(|*CymI zIUPz03`k`(XhU}<Io!h747@fMUM&~rquiNhjD9Om3(~sP-p;VllAl91*B}nBlkz3SQL#GGzsIebPB62%? z$Q`e+rM%)MD<%m_Hsp3|$erKImI`>Pe?4#kxqq}^D9Bwnlqv#mAE~9F1gS!hl0vCs zwrLS-mm~L2kopNyB_-Cdq*ZYj{3Q){2?K@~xyuM_sf^LfRyQqjm)2oO$X(vgmMU1U z+xDpjB^8E%+@4U%W4zRBWI*o9kg5!+YN1qh{eH@z6HtOwHAvMmS;N}uZ*#W6!&^&6 z?wWq&uIFP*_2mTo(SGiF9^|fTB6lN=EqT>_585?@l8D?5eaPK3lxij)Z#~ zo*TLQ=rAPY?(b(y1N038D~^DY3PV8d!6sW8Vr+|Davn-Dau4z&_pnfExW3`Q3OLz@ zL24MJMuk$N)w@?`jDr%SMnWpl#~O~6b0)Rv10@e~k1>%uNn=YBJXNPEaL7p_a*y{R z_hf}FO_8^4|K1-;Hsqe9A@}r9YKG^GIs%?4(;+ntQnNy-*<#+6i?2foQZpen&&wLl zw=Eewzb$glwO}a7y+~q9i>zMD&?@9!Xu$9y_cDPkEw}A_+wvK5FV$g4$h|U@T50`x zcK$EOy#i7zAhkM_T5YIDuYvvpQh&g_&d(aI*VEo?0_XfX6^4M^f0}G*lUlXz=EhKx zk$a;bxwrV((pG)Ofp=Y?&^v+dyPn)F z&cJovg47*IJqR80L-9_fxDrsZA@_X^xgUGk&Ofm^Qk;{J`yUI2g505Z0^Kjf)8jUe zMeb(?3@>tr-U)QSwnh7Mtwruvka`8F&^v+dx7IVXDLZoi3#or0^-*Bk`e@W#m7ET_ zKj<(dDp=-DgHXNrfRG_ji+~;%&y^S;J>RNk;B(eiR>iCon#po~5Wc2TCdo z0mX;j35*X@yFNPF6iPCRPY#7cd^w76mPd+C@9`7v6R~yzYG4pO}xv1;YIO33T!FAZFu@76;XUX9fpMB3ko)9 zT@YYFZ<_c0E%;Mjcmc}`zjcDIG-+q2`2v6M2Bl5&A;p&Fb1ySZnh!_3u<+B_ZZqFw zf)Usf%gz3Sa2R&3ChhVB>FOYVgS{VxjA04$e%Aov7T zJYxwz<)q%vIMu!+4h#G-ta!$9kHnF&EBB?@i3Nhsa>ZX*?iM*R&pG?v99SUuKv+Cu zxl7>47;V&rWGqm&L>13it~NL_cll-y7hr+lqiOMsCHx{T^%3Q|Hg3Z@ED(IYEuOJl zrE_G?7W$u4u|V)4x%dmq-!+bmw%sd#1q%e9w2NmfSE?KtWgeQa4+{hz*NbN?S125r zV{zTK@WVTnJqPzi`iG9*)d5Q97?< zf#4&I@r>nCi6e!)mm9`nf#7qH@r>mXkt4I}rJ9V#0>OtV;~C4v0!KzPixitmn_+zT;QkIu1-=D<-!N)q|FDw`MIWpW8zW)#w2tFGc&sfg4I5P8=dt0Yq0Us`{ z;u*_%CP!w{hQ26;1$;uafoCk|8XTE%fvfv}SRnYQYdm8)$H$Rj3#^xc%QpVJY$|8# z9GM~KtzD#SJPog(K5dKmJomB8xIP zL*~e|G4`#yh%AJsz_CyFawNK&veHZBj~*(gc{no7xP%N#h;*w|PL(*)=I<3hok)j4 zNj^Q<-FOQdK$foo3ZoOyol* zM=Kopw%xuBX^6aQ;2A&TM#&uc@5d&U6N$Wyr+^&k<;XV;ydyRcdEGi2Jxsl1fDn~y2XT;3FEh-Y$8nkC0g(Dvv>~_Z_J^#Q{;D~z59C`nI@$lV5uJBOV z%gd4X+K$LYuAjnEmCBwTj=WoU@P9k7K*B;Mdq^B*+r=|kw2Mu#u6T{)Q{(pAtU|@J~s;s zRMuBGGG)~BYHLW({9Y>S$sBq3^stA4TNNb4Gg;Tmk%wB>yc9!vih;er`&q}sk$GnN$1F&CFRahM7}qvtf+BhawlO!;MNai{a2u} zg36IQ@~*l|?rF2WV*6QM;mGZ;#=Q~A)}Jw1PUgsM$6nSsP2@is-FjIsM{Ye0BhnJ6EG==QZ()3K@}5A}n`}Qzi5$7P>*{@W((@{lKMNeWNv-gO zoFQ2+YIN%*Z5;V$)3AcWNl*A40DR$RTyZ}~Zu~bt%1PuIfy!bQM{c-(VCP^WPq6)z zOpaV1F|pHqBJn%CWa~c}9J#K<@eU)1B-barpGAEfxpv;ZZUulYoE># zxrZH5VT~hK=ScsATtBlWv;8cja^xR3j;EbUdTwR1pu&->ZnXG)Cy|>pJmVuOAamsJ z4H8xc&ye*dm2k~Xef3`1zwku^3zT(@K;@4fj$BbFA#)QVe`oudPvS^DLzCGULQi;4 z3%>Av<`p?|`7vbzd412iSfest;K*gutHun&0%e_VQVB1)sgG#s)=Xo_PZL?kGU@bl zg8itU|eo9Koc+;p915HzEgWRL1!@ za>2z54Syt3F{zBzIdcBwl89&Gs|e!;v#5l#KeD$QDdS zNgSySSk~|lBAa;W)^ms)Ib&(ZH00;atZRh(g}r#jk9nEb)R zkzT$S z32(NgzJ88emy$M&$V^mz{_f|<5%yuNrxOW}4Sd1(=<_#=BZuF8S}XY4fix=rGdXhD z+?S2XcQfLfN#$3ABY&&@)j{s8BEGQw{Nm%tp>>lBk)MwuJ}~)N=g1*e>-E8{|Ldh& z|DFSPU$X$5BdJ$mcm$bMr7;{OL3AJI(>&shE|aio%Wd&l4-f7PTC z?wnH}d*5nTt0iH9A}$G3z7{yLPs*VeONl(sq#uH*etz#=bNc;CM4s`|o-h3z*{gQp z{N$b?;)F)!3yULr7SwLXke)|ODxaGi+2c1~Uvkbz91^H}W^iQp|Fo1Yq~`%9pZYkm z+hXC?QzG|xY0oD*M|SPAWMLwa$r_c9HID2u^L8S67DsF~sr*Of$X{3KXSb7{o7sLo zQaG~nu&F0s5xIfMhcZWY8WeU%CUTXCXM8R_@N#5FSEY|5iPU8(?|V4%m+$#%1)p(C zbSm#j94WVcQw;++>lRpe##_HDa%6`o!yL(2polpZp0R|VzEYoc?WJWGGGc)uW{P;m z@(%nl)%n>Dy&ZZI3luR;#xs_;{2bZ#b7GNkSfGeWI-aq-X>nwm77qrNzyd{#xA2VR z4U;2VFO6(A5DOGB#=*_1GL=_#j%;~; zbxQELIasIiipG&G3RD_g8w(WC-=gxe%8|`yz4@K|tR2yZ$x8}HHmg!9!x!8OMf4Q$ zjF0G|%#lsU7Vb0z3lz~+#xs_GdpWX+ZD?XMEKo!z9nV-^@Ni_~4L#bBpF1KtSX7>u zIMREhSt)WC6w!uBQ{>1-!^L-Ra4!^*AmSNs{hYv&4Z~iJioya#G?Vd+o-{eKZcGlJ z2MZKYL&P(dCk&3Pqnh=DXL1#p%Huwctle^Oli+u=qE6*8og-@rTh$#{pop>-l}9y> zta<#v@2iOXnaLw6N7jf>%=n(j;v$~$5v3>`S$)H@HJgbnDpPq_=E!Qjdu_}`WI>(E zLtc)o3ZIL6mB@S+m4A6SvPy=;S1pNjF=1lKz@ed*$BA)RP9TYjT^253be-fET zrV?K5QlE8|YgMjcz9m8T*8iJImz~OvB8l=4iBC~ zt|3{DGP%gdk%d3a*)^2(JS@?zFVs1*(DD8w7ZQ0;p>l!7kp+v^t^GTZdwo>SS2?mk zs~qoV5xJA?=RAcY^IuEaQH#iJOwN@#^2Z5_$WMW!kqRa;=ZbSrSLa7ed2=kLN13pEE^{bo&m~4<3=uq$Y5rE27++(PZmOCA#$) zHjZ@euN_Zb5wa{)sGR2KNHKR((nr#Bu8+#87DqbDADuIY$eCjQgWUk`g&P9kEBGIjnlQ}Y{^L@8^L=I4>9P8!C=%f^( zD3N`AR3>^jGV18sE#zI|EIrwNj*&Pr$K=&Rg4fTkOsXPBX8$HExJ$O)S)yAXEpTLH z_osVX6DccHjbkIE5#jufgk`Bb0C1h${UEso5ZE8VNyL^fk`n8}e5 zA17C7NMvJ)Zv8idBeTpM=&MR(1BJ?=K8_485w^QKk#&4j4$(O>^Sr9PyAoN0?dM>P zBQuri-~Td^RhS&4a%9Fa^}D4ISxKT>AEkz<`_$49@eu5+xmw+ zGW-8KK6guM5s=Zd1-f-OWr98bM;2{v&bUS73@?@NEKMzolv*(HI+0VD>|$|b;W{-> z-yjmMh46*1$-kN$S?GP$(YuKpYf{TGo)`^j|cvdWS98do2ejmX|Ql^qn0%p2ZT z?nY#Hi^}#gN5;?Ge!n@9T|_F|c{$R3ZD8vyM0RAdt%oCBLoQr;OC)R)zVP>`jl_}8 zF18<*5!qU&vbD&O;?u!$4p%I&3?VX_$y)GG zke|-}=V}vi!{;OS4AGG?PT-#KTANyC*_*CsE-X-VgpOw{t6Lly-goQ3;P*X~MP)UU zBQuwiRQUd|**oR^rGs1CyH%Bl50DWf_qpZHY;3 zEG$seEfLRnKT8X#rS1FWH|$n{+CRY8?6D{m`M!us~7kEh>ws9Qpq8 zrh}!4Bv&1L?1dGMe0TcoV>^+*i?nAUnIqpe*|#n~k;`Q&3wk;7-yLsrrYCZ-PGtcP zN4|-iTrl{In{QE>U*gEuz0|n^7AR^qlRt_a=}($kZ2*y)h-ZB4`2>!9^>58talMw7AR_>PNmz=kuM_V-PlazIEzY`#gXu_YQ1r3n@&s<skpr}X-&se5~Kc+fA&$fPFH#Zh2 zD#F4umTCMPc_yPV?gADlDzk`ZENvD?p04(-zljBk${^zzO_Z^&o1?#1S4Ir~h6T#u*YS+yCxs)AY##9=xf96o+@kWM%#kUT z{f$0jfpR=%@`INn57)Yw?PntI`tgjn{@%lphti{i_ldk6xRPP{PU6VFN_bN45P4mp zJ>QBPX}DsB4c7ta^&7KC0%bx&%cBa?Tw$XJ$0AKTBz3P)_Vq_mQ3}6e=G` z9JwVv$AW=GE@k_9U*t&N&QiU}eMpXlOx_bXa&y$U5hqE{xqiC!yEcy8WW?m`LgXxo z$~%6J{4=TT_;W-~SE#&gapcAv>6^|Zax&Y`TP8k!$K-9r>K}9K!bVs>+e8i-zqD zUPA^jc}3yKKL!<_P@eSc>!(}4EOX?le*Tf0iR>j&dCAL>zduqQ*CVoDJE+9J%~OuhQ4Z)>}zbnl_GH z_UHNm$BAsNPzhJk)K}W2``7-pmB_|ED$iIPx#UT;s_3rHWepi7d$E zF_k0d^;oju7b5fdXwRbxN6ziK@#ZulU4AN$$Q(JRfY8uRq(h=I#mkYiQ?A|{PGlao zpNBmhIV)jRjfF(!Wb%;2ku#gdnjMME?xS1(OXNuH#!b&eBD4CbGz5;E5msSRP=-rX z9<*`f^zGi@zp|1ejP2)sKSxfhS8n)vvh{RK?z1>@YGH4d!$jJAbnAOfj+}BeDeh}P zX8-D^a*x50lNYxyXA=2IqH?#7BPU(1)Zhe>?-VL`=^Q!n$(wNUJj(u>$(ww}^ZsQMp~_$Z-$Szk5gIJ+`0Qyc{|9 za6*NRMBZj{tA`^K%TJFcuiDwK`{>rUNE|sPOGM7#qjcF%rBCEYwZ+${x@7BcC5A72 zPrJmZK5P8f;doI>Fa>S=M9Yzs( z*iYpmjU$KW9Wl2tk%mO&LX{(jEo%5>FOmD$elAcr^0)gjt8~IC79~P9gW7+1IiCoGo(X z!0-1u7a%?VU~-ngkpqssDIX9h`wAc3I^1fdel_erY+2yO%7(Hp^;0>+&yoF_+&sUS zY<-bL<#dZ9m8W(8Jx}Dkz+T|$G(byr|gVdo;vP<#;bgc1`wXdPC$uiOO*vj_i`Mu_d`@ z$gZ&c94m3;uk*^JOeZ~iF_|cGWaqtg+ZQCVn~!dNjKGndzJL2Uc=hh=r&5IjO!dA^ z#}Wmb+#o%Fk*FNy=g40&jEfsYWIMK>BQ1`UYi@kdo5)s7jxag0!##h#;B~%iwI}k!@FY?n~Yi$X?4sdk)n&vQ784 z8o3L~UX95iDo3_HrC5~)9qh_ORL>5-@jC=MIIkH*a z+B1UtnctvN5je8x_$3Jgut3@K;wjLxFZ?mp`Pt;2IL(O#%I@^w8E?I}pCcO&dYu0> z7ASk12hUjcvN+P)Z+ZgxsV94GmCBwbM>c9SboVVRQ1&Q;${q$sHVjMg<{~l@Pl2s> z_i)MrSI2hm5y5c$fWQWiO~diSL6Ks`i zI{9W7+zUmXQSpp>w$M1Te2WU&ODs_22?NhqHdi^a-169OeXu~0NAVPp%@mF-n@w6C zJnIg5sB9{8WSJ{TZHr)mA`dXx#LJPTON(Po-G?1OJv}dF(Bb(C-wPR{8gkJxFDg( z`5xM{o}VL&S)*>8AU$WRRMxdPQgVMI*A5gp!=SQ`$&o*uuU-aWfg-2kDX^cl4UR1O zbZWoHSfI#>cnZi`K8`FBj?z@e0!6}|7kuIGQB9pA3pZ@ou@V+2QdRMcWetrZ3(YG2 zq9+z8a)gh{>MBPTlsEn!d=?M&Q&~;n$O2Qxl_`k@iX6yfRhc96f7guQqts8LJ*#** z^2g0@OQj(_dn;6WJRF(t%DflBt;4GjeBooSEOBJsOCJ+%lb*k_{j4N%WPI&zf6XWI z7bYtT9O*VcmmWc6dkN2Y>lNUkNcH;ea<1P(enN?Ctx#Fc&ymjT)oZLJJzMywENgM3 zxV_wiNkleb`&q{1NXN+a%Z?G*kjc^pN7`E`ll~;Kok@=Y{ zB64Jom4_^m$h;Ds@mW_`;K=MNuBY@S(y34hyOa9-jGVb>he%|ckIDjmj?9)RM~zEF z=4Shu-{MGN;o1oXkx@+kXmVuM2TS}hL`F(@#z&OT;K+zM-)2(r2<~shV6Np4ix>SzLRKs`nKtN{uE(N##;Sg^> zGybwGz9W%e6eeM}Q(Z&C(vIpy?w7KC@KI^_IWoibwRs1Tp8wMQ{BY3X$n*`PpPVJ~ z6_W={j{IT9MgMgopG$P>`wfmvcj9F+@{?({#|oAEc*mZ0N6j{qNzVs9D);J~p6Jop zgYAg4*naNuaAcYl?bV?~-lX#VLf#SCYQ>KKO5`;uxb;uDMEqYi_#3|SOgzJx_+ht# z{|jn4LuY)>z$&gS^W!yq#zuvXF~!IJ-wgC7+^VI&?i9CX*z!61wI5K~1azFB(PwZbFDziu&`Q!YFJ;)gwyI-X; zT;#}ntv~+QHXvemGnrZ7$h>XV#y=)|u z{2b|4`&TB8dX>ubCPzArCOLZ&xthrz42~4noRuCBxm=?? z)A=~k(f9q<0YokioYVNMORICFy;Q>^!6RBA(4I)+$hZODI_@Vu=Xj}1qjF^IubU2$ zUa=aJHiaYeT)cU)8tFMz3-x|&QEgbL?TBr`9zu;NdRHIverEp~C{R3@RNY6$ll`mzE%+yU!dz#4l0+lbk z9GP)Ow;I8#Vr{mc&pjL&c6Z~%lcZ;LCZ9SL=ysdEL7vW^yNFuWfRNj&~ z^7FPXI{BG87GBli3tkaE-t=v#F2ORJGju2qF)AX-~+cKId-N}dH?y7hxPN8TP2_V8!YbB;#k0gWSXty@%a zHIbT0CH%CI`tiJJ=nKf*Z=PvvKldpddE?Ku6+EQpBqsOD9C`h9($)h+j`z~7@9}cv zHS1=v@kEZ%sNC)0$g3sJPOVSmNR!H45=UMsmN+ENYX-|XYabFzG4HtE?$qjH(dk!R1G&G?nb zmL`=;y&QQ)C^KClvY9~T5)Vh7UfE~=JtDnKE|xg*)Jfm$_e9qB(w>V%jy$R88>SIi zTcdKJz>z183|rNg$m%AQ3v3*Dy!7?=!K-2wwx9F-9C<8e=pJ%+k*6Y)b1jZMx~$KT zQe^98y>#nyOpZMA!TU%i@@I|8*#<|Z=ud}lAhMWAZz9v2GCY94< zjyzD-nijm;lot$erglo+5YY zG5?9Q=QxWali%b!`Yj-0KFL&$H92y}mg%#}qZIQ_r!vvt$n90vrq3D>F|REu$M`sM z+r6;G!`#H?RksDXX zb|rT#F@MWc{w8tch6Ho$zJQ21r&BppNpSk!$A8$=5z0Vhj(J11yeQ{gYM8MdUu6%Kj!t{;|7H|H(w| zvZ(B5aOA26(&8aRZf8>Qapdn29S0pJa*G(4;fVU`9J#UqYWAAQKV>T6?jiNFc*Q!k z=s+UZ=~VVsIa1GcI=LW`e^^xZQaEyXos=GhiCn>CPnjc^6)aWdS0a~*ffKku$5?25t?Am|hl@ZB>rcgyd;Qi0sB>8-*ih+`e7zIg!7LffxIn>b{_*IetZ^sNj{hs6~4=GB|Qv{+dmqNzXzel?{Cy zIkw=Yalz}-k1~}FbdF5ixU$ctfQWJHRMyuxa?Hou`xA(CFj-IKNcC&~E5TR8JQnR) zSK-LfAFH2QN_yrJsjMS&i?|+7?)-D#vuMw9 zDn}~0D`gM^BKI9K!?BlDII^$5{pB-6-jD+`$TBiV_Q|xWWa%AsoZ+Z_Q z@^2M4qz(Gi?25i6eW)-VFbl$kQT~B}IyAsakjNb}l|>AW>|8e8?EFM-(Wxx#Hp(%&;F=(cV=$;BmLaHyCn)4Ns{DBC`pr2A-O3O()2?@>Gs2qLJbj88A`~n$&Zj< zQ+^gQeiV{i6)HY8eihmu-}jue=bU@&xsONZ`?&WHo!vAsDd=_76p{(w5yF){E4E2XGB137+L>pQ%E&5iv>TfHN*XFP~_RV42M$78{bxbFXNB?z2lG!IWwC)a)d1i)I4`n2o=Zx+gs@F6lG&AT(GSA*!Tk<^7>=C1xMQKUq zSpyd>UqUjwvCP7hB(rz3J_ktE7G085vmhzSJhStzo9g!vcn-joeRVWRNHTjF+mdCZ z`f)@XG8@MwnP>F5WgU5!xJ8E;)of%-GR@!qo$C&UdJ*Ir6rjs-90Dw8j^V^Nvr>xl4N!{{l3&# zl6f#gGyh3SGEbb*%bGwk|BTSgzY~(o&aqoQSVuB5%;&+lB=dx->n^{MWd6!B57?5- z$iPITzWVMYt^QX`l6m||xwXxR=B^CQ{L_+Tb{ZF5KbvI!5TTiWL?xNWeH8hyHOc&r z`TX6KWFFgT{gMBY%neNQw}>S3m^+F}Pav7^G0lu2$?SN=#kJ>?%ymipC;W`;eqEB; zq2`$@&mx&`W@zTVfF$$iuh;)|6Uki5eEyn|WF8eg_I>i?YQBbL{^Ce7+xOi5-D;xw zLX1}5o0eoA`BC(ii6j$mxY@n#;GUEuv)!_m=iWy$pEju`bU?$`X4~yMLgXaS{0W<8 z{+y6xRuvD{2I7q7%UR}6aY<(7gyK;LNaiCc{3rPQ(UxQyC#+vt-*x!GfbVEmOp;k~ zdC?=KMDszDYVNcondM_1Jgx`Hyw9eYJED@zHdjR+7)~GOyNJF`sTPKvuTSf$C2lI^HJGEd`Dl}l1yEX-#iItG{4NGnP0>tnc<**<1r-j z5}RgzZb>pjPbMe#BAG*3=4Vk!W>CNF(9a}uPzwJEca%0InMI@QH|k#<(QG2VqfaA} z%)*zZ4kX_fH$Ts$nVSqrX2BKbe^LK>?_<-TQ$ip9m+g%=eR$%$y4!d#V1ZeO!uazL$_>qVH1Uo8XM*9kYMnJ9CLsvptp>}AG7q9&W)$zyI$2Qf&y!P9&b!@hM{J)S^q#eo_9_#oAmGPYCWc(p+(s^gEZ z*KDeXeT5UUbzJ@BN$nu5saCC<@^x&JpP)Ma_CmGYWm7p;J_QmwkJ z7q4QQ>iFYp71P7MI!JjvI?<|uvSnIzM=xH@EPs8i6n!0^lC5Kp$&L3x*=AaG#PV3j zmZrbH9*I*u%&V&*^Yv#(=XOXtLaXkY^6*t|Q5}EQ@nL)>%xYp^J#3jT`}f(|Z*7}v z)u$OAzN$<}z?VP19@MEG_I0L|*Y1`tXOGJ*wCXdxc(pgEjz7Nci&8!8tFM&T`y0*I zAg!fVeXbX;?k3go$5&kRHNcUrLHGYuU;nA@rTzPX{v{P4Nu5?b>046w(r|$)t8zczRotNjz7L`)u|r#HA>3s z>aQ!>Kw7z0J<5yMxm3od^Xg2ozs5>=ZE=pe0qRz0)mMA*>hDlv{_vVE`icc)>o~L9 z9WOyyL#vJ@Jl1hw%3ohM#;G1&$0?S~*YL$d9)h$=t@?(5hp!7As^br@>(f*Z` zxl&$(+C6OD!+?9Malr)ejgRzQ)>A#~)sor>P$HwZxJ6iWw&rLE2GT^-|lz*Eoyn_~Yv` zo$6s<%cZ=&*N2@7X-8|-E4+A3FsY6|zAh2_>nSO(z0Dr~7Rq+es#kgOy58{D*HF<{ z(v+>^gMW6r70Pzhs*{e#I^IZa`Sg4o6sLlC9oHmezGlzq`y`|tqgB6Rdia`dP#u5P zaX^~tVP9_sWWL&sSUU>Rj@7E)OnCU3No9QEb)HTIv9EWeyh1-M=mu%WY1Qv~@tWgM z9e;SiPx01Y*w;oWuW#%hKY*-GTJ=URUU#IZjz7M7i@ws9Y#rA;ckx;%d%RZtS-@i* z?@IXV%ZyV!%xg4!yqB{QY>XD{;*w=T4%-8A*YF9$q30n1c zDGy)wC8&-+zE07p9`?0U%Il(yuLmKmvsS&!i`RoT)$zyINl~hYeeIR<%8Nd;AJR_L zs`q;FT4GThe|()F`pVd{b-c3Mwf{ibE?V_(hQ~T4On-eH7pHod*8xZ7tH&KJ2SeIP zTJ=HO!`BLn>iEN}Lz?PgUp1!8*M}RQ$)2OTYSlH4hp#71s^gEZ_Bz$e`l`v1@)}@0 zkv&hGtkvXt@p{IfI{x^o68fvAK*}rkr&F@;M|aa|3cPqFsV$$LkL98-Jt14i{%e+I zd7YxwG&Mce@kNIk^M_ZSR&zwc!`G`+#wT9Q(o_)pYGcWK z{XA>Y#gKNYR#P7E@b$Vwb^PH~qEkKW3qEd!H=O^Wyb(it6~|D=hX` zM=7r(@4ccD%ATgx9OK0c4sP|AKfVe@Uy+oI*S5&EGoWfut>y&FV;w)R{q@x-PWA9Q zo@~f`y}k9^XCUo#t>)yEhp&$kRL37)IcchgeVt~@eC?k%p%bK;T1`*G!`G)a)$zwy zK&N`xS8pk=z8CZz0%>PxHNCxfePK}@Uwqa7t65o1?CV@9uVZ_hpZz?omsZoyi`Q3X zRwH});p=;o>iFX;lcsvu7rgB!*;k+Wa87n#Jxi+@VS4!5X;2-1eEmYk(3T;s)SuS1Rb!|O-U*Mxv<9ZyQ! zcnHe&(P}0nJl1hv%3ohU#Hk)$#~Un}uUXxnSORH%wVJ5`4`07KRL37)-=(P@_BA~v z^VP50*ta0NRtIp;i@`g%H~4c2PjN_hAxr!qeATArqY*w?#KUY7-Co(gFfYBle9 z@v3yFjz7E-Vt;)k<@M!C{682SqSbul#p}qFzrL1;zCN>L>v+ZDf<91os8;iNz+)Xd zB>eUDV3g|Nb^IzN^Oa}*{1v1P(`vr9JbWFOqB{Pp<9%_ehkb1~WWHAKie^8@yGX0q zp7QW@LW1h}<13z~df3-4DX)R|?f(|iF4k&(^x}1rO?CY7b+_1Gzesr<6$;bV;#+yzrJpbQa!wm2OXKOea#j|Anj7E z=5O1>SMNC0@n;=pGCl08)|C0Wto-_xPJ#(V*Yq^i%lfL#mGWAD+sE1W z3op}Z^SpSS7o|G>@VZH-de~Q?l-F6YQ%{66ORFvP;uVch9e;dH5q&jH$ky?S5u>k# zvLm(HV$)+C2QwXid`*nf`^3DO2V}lhwY#ST(k|C(nBH)%J>d_!{psuc7QdF|R&SUNiEq z?Et>UYPEg5cuivW=TCp}{Sf;)U&?E*x$+|Lb+uMIz>C)nG0NQ^Us0XvVP6;OvUP0p z(`k=G+BI73g-MTfyg5pB{PD$~x7gPuF`2I>j}=x!*=x1h;kt*f89wvs6Q}oyeT_`Z ze5Jn}vmVmMX|M^T*dIQL2Z1 z-5i(s>iFl>mmqC|Ry!@?;cFqgKYx6k6c>EW%*cElGi2<)kTy}PofY@+wa8~)C!_^m zcSw1?yZFBB=Teij+PPl57Bd}xcy-bRU(hC!_rDqk?s*;3CTq0|ym&23QXPMMbrgNw zAC>v~qWpyZQ1*JQ_Wq2=IzATn*H`-})x&-Na8l;$-6^dSkTylDT@v;1wbEx^RqQ^o zug7$mugkW6UkJW#&}tt`diYw!bo^Pz^0dI~Nhz;px!ZR@+ElIfDKB2n#i))yzFO&2 z5A%Yz%_V)`uS?)8NV`$1ecp@L3sI`$kFO&{UoXdGzHaS4Hv9g>O$!cO>-)3aK-hcn+tS?)u-4^%o z^@+c{>i<77%-4>n%-5a~zqf{znOg0RjEAq!eCG96BI_x8VP3nXyauj#_8-WYrPc27 z;kts^pD56s!{ zH>Aze>RP8geC7Gf>kCWp1;>5KdG))EMN1&DRw*6Jc&yqfA%#~)uGI8+b&Iw>PtM=kUA zOh}ur)pd<~tYc}0>iFa9U4!ajUp=BSU%%KNu7R`#T3wHfhp!es^Gcb5uU<)+uP2WE z(t)(NR(EF9!&hscdA*SkeD#&`TKoCmpF`R`T3uf+UPhYg__L0$I#ds@;{YkISzFK8 z0%`YZbx|)~?UGc-A73wtzJ}*H|g954%0} z8>B7L>aO+&YgR_)>yLSF zB_M6FRyRBD;j6dLycT5(;tTVdE9EuqmXFVboQJi#d0xEw==Cx_eP4U8L-nw)1yWx3 z70u`iX-l-axEHT}8LHz?-_I9)EsDz4@to%OS3}vQTHT_I$2ty3`|ImYgX&>kOOi5Q zJvVk<3~9@>x}{MMUxR$+b-N|_TCU4{jdQ;I2x+F<;{OPY54%Nf!n3VF`{^7jAkoKrnm-OOwS)A(lJh zbl>HW_M}$#UEIUh%|7!QX$ih|MrFS8K7Qv#NP9}F+nMq3HN$6KmnH;Xd!)P?_nvhg zq^;8G_ImMxgIoROPk&wHP(8ek8B4}%!GR@FNPAkV`_1F$S1WEyQyqVNT`2lGkdpb* z?)yrIvd?IB2Q80voR{?1*BPR(8bju*^LgfFQ1)4^t|sN-YrfCCdK&aTVI5H*$CmkO zvg)2})^jM3Yk2s&*JobcEx}iTlvn$~P1`}*^C(c@#cNTV>iE-NT@zFf^U|ffR^67D z{r$5f3N-cNwKzs~{PERU^wrFft>eQ}^L9Yl)hKX;?Xix_qW=2oUCZL%&r z1JYhaf!>yfuh)F$RcZ;o&Xw{q-`cVQ(q2P>eqOxZOj8|y)=^JTJ-m)lDX*{YO1}(g zYf)gJ7q4|ms^gEZBGK1SL$;0+|NN#3%D#>QLsK5>_+H#!UyU8Ahk0FU%X~fY?&I11 zdIJSU7#_Yh_{=NM5PXetWWHM1tH(pyn+CT*cR<>D z6qu9n@U_dLI{x_D$MmqTc~V}f;k_=0vhSe4onE|loBsORo1l8w7ku9%d7o+TvD>oW zZ@-HI_jvL8)u1~5@cJo1^{}r8ESaxEdmjix+IuMQV8CM?GgQW(&pTS|aHt^mwKOI3 zHL`KP?Dw_rqrfuD!`Gh%)$xbd_e>A_T4Bh1m9{*743zx<1y-ayeEm&r`NZp6iwa_2 ztE9XViNW7M+J`9cv=^^K4%P98*H;Ouhkd;yeg7-JXlf@&+kgTudArweS8aYhIA77t3g0FSD%-6u^C)v+CK0<+YNe^F*QdGwu zUmqJ(5A*sUCi7L^>*~)T?PC=9Q1|dvn4miT`1;VIdf3+{DX&}B4~7R+;1d+sd*UaOuwV<@C;LV?X*yh<#p{_^5=6qWJm`S`5p>)(uQ9UCof*9z)>i2{e>9_!fAp~n2-^%T>~^3t@Z%-77) zG1TH}@6NLSDSOrl^iTzLq7Z9`;o#<+Zo*vgVNXHPXtwcy(tw{`gwV^suj1x@;Zq z=o5Ybe0_toR!NU_>}f*+zWnjE$f=jh{{9fsDq}KVM>PNDAxPVbv?|@hSFZ%s@yFLf zgX&>lN2O)Hw(UJ>IHY}xw4-AlzRtF(jz7K@SX2-D>LlgW`|3-cgS2f(JKl>Iya8H& z`Qz)(1l7a7x=4A=b@rD++IL7h$&1(dCe`uB*X;?ahkczIk*(v>{I`#RwCzYcHSMvE z7Z_B>A76Ho>S14J#AUv=ztH1qNc$dXy&@jIhL}{xA79hhH}KfkIT@L+Zw>tO6Ase) z#65gnZ20Tz#t7BJzRs8Os_M4&A4vNFX#>1?ji53yoH!9p9~;b~lvWiL^^H9_x5T%3ojOl2i}#8kv;&x^C9lC6KlY zX_rSme2sOejz7G{W~d(aHAa{D`Z4ld4W#{uv@uB!U*l3##~)u;MyMY4b)A&gx4ovH z25CPbZM+w+2??s>kFU$c{<>buYhSbX`a#*Bk#@Zouj_4peT@)(-5isxW2?baEGWAh zY14F%b-Xc~fG>Y|U7VzPcpYb^Wxl@L(Rn zdv*5v+Pz4-Bkkd9rbTu9@iizy^{_Aau_Vd+DN0CLfU?$J(=tB2TMFH3n{nK4pO_BW)x?8WO@YRjkdS2xku z>q*%<4!Y}@{ZRLJq`eXKSjVJ8jrqf?OOop0bzHB@d@Vh1eHhaIK-&7Ghp(5Zj8D8G z87hcs5#9_`~bC2-U;BK9%xH6!kv~(*8o)r(V3?NKqYs ze031}YqOMB-P-xt*W&@CZSms8-*5NF*O8*HZ_~1MERMHo3cd~^ZClJ^9pAMf0bl<3 zs!UQnypB5}GGDoKex3wre2TzBze~`8(;^FIK zo9g)Et7U}hVPE^DydE-N&Yq+HMcRHZUTKT!_~WaY*k6B1dF^&qz6oUyA?<({uP;r1 zeHDwo4rOHPxbncY7eU!-q*cc~*70k@Utggl)yu9ULQ$Eofjx(Q3~4p+^^AwFZ6?+6 zXB`VNR1f=VoRs<6G^^V&kXDOOlc4xz9YuU%Be zr{`l%j0$33Wl~;eM9+OG`wvwi)Xa<5&koh`g;(=B(N}9-wvG?$_iY1T8bYm;9_#o^ z%3oiHl2i}#s*1^cO?j*vyzd6KP+Q%@*M5iU_`~a9hU#HoN2g`JhWxsFCHTrgs6))d z*B>dWCa8`-zA|Eeoh0RT(%!WXg0DP;x_a?C zWc%yuSJ4;zvj~#+0d8&GXBzm*N2o{IV;$=(e|_yvQa!wmz2Y)oo1dJU0AGy|Ix`~o zRheT`9e>twSBC0gUwtw%U&m+Kb^u?E5$YTF@YTqoI{x^AALOXNu&)79UfWx5I01Y$ zfybv8uR@dR_~Yw4vA-^q^7?x6`?cV!0HGmXyuyaRzBY)whDT-VIDX#@_FsQoj7FOap0>6p;1u}Uq=}J`dXKydbq#F>M~znb=9_luOLEW zlODdZKlec{e|Wu_p?cWYcqy-chOPY#puP{PW zym(cm{Pnd)^ffIeTgSZTjyM&3=?G2NJ=U>(!e3u6#Hb!#$60BauTSSpy8wJOMQC=+ z!&k=?)$wN?pQm#8=O@tIh|Jf32is2oU&RQ`O?&w2l%PWX_%{7RL7rnTtekY-#-q6!>b7atfjzzHFa) zU1m~a+5XDWrM!$=nl1oeN5R*FzS`)rb$nt+9pKP9pqw^Ik9E8=2fJ-#VUXHjGVipZT z0gfl5od3Rs%{U$tq4hb(-7$Aejbo>bFgFeN)AU!N#w7ULf0=o5tb897$i+Es%+MI; z$hSz&k#A3!BfKk1IX>bjaSTr0cp`A@hH@51Jo+)iJTZ>NZ<*9*Hm1h$&!jLHD4^o? zqFzLW<0eob7w7mntHC%L+gJ_8(cnMEggGX4#xbeZkGC!!vJ*I-f^t@wa*mHyDT1qojPSOjww^A zA8-G1?iIkXJIYxbmvanni_%)GA4?xfx?@Tl!;UV@O#`#irJ`O$h2!?PTaR$u5u-82 zvHZ8R5Q{>M^1qTi1{~87#xWgL;`r>o&Q}A+Q&G;wgq)+^LZ`Jj$1SYB^6Oe}op$Tt zek|qvgY_aR9DjBNsfwqfo>6 z*`YzaKW3tgVHzZyIiK)Hbg<(L~tDRF%Iu3L+NV^5UxZ(7bV{AHZh zVjN5F6}&5P41XPU>yg*>{TU$!>WANt2Xyyb!jbQpjAQvfahk_Cme<613^?jZ#!*iz zaXhE{@biJ==_t3*kaN^uPtjV8W7&VanB_jYe1D; zjANUB6GAKsHQLnLJO&)$*R<--kGY20`SJPoL&pF|6Xmw@IzRr9q_r5w;zwu*e{8J8 zG5BMQPR{D#ek^`0CBz~M9IG_JAK}RNOvcgph1FpFXz(9X&hC%7ku>8N$td+>@5jbe z0mm~??lGpkA46ZK-S=T8v}a1jijy;;6q$r;aD;n#VXA2NOI79N|z&pEDEG&W|0U;oiXUER=gqO5Ts5 z&vaUgaV(jibjOr9hBga&WPiL@U@t)Q|m!^-BQ9vr+ENUgyX61fTdhQ#va{>u1?2aSU$|^vM2rTT;-AsBml%bI&Cl z`JTx+Heq!+#~@T97kTC z3VN7h**HhgQ{vc$@!_v)zGpIy3Y+=NQ@$r=B>++Xe4R{TTWr>eeG1=VgQ#s2~3M@n~T#;mG$) z&ao~($PWE1tU)zBkd%MY(Tyogd#!)B22K>CG{BOo?N7U0j$8 z>Zo)^M2NxP4xi(3x_d6+$oEXnu_?=A94ksQbS~!jS%&S8pX*Am>vVCqLBO#e%Kg}q z_oMzeErrh{_&HN{d4dL&U)TDRDPe9Jn3Y{=39*O@#|UGQ^+GuEJ(F=PFE(fm#<9E^ z4dIV3b9V$7#~p?e$FcJ-)PUo8DEGhrWCQ!0Yop*3b1c4t)mP>i+?1q~@!T{pE53_{ z{vYSZE}%dz&aoS-!8p2p^^tL`Y-G{7xF3J#=f~gG&X2>sENcxM`=i`nZFxV2;NN7a zzp_3t$C4Q_cTDMZ9ePi=Z?Zqm7WE=3{n#V!_CorR@0pCF(I`dp7)PTp!D9iG2jBA4 zpTqNz+WB#7X(9|9&quk{Ugt;nU4r_HaV(vbbjOr9hS%!C+%zyNy+PEAsBkoexr8I% zGa1JU{`m;wSkXE|y=40_ub7=5^NQ8Zj}xw*3qO;k4M2HCj=UfBr8cd_IF?=PxMNBj z^+!!%ZW?Z&Y=o$%#IbkWtw%WWJ(F=PZ)wmx#<9GdhVcHFS7oz)tV$^Dj|Zo$DFTjB zl-Jth{1|)(?uuNDW3g?!V@e!@?`MR$Y2a8q#}r~v@$jG5ePZspgd^WG8OO>(R)cY@ z3>$PV?#Iq?#<6o!iQ{j>FE|Js2co>=VDnpKQCTfOpEIGgQ68lG;|&>iOo?OYEnS$K z24*EUCxuuX_<7kvvJO;1pUhMpscc$9;@#NYbeSqTyDDN~~ z&N2L4oYrFfSb8noDY>#SC63`2g!{(NnbPqYK@ZdqzaOK57s8S6nT%tFk)|~m$BK4I z9s`aSu=8WyAhq-3HMi}1A2<#|dHpRpNB#a3t;IN&4YJ%ZC64+-wlEj&4wVfxgjhs{ zEvDRB(G9TVoJfm!i1p@!P`*TW)iJ;IUinT%s)2~FdlD^wm~&>-F)C+Tc|oD@;o zA8QNN&jyYcqP%gooMZR_xKna5j-?mI+%YAN;fLeGTu?`)7e#~^{O#~x*TZ%9T*C3P z42?0472Tpj4E}~HdPH~(`f;|wILt@|wu&C;2ONi@yt@-} zj{1C))?ysX`Z?~H5=Z@BI+c762ozA+fCLR@YpA`hugbXR5{`qTG{!iVcVIOb$MWM+ zJP$Z7=jX@eYUju2b3XzlZ5Ya1>UDm6QSeE=zn&1G_3?e%4f|)J*)TRa2C?8Pf*yWe z7f%*rk%n_8K*oPx>k}P_Rb7*Idm$Y8p2;{?wxVgS{jnSr$c6XE7y0?|MYZ$e!ruqI z1RO6ydCx&3NY9T?>$Dc@$C5EgcTA}tL(dC(OhSApGG3dv45^R5bC#AGM9=&XIE^xdU<-O%~etZNfl8bRH9iDN=lsJZ$3wq@I zXe9-`hzdvkOv(Bq9QmHfI95dBv<7=!S9FQ-7;yX|$vA$IR^oV9{=L~>&AbHVZ8GHj zsNZJOT8v{^Z^Io^;;7FP^f1S=a~wfWiQ^571?R~3OvbVNgaoa@IF^gQ29vjopC5Or zogZJz-}NnU9FFp~N8}uX&j>!r{x~*CJ!QQsaSSGb61ni)H1uBaIH88xbLQr_TaWZ3 z-!mD<%BmF2V;n2nCwL6{@lSq!{8R1x_(Y$DErH{uC~u!F=NL*@v=-~fl93_{yW#6_ zqS-KppEIEqhFg#9kE1g}4AlSoOql=~|BaF7jQCzC;mG$)#?d&6mf+7BqoYHE+2>4t zE;~Qw=c=6_=j?6%B5)jm@@hTKkHNM{T8nXP>WKGkOYYHZ7{eTcN5$NFm}ApjLJg&U zbp5VO)*t5R`dtvlvGT{7WKj!yVJ3sdQ zyE{l}m!tgCz0QxePHQoarTvrcm=eeE?6fc!{)S2iih2>1etab6)*~GGdl!sjg&C!J ztRE|SLnU%yj)U3xF@Lby`SGtg>)HXwQ7C_aA@4{12AkGm9Lu^m?wArs{bo~`n+9fO z-9$aOyTjif#dAI3$oEXfvAlPj)@2;a-S4l#>w0vG?T@1!rTy{KSN~W597m)4%e>By zOWB$+j>Q+ps3-i|v>V>{M6+RxJg*ZOVQw1oibq6*82s(#l$v9Sa2AAYw z94ot8JO&&mry0k|86}RhpUfVMwJT8mcvIewp?eHki*YPDKjn@o^<(HhN0^%iW+fNc zLJTY&K1cEUf5MUPnT(^+HAQPMjz;$cj{(P9`T6lywe#cceU`z`S87+H{25U>$MDoB zt;IN&o|JLNlsJZ`>B8JJFe~jV>P1vIJ}1m29QmHfI9Bx0X6i@JL*;mG$) z#<84#uE;o+yWj7HetevtA0JmcKaTyo`#9h@2IW8Eb$+}*>Gny!zrG;jjwx{rJ`@w? zrh!@U5TS|=AJ>8cxw3N!N4{q=jz&+L<}r>& zuLO?)$M-D8@jY9K&+yMq)cbLLoC@-Oeo|%6GiZk9V^*V;qb7MW`oy-*&_PnP@hQk@Mp{f*yXqr}%s^ z2I`03kMAelUPwRkJ(F>)>;;a<#W+@;ZSWXy{EMF-|57_Y?!9-$Cg3;@ajZ^jF^;9}g&t7i7#=6+VUDF8W9}Mi&+ARXT*8s>nT%t_ z&@`>VI96Pe%tpoPS1!pk$-=?EKiMz1sP)bkRrfv))<^H8Nsy zj=|evv_9ild}h)eQ{ot$7ZK*B;RcG&5o)OQ;}$`WaO8U?<5&s5Cscnij+Ol#9s`bD z+4-?iSGDuw+MXk_?^8@bjUp*I$Iwj{t;IN&bfY2i%(WZ#&qT9f3_oW=w-|JCR*&qD zr>2A$kU9M4%+{!TF5$@cOvceT-=ukrqj7=3W5Drjc7AMhw%YkIf6Vjm0>_D{k?D1Q z92uvz7{}5IxKnar7Iwq?o@h3Vk@Mpf!hMtdu_{w9N7e<@51-?9$R=0T3*pH3OvbU| zvN+9S94kh}cnmlWiLw20NL*=utaxdQ0URfxMo~xJkNQQll2}izXGLDrO(A(gjD^y()! zOkwB8MpM+zkGDlTE&-0$qec_pMX|`DvaX*GpU7%4jwKP3Co29NKxj%rn49HT(nZu$ z;kBGTrO8pqVRJd=lKeiI}A}am(zl_@p;mG$)##x{P=*{ z`O(__%z41^2Gr;tOWu$AK%3TQ9LqwEJEp`@zt9xsrh!>mv8WeO;rJUUkc;;t-!mD< z@@rTg<5)gEN#|mYPXyTh_=KUfKVH>s?=Qe{Dr)qo*ZJ`VxGU~+vH|y4+$H9YDRB(m zlo95pvwGcx8fxdqzmje}(vN)4WE?9mO3^&VvGURckHPEu6`gT>C8ET!=Z?Imf#Z#+ z(P~@XkD+S}T8s5#$?R;`!j$iTf<5z0u$4jdYeFYqEMvXpmdR*Spm5{`V&WE{&Uu{_4H{Dv5vi#Zt|z19D|dXcRV)@%!(sPK`)}hF;CDV9QmHf zI986dX${7)@`?nH1yJKg?A+71vD&%k=9Q&40LSU5G4eY1?1Vcd7vos^sNh|RWBBK| zTaTQ39*+ny_}gLkW91IP3+C9iNtEg_j^+Q^Ga&<&|1Zh&fa4MD+|#(Z+PUZa#W!pL zj<=x3#g@Du!{?@HEzYrV%pFtXcz#@%3+kw}C?dq*Z->pXZBUp?`jPLMjAO+OI<3L_ zvEpVnH~YG7e6&fQ*Nu<1l%Cfg{5>lBzZRZ>8drIod-Pr=t?R_F?Cq#(mVzJ?m0^KLCz1QR7}|Imgf?4z0yFmb9?l zF{OSCT_)&ZjwNkOK~ITeYhf14(yGiDUR2L61CVa$|yCq+#!Q_G8tNf*#?>_e{pIVg}1& z{a7(8L+j%Gag5Dg*JBb&uj`7RHlGh1XQRfKXXG69?l!H(IsR?9V@e!#{&NjkJ;L#j zBj~AcY}<}b#rrMc$oEXfvHU+j6B&iID!&~nkqdL2%Fd6Cr>dPF=cHG{X9U_D)OZry z)FO+@^7qYxR*VPh|6PURHc59(iDPgy^UgRHR|++hzQ1mJl;ie7IPyJ{ajcwR(md9W zl~bcU2CwTo`1x_J+WE0=?*~T$$6Hb3*&gS|&|r(!V*OZBOhe?EYd3ryPBa_F@N*_K z%%GDQ$C9#?5ChWxeWrN6uG=0 z9B)I77h3Xu42??ATAX90>5eJ&W9TYNn41P>C9szD7iO!(kv~(ib8$ab@%Jt`$175_ z2In}|;W6O&RDkV|PZ>)4DQ-)Ofkq`SEzTQ*tqmrI~~~ro>S{F)qx_axDGR z5@Jdmk9XX2$?KZ$nT%ukojT299LwitcnmncrZbMOMU*)9d+UY4!0`^$_(faZkHKNA z7UNi4Cd8CD28So9ciekv;8@&3)QhORu1`$4^$5qK92#RBE2qVTSQKhh+VEd;;pfZ- zetz6wD{(yir}JI_j&o7tcj9u6q4OMCi*YO|Fx@dFj-hBmn4552 zhW95C67jvwmA=0A}g??;1$fT3A{s>3DXEKh)-89Yh`Sqg~4PuU$T8!hRwi3rv*6%3+ zj`yM_L(_7O;dXGR9H*xk$LWp|#|7s;eHU=N4>g$@k#h{5 zk)gF1$EI}=cT9<6@GL`f{9E+@fIsnH-sL6v-Imd7toz`L;OSUH6F(r=SNH#B^>#l$v9T5jM6;Dv0@ceA{XZPEI)@or*;lsGH+M~aC`tYdD4<| z)SI&UjAQAh46UDyDRI=x1U<~L^z)>k7g6DOrQ@E9IadC}YA}xFtKu||aV&o>#$&KQ zzQxavZ>gOhpPsgDB5-^VHF?$J93Je>YB7#Y4<>1SWsbp~5n*l`m^D2l)KGg~U!8L6 zk$&WRCgWK7F!RhfRz4D^b8$az;^)UrYUjrl>2*_q<3p&)hbehKhB{fa7UNj_D-DtJ zp53s2CYlXn`1_eqXM;}0YmkP#;{7Qh7HK$lLZ)GCq64wE*CpI@2}izXGLFWxG|hE> zOo9TrFvsou{J351{CMNE|H0a6i&2xWz0QwiaaxOUEcpWNlw8XF7;X_2=B9x~$(D=| z1NFmyUQe{$a|uVjXEKfz&*?Oe^<%|qRu^;J7h}(veQ~AdOrxVmWIvyI7&Y1L$oo-m zlBV?;$I=gC?wH#C7>o;ZK^>KT6cJ+Zx5MW+#d6Oj9QmHfIF`Q(=^xq_^LyLm}5CRKNeJ|ogZh% zj&2Jam!X0dUgyVP1vI-eS4+2uHqWGL98% zbehNdvEsE1k3m0nV&}(# z(sx9?hziG9rrQhQ$oEXfv3zZm<}r@tZ$Tw;;eI?lK=;Rj(+#El(T;Vk1da(*aEjOY z@$(q1#W)r(NV#K59D`e!cgC@Jp)Ke^#$i8as=6iJb1}!NrpzeHlAH7F?!weyr&7)SJNZF;s9-T+T6^AEmVz$C7t4?wAtC zaDgt&O#{c050XMGqR@|R=h3OI_j~xB$v9TL36;pjI99AyEJBO1f~Gug=A_Zj776-_oa9qaC{`eI6jh6;&^c7vlju! zm8f8`F6S6JB0+1hek|T>x?@WH7~=2c<2A@~EdGYdk=MEs$A?mMGUv#jJ&dFAu1)h; zKN=q<0aQa|e3=~Vu_E_*mZ zgLqw(I6f}SB^;l!sUG9l=37IEMPaSld~fm?ysjOC?T?PBv_JOy^P2a7<0@3J)#Lma z3OlqG<5>KO?T#sN43!9axF3r@GX*^*j?Y@|xr8I%GZ{zYW1Hr&el*f-F7C%ngmKJ7 zl{k)^Q!^7dK8*_YCglAXI;hk7jAO}5Nq0<*;~_y0b1Zo^Cg?>}Ue~Kl_gun}@0pBa z#V2u^$2eAe#_DD{76#b)u`r-^e*C1_AFYAoGpOL-q?}{;mnf~zIF>${amSQ6hW874 zWPf}nDdV6orVwt`l!v%Gz8~$=tqN{ z9}5k&^JA-?!x{s}=TKoQuk&MLixy)Xi{Gaq@~p5M_RmDKVGKX7Lq!IioYf=yzmvH2JCgWJKnbl<+ zE4Ep5F78J&#WH~k`Q9Y~%-W2zXbsknZ6=sJ2K{(XlyST#ro{29*6(frjxVFaJ5wJ0cuk7d z;v72|?wA_K@wPA*IuSKHPSjK4n6}({q#yrt#z|y3Ug*#~&herYj{(O=`T6ltwew@k z-1twxaSbYbIPJl4mQ8DMj;7&`sd2o`6y{=%XF5Vmi6cJ)@_yXLYOvRJo1O-(!8!JF z^v{z8!GlmDphgdDnaflPBzp^nkj!WrO*Zz2Mf(Egk5=VHG zrvBm_chL}kUbksx(IDs8(&RDVxQ?G6*QuQ!_uC)e4jf-ag>QJBACt5czHj`wLbGuR z8dT@_VoI0`%ZQpyu!NWr$DbYdT=Kf+XG+$Ot!r5x=ZI2tEstgp^1SY02zp8!_dD*n zgd^WGImfeE4bJggI+dJze&^@M-__2K-45i90*9j`yT!G0t%S^Q7L7_uFng z!f}wOr^NA(lv|H*ig&aukmu>dMU?EF}S)XtBG*0yT`9N$2N)n4bvCv94b zbG*`U$JF}q8B>^xU)N(DA*RIfV8T6@aQxY!G0rhRA;hBDvrs{b$ADuoJ3kf`tDPS& z+}rFQ;P@sgDoT0u<8YhS;v8EV?wA@!%M|8fj%^$vro@q-xwHNVN4{rrj#pT;2JgqQ zHje?vDvR!qMOC)a{y0tF)B`xag^F5xogXKev=-+WaojOAjyKS$u5(Y91Px|usQtbI zKXY@Ae9z<@`#ZD-=QuFMW5BUlLe;&$G1_@v5rSS^3Q@f$8!?2KE7`o zUL)-~rrfcKX2Tfy{`zhqkL-{AEcaYBj{MBcIr2S|b8K(W8k}QylgEH#FLr(`>ZNvm z?EPfpxxg`nih5)`I3`lG7Uwv^a>vyAafP5qI9_fDdP=YBU34n$Z}Ph4dnV@?O3*~k zvDoG@;CKN$KNek}c7A+i@#rsr<2qE--;{IIe@M|lZ8`ro^!oo$7jC^F5Pu zd?!H@ImZud9)o^-k)I!5R69SO_V)=N0mlze(X)<6KQ>CyTAbtNggd6zkA=1{7jyi^ z5@Jdm%N_Sz^19}GCg=E!LGw7rBn^>%e8*<{<2wnZ{c-91AB%zGhp6aHuk&N46fMR% z{%W~nY8*QYdgOVXF$6s&j#Yvl;mG$)&hfqkt-(1yX!98K;}>zp@r$Gq$8qN7Z-L_m zRI~{;mqiwp9}J`9QFU1!rUy!vQ?s<3dgqm z%$@Z|IPyJ{bNnbl>vE2t+B^pR_y<2f{;76;{I=(wtAXQ3sA!)h=h!r0(psG3Cc_<5 z;@C8oPUX+*vd zJs+c@TCeltQ6??MIqqVf@O|42pUo3t)_>o-An#KgL*-l?cPD62ons+XA{Xb#_e{=l ziAD1`$ArmaWQ2mvbv)8gu)@Y8go15NJjy}AqwpY*i-Ps<%jBV8CmRRzQLr-^HA2Cz zCXO{m!R~kvXo7;LrSPx-1<$bYs1OCuBBLS{>}%p!5C!|=K_G;J(G(trQE;%0M>+}) zBcrA$INZdsVidISAW(vWqf&TSih@_!cvJ@eM+-7)hJxcw96JI9C*eV$ISNim;b99D zyvfF+mMC}&8MQ*eStgFPM#0gqf=3+Fo{P! zP$(S3qtj5Rgp7Kk&=CFq4FdioqN`k_!Cl6M{ookvFfQ79V0 zUp*g%24(PQ016FF;!zZZE+KgXQRp%v6(2@xL>g6c(NCuBaq0sUq9*st!CrI8EDD+$c$F4-7`tKXALZLMV zj*UT~`uAGKqR^Wp?`jlUM@HA6(0dlnyB38u7#NM;dr^KMId_@MsYVk4fOs11Nkg89j)?F$>2YLgC2<9xX=U8yq}(7=>?6;L#Ek zoEP=wy96Wjyg&!lM$541>0>_r4@G1+BR-o{61|B_* z!mAxTT8Y9hlhG3>{8|FXo)_GLD7=r1)}Zk3 z2^@O`g%4PG^ePJfYv9pqC|u*<(ORTyWb``H^Ab4r2GW~Yc=RUHg9aYGg>>D)qqmV> zN=7N9H&5W$I;6L@@Mt~K4FiwfL3&#UkKRT4QDpQU(vL~t*!xI7-om2~kba_pM;{`+ ztAj@ykls_rqm4*EBaKHNA^og49(|1TzEM2-1nK=r-X^3EB%@D}J~)lPnnwDtI39h5 z^x;uF`W)#N$@>E7qsiz?q+gZBU)_xKYvOpc1?l6Xc=Q$0Cy~6bk$wXieS`Fy()g=e zk$y`YkG@6vtSBCBL;7tb?>nT=Bcts|zdMb;`aRO`iQ|!j^!uZD^aIi#B6&NIzLbo1 zB0Z7DU)_cD6>&WJ5$R7x@#rU{KST0q!(J@>IF9f6JbNTcJKKHO z?$bjEjR;XD$|(#XM59!ano1|iLXxC)q9{g3B~4K(YMoRHqo@?EgG!P~QYn7V{rUd- z>vg?9U-d^<*S$-gdnK-W;d?bl{Q}<`DQX{lZ^_-S@VzVA58s9o*WcjVn4=EBw>d>s z;M*p52jSZx`W?P6OI#1Zw<|~e0pGVN>M(qJKCUOg->F2^hyUyxbt3%TbE0QlbLzUz($W@LwT!A^0bV!th_~;~Ihgx)RkG{u^^t6#iS~ zPJ#b+kqZBGA6E_jJ4=)f|J^w%2LHWs7l*&@4}8}BRb{~cu#Ym~f22fN@IRKLZ20qX z=fMA@s22WbeO#Ns|9pvR3jd2a>Qwk&mb+%~zba}D{~JE8E#QB%M4blzJ2|Q){2S!1 z75tmBY^~wnnxsyLf4d7$RRaDmic}l;zs^!^;V+BMfd7XiTRZrFcHyaN5C6U*bte1= zvQ!874~aU$eaL(MSZQCfP1VAnT(> zAuyvvjYiiEcn(UykeKy0;Fds2dSDOKSq z`KTO%L*(v$1V_2aeHnHzU8EjF@UkrR5Q0}GsfQ7~+J*a4*kDGq0Kw~vY>yy#Qu|P=VYnH2+m7VOAvg(h5MqW;C#_i1RpK3Ekp3} zEcFC}OOw=-2tMV)edR`Qx#(#GR}|TvL9mdeo<(qVl3I@7S{LpQPY2hDo=5PlBHIcC z-_24l)V&=iNv%ZiV;Am^!3DR73J7j1vaPCn3uBgg3BjF7>SY9XyKsM?A^4qW4T5`$ zY_A}=H%q-*_YDb2YAu2XUATWVHF#L`I)Z-{+1^0#-z>EbAy1N8kC4}e`-hA|^+j(X z)Ue1_MCg<(^>*F2WGAV25Nhng{olnQP4pf@@gm#%2-#U`142!c)CUN)aN+*Of2g(S zBZS%%*)}57K1+R!P;b#E2=z;{Z9-_EXfr}X+~ld+g3v`ps)W$RS!ydn=_Iucq02;{ zB6OvjJXN0|G@(dsN9futwF9B+lho%3-6Z+~p($?iRDFri?L}%QLesOOvcvyutNui&l3+W6(4h+T7eYr0 z)ZYjlmAiisIxaejaCL&~zX;c>Q2!y^pgs&C?)Szc33o*m!b*h#!m$EX zjj$zmUWA*7Y7lOo;93vimKEv*gcAj-KEmzf?nHz;iW(r?CBd~J!d)xWNeFi@P$whY zQ|=le+*fo8!UGaqeFzV#P=17m7N`KiBjhfK@Muv8;jsy>VT8w3s0hO23shr-C(2zE z;YlI|;mHZED#ABcC=KDM1xiOaD|fNFw;hS%b?^U4a5WIVr$U(s-&de4gddbU8{q{a z2jN8tuC)j+u24-7URI!*BK)-6om%&QC`8Q=UYX$99N|?Jss+Mp3e;%`zb1Dr5#A)N z6~bGkwMKY*f_JAQ{6&RIApEu5wL!QnYK!m>8Lnp_{Bwe8hw#1%)gIvka(5=eheRC^ zK9b?u5#gf=suRM;D%4qsK<+vtQcu(ckp>yAXCu-mL7jt$ze06IBrJDHL=;gsL}D4P z=OSVysP2f=R;V6`G?TmY5NRnoACW|cYfnVlC8%DAbf{3h5$P;WfJC4A%<~ z>6xJVA=0Np^+%+?+zmivkSK-7&S>3gAf^2p#~!|M(!>|WSnRSBI7e$haxgD zK@CGBQ=u+GwcU+XzIa<*AW~%t%ugBXXBXjY4EjnHr7AJkceHJdkHgBQift zjX`7i{EV?^yg18tDWbNlmm%7u#5NAm<~izeL|dh(D-dntqpn1>y=Xk59ZPIiA=)KJ zO+Yl6qOL}?hulp>w3p}_MEjPwUW@2}9F;+IaEh9Q=rFmv4$+aK>k%DY;(7z3V{_DG zL@!TKHzInK+}(ueHKLmlomAp_3!;;A)UAl#lA@*{dYjx$Mf48QZHUe+alIYU**R(& zqH|MJ7SWvC-GS&sqUne(C~=*E=%O4o6VW9p>P|$Tkh@ukJ|nsd(dSBBXCt~YN8OF+ zOD;T^gXo$fbq}JiiRL1@KFfA5qHiaud5FI6!h`z|{jf;o5Z&d%gZmLJ7pVsj{UJ*| zi0IEr>LEmbb>YFoh*m`N5j|97TY%`1EcFPYN0Zc}h#q(0!9pn2qD4^Z6FC0PZHFyik0|mAsl=&IzZ72)n?j0zLMejmcTH*R0l&1>R`%so= zs0~nFkh>3{tP*_)Wp#z?M^M%lsEtt8WvGv#6y@#{DDR0jLHVGZKM4v<1U*Y-%l!FE8ODKmk)J`aW z%iUK{{u6x-)l=cR3#zw3?S@)ELwy7FB)Ka?^@+ZP8mw^r4r=29^*vNAL;V2Nkh?ul z9np_an^w5~1hqwh`Wb5L47C?(TeY1W_P&-w){tET%0<|A%w+!_g)FGk+P=|{u zP)8-W9)y~%P`^XHtUw)tdZpa`0rhIpVW^n|*FT|NU!jgby{SO`1$Bzt{S9@R=pU#v z5?qf$omHX!g*vA|{RefP+#Q4Zfao~X`3ZNe_CS5KLRCS1yg&i!Qr=ZpLw!o*g}OY! zwFc^n3RMqkp+KDgb+z2phq_jDBGh#Wt_`5RRiYX~eYZfJ1oZ>CI~nT7qDD}+B)Fae zbz6n$ECQ4s2P39ccidn!~I>fQnsfx2Jr8bduOib6e{;Hp6Vt3s(z z|1D4&G>_cr(7d7;wE79IacB)IlmYFO0%bxA%AEyG&9m9i;%Ul(W}8$kv?gV$3AE;U zswuQqqEn%@NwYPB*50I=L+eG6t#rbBhA(dS}&7o4XtmPIvv`8Je7bp zSkwmEurym+Xd_MP3}~avR6A&6^Hh6imy6DXc2%0K1GH;Qsw1>XWvUaj$$9E5Xt#(u zL%S`_)&<%fCUrKnnPuu6XtVQFS7>uZNoct=TQ_JAnbf(^7L=*(&=%#X9?+JE&V%+u zn(cgO&zMwCXwQ|YUeH$Nsov1uk=6&=25EhvZA^2$0NQ4g>IZFWnd%R1yJ!HkFY|0E zXuHzXKxp5Z)F5a-l&QhceimH_?bkfp5NMS&H5A$(CN&J&kur4=w4=d zCUrUVM47q*dOOjT&^zYY#zXItrmljXG^q*DyO*h}q4yL`gx)vLb`A6aY3f?&gH0*} zeQ24Q1bu|)I_RVGY}Z2{o2G7nez{3ahCaSb-3Wc6=qBis@@zLlpPZ&{fqsig-3onb znVJGUE1C*@W}fXf=(E$*?a=3%)HLY#m8mTB2Ss;4Uyx^;4t-IYngM-@NzH`5tW4br z{W%{s3;GKs>MrQ3a@1_-Yf{wR&|j0gIndXO?txw`ah(hOy&QEf^bb?iJm{au-F?uv zRM>LRKP^%BL;pNSJplcy6!jqVZ+z54(7zWw4E@In+kEK1l&A&Je=AUrK>s~QJqrC# zX$ztMBW)4%V;QcGA%+z7IAZk@)Z)6IUzD~4u}0GJhy{FHmm(IfP|FZgO4JjG#iTun zm?iBg#F`YiK8;u>Y0n@gPt)pW5$h&xIb!F@-E)Zb&hb|~k66D9wF0q$De8r~H%v%d ziP&&yFCr#S-Rc5jV|=_@h1g{k>LtX+OM4lytEH_*EK}mT2C*9o)GLVHoTFYvY^t=i zh-Ia{hS*rEzmL~KckdKV!vdl&+6VOoT9eZy}4Q1 z4#fVH_BmqzB)EQo*fAgVCE`^TYA53LqiPFAC{NxhXU5NV&)NaJXIqDn46=`L} zW757w+{$qM4)G=_>U+eSC#W9~ZzXLH;%%h;hd6Quot z__fjwBYwTf^-siaa^a~yg7~dv>Mz8nx$so~jrjC3^$+5+iquiW=j5q>5ucZ({zF`T zub}!E;`5~)M|@%0UA=YhCSRPSst{jhQb7D^Y1N27C(VoaN_X^lYY<;mrs^TSrbwND z_-oSYBfehRiHN_Q=h~p|{pVS#A>tpUsgn@jB<*Cxw@Pb-`1T~%QxM-P&4>7YX@0~H zN(&%h1mQegP+KvY7OI37as5FFs?AE1dItT zJl-}it|?P(VO&?F&VX@ao@xiIUP5JasONRavS#j5X4Fz<5pC zc`(+ext?IhI`#``AK3&uy%dc)Wxtq+W??&$IMh4ERLx&X!(MXDc+vXANyLPYs}s~Pm?ucP2xdcR!(pD{ z<2nLnphAs=87Wa0!&Ie>f*F@K8m3*~dI`*?IVugaMTQy!v$eFbFxyJI6y}*Ju9v|) zD?yEed5({|9Ok*wu7G*Iv@2ouu5cX>vtNn23g*B9H38-jX;;G>E^Q*rQ8}*Hz#NmI zu7x=+MP*=)mo^FJL}}N-oRr{tJIRrMSE$J_ZOol5(jJ0UPujz<8kD%sht;S+Er1osQIEii zNP85PDs3UGc!ujDSaynf3|7+w^*F2+(iX#NEo}*`wmz}*hp={-)JL#(y6|{6!rE<8AH(|2 zg~$5|tUYCF6Rf>OYBQ|;d1?!+gVIW{4olk#>+dYrZLt1JQ=h`FN>ZP}u93DK_KDJV zz&_dJ`Z;XB3y=2;*r77@C2Ylo$Ga1@UZ%c+Z5FAoVb|uVU9g*Fsok(!O8W+OLRuMi zyENBtVRuYY-@)!;Qs2W)O8WtJ4{3W~_i{&%_ea=$%hXS>2NbEFVGowJ7xpk|zrY@u z=eiH}C0XiM*q5fM{jjgdQoq5TAngEb`84OPz?M&Q-h;4ja?d>8-(gQNsY9^k)13DY z*wf2whhZ;p;qm?ndtsS60(*%IkM}Rw%gWT>u%9kc|G<7OPaTE5GE4mn`z2}r!G1;B zG1#xCxgLl8X3|xS2lhKARRw!Pk^=0F(yC!^c9W;Z3tN7dq^1V;_99z7*k6{Z6JYO> zRv-4adA1W_@5xdPV9Teunuf6VOFId+e448{8TR2M?;63DPjfY=!2Zuoo*EyVDwFcV zsd3?{3Bajerh;(f(_Bpmj(nP{3Bzez;TnOXm8iyWi~<#f&Z!wng>#xT4bJJ( zbU0_ExW?dgNKkP&oqd!6r>is*PIqY*obxMOZ8&{Olmn-KfvSZwNLmv(L!~u^Ga|?J zR5+tER5LhZQ&e*}mrH8_=PGHZ)qSgKf@@1S*ZHVca3)u%)^Ki>b~>Efq$S|oQR3PL z&YcCSEu6b^)ERK@mDUc<{nFaQc{s!MOgN9Gs19%*Pf#79MuiZdp_!1IP$Em=?>=;X+7Y`v$p0uIP$Em zIUmmF@^|S8N1nAcz2JN!tv8(SbNu`Df%8*_>I+AnwKW&OIUubc9C_B(^oMgK!Mg!) z '0'); - signal DataB : std_logic_vector(63 downto 0) := (others => '0'); - signal Clock: std_logic := '0'; - signal Reset: std_logic := '0'; - signal ClockEn: std_logic := '0'; - signal Result : std_logic_vector(63 downto 0); -begin - u1 : Adder_304 - port map (DataA => DataA, DataB => DataB, Clock => Clock, Reset => Reset, - ClockEn => ClockEn, Result => Result - ); - - process - - begin - DataA <= (others => '0') ; - for i in 0 to 200 loop - wait until Clock'event and Clock = '1'; - DataA <= DataA + '1' after 1 ns; - end loop; - wait; - end process; - - process - - begin - DataB <= (others => '0') ; - for i in 0 to 200 loop - wait until Clock'event and Clock = '1'; - DataB <= DataB + '1' after 1 ns; - end loop; - wait; - end process; - - Clock <= not Clock after 5.00 ns; - - process - - begin - Reset <= '1' ; - wait for 100 ns; - Reset <= '0' ; - wait; - end process; - - process - - begin - ClockEn <= '1' ; - wait; - end process; - -end architecture test; -- 2.43.0