From d063754cc9248c9a6d1a67d8c103f5fe8242d945 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Fri, 17 Nov 2017 15:44:04 +0100 Subject: [PATCH] Update various files --- .gitignore | 1 + base/code/input_to_trigger_logic_record.vhd | 12 ++++++------ cts/config_default.vhd | 4 +++- fpgatest/trb3_periph_test.vhd | 4 ++-- 4 files changed, 12 insertions(+), 9 deletions(-) diff --git a/.gitignore b/.gitignore index d7cc176..292a09c 100644 --- a/.gitignore +++ b/.gitignore @@ -37,3 +37,4 @@ licbug.txt **/*workdir **/diamond config_compile.pl +projectfrankfurt diff --git a/base/code/input_to_trigger_logic_record.vhd b/base/code/input_to_trigger_logic_record.vhd index 295a8dc..9d53093 100644 --- a/base/code/input_to_trigger_logic_record.vhd +++ b/base/code/input_to_trigger_logic_record.vhd @@ -226,13 +226,13 @@ got_simplecoin <= coin_in_1 and coin_in_2 and coin_enable when rising_edge(CL -- Multiplicity Trigger ---------------------------- -gen_mult : if OUTPUTS >= 2 generate +-- gen_mult : if OUTPUTS >= 2 generate PROC_MULT : process variable m : integer range 0 to INPUTS-1; begin wait until rising_edge(CLK); m := 0; - for i in 0 to 31 loop --was INPUTS-1 @ 09.17 + for i in 0 to minimum(INPUTS-1,31) loop --was INPUTS-1 @ 09.17 if inp_verylong(i) = '1' and multiplicity_enable(i) = '1' then m := m + 1; end if; @@ -245,10 +245,10 @@ gen_mult : if OUTPUTS >= 2 generate multiplicity_trigger <= '0'; end if; end process; -end generate; -gen_no_mult : if OUTPUTS < 2 generate - multiplicity_trigger <= '0'; -end generate; +-- end generate; +-- gen_no_mult : if OUTPUTS < 2 generate +-- multiplicity_trigger <= '0'; +-- end generate; ---------------------------- diff --git a/cts/config_default.vhd b/cts/config_default.vhd index 8906391..2e4c5f3 100644 --- a/cts/config_default.vhd +++ b/cts/config_default.vhd @@ -51,7 +51,9 @@ package config is constant TRIGGER_PULSER_COUNT : integer := 2; constant TRIGGER_RAND_PULSER : integer := 1; constant TRIGGER_ADDON_COUNT : integer := 8; - constant PERIPH_TRIGGER_COUNT : integer := 2; + constant PERIPH_TRIGGER_COUNT : integer := 2; + constant ADDON_LINE_COUNT : integer := 38; + constant CTS_OUTPUT_MULTIPLEXERS : integer := 8; ------------------------------------------------------------------------------ --End of configuration diff --git a/fpgatest/trb3_periph_test.vhd b/fpgatest/trb3_periph_test.vhd index 1ef7427..2244386 100644 --- a/fpgatest/trb3_periph_test.vhd +++ b/fpgatest/trb3_periph_test.vhd @@ -95,7 +95,7 @@ end entity; architecture trb3_periph_arch of trb3_periph_test is --Constants constant POWER_TEST : integer := 0; - constant CDT_TEST : integer := 1; + constant CDT_TEST : integer := 0; attribute syn_keep : boolean; attribute syn_preserve : boolean; @@ -464,4 +464,4 @@ THE_SPI_RELOAD : entity work.spi_flash_and_fpga_reload time_counter <= time_counter + 1; end process; -end architecture; \ No newline at end of file +end architecture; -- 2.43.0