From d0852bc82ad292516001286192dc90f28af80cb5 Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Sun, 29 May 2022 00:32:08 +0200 Subject: [PATCH] cleanup in I2C master to simplify state machine --- interface/i2c_gstart2.vhd | 160 +++++++++++++++++++------------------- interface/i2c_sendb2.vhd | 157 +++++++++++++++++++------------------ interface/i2c_slim2.vhd | 65 ++++++++++------ 3 files changed, 201 insertions(+), 181 deletions(-) diff --git a/interface/i2c_gstart2.vhd b/interface/i2c_gstart2.vhd index 4ae981d..edbd714 100644 --- a/interface/i2c_gstart2.vhd +++ b/interface/i2c_gstart2.vhd @@ -5,8 +5,8 @@ use IEEE.STD_LOGIC_UNSIGNED.ALL; entity i2c_gstart2 is port( - CLK_IN : in std_logic; - RESET_IN : in std_logic; + CLOCK : in std_logic; + RESET : in std_logic; START_IN : in std_logic; DOSTART_IN : in std_logic; I2C_SPEED_IN : in std_logic_vector(8 downto 0); @@ -57,10 +57,10 @@ signal s_sda : std_logic; begin -- Countdown for one half of SCL (adjustable clock width) -THE_CYC_CTR_PROC: process( clk_in ) +THE_CYC_CTR_PROC: process( CLOCK ) begin - if( rising_edge(clk_in) ) then - if( reset_in = '1' ) then + if( rising_edge(CLOCK) ) then + if( RESET = '1' ) then cctr <= (others => '0'); elsif( load_cyc = '1' ) then cctr <= i2c_speed_in; @@ -75,10 +75,10 @@ cycdone_x <= '1' when (cctr = x"00") else '0'; -- The main state machine -- State memory process -STATE_MEM: process( clk_in ) +STATE_MEM: process( CLOCK ) begin - if ( rising_edge(clk_in) ) then - if( reset_in = '1' ) then + if ( rising_edge(CLOCK) ) then + if( RESET = '1' ) then CURRENT_STATE <= SLEEP; load_cyc <= '0'; dec_cyc <= '0'; @@ -118,92 +118,92 @@ begin s_scl_x <= '0'; case CURRENT_STATE is when SLEEP => - if ( (dostart_in = '1') and (start_in = '1') ) then - NEXT_STATE <= S_CHK0; -- generate a start condition - load_cyc_x <= '1'; - elsif( (dostart_in = '1') and (start_in = '0') ) then - NEXT_STATE <= WCTR2; -- generate a stop condition - load_cyc_x <= '1'; - else - NEXT_STATE <= SLEEP; --- load_cyc_x <= '1'; - end if; + if ( (dostart_in = '1') and (start_in = '1') ) then + NEXT_STATE <= S_CHK0; -- generate a start condition + load_cyc_x <= '1'; + elsif( (dostart_in = '1') and (start_in = '0') ) then + NEXT_STATE <= WCTR2; -- generate a stop condition + load_cyc_x <= '1'; + else + NEXT_STATE <= SLEEP; +-- load_cyc_x <= '1'; + end if; when WCTR2 => - if( (cycdone = '1') ) then - NEXT_STATE <= P_SCL; - load_cyc_x <= '1'; - s_scl_x <= '1'; - else - NEXT_STATE <= WCTR2; - dec_cyc_x <= '1'; - end if; - when P_SCL => - NEXT_STATE <= WCTR0; + if( (cycdone = '1') ) then + NEXT_STATE <= P_SCL; + load_cyc_x <= '1'; + s_scl_x <= '1'; + else + NEXT_STATE <= WCTR2; dec_cyc_x <= '1'; + end if; + when P_SCL => + NEXT_STATE <= WCTR0; + dec_cyc_x <= '1'; when S_CHK0 => - if( (sda_in = '1') and (scl_in = '1') ) then - NEXT_STATE <= RS_SDA; - r_sda_x <= '1'; - else - NEXT_STATE <= ERROR; - sok_x <= '0'; - end if; + if( (sda_in = '1') and (scl_in = '1') ) then + NEXT_STATE <= RS_SDA; + r_sda_x <= '1'; + else + NEXT_STATE <= ERROR; + sok_x <= '0'; + end if; when RS_SDA => + NEXT_STATE <= WCTR0; + dec_cyc_x <= '1'; + when WCTR0 => + if ( (cycdone = '1') and (start_in = '1') ) then + NEXT_STATE <= S_CHK1; + elsif( (cycdone = '1') and (start_in = '0') ) then + NEXT_STATE <= P_SDA; + load_cyc_x <= '1'; + s_sda_x <= '1'; + else NEXT_STATE <= WCTR0; dec_cyc_x <= '1'; - when WCTR0 => - if ( (cycdone = '1') and (start_in = '1') ) then - NEXT_STATE <= S_CHK1; - elsif( (cycdone = '1') and (start_in = '0') ) then - NEXT_STATE <= P_SDA; - load_cyc_x <= '1'; - s_sda_x <= '1'; - else - NEXT_STATE <= WCTR0; - dec_cyc_x <= '1'; - end if; + end if; when S_CHK1 => - if( (sda_in = '0') and (scl_in = '1') ) then - NEXT_STATE <= DONE; - else - NEXT_STATE <= ERROR; - sok_x <= '0'; - end if; + if( (sda_in = '0') and (scl_in = '1') ) then + NEXT_STATE <= DONE; + else + NEXT_STATE <= ERROR; + sok_x <= '0'; + end if; when P_SDA => + NEXT_STATE <= WCTR1; + dec_cyc_x <= '1'; + when WCTR1 => + if( (cycdone = '1') ) then + NEXT_STATE <= P_CHK; + else NEXT_STATE <= WCTR1; dec_cyc_x <= '1'; - when WCTR1 => - if( (cycdone = '1') ) then - NEXT_STATE <= P_CHK; - else - NEXT_STATE <= WCTR1; - dec_cyc_x <= '1'; - end if; + end if; when P_CHK => - if( (sda_in = '1') and (scl_in = '1') ) then - NEXT_STATE <= DONE; - sdone_x <= '1'; - else - NEXT_STATE <= ERROR; - sok_x <= '0'; - end if; + if( (sda_in = '1') and (scl_in = '1') ) then + NEXT_STATE <= DONE; + sdone_x <= '1'; + else + NEXT_STATE <= ERROR; + sok_x <= '0'; + end if; when ERROR => - if( dostart_in = '0' ) then - NEXT_STATE <= SLEEP; - else - NEXT_STATE <= ERROR; - sdone_x <= '1'; - sok_x <= '0'; - end if; + if( dostart_in = '0' ) then + NEXT_STATE <= SLEEP; + else + NEXT_STATE <= ERROR; + sdone_x <= '1'; + sok_x <= '0'; + end if; when DONE => - if( dostart_in = '0' ) then - NEXT_STATE <= SLEEP; - else - NEXT_STATE <= DONE; - sdone_x <= '1'; - end if; - when others => + if( dostart_in = '0' ) then NEXT_STATE <= SLEEP; + else + NEXT_STATE <= DONE; + sdone_x <= '1'; + end if; + when others => + NEXT_STATE <= SLEEP; end case; end process TRANSFORM; diff --git a/interface/i2c_sendb2.vhd b/interface/i2c_sendb2.vhd index b988fe3..a517e54 100644 --- a/interface/i2c_sendb2.vhd +++ b/interface/i2c_sendb2.vhd @@ -5,8 +5,8 @@ use IEEE.STD_LOGIC_UNSIGNED.ALL; entity i2c_sendb2 is port( - CLK_IN : in std_logic; - RESET_IN : in std_logic; + CLOCK : in std_logic; + RESET : in std_logic; DOBYTE_IN : in std_logic; I2C_SPEED_IN : in std_logic_vector(8 downto 0); I2C_BYTE_IN : in std_logic_vector(8 downto 0); @@ -14,7 +14,7 @@ port( SDA_IN : in std_logic; R_SDA_OUT : out std_logic; S_SDA_OUT : out std_logic; --- SCL_IN : in std_logic; + SCL_IN : in std_logic; R_SCL_OUT : out std_logic; S_SCL_OUT : out std_logic; BDONE_OUT : out std_logic; @@ -37,8 +37,6 @@ signal rst_bit_x : std_logic; signal rst_bit : std_logic; -- reset bit counter for byte to send signal load_cyc_x : std_logic; signal load_cyc : std_logic; -- load cycle counter (SCL length) -signal dec_cyc_x : std_logic; -signal dec_cyc : std_logic; -- decrement cycle counter (SCL length) signal load_sr_x : std_logic; signal load_sr : std_logic; -- load output shift register signal shift_o_x : std_logic; @@ -70,10 +68,10 @@ signal i2c_d : std_logic; -- auxiliary register begin -- Bit counter (for byte to send) -THE_BIT_CTR_PROC: process( clk_in ) +THE_BIT_CTR_PROC: process( CLOCK ) begin - if( rising_edge(clk_in) ) then - if( reset_in = '1' ) then + if( rising_edge(CLOCK) ) then + if( RESET = '1' ) then bctr <= (others => '0'); elsif( rst_bit = '1' ) then bctr <= (others => '0'); @@ -87,14 +85,14 @@ end process THE_BIT_CTR_PROC; bytedone <= '1' when (bctr = x"9") else '0'; -- Countdown for one half of SCL (adjustable clock width) -THE_CYC_CTR_PROC: process( clk_in ) +THE_CYC_CTR_PROC: process( CLOCK ) begin - if( rising_edge(clk_in) ) then - if( reset_in = '1' ) then + if( rising_edge(CLOCK) ) then + if( RESET = '1' ) then cctr <= (others => '0'); elsif( load_cyc = '1' ) then cctr <= i2c_speed_in; - elsif( dec_cyc = '1' ) then + elsif( cycdone = '0' ) then cctr <= cctr - 1; end if; end if; @@ -104,10 +102,10 @@ end process THE_CYC_CTR_PROC; cycdone <= '1' when (cctr = x"00") else '0'; -- Bit output -THE_BIT_OUT_PROC: process( clk_in ) +THE_BIT_OUT_PROC: process( CLOCK ) begin - if( rising_edge(clk_in) ) then - if( reset_in = '1' ) then + if( rising_edge(CLOCK) ) then + if( RESET = '1' ) then out_sr <= (others => '0'); i2c_d <= '1'; elsif( load_sr = '1' ) then @@ -121,10 +119,10 @@ begin end process THE_BIT_OUT_PROC; -- Bit input -THE_BIT_IN_PROC: process( clk_in ) +THE_BIT_IN_PROC: process( CLOCK ) begin - if( rising_edge(clk_in) ) then - if ( reset_in = '1' ) then + if( rising_edge(CLOCK) ) then + if ( RESET = '1' ) then in_sr <= (others => '1'); elsif( shift_o = '1' ) then in_sr(8 downto 1) <= in_sr(7 downto 0); @@ -134,10 +132,10 @@ begin end process THE_BIT_IN_PROC; -- Output register for readback data (could be reduced to SR_IN_INT) -THE_I2C_BACK_PROC: process( clk_in ) +THE_I2C_BACK_PROC: process( CLOCK ) begin - if( rising_edge(clk_in) ) then - if( reset_in = '1' ) then + if( rising_edge(CLOCK) ) then + if( RESET = '1' ) then i2c_back <= (others => '1'); elsif( shift_i = '1' ) then i2c_back(8 downto 1) <= in_sr(7 downto 0); @@ -151,15 +149,14 @@ bok <= not i2c_back(0); -- BUG -- The main state machine -- State memory process -STATE_MEM: process( clk_in ) +STATE_MEM: process( CLOCK ) begin - if( rising_edge(clk_in) ) then - if( reset_in = '1') then + if( rising_edge(CLOCK) ) then + if( RESET = '1') then CURRENT_STATE <= SLEEP; inc_bit <= '0'; rst_bit <= '0'; load_cyc <= '0'; - dec_cyc <= '0'; load_sr <= '0'; shift_o <= '0'; shift_i <= '0'; @@ -171,7 +168,6 @@ begin inc_bit <= inc_bit_x; rst_bit <= rst_bit_x; load_cyc <= load_cyc_x; - dec_cyc <= dec_cyc_x; load_sr <= load_sr_x; shift_o <= shift_o_x; shift_i <= shift_i_x; @@ -189,7 +185,6 @@ begin inc_bit_x <= '0'; rst_bit_x <= '0'; load_cyc_x <= '0'; - dec_cyc_x <= '0'; load_sr_x <= '0'; shift_o_x <= '0'; shift_i_x <= '0'; @@ -197,55 +192,59 @@ begin r_scl_x <= '0'; s_scl_x <= '0'; case CURRENT_STATE is - when SLEEP => if( dobyte_in = '1' ) then - NEXT_STATE <= LCL; - inc_bit_x <= '1'; - load_cyc_x <= '1'; - shift_o_x <= '1'; - r_scl_x <= '1'; - else - NEXT_STATE <= SLEEP; - load_sr_x <= '1'; - end if; - when LCL => NEXT_STATE <= WCL; - dec_cyc_x <= '1'; - when WCL => if( cycdone = '1' ) then - NEXT_STATE <= LCH; - load_cyc_x <= '1'; - s_scl_x <= '1'; - else - NEXT_STATE <= WCL; - dec_cyc_x <= '1'; - end if; - when LCH => NEXT_STATE <= WCH; - dec_cyc_x <= '1'; - when WCH => if ( (cycdone = '1') and (bytedone = '0') ) then - NEXT_STATE <= LCL; - inc_bit_x <= '1'; - load_cyc_x <= '1'; - shift_o_x <= '1'; - r_scl_x <= '1'; - elsif( (cycdone = '1') and (bytedone = '1') ) then - NEXT_STATE <= FREE; - shift_o_x <= '1'; - shift_i_x <= '1'; - r_scl_x <= '1'; - else - NEXT_STATE <= WCH; - dec_cyc_x <= '1'; - end if; - when FREE => NEXT_STATE <= DONE; - rst_bit_x <= '1'; - bdone_x <= '1'; - when DONE => if( dobyte_in = '0' ) then - NEXT_STATE <= SLEEP; - else - NEXT_STATE <= DONE; - rst_bit_x <= '1'; - bdone_x <= '1'; - end if; - -- Just in case... - when others => NEXT_STATE <= SLEEP; + when SLEEP => + if( dobyte_in = '1' ) then + NEXT_STATE <= LCL; + inc_bit_x <= '1'; + load_cyc_x <= '1'; + shift_o_x <= '1'; + r_scl_x <= '1'; + else + NEXT_STATE <= SLEEP; + load_sr_x <= '1'; + end if; + when LCL => + NEXT_STATE <= WCL; + when WCL => + if( cycdone = '1' ) then + NEXT_STATE <= LCH; + load_cyc_x <= '1'; + s_scl_x <= '1'; + else + NEXT_STATE <= WCL; + end if; + when LCH => + NEXT_STATE <= WCH; + when WCH => + if ( (cycdone = '1') and (bytedone = '0') ) then + NEXT_STATE <= LCL; + inc_bit_x <= '1'; + load_cyc_x <= '1'; + shift_o_x <= '1'; + r_scl_x <= '1'; + elsif( (cycdone = '1') and (bytedone = '1') ) then + NEXT_STATE <= FREE; + shift_o_x <= '1'; + shift_i_x <= '1'; + r_scl_x <= '1'; + else + NEXT_STATE <= WCH; + end if; + when FREE => + NEXT_STATE <= DONE; + rst_bit_x <= '1'; + bdone_x <= '1'; + when DONE => + if( dobyte_in = '0' ) then + NEXT_STATE <= SLEEP; + else + NEXT_STATE <= DONE; + rst_bit_x <= '1'; + bdone_x <= '1'; + end if; + -- Just in case... + when others => + NEXT_STATE <= SLEEP; end case; end process TRANSFORM; @@ -265,10 +264,10 @@ begin end process DECODE; -- SCL and SDA output pulses -THE_SDA_OUT_PROC: process( clk_in ) +THE_SDA_OUT_PROC: process( CLOCK ) begin - if( rising_edge(clk_in) ) then - if( reset_in = '1' ) then + if( rising_edge(CLOCK) ) then + if( RESET = '1' ) then load <= '0'; -- was a bug, found 081008 r_sda <= '0'; s_sda <= '0'; diff --git a/interface/i2c_slim2.vhd b/interface/i2c_slim2.vhd index 10b5741..249c351 100644 --- a/interface/i2c_slim2.vhd +++ b/interface/i2c_slim2.vhd @@ -85,13 +85,15 @@ signal sda_q : std_logic_vector(2 downto 0); signal i2c_speed : std_logic_vector(8 downto 0); -signal error_bits : std_logic_vector(7 downto 0); +signal errors_x : std_logic_vector(7 downto 0) := x"00"; +signal save_x : std_logic; +signal error_bits : std_logic_vector(7 downto 0) := x"00"; -- Components component i2c_gstart2 is port( - CLK_IN : in std_logic; - RESET_IN : in std_logic; + CLOCK : in std_logic; + RESET : in std_logic; START_IN : in std_logic; DOSTART_IN : in std_logic; I2C_SPEED_IN : in std_logic_vector(8 downto 0); @@ -109,8 +111,8 @@ end component i2c_gstart2; component i2c_sendb2 is port( - CLK_IN : in std_logic; - RESET_IN : in std_logic; + CLOCK : in std_logic; + RESET : in std_logic; DOBYTE_IN : in std_logic; I2C_SPEED_IN : in std_logic_vector(8 downto 0); I2C_BYTE_IN : in std_logic_vector(8 downto 0); @@ -118,7 +120,7 @@ port( SDA_IN : in std_logic; R_SDA_OUT : out std_logic; S_SDA_OUT : out std_logic; --- SCL_IN : in std_logic; + SCL_IN : in std_logic; R_SCL_OUT : out std_logic; S_SCL_OUT : out std_logic; BDONE_OUT : out std_logic; @@ -195,11 +197,13 @@ begin load_dh_x <= '0'; load_dl_x <= '0'; valid_x <= '0'; + errors_x <= x"00"; + save_x <= '0'; case CURRENT_STATE is when SLEEP => if( i2c_go_in = '1' ) then NEXT_STATE <= LOADA; - error_bits <= x"00"; + save_x <= '1'; else NEXT_STATE <= SLEEP; running_x <= '0'; @@ -214,11 +218,13 @@ begin dobyte_x <= '1'; elsif( (sdone = '1') and (sok = '0') and (phase = '0') ) then NEXT_STATE <= FAILED; -- first START condition failed - error_bits <= x"80"; + errors_x <= x"80"; + save_x <= '1'; dostart_x <= '1'; elsif( (sdone = '1') and (sok = '0') and (phase = '1') ) then NEXT_STATE <= FAILED; -- second START condition failed - error_bits <= x"40"; + errors_x <= x"40"; + save_x <= '1'; dostart_x <= '1'; else NEXT_STATE <= GSTART; -- wait for START generation ending @@ -234,11 +240,13 @@ begin NEXT_STATE <= LOADD; -- I2C read, send 0xff dummy byte elsif( (bdone = '1') and (bok = '0') and (phase = '0') ) then NEXT_STATE <= FAILED; -- first address phase failed - error_bits <= x"20"; + errors_x <= x"20"; + save_x <= '1'; dostart_x <= '1'; elsif( (bdone = '1') and (bok = '0') and (phase = '1') ) then NEXT_STATE <= FAILED; -- second address phase failed - error_bits <= x"10"; + errors_x <= x"10"; + save_x <= '1'; dostart_x <= '1'; else NEXT_STATE <= SENDA; -- wait for send address ending @@ -256,7 +264,8 @@ begin dostart_x <= '1'; elsif( (bdone = '1') and (bok = '0') ) then NEXT_STATE <= FAILED; -- command phase failed - error_bits <= x"08"; + errors_x <= x"08"; + save_x <= '1'; dostart_x <= '1'; else NEXT_STATE <= SENDC; -- wait for send command ending @@ -279,7 +288,8 @@ begin load_dh_x <= '1'; elsif( (bdone = '1') and (bok = '0') and (action_in = '0') ) then NEXT_STATE <= FAILED; -- I2C write, first data phase failed - error_bits <= x"04"; + errors_x <= x"04"; + save_x <= '1'; dostart_x <= '1'; else NEXT_STATE <= SENDD; -- wait for send data ending @@ -297,7 +307,8 @@ begin load_dl_x <= '1'; elsif( (bdone = '1') and (bok = '0') and (action_in = '0') ) then NEXT_STATE <= FAILED; -- I2C write, data phase failed - error_bits <= x"02"; + errors_x <= x"02"; + save_x <= '1'; dostart_x <= '1'; else NEXT_STATE <= SENDD2; @@ -344,6 +355,16 @@ begin end case; end process TRANSFORM; +-- Error bits +THE_ERROR_PROC: process( CLOCK ) +begin + if( rising_edge(CLOCK) ) then + if( save_x = '1' ) then + error_bits <= errors_x; + end if; + end if; +end process THE_ERROR_PROC; + -- Output decoding DECODE: process(CURRENT_STATE) begin @@ -396,16 +417,16 @@ end process LOAD_DATA_PROC; -- The SendByte module THE_I2C_SENDB: i2c_sendb2 port map( - CLK_IN => CLOCK, - RESET_IN => RESET, + CLOCK => CLOCK, + RESET => RESET, DOBYTE_IN => dobyte, I2C_SPEED_IN => i2c_speed, I2C_BYTE_IN => i2c_byte, I2C_BACK_OUT => i2c_dr, - SDA_IN => sda_q(2), -- changed + SDA_IN => sda_q(2), R_SDA_OUT => r_sda_sb, S_SDA_OUT => s_sda_sb, --- SCL_IN => scl_q(2), -- changes + SCL_IN => scl_q(2), R_SCL_OUT => r_scl_sb, S_SCL_OUT => s_scl_sb, BDONE_OUT => bdone, @@ -416,15 +437,15 @@ port map( -- The GenStart module THE_I2C_GSTART: i2c_gstart2 port map( - CLK_IN => CLOCK, - RESET_IN => RESET, + CLOCK => CLOCK, + RESET => RESET, START_IN => start, DOSTART_IN => dostart, I2C_SPEED_IN => i2c_speed, SDONE_OUT => sdone, SOK_OUT => sok, - SDA_IN => sda_q(2), -- changed - SCL_IN => scl_q(2), -- changed + SDA_IN => sda_q(2), + SCL_IN => scl_q(2), R_SCL_OUT => r_scl_gs, S_SCL_OUT => s_scl_gs, R_SDA_OUT => r_sda_gs, -- 2.43.0