From d6558be976eedbbf61fe3c256b7bea314f1994a8 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Mon, 16 Nov 2009 14:35:41 +0000 Subject: [PATCH] *** empty log message *** --- trb_net16_endpoint_hades_full.vhd | 6 +++--- trb_net16_hub_base.vhd | 9 +++++---- 2 files changed, 8 insertions(+), 7 deletions(-) diff --git a/trb_net16_endpoint_hades_full.vhd b/trb_net16_endpoint_hades_full.vhd index 3f21b3c..8bd781b 100644 --- a/trb_net16_endpoint_hades_full.vhd +++ b/trb_net16_endpoint_hades_full.vhd @@ -30,11 +30,11 @@ entity trb_net16_endpoint_hades_full is REGIO_NUM_STAT_REGS : integer range 0 to 6 := 3; --log2 of number of status registers REGIO_NUM_CTRL_REGS : integer range 0 to 6 := 3; --log2 of number of ctrl registers --standard values for output registers - REGIO_INIT_CTRL_REGS : std_logic_vector(2**(3)*32-1 downto 0) := (others => '0'); + REGIO_INIT_CTRL_REGS : std_logic_vector(2**(4)*32-1 downto 0) := (others => '0'); --set to 0 for unused ctrl registers to save resources - REGIO_USED_CTRL_REGS : std_logic_vector(2**(3)-1 downto 0) := (others => '1'); + REGIO_USED_CTRL_REGS : std_logic_vector(2**(4)-1 downto 0) := (others => '1'); --set to 0 for each unused bit in a register - REGIO_USED_CTRL_BITMASK : std_logic_vector(2**(3)*32-1 downto 0) := (others => '1'); + REGIO_USED_CTRL_BITMASK : std_logic_vector(2**(4)*32-1 downto 0) := (others => '1'); REGIO_USE_DAT_PORT : integer range 0 to 1 := c_YES; --internal data port REGIO_INIT_ADDRESS : std_logic_vector(15 downto 0) := x"FFFF"; REGIO_INIT_UNIQUE_ID : std_logic_vector(63 downto 0) := x"1000_2000_3654_4876"; diff --git a/trb_net16_hub_base.vhd b/trb_net16_hub_base.vhd index ac6abe0..61c2a3d 100644 --- a/trb_net16_hub_base.vhd +++ b/trb_net16_hub_base.vhd @@ -767,11 +767,12 @@ MED_DATA_OUT <= buf_MED_DATA_OUT; generic map( NUM_STAT_REGS => 4, NUM_CTRL_REGS => 4, - INIT_CTRL_REGS => x"00000000_00000000_00009999_00000000" & - x"FFFFFFFF_FFFFFFFF_FFFFFFFF_FFFFFFFF", - USED_CTRL_REGS => "00111111", - USED_CTRL_BITMASK => x"FFFFFFFF_FFFFFFFF_0000FFFF_FFFFFFFF" & + INIT_CTRL_REGS => x"00000000_00000000_00000000_00000000" & + x"00000000_00000000_00000000_00000000" & + x"00000000_00000000_00009999_00000000" & x"FFFFFFFF_FFFFFFFF_FFFFFFFF_FFFFFFFF", + USED_CTRL_REGS => (others => '1'), + USED_CTRL_BITMASK => (others => '1'), USE_DAT_PORT => c_YES, INIT_ADDRESS => INIT_ADDRESS, INIT_UNIQUE_ID => INIT_UNIQUE_ID, -- 2.43.0