From dfea76f061dd2d709c2d3bd5366349863c618011 Mon Sep 17 00:00:00 2001 From: Andreas Neiser Date: Tue, 14 Apr 2015 17:52:57 +0200 Subject: [PATCH] Remove padding word --- ADC/source/adc_processor_cfd_ch.vhd | 8 +------- 1 file changed, 1 insertion(+), 7 deletions(-) diff --git a/ADC/source/adc_processor_cfd_ch.vhd b/ADC/source/adc_processor_cfd_ch.vhd index f05bc4b..8068f5e 100644 --- a/ADC/source/adc_processor_cfd_ch.vhd +++ b/ADC/source/adc_processor_cfd_ch.vhd @@ -92,7 +92,7 @@ architecture arch of adc_processor_cfd_ch is signal integral_sum : signed(RESOLUTION_CFD - 1 downto 0) := (others => '0'); signal epoch_counter, epoch_counter_save : unsigned(23 downto 0) := (others => '0'); - type state_t is (IDLE, INTEGRATE, WRITE1, WRITE2, WRITE3, WRITE4, FINISH, LOCKED, DEBUG_DUMP); + type state_t is (IDLE, INTEGRATE, WRITE1, WRITE2, WRITE3, FINISH, LOCKED, DEBUG_DUMP); signal state : state_t := IDLE; signal ram_counter : unsigned(8 downto 0) := (others => '0'); @@ -318,12 +318,6 @@ begin RAM_DATA(31 downto 16) <= std_logic_vector(cfd_prev_save); RAM_DATA(15 downto 0) <= std_logic_vector(cfd_save); ram_counter <= ram_counter + 1; - state <= WRITE4; - - - when WRITE4 => - RAM_DATA <= (others => '1'); -- padding word - ram_counter <= ram_counter + 1; state <= FINISH; when FINISH => -- 2.43.0