From e09bfd6e584fd7f47f09f268115a9bf9375b67c1 Mon Sep 17 00:00:00 2001 From: Andreas Neiser Date: Thu, 19 Feb 2015 09:45:14 +0100 Subject: [PATCH] Rename config record names --- ADC/sim/tb_adcprocessor_cfd.vhd | 6 +++--- ADC/source/adc_package.vhd | 6 +++--- ADC/source/adc_processor_cfd_ch.vhd | 4 +++- 3 files changed, 9 insertions(+), 7 deletions(-) diff --git a/ADC/sim/tb_adcprocessor_cfd.vhd b/ADC/sim/tb_adcprocessor_cfd.vhd index 7d0dba7..d94b823 100644 --- a/ADC/sim/tb_adcprocessor_cfd.vhd +++ b/ADC/sim/tb_adcprocessor_cfd.vhd @@ -36,9 +36,9 @@ begin config.BaselineAverage <= to_unsigned(8, 4); config.PolarityInvert <= '1'; - config.check_word1 <= (others => '0'); - config.check_word2 <= (others => '0'); - config.check_word_enable <= '0'; + config.CheckWord1 <= (others => '0'); + config.CheckWord2 <= (others => '0'); + config.CheckWordEnable <= '0'; readout_rx.valid_notiming_trg <= '0'; readout_rx.invalid_trg <= '0'; diff --git a/ADC/source/adc_package.vhd b/ADC/source/adc_package.vhd index ef5b38c..dc7c75d 100644 --- a/ADC/source/adc_package.vhd +++ b/ADC/source/adc_package.vhd @@ -57,9 +57,9 @@ type cfg_cfd_t is record CFDMultDly : unsigned(3 downto 0); IntegrateWindow : unsigned(7 downto 0); TriggerDelay : unsigned(11 downto 0); - check_word1 : std_logic_vector(RESOLUTION-1 downto 0); - check_word2 : std_logic_vector(RESOLUTION-1 downto 0); - check_word_enable : std_logic; + CheckWord1 : std_logic_vector(RESOLUTION-1 downto 0); + CheckWord2 : std_logic_vector(RESOLUTION-1 downto 0); + CheckWordEnable : std_logic; end record; end package; diff --git a/ADC/source/adc_processor_cfd_ch.vhd b/ADC/source/adc_processor_cfd_ch.vhd index c73816c..23b435c 100644 --- a/ADC/source/adc_processor_cfd_ch.vhd +++ b/ADC/source/adc_processor_cfd_ch.vhd @@ -61,7 +61,7 @@ begin process begin wait until rising_edge(CLK); - if ADC_DATA /= CONF.check_word1 and ADC_DATA /= CONF.check_word2 and CONF.check_word_enable = '1' then + if ADC_DATA /= CONF.CheckWord1 and ADC_DATA /= CONF.CheckWord2 and CONF.CheckWordEnable = '1' then invalid_word_count <= invalid_word_count + 1; end if; end process; @@ -126,4 +126,6 @@ begin baseline <= baseline_average(avg + RESOLUTION - 1 downto avg); end process proc_baseline_average; + + end architecture arch; -- 2.43.0