From e261b6284bc98b5af10f1008de7456c0b52a13ed Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Thu, 3 Nov 2022 11:47:11 +0100 Subject: [PATCH] cmall changes --- gbe_trb/base/gbe_frame_receiver.vhd | 2 +- gbe_trb/base/gbe_logic_wrapper.vhd | 1 - gbe_trb/base/gbe_wrapper_fifo.vhd | 1 - 3 files changed, 1 insertion(+), 3 deletions(-) diff --git a/gbe_trb/base/gbe_frame_receiver.vhd b/gbe_trb/base/gbe_frame_receiver.vhd index 61fea34..52b1e9a 100644 --- a/gbe_trb/base/gbe_frame_receiver.vhd +++ b/gbe_trb/base/gbe_frame_receiver.vhd @@ -181,7 +181,7 @@ begin end process PROC_FILTER_FSM; PROC_FILTER_TRANSITIONS : process( filter_current_state, saved_frame_type, LINK_OK_IN, saved_proto, MY_MAC_IN, - saved_dest_mac, remove_ctr, new_frame, MAC_RX_EOF_IN, frame_type_valid, ALLOW_RX_IN) + saved_dest_mac, remove_ctr, new_frame, MAC_RX_EOF_IN, frame_type_valid, ALLOW_RX_IN ) begin set_proto_x <= (others => '0'); oob_select_x <= '0'; diff --git a/gbe_trb/base/gbe_logic_wrapper.vhd b/gbe_trb/base/gbe_logic_wrapper.vhd index a9647d6..b39514c 100644 --- a/gbe_trb/base/gbe_logic_wrapper.vhd +++ b/gbe_trb/base/gbe_logic_wrapper.vhd @@ -17,7 +17,6 @@ entity gbe_logic_wrapper is port( CLK_125_IN : in std_logic; RESET : in std_logic; - GSR_N : in std_logic; MY_MAC_IN : in std_logic_vector(47 downto 0); DHCP_DONE_OUT : out std_logic; MY_IP_OUT : out std_logic_vector(31 downto 0); diff --git a/gbe_trb/base/gbe_wrapper_fifo.vhd b/gbe_trb/base/gbe_wrapper_fifo.vhd index 8083748..ce0dcde 100644 --- a/gbe_trb/base/gbe_wrapper_fifo.vhd +++ b/gbe_trb/base/gbe_wrapper_fifo.vhd @@ -165,7 +165,6 @@ begin port map( CLK_125_IN => CLK_125_IN, RESET => RESET, - GSR_N => GSR_N, MY_MAC_IN => mac, DHCP_DONE_OUT => dhcp_done, MY_IP_OUT => my_ip(31 downto 0), -- 2.43.0