From e3c774c5a835e6bc6d8e3de9f7bc083dc40c1085 Mon Sep 17 00:00:00 2001 From: Tobias Weber Date: Thu, 13 Dec 2018 10:24:35 +0100 Subject: [PATCH] this seems to solve the limitation for large readout events using the UDP readout mode. --- mupix/Mupix8/trb3_periph.vhd | 22 +++++++++++----------- 1 file changed, 11 insertions(+), 11 deletions(-) diff --git a/mupix/Mupix8/trb3_periph.vhd b/mupix/Mupix8/trb3_periph.vhd index caaa7de..c566199 100644 --- a/mupix/Mupix8/trb3_periph.vhd +++ b/mupix/Mupix8/trb3_periph.vhd @@ -36,7 +36,7 @@ entity trb3_periph is --Bit 2/3 output, serial link TX active --------------------------------------------------------------------------- - -- BEGIN SenorBoard MuPix + -- BEGIN SenorBoard MuPix --------------------------------------------------------------------------- led_addon : out std_logic_vector(3 downto 0); --trb addon board leds --slow control signals @@ -52,8 +52,8 @@ entity trb3_periph is spi_ld_thres : out std_logic; --serial data load spi_clk : out std_logic; --serial clock spi_din : out std_logic; --serial data out - spi_ld_tmp_dac : out std_logic; --load temperature dac - spi_ld_adc : out std_logic; --load adc + spi_ld_tmp_dac : out std_logic; --load temperature dac + spi_ld_adc : out std_logic; --load adc spi_dac4_dout : in std_logic; --serial data in from dac 4 hitbus : in std_logic; --hitbus --classic state machine signals (currently unused) @@ -71,7 +71,7 @@ entity trb3_periph is simclk : out std_logic; -- spare link 2 --------------------------------------------------------------------------- - -- END SensorBoard MuPix + -- END SensorBoard MuPix --------------------------------------------------------------------------- --Flash ROM & Reboot FLASH_CLK : out std_logic; @@ -144,8 +144,8 @@ architecture trb3_periph_arch of trb3_periph is dac4_dout : in std_logic; --serial data in from threshold dac spi_clk : out std_logic; --serial clock spi_din : out std_logic; --serial data out - spi_ld_tmp_dac : out std_logic; --load temperature dac - spi_cs_adc : out std_logic; --load adc + spi_ld_tmp_dac : out std_logic; --load temperature dac + spi_cs_adc : out std_logic; --load adc spi_ld_thres : out std_logic; --load threshold and injection dac hitbus : in std_logic; --hitbus signal @@ -154,7 +154,7 @@ architecture trb3_periph_arch of trb3_periph is --resets timestampreset_in : in std_logic; --time stamp reset - eventcounterreset_in : in std_logic; --event number reset + eventcounterreset_in : in std_logic; --event number reset --TRB trigger connections TIMING_TRG_IN : in std_logic; @@ -377,7 +377,7 @@ architecture trb3_periph_arch of trb3_periph is signal dummy_counter : integer range 0 to 8 := 0; signal syncres_i : std_logic := '0'; - --mupix clocks + --mupix clocks signal mupix_clk_i : std_logic; signal sim_clk_i : std_logic; @@ -489,7 +489,7 @@ begin DATA_INTERFACE_NUMBER => NumberFEECards, --number of FEE Cards DATA_BUFFER_DEPTH => 13, --13 DATA_BUFFER_WIDTH => 32, - DATA_BUFFER_FULL_THRESH => 2**13-800, --2**13-1024 + DATA_BUFFER_FULL_THRESH => 2**13 - 2048, --2**13-2048 TRG_RELEASE_AFTER_DATA => c_YES, HEADER_BUFFER_DEPTH => 9, HEADER_BUFFER_FULL_THRESH => 2**9-16 @@ -530,7 +530,7 @@ begin TRG_MISSING_TMG_TRG_OUT => trg_missing_tmg_trg_i, TRG_SPIKE_DETECTED_OUT => trg_spike_detected_i, - --Response from FEE, i.e. MuPix + --Response from FEE, i.e. MuPix FEE_TRG_RELEASE_IN(0) => fee_trg_release_i(0), FEE_TRG_STATUSBITS_IN(0*32+31 downto 0*32) => fee_trg_statusbits_i(0*32+31 downto 0*32), FEE_DATA_IN(0*32+31 downto 0*32) => fee_data_i(0*32+31 downto 0*32), @@ -632,7 +632,7 @@ begin BUS_NO_MORE_DATA_IN(0) => spictrl_busy, BUS_UNKNOWN_ADDR_IN(0) => '0', - --Bus Handler (SPI Memory) + --Bus Handler (SPI Memory) BUS_READ_ENABLE_OUT(1) => spimem_read_en, BUS_WRITE_ENABLE_OUT(1) => spimem_write_en, BUS_DATA_OUT(1*32+31 downto 1*32) => spimem_data_in, -- 2.43.0