From e5b8b5f9f7265cfed04aceebfd0743ebb9230cab Mon Sep 17 00:00:00 2001 From: Andreas Neiser Date: Mon, 23 Feb 2015 09:30:24 +0100 Subject: [PATCH] testing with smaller ram counter --- ADC/source/adc_processor_cfd.vhd | 2 +- ADC/source/adc_processor_cfd_ch.vhd | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/ADC/source/adc_processor_cfd.vhd b/ADC/source/adc_processor_cfd.vhd index 2751d85..94dd995 100644 --- a/ADC/source/adc_processor_cfd.vhd +++ b/ADC/source/adc_processor_cfd.vhd @@ -50,7 +50,7 @@ architecture arch of adc_processor_cfd is type ram_addr_t is array (CHANNELS - 1 downto 0) of std_logic_vector(8 downto 0); type ram_data_t is array (CHANNELS - 1 downto 0) of std_logic_vector(31 downto 0); - type ram_counter_t is array (CHANNELS - 1 downto 0) of unsigned(8 downto 0); + type ram_counter_t is array (CHANNELS - 1 downto 0) of unsigned(2 downto 0); signal ram_addr_adc, ram_addr_sys : ram_addr_t := (others => (others => '0')); signal ram_data_adc, ram_data_sys : ram_data_t := (others => (others => '0')); signal ram_counter : ram_counter_t := (others => (others => '0')); diff --git a/ADC/source/adc_processor_cfd_ch.vhd b/ADC/source/adc_processor_cfd_ch.vhd index 7e274d1..9f97c4a 100644 --- a/ADC/source/adc_processor_cfd_ch.vhd +++ b/ADC/source/adc_processor_cfd_ch.vhd @@ -95,7 +95,7 @@ architecture arch of adc_processor_cfd_ch is type state_t is (IDLE, INTEGRATE, WRITE1, WRITE2, WRITE3, WRITE4, FINISH, WAIT_BSY); signal state : state_t := IDLE; - signal ram_counter : unsigned(8 downto 0) := (others => '0'); + signal ram_counter : unsigned(2 downto 0) := (others => '0'); begin -- input ADC data interpreted as unsigned input <= unsigned(ADC_DATA); -- 2.43.0