From ecc21305b212afb7c73640406cade15c54a29b86 Mon Sep 17 00:00:00 2001 From: Adrian Weber Date: Mon, 20 Jul 2020 12:50:04 +0200 Subject: [PATCH] small fix to repair reboot and prepare stacked hub --- criInterface/trb3sc_criInterface.prj | 1 + criInterface/trb3sc_criInterface.vhd | 26 +++++++++++++------------- 2 files changed, 14 insertions(+), 13 deletions(-) diff --git a/criInterface/trb3sc_criInterface.prj b/criInterface/trb3sc_criInterface.prj index fcfcf5e..8c74849 100644 --- a/criInterface/trb3sc_criInterface.prj +++ b/criInterface/trb3sc_criInterface.prj @@ -185,6 +185,7 @@ add_file -vhdl -lib work "../../trbnet/trb_net16_hub_streaming_port.vhd" add_file -vhdl -lib work "../../trbnet/trb_net16_hub_streaming_port_sctrl_record.vhd" add_file -vhdl -lib work "../../cri/src/hub/trb_net16_cri_hub_base3.vhd" add_file -vhdl -lib work "../../cri/src/hub/trb_net16_cri_hub_slwcntrl.vhd" +add_file -vhdl -lib work "../../cri/src/hub/trb_net16_cri_hub.vhd" #add_file -vhdl -lib work "../../trbnet/trb_net16_hub_base.vhd" add_file -vhdl -lib work "../../trbnet/trb_net16_hub_logic_2.vhd" add_file -vhdl -lib work "../../trbnet/trb_net16_hub_ipu_logic.vhd" diff --git a/criInterface/trb3sc_criInterface.vhd b/criInterface/trb3sc_criInterface.vhd index 81b4b8d..6d82ed3 100644 --- a/criInterface/trb3sc_criInterface.vhd +++ b/criInterface/trb3sc_criInterface.vhd @@ -150,16 +150,16 @@ architecture trb3sc_arch of trb3sc_criInterface is signal mc_unique_id : std_logic_vector(63 downto 0); signal reset_via_gbe : std_logic := '0'; - signal med_dataready_out : std_logic_vector (11-1 downto 0); - signal med_data_out : std_logic_vector (11*c_DATA_WIDTH-1 downto 0); - signal med_packet_num_out : std_logic_vector (11*c_NUM_WIDTH-1 downto 0); - signal med_read_in : std_logic_vector (11-1 downto 0); - signal med_dataready_in : std_logic_vector (11-1 downto 0); - signal med_data_in : std_logic_vector (11*c_DATA_WIDTH-1 downto 0); - signal med_packet_num_in : std_logic_vector (11*c_NUM_WIDTH-1 downto 0); - signal med_read_out : std_logic_vector (11-1 downto 0); - signal med_stat_op : std_logic_vector (11*16-1 downto 0); - signal med_ctrl_op : std_logic_vector (11*16-1 downto 0); + signal med_dataready_out : std_logic_vector (INTERFACE_NUM-1 downto 0); + signal med_data_out : std_logic_vector (INTERFACE_NUM*c_DATA_WIDTH-1 downto 0); + signal med_packet_num_out : std_logic_vector (INTERFACE_NUM*c_NUM_WIDTH-1 downto 0); + signal med_read_in : std_logic_vector (INTERFACE_NUM-1 downto 0); + signal med_dataready_in : std_logic_vector (INTERFACE_NUM-1 downto 0); + signal med_data_in : std_logic_vector (INTERFACE_NUM*c_DATA_WIDTH-1 downto 0); + signal med_packet_num_in : std_logic_vector (INTERFACE_NUM*c_NUM_WIDTH-1 downto 0); + signal med_read_out : std_logic_vector (INTERFACE_NUM-1 downto 0); + signal med_stat_op : std_logic_vector (INTERFACE_NUM*16-1 downto 0); + signal med_ctrl_op : std_logic_vector (INTERFACE_NUM*16-1 downto 0); signal rdack, wrack : std_logic; signal trig_gen_out_i : std_logic_vector(3 downto 0); @@ -657,9 +657,9 @@ end generate; gen_hub_no_gbe : if INCLUDE_GBE = c_NO generate - THE_HUB : entity work.trb_net16_cri_hub_base + THE_HUB : entity work.trb_net16_cri_hub --_base generic map( - HUB_USED_CHANNELS => (1,1,0,1), + HUB_USED_CHANNELS => (0,1,0,1), INIT_ADDRESS => INIT_ADDRESS, MII_NUMBER => INTERFACE_NUM, MII_IS_UPLINK => IS_UPLINK, @@ -703,7 +703,7 @@ gen_hub_no_gbe : if INCLUDE_GBE = c_NO generate ONEWIRE_WRITE => ONEWIRE_WRITE, COMMON_STAT_REGS => (others => '0'),--open,--common_stat_reg, - COMMON_CTRL_REGS => open,--common_ctrl_reg, + COMMON_CTRL_REGS => common_ctrl_reg, MY_ADDRESS_OUT => my_address, TEMPERATURE_IN => TEMP_OUT, -- 2.43.0