From f55d28ea992dcc077452ff0cf7442597bd450f3c Mon Sep 17 00:00:00 2001 From: Cahit Date: Thu, 19 Mar 2015 14:26:51 +0100 Subject: [PATCH] trbnet fifos are generated for ecp5 --- lattice/ecp5/FIFO/FIFO.sbx | 2011 ++ .../ecp5/FIFO/archv/FIFO_36x128_OutReg.zip | Bin 0 -> 52528 bytes .../archv/FIFO_DC_36x128_DynThr_OutReg.zip | Bin 0 -> 78579 bytes .../FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.cst | 3 + .../FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.edn | 3014 +++ .../FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.fdc | 2 + .../FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.lpc | 50 + .../FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.ngd | Bin 0 -> 124667 bytes .../FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.ngo | Bin 0 -> 49806 bytes .../FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.vhd | 1053 ++ .../fifo_18x1k_oreg/fifo_18x1k_oreg_ngd.asd | 1 + .../fifo_18x256_oreg/fifo_18x256_oreg.cst | 3 + .../fifo_18x256_oreg/fifo_18x256_oreg.edn | 2679 +++ .../fifo_18x256_oreg/fifo_18x256_oreg.fdc | 2 + .../fifo_18x256_oreg/fifo_18x256_oreg.lpc | 50 + .../fifo_18x256_oreg/fifo_18x256_oreg.ngd | Bin 0 -> 110186 bytes .../fifo_18x256_oreg/fifo_18x256_oreg.ngo | Bin 0 -> 44033 bytes .../fifo_18x256_oreg/fifo_18x256_oreg.vhd | 915 + .../fifo_18x256_oreg/fifo_18x256_oreg_ngd.asd | 1 + .../fifo_18x512_oreg/fifo_18x512_oreg.cst | 3 + .../fifo_18x512_oreg/fifo_18x512_oreg.edn | 2742 +++ .../fifo_18x512_oreg/fifo_18x512_oreg.fdc | 2 + .../fifo_18x512_oreg/fifo_18x512_oreg.lpc | 50 + .../fifo_18x512_oreg/fifo_18x512_oreg.ngd | Bin 0 -> 113968 bytes .../fifo_18x512_oreg/fifo_18x512_oreg.ngo | Bin 0 -> 45226 bytes .../fifo_18x512_oreg/fifo_18x512_oreg.vhd | 949 + .../fifo_18x512_oreg/fifo_18x512_oreg_ngd.asd | 1 + .../fifo_36x16k_oreg/fifo_36x16k_oreg.cst | 3 + .../fifo_36x16k_oreg/fifo_36x16k_oreg.edn | 8720 +++++++++ .../fifo_36x16k_oreg/fifo_36x16k_oreg.fdc | 2 + .../fifo_36x16k_oreg/fifo_36x16k_oreg.lpc | 50 + .../fifo_36x16k_oreg/fifo_36x16k_oreg.ngd | Bin 0 -> 366496 bytes .../fifo_36x16k_oreg/fifo_36x16k_oreg.ngo | Bin 0 -> 167525 bytes .../fifo_36x16k_oreg/fifo_36x16k_oreg.vhd | 3166 ++++ .../fifo_36x16k_oreg/fifo_36x16k_oreg_ngd.asd | 1 + .../FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.cst | 3 + .../FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.edn | 3251 ++++ .../FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.fdc | 2 + .../FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.lpc | 50 + .../FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.ngd | Bin 0 -> 146570 bytes .../FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.ngo | Bin 0 -> 56088 bytes .../FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.vhd | 1095 ++ .../fifo_36x1k_oreg/fifo_36x1k_oreg_ngd.asd | 1 + .../FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.cst | 3 + .../FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.edn | 3557 ++++ .../FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.fdc | 2 + .../FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.lpc | 50 + .../FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.ngd | Bin 0 -> 155884 bytes .../FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.ngo | Bin 0 -> 62646 bytes .../FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.vhd | 1218 ++ .../fifo_36x2k_oreg/fifo_36x2k_oreg_ngd.asd | 1 + .../fifo_36x32k_oreg/fifo_36x32k_oreg.cst | 3 + .../fifo_36x32k_oreg/fifo_36x32k_oreg.edn | 15178 ++++++++++++++++ .../fifo_36x32k_oreg/fifo_36x32k_oreg.fdc | 2 + .../fifo_36x32k_oreg/fifo_36x32k_oreg.lpc | 50 + .../fifo_36x32k_oreg/fifo_36x32k_oreg.ngd | Bin 0 -> 663218 bytes .../fifo_36x32k_oreg/fifo_36x32k_oreg.ngo | Bin 0 -> 297027 bytes .../fifo_36x32k_oreg/fifo_36x32k_oreg.vhd | 5813 ++++++ .../fifo_36x32k_oreg/fifo_36x32k_oreg_ngd.asd | 1 + .../FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.cst | 3 + .../FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.edn | 4721 +++++ .../FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.fdc | 2 + .../FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.lpc | 50 + .../FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.ngd | Bin 0 -> 193125 bytes .../FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.ngo | Bin 0 -> 85455 bytes .../FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.vhd | 1721 ++ .../fifo_36x4k_oreg/fifo_36x4k_oreg_ngd.asd | 1 + .../fifo_36x512_oreg/fifo_36x512_oreg.cst | 3 + .../fifo_36x512_oreg/fifo_36x512_oreg.edn | 2868 +++ .../fifo_36x512_oreg/fifo_36x512_oreg.fdc | 2 + .../fifo_36x512_oreg/fifo_36x512_oreg.lpc | 50 + .../fifo_36x512_oreg/fifo_36x512_oreg.ngd | Bin 0 -> 133300 bytes .../fifo_36x512_oreg/fifo_36x512_oreg.ngo | Bin 0 -> 49006 bytes .../fifo_36x512_oreg/fifo_36x512_oreg.vhd | 949 + .../fifo_36x512_oreg/fifo_36x512_oreg_ngd.asd | 1 + .../FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.cst | 3 + .../FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.edn | 6047 ++++++ .../FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.fdc | 2 + .../FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.lpc | 50 + .../FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.ngd | Bin 0 -> 244357 bytes .../FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.ngo | Bin 0 -> 112810 bytes .../FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.vhd | 2200 +++ .../fifo_36x8k_oreg/fifo_36x8k_oreg_ngd.asd | 1 + lattice/ecp5/PLL/PLL.sbx | 661 + lattice/ecp5/PLL/archv/pll_in200_out100.zip | Bin 0 -> 136545 bytes lattice/ecp5/PLL/pll_in200_out100/licbug.txt | 16 + .../PLL/pll_in200_out100/pll_in200_out100.cmd | 18 + .../PLL/pll_in200_out100/pll_in200_out100.edn | 234 + .../PLL/pll_in200_out100/pll_in200_out100.fdc | 2 + .../PLL/pll_in200_out100/pll_in200_out100.lpc | 93 + .../PLL/pll_in200_out100/pll_in200_out100.ngd | Bin 0 -> 5580 bytes .../PLL/pll_in200_out100/pll_in200_out100.ngo | Bin 0 -> 3532 bytes .../PLL/pll_in200_out100/pll_in200_out100.vhd | 86 + .../pll_in200_out100/pll_in200_out100_ngd.asd | 1 + .../pll_in200_out100/syn_results/.recordref | 0 .../syn_results/dm/layer0.xdm | 177 + .../pll_in200_out100/syn_results/licbug.txt | 1 + .../syn_results/pll_in200_out100.areasrr | 16 + .../syn_results/pll_in200_out100.edn | 236 + .../syn_results/pll_in200_out100.fse | 0 .../syn_results/pll_in200_out100.htm | 9 + .../syn_results/pll_in200_out100.prj | 46 + .../syn_results/pll_in200_out100.srd | Bin 0 -> 5517 bytes .../syn_results/pll_in200_out100.srf | 373 + .../syn_results/pll_in200_out100.srm | Bin 0 -> 6222 bytes .../syn_results/pll_in200_out100.srr | 373 + .../syn_results/pll_in200_out100.srs | Bin 0 -> 5590 bytes .../syn_results/pll_in200_out100.vhm | 139 + .../syn_results/pll_in200_out100.vm | 120 + .../syn_results/pll_in200_out100_synplify.lpf | 20 + .../syn_results/run_options.txt | 67 + .../syn_results/scratchproject.prs | 65 + .../syn_results/synlog/map.srr.rptmap | 1 + .../synlog/pll_in200_out100_compiler.srr | 47 + .../pll_in200_out100_compiler.srr.rptmap | 1 + .../synlog/pll_in200_out100_fpga_mapper.srr | 257 + .../pll_in200_out100_fpga_mapper.srr_Min | 116 + .../synlog/pll_in200_out100_fpga_mapper.szr | Bin 0 -> 6303 bytes .../synlog/pll_in200_out100_multi_srs_gen.srr | 9 + .../synlog/pll_in200_out100_premap.srr | 49 + .../synlog/pll_in200_out100_premap.szr | Bin 0 -> 2174 bytes .../syn_results/synlog/pre_map.srr.rptmap | 1 + .../pll_in200_out100_compiler_notes.txt | 11 + .../pll_in200_out100_compiler_runstatus.xml | 41 + .../pll_in200_out100_compiler_warnings.txt | 2 + ...l_in200_out100_fpga_mapper_area_report.xml | 26 + .../pll_in200_out100_fpga_mapper_errors.txt | 0 .../pll_in200_out100_fpga_mapper_notes.txt | 11 + ...ll_in200_out100_fpga_mapper_opt_report.xml | 14 + ...pll_in200_out100_fpga_mapper_runstatus.xml | 46 + ...in200_out100_fpga_mapper_timing_report.xml | 23 + .../pll_in200_out100_fpga_mapper_warnings.txt | 1 + .../report/pll_in200_out100_premap_errors.txt | 0 .../report/pll_in200_out100_premap_notes.txt | 2 + .../pll_in200_out100_premap_runstatus.xml | 46 + .../pll_in200_out100_premap_warnings.txt | 0 .../syn_results/syntmp/closed.png | Bin 0 -> 3672 bytes .../syn_results/syntmp/namekey.txt | 0 .../syn_results/syntmp/open.png | Bin 0 -> 452 bytes .../syn_results/syntmp/pll_in200_out100.plg | 14 + .../syntmp/pll_in200_out100_srr.htm | 378 + .../syntmp/pll_in200_out100_toc.htm | 44 + .../syn_results/syntmp/run_option.xml | 22 + .../syn_results/syntmp/statusReport.html | 110 + .../syn_results/synwork/.cckTransfer | Bin 0 -> 39 bytes .../syn_results/synwork/_mh_info | 1 + .../syn_results/synwork/layer0.fdep | 28 + .../syn_results/synwork/layer0.fdeporig | 24 + .../syn_results/synwork/layer0.srs | Bin 0 -> 6473 bytes .../syn_results/synwork/layer0.tlg | 11 + .../synwork/pll_in200_out100_comp.fdep | 21 + .../synwork/pll_in200_out100_comp.srs | Bin 0 -> 5358 bytes .../synwork/pll_in200_out100_m.srm | Bin 0 -> 6222 bytes .../synwork/pll_in200_out100_mult.srs | Bin 0 -> 5590 bytes .../pll_in200_out100_mult_srs/skeleton.srs | Bin 0 -> 574 bytes .../synwork/pll_in200_out100_prem.fse | 0 .../synwork/pll_in200_out100_prem.srd | Bin 0 -> 4925 bytes .../synwork/pll_in200_out100_s.srm | Bin 0 -> 6097 bytes .../synwork/pll_in200_out100_s.srs | Bin 0 -> 5358 bytes 159 files changed, 78537 insertions(+) create mode 100644 lattice/ecp5/FIFO/FIFO.sbx create mode 100644 lattice/ecp5/FIFO/archv/FIFO_36x128_OutReg.zip create mode 100644 lattice/ecp5/FIFO/archv/FIFO_DC_36x128_DynThr_OutReg.zip create mode 100644 lattice/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.cst create mode 100644 lattice/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.edn create mode 100644 lattice/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.fdc create mode 100644 lattice/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.lpc create mode 100644 lattice/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.ngd create mode 100644 lattice/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.ngo create mode 100644 lattice/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.vhd create mode 100644 lattice/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg_ngd.asd create mode 100644 lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.cst create mode 100644 lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.edn create mode 100644 lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.fdc create mode 100644 lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.lpc create mode 100644 lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.ngd create mode 100644 lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.ngo create mode 100644 lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.vhd create mode 100644 lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg_ngd.asd create mode 100644 lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.cst create mode 100644 lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.edn create mode 100644 lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.fdc create mode 100644 lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.lpc create mode 100644 lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.ngd create mode 100644 lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.ngo create mode 100644 lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.vhd create mode 100644 lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg_ngd.asd create mode 100644 lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.cst create mode 100644 lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.edn create mode 100644 lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.fdc create mode 100644 lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.lpc create mode 100644 lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.ngd create mode 100644 lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.ngo create mode 100644 lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.vhd create mode 100644 lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg_ngd.asd create mode 100644 lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.cst create mode 100644 lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.edn create mode 100644 lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.fdc create mode 100644 lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.lpc create mode 100644 lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.ngd create mode 100644 lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.ngo create mode 100644 lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.vhd create mode 100644 lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg_ngd.asd create mode 100644 lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.cst create mode 100644 lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.edn create mode 100644 lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.fdc create mode 100644 lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.lpc create mode 100644 lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.ngd create mode 100644 lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.ngo create mode 100644 lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.vhd create mode 100644 lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg_ngd.asd create mode 100644 lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.cst create mode 100644 lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.edn create mode 100644 lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.fdc create mode 100644 lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.lpc create mode 100644 lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.ngd create mode 100644 lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.ngo create mode 100644 lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.vhd create mode 100644 lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg_ngd.asd create mode 100644 lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.cst create mode 100644 lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.edn create mode 100644 lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.fdc create mode 100644 lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.lpc create mode 100644 lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.ngd create mode 100644 lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.ngo create mode 100644 lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.vhd create mode 100644 lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg_ngd.asd create mode 100644 lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.cst create mode 100644 lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.edn create mode 100644 lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.fdc create mode 100644 lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.lpc create mode 100644 lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.ngd create mode 100644 lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.ngo create mode 100644 lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.vhd create mode 100644 lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg_ngd.asd create mode 100644 lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.cst create mode 100644 lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.edn create mode 100644 lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.fdc create mode 100644 lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.lpc create mode 100644 lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.ngd create mode 100644 lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.ngo create mode 100644 lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.vhd create mode 100644 lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg_ngd.asd create mode 100644 lattice/ecp5/PLL/PLL.sbx create mode 100644 lattice/ecp5/PLL/archv/pll_in200_out100.zip create mode 100644 lattice/ecp5/PLL/pll_in200_out100/licbug.txt create mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.cmd create mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.edn create mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc create mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.lpc create mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.ngd create mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.ngo create mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd create mode 100644 lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100_ngd.asd create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/.recordref create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/dm/layer0.xdm create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/licbug.txt create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.areasrr create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.edn create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.fse create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.htm create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.prj create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srd create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srf create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srm create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srr create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srs create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vhm create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vm create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_synplify.lpf create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/run_options.txt create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/scratchproject.prs create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/map.srr.rptmap create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr.rptmap create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr_Min create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.szr create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_multi_srs_gen.srr create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.srr create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.szr create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pre_map.srr.rptmap create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_notes.txt create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_runstatus.xml create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_warnings.txt create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_area_report.xml create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_errors.txt create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_notes.txt create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_opt_report.xml create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_runstatus.xml create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_timing_report.xml create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_warnings.txt create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_errors.txt create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_notes.txt create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_runstatus.xml create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_warnings.txt create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/closed.png create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/namekey.txt create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/open.png create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/pll_in200_out100.plg create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/pll_in200_out100_srr.htm create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/pll_in200_out100_toc.htm create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/run_option.xml create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/statusReport.html create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/.cckTransfer create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/_mh_info create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdep create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdeporig create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.srs create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.tlg create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_comp.fdep create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_comp.srs create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_m.srm create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_mult.srs create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_mult_srs/skeleton.srs create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_prem.fse create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_prem.srd create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_s.srm create mode 100644 lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_s.srs diff --git a/lattice/ecp5/FIFO/FIFO.sbx b/lattice/ecp5/FIFO/FIFO.sbx new file mode 100644 index 0000000..beca0a3 --- /dev/null +++ b/lattice/ecp5/FIFO/FIFO.sbx @@ -0,0 +1,2011 @@ + + + + LATTICE + LOCAL + FIFO + 1.0 + + + Diamond_Synthesis + synthesis + + + Diamond_Simulation + simulation + + + + + + + + FIFO_36x128_OutReg_Clock + FIFO_36x128_OutReg_Clock + + in + + + + FIFO_36x128_OutReg.Clock + + + + + FIFO_36x128_OutReg_Empty + FIFO_36x128_OutReg_Empty + + out + + + + FIFO_36x128_OutReg.Empty + + + + + FIFO_36x128_OutReg_Full + FIFO_36x128_OutReg_Full + + out + + + + FIFO_36x128_OutReg.Full + + + + + FIFO_36x128_OutReg_RdEn + FIFO_36x128_OutReg_RdEn + + in + + + + FIFO_36x128_OutReg.RdEn + + + + + FIFO_36x128_OutReg_Reset + FIFO_36x128_OutReg_Reset + + in + + + + FIFO_36x128_OutReg.Reset + + + + + FIFO_36x128_OutReg_WrEn + FIFO_36x128_OutReg_WrEn + + in + + + + FIFO_36x128_OutReg.WrEn + + + + + FIFO_DC_36x128_DynThr_OutReg_AlmostFull + FIFO_DC_36x128_DynThr_OutReg_AlmostFull + + out + + + + FIFO_DC_36x128_DynThr_OutReg.AlmostFull + + + + + FIFO_DC_36x128_DynThr_OutReg_Empty + FIFO_DC_36x128_DynThr_OutReg_Empty + + out + + + + FIFO_DC_36x128_DynThr_OutReg.Empty + + + + + FIFO_DC_36x128_DynThr_OutReg_Full + FIFO_DC_36x128_DynThr_OutReg_Full + + out + + + + FIFO_DC_36x128_DynThr_OutReg.Full + + + + + FIFO_DC_36x128_DynThr_OutReg_RPReset + FIFO_DC_36x128_DynThr_OutReg_RPReset + + in + + + + FIFO_DC_36x128_DynThr_OutReg.RPReset + + + + + FIFO_DC_36x128_DynThr_OutReg_RdClock + FIFO_DC_36x128_DynThr_OutReg_RdClock + + in + + + + FIFO_DC_36x128_DynThr_OutReg.RdClock + + + + + FIFO_DC_36x128_DynThr_OutReg_RdEn + FIFO_DC_36x128_DynThr_OutReg_RdEn + + in + + + + FIFO_DC_36x128_DynThr_OutReg.RdEn + + + + + FIFO_DC_36x128_DynThr_OutReg_Reset + FIFO_DC_36x128_DynThr_OutReg_Reset + + in + + + + FIFO_DC_36x128_DynThr_OutReg.Reset + + + + + FIFO_DC_36x128_DynThr_OutReg_WrClock + FIFO_DC_36x128_DynThr_OutReg_WrClock + + in + + + + FIFO_DC_36x128_DynThr_OutReg.WrClock + + + + + FIFO_DC_36x128_DynThr_OutReg_WrEn + FIFO_DC_36x128_DynThr_OutReg_WrEn + + in + + + + FIFO_DC_36x128_DynThr_OutReg.WrEn + + + + + FIFO_36x128_OutReg_Data + FIFO_36x128_OutReg_Data + + in + + 35 + 0 + + + + + FIFO_36x128_OutReg.Data + + + + + FIFO_36x128_OutReg_Q + FIFO_36x128_OutReg_Q + + out + + 35 + 0 + + + + + FIFO_36x128_OutReg.Q + + + + + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh + + in + + 6 + 0 + + + + + FIFO_DC_36x128_DynThr_OutReg.AmFullThresh + + + + + FIFO_DC_36x128_DynThr_OutReg_Data + FIFO_DC_36x128_DynThr_OutReg_Data + + in + + 35 + 0 + + + + + FIFO_DC_36x128_DynThr_OutReg.Data + + + + + FIFO_DC_36x128_DynThr_OutReg_Q + FIFO_DC_36x128_DynThr_OutReg_Q + + out + + 35 + 0 + + + + + FIFO_DC_36x128_DynThr_OutReg.Q + + + + + + + LFE5UM-85F-8MG285C + synplify + 2015-03-17.15:26:04 + 2015-03-17.15:29:04 + 3.4.0.80 + VHDL + + true + false + false + true + false + false + false + false + false + false + false + + + + + + + + LATTICE + LOCAL + FIFO + 1.0 + + + FIFO_36x128_OutReg + + Lattice Semiconductor Corporation + LEGACY + FIFO + 5.0 + + + Diamond_Simulation + simulation + + ./FIFO_36x128_OutReg/FIFO_36x128_OutReg.vhd + vhdlSource + + + + Diamond_Synthesis + synthesis + + ./FIFO_36x128_OutReg/FIFO_36x128_OutReg.vhd + vhdlSource + + + + + + Configuration + none + ${sbp_path}/${instance}/generate_core.tcl + CONFIG + + + CreateNGD + none + ${sbp_path}/${instance}/generate_ngd.tcl + CONFIG + + + + + + + Clock + Clock + + in + + + + Empty + Empty + + out + + + + Full + Full + + out + + + + RdEn + RdEn + + in + + + + Reset + Reset + + in + + + + WrEn + WrEn + + in + + + + Data + Data + + in + + 35 + 0 + + + + + Q + Q + + out + + 35 + 0 + + + + + + + synplify + 2015-03-17.15:29:04 + + false + false + false + false + false + false + false + false + false + false + LPM + PRIMARY + PRIMARY + false + false + + + + + + Family + ecp5um + + + OperatingCondition + COM + + + Package + CSFBGA285 + + + PartName + LFE5UM-85F-8MG285C + + + PartType + LFE5UM-85F + + + SpeedGrade + 8 + + + Status + C + + + + CoreName + FIFO + + + CoreRevision + 5.0 + + + CoreStatus + Demo + + + CoreType + LPM + + + Date + 03/17/2015 + + + ModuleName + FIFO_36x128_OutReg + + + ParameterFileVersion + 1.0 + + + SourceFormat + vhdl + + + Time + 15:27:43 + + + VendorName + Lattice Semiconductor Corporation + + + + CtrlByRdEn + 0 + + + Depth + 128 + + + Destination + Synplicity + + + EDIF + 1 + + + EmpFlg + 0 + + + EnECC + 0 + + + EnFWFT + 0 + + + Expression + BusA(0 to 7) + + + FIFOImp + EBR Based + + + FullFlg + 0 + + + IO + 0 + + + Order + Big Endian [MSB:LSB] + + + PeAssert + 10 + + + PeDeassert + 12 + + + PeMode + Static - Dual Threshold + + + PfAssert + 508 + + + PfDeassert + 506 + + + PfMode + Static - Dual Threshold + + + RDataCount + 0 + + + Reset + Sync + + + Reset1 + Sync + + + VHDL + 1 + + + Verilog + 0 + + + Width + 36 + + + regout + 1 + + + + cmd_line + -w -n FIFO_36x128_OutReg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 128 -width 36 -regout -no_enable -pe -1 -pf -1 -sync_reset + + + + + + + + FIFO_DC_36x128_DynThr_OutReg + + Lattice Semiconductor Corporation + LEGACY + FIFO_DC + 5.7 + + + Diamond_Simulation + simulation + + ./FIFO_DC_36x128_DynThr_OutReg/FIFO_DC_36x128_DynThr_OutReg.vhd + vhdlSource + + + + Diamond_Synthesis + synthesis + + ./FIFO_DC_36x128_DynThr_OutReg/FIFO_DC_36x128_DynThr_OutReg.vhd + vhdlSource + + + + + + Configuration + none + ${sbp_path}/${instance}/generate_core.tcl + CONFIG + + + CreateNGD + none + ${sbp_path}/${instance}/generate_ngd.tcl + CONFIG + + + + + + + AlmostFull + AlmostFull + + out + + + + Empty + Empty + + out + + + + Full + Full + + out + + + + RPReset + RPReset + + in + + + + RdClock + RdClock + + in + + + + RdEn + RdEn + + in + + + + Reset + Reset + + in + + + + WrClock + WrClock + + in + + + + WrEn + WrEn + + in + + + + AmFullThresh + AmFullThresh + + in + + 6 + 0 + + + + + Data + Data + + in + + 35 + 0 + + + + + Q + Q + + out + + 35 + 0 + + + + + + + synplify + 2015-03-17.15:29:04 + + false + false + false + false + false + false + false + false + false + false + LPM + PRIMARY + PRIMARY + false + false + + + + + + Family + ecp5um + + + OperatingCondition + COM + + + Package + CSFBGA285 + + + PartName + LFE5UM-85F-8MG285C + + + PartType + LFE5UM-85F + + + SpeedGrade + 8 + + + Status + C + + + + CoreName + FIFO_DC + + + CoreRevision + 5.7 + + + CoreStatus + Demo + + + CoreType + LPM + + + Date + 03/17/2015 + + + ModuleName + FIFO_DC_36x128_DynThr_OutReg + + + ParameterFileVersion + 1.0 + + + SourceFormat + vhdl + + + Time + 15:27:13 + + + VendorName + Lattice Semiconductor Corporation + + + + ClockEn + 0 + + + CtrlByRdEn + 0 + + + Depth + 128 + + + Destination + Synplicity + + + EDIF + 1 + + + EmpFlg + 0 + + + EnECC + 0 + + + Expression + BusA(0 to 7) + + + FIFOImp + EBR Based + + + FullFlg + 1 + + + IO + 0 + + + Order + Big Endian [MSB:LSB] + + + PeAssert + 10 + + + PeDeassert + 12 + + + PeMode + Static - Dual Threshold + + + PfAssert + 508 + + + PfDeassert + 506 + + + PfMode + Dynamic - Single Threshold + + + RDataCount + 0 + + + RDepth + 128 + + + RWidth + 36 + + + Reset + Sync + + + Reset1 + Sync + + + VHDL + 1 + + + Verilog + 0 + + + WDataCount + 0 + + + Width + 36 + + + regout + 1 + + + + cmd_line + -w -n FIFO_DC_36x128_DynThr_OutReg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -depth 128 -width 36 -rwidth 36 -regout -no_enable -sync_reset -pe -1 -pf 0 + + + + + + + + + + FIFO_36x128_OutReg_Clock + FIFO_36x128_OutReg_Clock + + + + + FIFO_36x128_OutReg_Empty + FIFO_36x128_OutReg_Empty + + + + + FIFO_36x128_OutReg_Full + FIFO_36x128_OutReg_Full + + + + + FIFO_36x128_OutReg_RdEn + FIFO_36x128_OutReg_RdEn + + + + + FIFO_36x128_OutReg_Reset + FIFO_36x128_OutReg_Reset + + + + + FIFO_36x128_OutReg_WrEn + FIFO_36x128_OutReg_WrEn + + + + + FIFO_DC_36x128_DynThr_OutReg_AlmostFull + FIFO_DC_36x128_DynThr_OutReg_AlmostFull + + + + + FIFO_DC_36x128_DynThr_OutReg_Empty + FIFO_DC_36x128_DynThr_OutReg_Empty + + + + + FIFO_DC_36x128_DynThr_OutReg_Full + FIFO_DC_36x128_DynThr_OutReg_Full + + + + + FIFO_DC_36x128_DynThr_OutReg_RPReset + FIFO_DC_36x128_DynThr_OutReg_RPReset + + + + + FIFO_DC_36x128_DynThr_OutReg_RdClock + FIFO_DC_36x128_DynThr_OutReg_RdClock + + + + + FIFO_DC_36x128_DynThr_OutReg_RdEn + FIFO_DC_36x128_DynThr_OutReg_RdEn + + + + + FIFO_DC_36x128_DynThr_OutReg_Reset + FIFO_DC_36x128_DynThr_OutReg_Reset + + + + + FIFO_DC_36x128_DynThr_OutReg_WrClock + FIFO_DC_36x128_DynThr_OutReg_WrClock + + + + + FIFO_DC_36x128_DynThr_OutReg_WrEn + FIFO_DC_36x128_DynThr_OutReg_WrEn + + + + + FIFO_36x128_OutReg_Data + FIFO_36x128_OutReg_Data + + + + + FIFO_36x128_OutReg_Data[0] + FIFO_36x128_OutReg_Data[0] + + + + + FIFO_36x128_OutReg_Data[10] + FIFO_36x128_OutReg_Data[10] + + + + + FIFO_36x128_OutReg_Data[11] + FIFO_36x128_OutReg_Data[11] + + + + + FIFO_36x128_OutReg_Data[12] + FIFO_36x128_OutReg_Data[12] + + + + + FIFO_36x128_OutReg_Data[13] + FIFO_36x128_OutReg_Data[13] + + + + + FIFO_36x128_OutReg_Data[14] + FIFO_36x128_OutReg_Data[14] + + + + + FIFO_36x128_OutReg_Data[15] + FIFO_36x128_OutReg_Data[15] + + + + + FIFO_36x128_OutReg_Data[16] + FIFO_36x128_OutReg_Data[16] + + + + + FIFO_36x128_OutReg_Data[17] + FIFO_36x128_OutReg_Data[17] + + + + + FIFO_36x128_OutReg_Data[18] + FIFO_36x128_OutReg_Data[18] + + + + + FIFO_36x128_OutReg_Data[19] + FIFO_36x128_OutReg_Data[19] + + + + + FIFO_36x128_OutReg_Data[1] + FIFO_36x128_OutReg_Data[1] + + + + + FIFO_36x128_OutReg_Data[20] + FIFO_36x128_OutReg_Data[20] + + + + + FIFO_36x128_OutReg_Data[21] + FIFO_36x128_OutReg_Data[21] + + + + + FIFO_36x128_OutReg_Data[22] + FIFO_36x128_OutReg_Data[22] + + + + + FIFO_36x128_OutReg_Data[23] + FIFO_36x128_OutReg_Data[23] + + + + + FIFO_36x128_OutReg_Data[24] + FIFO_36x128_OutReg_Data[24] + + + + + FIFO_36x128_OutReg_Data[25] + FIFO_36x128_OutReg_Data[25] + + + + + FIFO_36x128_OutReg_Data[26] + FIFO_36x128_OutReg_Data[26] + + + + + FIFO_36x128_OutReg_Data[27] + FIFO_36x128_OutReg_Data[27] + + + + + FIFO_36x128_OutReg_Data[28] + FIFO_36x128_OutReg_Data[28] + + + + + FIFO_36x128_OutReg_Data[29] + FIFO_36x128_OutReg_Data[29] + + + + + FIFO_36x128_OutReg_Data[2] + FIFO_36x128_OutReg_Data[2] + + + + + FIFO_36x128_OutReg_Data[30] + FIFO_36x128_OutReg_Data[30] + + + + + FIFO_36x128_OutReg_Data[31] + FIFO_36x128_OutReg_Data[31] + + + + + FIFO_36x128_OutReg_Data[32] + FIFO_36x128_OutReg_Data[32] + + + + + FIFO_36x128_OutReg_Data[33] + FIFO_36x128_OutReg_Data[33] + + + + + FIFO_36x128_OutReg_Data[34] + FIFO_36x128_OutReg_Data[34] + + + + + FIFO_36x128_OutReg_Data[35] + FIFO_36x128_OutReg_Data[35] + + + + + FIFO_36x128_OutReg_Data[3] + FIFO_36x128_OutReg_Data[3] + + + + + FIFO_36x128_OutReg_Data[4] + FIFO_36x128_OutReg_Data[4] + + + + + FIFO_36x128_OutReg_Data[5] + FIFO_36x128_OutReg_Data[5] + + + + + FIFO_36x128_OutReg_Data[6] + FIFO_36x128_OutReg_Data[6] + + + + + FIFO_36x128_OutReg_Data[7] + FIFO_36x128_OutReg_Data[7] + + + + + FIFO_36x128_OutReg_Data[8] + FIFO_36x128_OutReg_Data[8] + + + + + FIFO_36x128_OutReg_Data[9] + FIFO_36x128_OutReg_Data[9] + + + + + FIFO_36x128_OutReg_Q + FIFO_36x128_OutReg_Q + + + + + FIFO_36x128_OutReg_Q[0] + FIFO_36x128_OutReg_Q[0] + + + + + FIFO_36x128_OutReg_Q[10] + FIFO_36x128_OutReg_Q[10] + + + + + FIFO_36x128_OutReg_Q[11] + FIFO_36x128_OutReg_Q[11] + + + + + FIFO_36x128_OutReg_Q[12] + FIFO_36x128_OutReg_Q[12] + + + + + FIFO_36x128_OutReg_Q[13] + FIFO_36x128_OutReg_Q[13] + + + + + FIFO_36x128_OutReg_Q[14] + FIFO_36x128_OutReg_Q[14] + + + + + FIFO_36x128_OutReg_Q[15] + FIFO_36x128_OutReg_Q[15] + + + + + FIFO_36x128_OutReg_Q[16] + FIFO_36x128_OutReg_Q[16] + + + + + FIFO_36x128_OutReg_Q[17] + FIFO_36x128_OutReg_Q[17] + + + + + FIFO_36x128_OutReg_Q[18] + FIFO_36x128_OutReg_Q[18] + + + + + FIFO_36x128_OutReg_Q[19] + FIFO_36x128_OutReg_Q[19] + + + + + FIFO_36x128_OutReg_Q[1] + FIFO_36x128_OutReg_Q[1] + + + + + FIFO_36x128_OutReg_Q[20] + FIFO_36x128_OutReg_Q[20] + + + + + FIFO_36x128_OutReg_Q[21] + FIFO_36x128_OutReg_Q[21] + + + + + FIFO_36x128_OutReg_Q[22] + FIFO_36x128_OutReg_Q[22] + + + + + FIFO_36x128_OutReg_Q[23] + FIFO_36x128_OutReg_Q[23] + + + + + FIFO_36x128_OutReg_Q[24] + FIFO_36x128_OutReg_Q[24] + + + + + FIFO_36x128_OutReg_Q[25] + FIFO_36x128_OutReg_Q[25] + + + + + FIFO_36x128_OutReg_Q[26] + FIFO_36x128_OutReg_Q[26] + + + + + FIFO_36x128_OutReg_Q[27] + FIFO_36x128_OutReg_Q[27] + + + + + FIFO_36x128_OutReg_Q[28] + FIFO_36x128_OutReg_Q[28] + + + + + FIFO_36x128_OutReg_Q[29] + FIFO_36x128_OutReg_Q[29] + + + + + FIFO_36x128_OutReg_Q[2] + FIFO_36x128_OutReg_Q[2] + + + + + FIFO_36x128_OutReg_Q[30] + FIFO_36x128_OutReg_Q[30] + + + + + FIFO_36x128_OutReg_Q[31] + FIFO_36x128_OutReg_Q[31] + + + + + FIFO_36x128_OutReg_Q[32] + FIFO_36x128_OutReg_Q[32] + + + + + FIFO_36x128_OutReg_Q[33] + FIFO_36x128_OutReg_Q[33] + + + + + FIFO_36x128_OutReg_Q[34] + FIFO_36x128_OutReg_Q[34] + + + + + FIFO_36x128_OutReg_Q[35] + FIFO_36x128_OutReg_Q[35] + + + + + FIFO_36x128_OutReg_Q[3] + FIFO_36x128_OutReg_Q[3] + + + + + FIFO_36x128_OutReg_Q[4] + FIFO_36x128_OutReg_Q[4] + + + + + FIFO_36x128_OutReg_Q[5] + FIFO_36x128_OutReg_Q[5] + + + + + FIFO_36x128_OutReg_Q[6] + FIFO_36x128_OutReg_Q[6] + + + + + FIFO_36x128_OutReg_Q[7] + FIFO_36x128_OutReg_Q[7] + + + + + FIFO_36x128_OutReg_Q[8] + FIFO_36x128_OutReg_Q[8] + + + + + FIFO_36x128_OutReg_Q[9] + FIFO_36x128_OutReg_Q[9] + + + + + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh + + + + + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh[0] + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh[0] + + + + + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh[1] + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh[1] + + + + + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh[2] + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh[2] + + + + + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh[3] + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh[3] + + + + + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh[4] + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh[4] + + + + + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh[5] + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh[5] + + + + + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh[6] + FIFO_DC_36x128_DynThr_OutReg_AmFullThresh[6] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data + FIFO_DC_36x128_DynThr_OutReg_Data + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[0] + FIFO_DC_36x128_DynThr_OutReg_Data[0] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[10] + FIFO_DC_36x128_DynThr_OutReg_Data[10] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[11] + FIFO_DC_36x128_DynThr_OutReg_Data[11] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[12] + FIFO_DC_36x128_DynThr_OutReg_Data[12] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[13] + FIFO_DC_36x128_DynThr_OutReg_Data[13] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[14] + FIFO_DC_36x128_DynThr_OutReg_Data[14] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[15] + FIFO_DC_36x128_DynThr_OutReg_Data[15] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[16] + FIFO_DC_36x128_DynThr_OutReg_Data[16] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[17] + FIFO_DC_36x128_DynThr_OutReg_Data[17] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[18] + FIFO_DC_36x128_DynThr_OutReg_Data[18] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[19] + FIFO_DC_36x128_DynThr_OutReg_Data[19] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[1] + FIFO_DC_36x128_DynThr_OutReg_Data[1] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[20] + FIFO_DC_36x128_DynThr_OutReg_Data[20] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[21] + FIFO_DC_36x128_DynThr_OutReg_Data[21] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[22] + FIFO_DC_36x128_DynThr_OutReg_Data[22] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[23] + FIFO_DC_36x128_DynThr_OutReg_Data[23] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[24] + FIFO_DC_36x128_DynThr_OutReg_Data[24] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[25] + FIFO_DC_36x128_DynThr_OutReg_Data[25] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[26] + FIFO_DC_36x128_DynThr_OutReg_Data[26] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[27] + FIFO_DC_36x128_DynThr_OutReg_Data[27] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[28] + FIFO_DC_36x128_DynThr_OutReg_Data[28] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[29] + FIFO_DC_36x128_DynThr_OutReg_Data[29] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[2] + FIFO_DC_36x128_DynThr_OutReg_Data[2] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[30] + FIFO_DC_36x128_DynThr_OutReg_Data[30] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[31] + FIFO_DC_36x128_DynThr_OutReg_Data[31] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[32] + FIFO_DC_36x128_DynThr_OutReg_Data[32] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[33] + FIFO_DC_36x128_DynThr_OutReg_Data[33] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[34] + FIFO_DC_36x128_DynThr_OutReg_Data[34] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[35] + FIFO_DC_36x128_DynThr_OutReg_Data[35] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[3] + FIFO_DC_36x128_DynThr_OutReg_Data[3] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[4] + FIFO_DC_36x128_DynThr_OutReg_Data[4] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[5] + FIFO_DC_36x128_DynThr_OutReg_Data[5] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[6] + FIFO_DC_36x128_DynThr_OutReg_Data[6] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[7] + FIFO_DC_36x128_DynThr_OutReg_Data[7] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[8] + FIFO_DC_36x128_DynThr_OutReg_Data[8] + + + + + FIFO_DC_36x128_DynThr_OutReg_Data[9] + FIFO_DC_36x128_DynThr_OutReg_Data[9] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q + FIFO_DC_36x128_DynThr_OutReg_Q + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[0] + FIFO_DC_36x128_DynThr_OutReg_Q[0] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[10] + FIFO_DC_36x128_DynThr_OutReg_Q[10] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[11] + FIFO_DC_36x128_DynThr_OutReg_Q[11] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[12] + FIFO_DC_36x128_DynThr_OutReg_Q[12] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[13] + FIFO_DC_36x128_DynThr_OutReg_Q[13] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[14] + FIFO_DC_36x128_DynThr_OutReg_Q[14] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[15] + FIFO_DC_36x128_DynThr_OutReg_Q[15] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[16] + FIFO_DC_36x128_DynThr_OutReg_Q[16] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[17] + FIFO_DC_36x128_DynThr_OutReg_Q[17] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[18] + FIFO_DC_36x128_DynThr_OutReg_Q[18] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[19] + FIFO_DC_36x128_DynThr_OutReg_Q[19] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[1] + FIFO_DC_36x128_DynThr_OutReg_Q[1] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[20] + FIFO_DC_36x128_DynThr_OutReg_Q[20] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[21] + FIFO_DC_36x128_DynThr_OutReg_Q[21] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[22] + FIFO_DC_36x128_DynThr_OutReg_Q[22] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[23] + FIFO_DC_36x128_DynThr_OutReg_Q[23] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[24] + FIFO_DC_36x128_DynThr_OutReg_Q[24] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[25] + FIFO_DC_36x128_DynThr_OutReg_Q[25] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[26] + FIFO_DC_36x128_DynThr_OutReg_Q[26] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[27] + FIFO_DC_36x128_DynThr_OutReg_Q[27] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[28] + FIFO_DC_36x128_DynThr_OutReg_Q[28] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[29] + FIFO_DC_36x128_DynThr_OutReg_Q[29] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[2] + FIFO_DC_36x128_DynThr_OutReg_Q[2] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[30] + FIFO_DC_36x128_DynThr_OutReg_Q[30] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[31] + FIFO_DC_36x128_DynThr_OutReg_Q[31] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[32] + FIFO_DC_36x128_DynThr_OutReg_Q[32] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[33] + FIFO_DC_36x128_DynThr_OutReg_Q[33] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[34] + FIFO_DC_36x128_DynThr_OutReg_Q[34] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[35] + FIFO_DC_36x128_DynThr_OutReg_Q[35] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[3] + FIFO_DC_36x128_DynThr_OutReg_Q[3] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[4] + FIFO_DC_36x128_DynThr_OutReg_Q[4] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[5] + FIFO_DC_36x128_DynThr_OutReg_Q[5] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[6] + FIFO_DC_36x128_DynThr_OutReg_Q[6] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[7] + FIFO_DC_36x128_DynThr_OutReg_Q[7] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[8] + FIFO_DC_36x128_DynThr_OutReg_Q[8] + + + + + FIFO_DC_36x128_DynThr_OutReg_Q[9] + FIFO_DC_36x128_DynThr_OutReg_Q[9] + + + + + + diff --git a/lattice/ecp5/FIFO/archv/FIFO_36x128_OutReg.zip b/lattice/ecp5/FIFO/archv/FIFO_36x128_OutReg.zip new file mode 100644 index 0000000000000000000000000000000000000000..6e075d044a971deff5a8e2bfc59d3aa1eb467b80 GIT binary patch literal 52528 zcmb4qb8w~4)^2R=*v@3)9ox1&v2EMN#LmRFZOp{BC$^p3{LXjIy>;uWTXny#-A_Ne zSMQ}>??0>Ftso5!fe!M|aP@K!JMOj2Ci>$4OscObCMhPb$IRx=$i$&1@8YavV#e^_ zx9E+WoJ9;J@xiLvduj*jx01g1}}UfwP&A+~eJ`%w2vLi&u5|FT@#M3mHW|8;DgPJi&#rMjy> zY_!X{)4XJx>yI*0{ZrT6R~K79$yIsi0=!FduC{QS^NKVvZwLO%!T~)VJHP9N5ta@- zS<0Mi?ZmaAFsk}8B=q=Z{psj zo{!ijdb+bchEvPUc+0$=KZ`F^%b};RChCuY(zab)wpV!z>$eX*Jc6t6;=j+GnXVqJ zk_S#_-g+B))O_##Y@WQJp`jUW-jC01xYE76#OGGt%(KQ13w%9Up1ye{MGfj%B^S(W zoSCx8#8!(Z7F?Sr_&F~1^vHD%KwsL|_w#M;aHJ!2G2}uUU0z){Fnylw^PBC>Occ1e z`}kp%=$YyAe%`Ng%hdaR*eGQ`e^j|~_+=I8dipT8r_)osUY|S1dxix$r?4kH#y@i6 z{_R=Ka7!y1IDY2|1IElrI`U4|j1?hMd|sM9Z`B9Tc1hnx*+ThT9^Kxt@t$lUUp5qs zS-)&G^7n9`gb4Cv4|d6o5l%E%c{yjV?_3S*t=pS% zNimMw6s6>d(%Qm*`^JIEC-<65Id|>+^mG>g`~BP{Bjk9(oGIfl*4uHxm!BZ6i}*=D z5Nl~a_YU*mrOIdXLZmNBz9)JX+U{C7htK21+T+@z!wu8L3);_zHDi44?Avh(0} zWyVBT@#lv4b6AjKincXCXXbf7Y_qR#ivl9qyw9g`n-8ot4&;I?_!Y*1H{VI6P#flS zSGYz%ME@u8r)lC4IuxbYCDgJ_(kIi7!KC!Vn6@zS0Abeb5+=`i0a;Hq+4?+5@~jnqq2vnrrlb zHYoonj_P1e1qg*o%*1MljRJ-GVHmjx(3l`pU0}*jP*fI3O3Q^6RKs8)sZn8YNV~X8 zMZ9Wgf`b7!uz9n7(rX%|nMKi3*Yh;8?X@{VH;9!j z-o;`4kRb}w(Phd2_W*}y?)vFOIGF9)vN7hD)0Da4YM}g_JcQU~)e|*EAM`}dMPqF+ zRJMFwS<})suVB@v!E+RPzD;U9k}dS9^V)4a-HWl(Yq!x~y>>XUsL4&6qVw`sXOsId zPVSXmmV?3a8DnTSDlM`mzxL6q?%vvl?3qT@*X1B9YtXJ_Ak$=g?)bMOA=R+Tija&e zJYzcDAh~w1bZ)3YWhzuCIW z?SF{nWfkHh$8jQgZK+kYXnoTEp|#WW?fz2`ikFYxV48BL2`V{Ta07S#4B=$)m#diq zG?eV#$2o0{#C0`!+jq&W@wN)F3n3@<@bwi(^`L*i_s25RwVTO^;0n$~d8?Px7epZt zeW8)|7jXXriPZER^?Se0cm_Kb43-wxV;DA898>o%tSwxdolT`X8j8TRO*>BZ)-%3f zGUY$epE4Gu;rEy@2uSY_eOvyaE$FVewMJWSvsxi~AMvKdODd{HZ>F=%Fjc*FjLx;Kj~?sHah%Dq^H16F zZpxLrKL_iZ*WA4!`A*2^%ZpvhpK65K*Xvf6BwV;5z29K$@5k>Dg#j0t2R;1iCBL+A z28QB^Q_dpEB$8F*b+d;=^dVCin;Bx5s9=v2DT|s{0S`syON(?Pb=66Yi_h6-WY41{5H5G6 zfiF)2h*h@Ndj1BB76TA!I0oxwPHNTr!v`z)ySY^?>249F235F83Mh?ccRHx0aG96f zRD5ZuUqsH>@Nn&#GR)K<^pAAV!{%U&8TmR2Uwg6wP$~r8rTmF&t*a$&! zL*B03bZcUM;4$e10-;+5GWGu6qyQfZ^#ua1o7ha77T*Oh+vi{3ip}-^l3vgfy3t(o z7#~?z?gzQ^VOUGsa&%~Q^v=@qd)>~gWUAS&s#kxr3!JEh$TWmy8$q>C2$`sdXc%X7 zb}@2l*W&iI%mc5+|wLt}mIYkBG-Fn6V*A~CCr zy>A4>QAK1;wD~-METYilKyt`*GWUZgsloIcj{>zonmy{>%KQ+zT=sU%#bivesClX9 z&H$vKaC7tW{aYwu<<{3-Qagkk_I!~aMc$j(th?0Jq;hllT6}iSbmi5JS4|RC$y0bo z*pqco#kzbp#6VH;z+Rn_K>Y7q2|MJJbUBG)5eHh&S&fz~OZcLt;1aG57` z(t|XOnti($98^C~B2kOJ#bkcpiF~lss?4~F0H2sG$Hms>b07vL=i?X+2`<9quy&)` z7JE;YI#tPSD7LC%P*^`_%_N(1DCOseSQL3r3NW)&1eB#r;hf2Z=C9;OWih%>hNIdh z-*6U8HgJu^_D@htsf-;)R|mIh8L?_*E&icIbe#(QCsqq$pzn6(oWLT6^Sd;?TcthBD9pON|FbH`B zSYHCvH!kug7m2IW_-*w~TRuw`4lwWecfRtVUtBQ%20<5KDbl|*YN$X*{i6}Z7cwgg zF*w{O2Qdx?7O(R4VU1Pxk-zfTM@5JgE7QDJNyi$;0!auGzt? zE|WtY{%GoK$5k`%0)u85&@3)zU-xcdAvqwWrXh$+gP7c0ArGg^sv&p*Asw|IY58JH z7}(<4)fho9$2PM+^{w4`SpAVDvnIWp!sD9Wh4-P|qOzXUL=LBDvh8=-?9K)7qJQc$s= zA8CE^qS_Sppj3{UXL4ri5^Zn!J zb$tUaQdR_#uSzic=a*JhbcP_4eDR|bJi*g?kE~tI9PN^z_C_m;kM$3P;{E^thD;2E z5hqX#QkkL;8!1|~PelUQA5d1RPYXMI*sG!n3ky5?npSUT0s$k!qm*U@Os6i9otRy}#lKmw=SpOqV z@DrubPw)%*tM~4sK>i8$yJy;~o()R)rlu6a`nNM`LV#$IzVtz2JifIGQnRrTtYp6! zg~6C9Iyan}=5jri=xnW`#d1BT=uNGn$8vlDE=k1lk%&yJl>{jpH2}}?hcIfLV#IR% z9&SQ-jMQK#xnV+B%%6eKzXl1RRZ;_?g$4;BRe$^WUYI>$l0?4yN1V{qqT1K)HVDeIfAV}_XV~B%wK>@+jYiNNep3{CP zLQ|v2LPyV|f-t;VPpDqEw*FlN>@ay@mCz)2@%O~Rg!P2M)542ED4wI9C_>1Z$U=Wf zP6fkxjCo;xJ|;kt-00R5i)>0`29J9E4n*-_&_p4RO^j9cT6Y1aaj$ciQ#Lef6_pdC;unuMxzgTpK$dM2SWO=aAGmm71Yk9ja4g za+-4GV3r}p0Xj8f1$~WC>Qe0(V-4JaYF{UHnmXidxxVgDR^&6f6V-v@cwQQRANkNa zxQ-5oCbn#A%p)*W^>LHTQj#r9Id3N%uB5-Ojs?GL4hL4{c zs;s*6gpO~E<_jyX?Gs%ZvVt!c6soMg!@|Mgf-5H+qI|eLZ_Y77orMb>W@h3d&c}pL zmxHS(WJuKB8zt(gQLpAnGve;A)Ej72VHQ7T+t>u!; zkuFHQpvJ_WOo%XsPygU4PP|}_F(j1I$OWEXYh*}1`~muS!<^$kf(hz;mqL~cqC_!*@THm^mfDlpN`Id^^Gju*HQ?+`bGcD z|Np*`sj(3m85!@_AXauZaC9aXwzD<0FmrJKhG5LLj>mB0@Ra1z!FB;&~Y6veVBI z{>460`r(Gl&gWNJjmq3_Q5+N|@WeT^SiP9b8t;Fx^er^W<>TDt+2}Mb3cCx%;ExMu zXg4Y)k6TZY~ubxHUb~S`mzU_%wc0H?Tc%&>R4EtSqVqY13A~()~iQ$kx~SW zhCK(V)P_teUB5Tnc3!8(SH>JI=Ied2gO}8DP=-WOU|%WZ-)7kM;im1 z>1aA#5uFBlgIZIPJ^rn$X*xzma*Nj&u8i6FBi*_ZWDM0Kk5lV6D{tkP@$-*w;`OV< ztyqngS}By=8COUUsErqY6o@^N!5WUEHCod)Njy#}r9!huSz`}mwZHdlV@{LkZz^ie z_?z;oJNpg!RExoO`krysa$IUNZ$DZJMe3Gui@f`VzaRm^p7c(TqdBsE5;$*nVl2?b zTySPgD9>3PsxNqC#MZgfJ*348TV{k>IgqQ8$w^u2vpk7{%YOP8;(o7YnD3!OY!~dH zITt%T4S+iA=I-;7K1|E8=(P!H;jta4%?lVH65shTwi=zdP$rnpg0g88i7;Y)p$~MH z^3bijhJp$@Sw2|gaA;{MXyK|O3)KkVBKBL`kME>zoW#8ZUBLwe_5w!)LW(E=@bAPL z`AJyMTKV~bq{A1;05U_+H9u3gt-bTL6Sua_=cYxC#CE8H6zR1G>&XVGVbS8w@Yd@U9mrP3o_8Elr|zs@Bg zn#OIjf39)yx9E&4a<<_RbcN%M1hSp4I{&d-b{BbvlD*|lMmOXCfIvULGE}Ts)LCn? zJ}2&u%6?kTm+lx>dv3#7iE9r1Y_x{w*}`SSNXz&bfw)}d;x5rCq3v~*m}op(j$~A7 z17lj z0@;e@r+&m-%7^k4`9Y7pX{PYIclRw6#3NOR;}>`3`#FBl!p)4146i!7e{ub zeq=4^+t+IVB9%eIF6gg_W05Y62foeA?C|%1mwEUd#CHZ(h-ne_sY6 zbSJZcdxHM`1skY>lu<5_x&ji74Gw$-N8?Ly9}AA-!Ox!(eoeZ&Nan9JM-kvdB_WQ< z8wyWj3wR}SB8osExJH8`bW}ScViwS&zZ+dp{t(St33TIq#@$2kpdY1zL6}@Mf%MUT2&{RM_DuPs)Mm!< za{NIs-L4g+kWtvG)%qssN6{F>p$D%P`u-y3PaL*YJaMkC*Mm+xdfNg<_xG6_G?2%S za$e}345?vi$r5j1eVM?RBedCthxK%aRFJ~_T`Pl$qA1r(awQ>eGk zRSt$@If6*GyqAkVbdry(!!F|w;FI#j+VZ9l$3N>`M*B!zNhN6ui%1rc_@gWymWcZ` z3df&-)5I14dH2O+vRTvxtNmEP<0rPvlSiO~BgK{IefMA#WDxIJ=q}ePTCu{h+dh(2 zfylqNWQoadypGP89j_CQaV$OnOie2YAZ9X%`b$%3fDA)KW-Q{UENUpRzTVK~`$n7s zP_~=6wx3WE2aPzhD4eg#{`%a08Eb;QdZy?}FM6iYq03u6A!;axM4%%vPI>;yL?mEH zAmP108;v7$3I38m|Dc3Y;%$~8R|uHeL}kU&1(>e`^~#dHpgVtm7!H@kabTr8oEVK^ z!E%gF4S~rq2g5XiC};`@rqIAo5C^4x<>p=*5~kUyOaWe0gTlvEgP1va9J@4hELaSM zxG~^+YGx?rjcZ$(?AatnvE$!6IAzWo%>|WM(sB#WVx0<8FopXcHc-S7G_ta@bxI2e zy|KC$grCcya|pe8*=*podg_^L0&Fr<&g81>4FSA0w!;FGHH=33dNoW23ZlA3)qz=h zR@H%09gEQc-apKSd(D`^m~;nd1<>VXnN^JoQgFaK996?b)0RK(O|7jb-=ZO`(m@+T z+c?oL5KTeqorBuo*tE1M!;u(Dn#-_f>{z4#kEXnDqGst%Xbe^YR+~wSxwYdaNhDb8 zCng$(+XhiB!FQN|OUwcvsfq6co{!V@x})<|jlbOVobS6lS`(F14VbrL7wlwD{qskt zw%ImwGI@*cDgQpY79c#gZhRj|Ijv?D#TE;C5 z7HqgNq%SH+E1zpZuqLD3E)L$C)nN=2xNQCd=H(QJ5&mPoVNv|!vM8;q_Dxr7vrE}E z$os_p#9(GWjs%gGWFxe3cykMDb_U=0UKIOWy*J@afgvq;sT%&+->VC!3WeZAt(C1M z3@gQ((^hM>$%gw)_P5K#*(iXzCz;PSseNM!yIvXTfRHus(&uph!@ED;x%7=5`H_7@}L*$esh+0QB zdJya-&Y16Y*3IM^uQ?;%&9cL5K?o}v;Ob=Q33@wL(wLI66HkfzQg<7h96Qa(nH*$j3fMV>Bk-}2Hg^BP`M~I7HFNBK+D^fM1>)%u9f&-8RaY!}) zIEIFcU2BEAH{w-^9M9M`qW+$>ZN$7Tz0-pJ88Lv|)9^A-S%$L(+txW%nni)Q zdb=saScmCF(~=-}=&asCNyZhtBfz5=#v?vF)zO9BLcEftAz#E}19@6~q}aqGO|5%0 zetQAQeGHJnG65dy{|GEV*mRcGPx>1Py>F^uIwhYx-VEB@V5=_^Sm2K7eVMTRJqL6Q z3>Cjal}+51Xo&e-S&|B|wgY_m2X!kJh@GFBP)*!M#=NRNbgJq;cor(P()w)z`P0jC zK}oYjU`<@)#&bsD^2_(SRJK3ozaUajB}wiT6Yx%dKdJ0&Nhg%W>CjPQJ_V#X9iT)A zpH08m2yKy&$8LUyLGHY-YzY=bx<0vx33M0GuUSA3MF>`w=Ot^52E3-cLPBm!DjS6aMr;5@cxY&urAOS8pZtXpZbfs!q;1rw7Ksr+at> zZ|)izi=hd6wc=~mDOAGvtlcIP%jj2>CX9ju_4pPm4NtQ5*7chyPd1l$&;f$9Y}&3e zrO}(VD}PI24AVST41OYjGvHXIpxr;*mZTp-aUF`}B1RCac_=NlYNQ9`a#90BFnJy+ z8pw~%07RGQf|ZVM?pl@Oy~;V!nowXt^11Z20*3)qcJOp_oCQZo9P#l%yg_@I*k(Ix zX+?ZNQyJ%?SiBT zBkF%+Yaxe^cWC$s*udF$GZj6&(CQ6z?#750~H>cx={9ZUPtr zYv)BU#_;O8ujKqcKe@!f$3bNLb{3=cVXY@^b`l?n&+Cye#=q9T(znjNE33pfF=%s!~J?rb!*qMP#DVV@wr-$Lzr4? ztXPAVTqZ+#fN+Cc34L3*L>T9rY1B#V9pmYY+H+OC_JP~@=rKsI%xZxgowk|q{^@io z{wYLHxrhZb9S9jbBd!^!vnScSnwnbFo2w>gRfE&L+X-MV+fxp+Xr|6(E~l?l9*}g`s=X>2mXk-n(0ys0r&p2&bXY}n1LECZfJW&a(WQ{jBHG$Yxd2vnzUKu zDt!gb#=&oyQ+XgGR?L9s*qV#wOc7#|2cpdSuPfX%Z1=x2^=vlgPfXkJ_#-ZBO-C>k zZ5oa~9hI(a%f7A|yiIl+Of7sxX#`Bdwfp#kZJ<`$-H7`?=PXk*x2Vb3!5yO2>OPA= zrI5F7+)B!=m6cQ=u9*IQV%w6slsFTK!a^K(WJ$%%7Q4BYOcGwN#7;Eptwa4nrY%_( z!X{cVr^yJg(cLPRRvUY>J=fZAhMXpckS!+ursCQfP>RI<*IBw^ig#Tbz5l$Mu$|Ze ztF`3ApXwyEF&nmF;iq?a4>(ub@FXG5Lq2eEyX5T04 zXTfsR-(tw!-FXD`9@>}t?^WKV#f)(MhyjLl-YtL`3f^D=?)$Y#PWEj#Uoo}LR z^;sj+m_s&Hjr~d{UPGo$sI?#yMP=K-r)~^fQCkHm18^ZC#N+0ziGWjB!zE`FqvDXe zBt&|~2g??5iS&z^O9U$M2`S+v{p>?!!=-zy{jt7U#$AY>-mu9f`B!Ka?KnJ84S|q>!##Ybm2D ztNaQ$YP7T!1?b>(0{JURgBX|IPRYtiqi>oCqRS%{;3{y-3In59(^XCY_DE#*`J z7ZI|`j1ublYv7Wq0Hn^t3@S;3pqdATvJ`p;*Uqxt?CNse--?(9aeCvbj^U&T{8fMB ztA(jcS>&-KJHX3P&#uKs$%)qnZ{f zgTTkleB^21QOIaHjgAqSdv)Tp!cc~}8cwy_@;j1!X|!7nI9~-TVjZS#nna>AEgF-e?B(Gs%VErt_ zUSSUWSm&SQ5(0{CuuoAtMvFHgD!~)i)wiof7>u{I*9607yMLIL>0rM*RrL>zI@_d& zFmg>yRv81ZvseCL6;pioqx2~gMRzvUesj_aWO~D5FQh21G(Km6Pj&9r_G~kWEp*!G zcH2WuYWb9rvJ6S4?V4R>z9XNL*W>}uW_0U0`}xZ|)2dk9GQ^I5JuLw->rzRr9#gU9 zX`EqEce?G!rDtswrF~#Qr|Kpzs_?qI5#fM0XSW{HAT`&MjXFp(ran`M$)frw5!K@^ zSDT3(=(%%rkcM!;J=1}XcuinMe)^ziISZ$XeDit5SvI;a zqm+~-#sT)4``WBRWU+K~MfCYxDaBw+X_@wAg;e+muL%Y?<84}~sge!(=Ij+kGp#05 zz>46XgBRihs7aT>A1Lve2BMjl$>XR^-OLBceU-!Ob{q914f!5hS|JhxKTG7LSrrs( z=2M<*WVR04je+V)b`>4P*K>uwUz#n)`2Y!$w}|)mN=D`c+%3h%z>4day~UrRMvYi{ zcYpM&SGO?0c6>bgr3gpXoZ>X9(!~l+3Ejr@Kj`Uqq6^CtujnC~c22$#33%c?G&!@XxG-PI3B_^{ z(>tiI{9N5pkgmUR@hQdkfMX3u#R|Enj%awq=ZqoCM&S#m`z;9{DDnIr56~O!$(>PK z&M>kdW={O)%n3i`{fR|wQB*(|#0=?|Ic{+VK_s(l=<*s){FoD~YTdxQ!!Ij|9$zv} zc?Q9U!&BttJ1_#o2>NO1_?D2b?Jfm|fUPl2K`~pXHG|2^(D!{9=UTd1qWA|x9~si9 zs5@w5TUd{YeeWKJ8C2+n0KaN(v@E=tG8v33@!o~C4LH5GeTOTrT;G4rxJ_((srn-S1Voq4Q$$EWinYzmQB{#=@Bg?1(hJ?_v^XEs@kp={w12Z{UuOz*K|gobXwqTyTX8S4F7MXzFNkvmMa0>z%k1?*gTR@_<^dD;nNv5 z4t%_&@7b7F4Zo1GF_|5;YO#oUk@b_Kw0mnl8Ohl{WS9#HHR*1Taa5n|Oi!cnOM%I& zPE`o5u`P$7QjjZ?BVJ^@TTHxjwnbBX1&d4dH)KJ5-~h zXtgBz&zRsN6N#so1^ylT!IGA53}gO!cQ1yA2$d3-T&|P7j-JAGpJz;-++xJ& zyj>mVmWsva}mM_gwZjc$iW`fx-`-sfwq?pn74Sp_Aj%ROZq0| zK0QF!263H9ilxE@_bqGpM5WC-5sDYN$lP%m z7CNzFaa}N8RX0~;u&#M*Iy{bFUIGWTe$VnbcWJKqaC%7Q@tz`5S>yeb(0bwOX1gO6 zUb>%`v`wt``oLhnZ~8O`_K)l>b{E&emen*J)^x_+yCi7O(llDlSmA5)o9S_f;3sS7 zL9YiE@KDNbly3LXXE;AL`Rk=WNi1V;&+mgvGB8bjgWavzE(Xt%LmWKxub;GKvxxsd z6B=)kEy)(^Nd?SuPbf?a-{t-~jbg8-jYY%(`b@u4AHADJ>+1#JbbThlz}4~Jsx7N` zCizkjrz$Kn z1iqQhw)em}rz&=CL9|O#ZQ6ltn4mv&2(&~m^3sjgWc5L`Gn;QPgKap%y$b*04qANw z!o>a$^uO#;i|^9EI^w@hNLy_5K(>!jZStG8vowkoufUNzA&}~`H_Tfb z{)Cy%9b6%Pun7JWD{8tb6JGsyLjIU3jdfFCVh|Hy%wtt8GENS0moU0r4RKcs)uyhX z=cRI|I%NB$Vy8L*7-7gc9L)JlI|JP6yFlM9G<6O}Z5+A_@^9b|DrTD>%4O+e<>fLu zs@i(b4J}PqWhm+k*+pAZjpfwkN>N~#`wgi$i)pN#oSFr;`wJW~neZLca6}%Hax2#A zsQUlJfD|%OdyVeOp&uGkFTkeBD+=E+>H^*a+so1Ys82y$@*Ek#NKMoCkKA{FQO#V z8617ybN<>$`oyVWi4u)fqTLFFyuBc~l*iDgNa7S<^5J?0z?J~?wbu{YAb1`@Laek^HsGV#V4P})SyTQT0& zHKT}c;8(zt6Bz9!PAJAzk}*#4Htfm1r-mB)t~T_&qYFon~nz*?d}@LeS4_JB<-#j?umLS@2R_|y(6Zu&5?!I2;IxJ_AkBlzr8In< z4Dt*BN+Sq)d;QWSo?t39_%8g`1x7o72gUuBko2x2Ux01UOqQYZT*$@t{NiJ{{Q&K2 zd^dQAw$)15-2#oycKcoS_jU6zwucG(Ooq%Vy)FBh32x6s|LPwOu(EMMbMLTz{On_Y zf|XHuL^WM0vZBL3VJXMDgXd6qLNvuFv;4QICLC#Z=7rzh!D*q<2(4DXp9N}%8Q3nmXp;esu}*hRjXm*U7n;eS%ksD&*--6i^K44Y(+ z8c+h1t__}p<|)#2rOx6A=SSD4O0fUqUqU_>8k`7ujr>p>EQS5hs~l}2*zX_q6xOPd zLXP0_b-M|S2Jymg!XEVG2zJ|4gN_si`rz_ZDWkB$xVsDw_0i(kUmew~{oQ_G=#%t1 z?KLJ8@GF~|EkI|I4+FUrScD)L&bqvv!WG0DeI`V{5(i-T<>MYgrIC%9Gop*c3xt)=Ndr6^;sLXUw*lS;`b zYdpjsGrB3buq}w&RFh1UJE5T0aSjc%lC7#B@`@>@uEy!2+MV|dd{MTVRwD$n|mmR8pCbAvJ z+$YMbLCMzXu&;s&2$uGCm#Tx1XlL&D<@TVdS8?~)RXIB^MQ6|)iYv|MDp_XCci#;68X<2p$s9t7)}v|as8z7Nt88Kv)M zl)00Df|Jl4(|3l9nZdc@mz~paHX;aC2BFK?n|u#1Fso){?{stcJUw{ByBA6ZtvcY% z*kv`Zh&IBzR#IV$!aaRzNzL;&E0~!Sp`Mh&<8wJ(6&0!%dHrSce7Z1SI=5M?=g#k* zMxJgj?RXK*s4q>kvX?uz`S)u-<~F+t#FWurFz#nx-#g70wSQiG)E|aJfu=Wq6R}%8 zZHy#1MXrLn$O-nXikkL%?lgZCD|sEHLP6(%LHq%=S#&5`v*66Gy>fOl zn{UIL(C~+nU${{g@Z>ZTb=Ya{1nCwdxvknbp^ucwdxy!yuzH)kC$QolD-tCLZ3yc5 zo{S}n5AY**#7Wy!!2LcLa=V zoQ=2JrWWn^o>THmHl_xQr0U$Uly5fVPp3A}hiKJ+#npHo)hX+;y!Q2$ZHAQq&RMsw zn?QJ1%f~*Tm$Ga07Gur5{6}~VJmXbL_TAPU4kp*an~AVDv5LgyJ!abLCa*Rb;?U?o z*R32pgVof>6v9e|OtuM;1k^VrW|*@(&EN8;ix}v1g&WC!rI5*ax+@>ncAM!&(ZPp9 z&DFck%OZ#0V{_3p4UdiE-%4g;n(v>!VK8uy5w_s+P4SY4Q3D6U+)jOfBspnjL|-i;Ps^b#T#^{jzmx}uW%F$qj@-Q>UTk@B}Z zwS&*->(0rA93LC&-U+ckMzb|Bkm4e>=@s0i<=YVVbI!G z@=;zWfBY#@g#H$Cv?ApLg5Y2pclv=Qsp1+xI0W!CS77gM`~3*k!$2M(twZi08BJ(% zC`z+s-^oeNnM__Dx*eCTbtsA_=6+$(ZigYsL3VYRKeFpF6Uez~hv&>Mhq_>Rb(m*1 z7I>MRfV~7dZ8wTx>UTwAS0i4T7GtXrgSGHn^1a89)YXq zNuG_>)xGI+JYd6~ICyWHP>N9^o_AknWaMnI^_(b~-<(QrD=~vs`-0N7a{Y8WCzm)V zJ6)5x+$ZHD<;+2}@j0%T(2Dco(hy|>XF9|EvyfC*bA&kowm9az zqjLcGh{tdTUAo$N-CJf*0~`;&u5VCSFg@qe@#_gQAOz}yA}KX_3*KrW@q2{Y&)c+rFRQ0Pqv|S2I6f_r9v!Lyu{^2f+hLxyfV5ZsF@C2jEf%0#(^+ zCy&N_GvSbo3X|BVJ%(wr+Yi0EItX8t5&XP-UrpWX>uQ8srJxry>T&57&x@yU12tC9 zd3n+I1WV}6(m%lLVVL8Z{>mTSH&s3e4H=9=FBPSF>s(A+aRzGq+(Ig8SQI4)PZ0*X zR?+eF)F;U=Nb4^s1C#z3v4(w1E#v4??~XW7X%ij4Lp=_6I?U6a zYOrZM>J%I)KN65APH&XPj(788Xp6@PSTbgh5Lt(wMOgT(v$FeWQ4c11>XgY{X%9BH6X z{Zb?It7eL0UYL4;(6}~yh55b(mzmJ6jN|dX)6mS;f6|&NSO^=F4Ukxof_N+QeT9n# z^O3PlCFgrpnmpcx2UZ{T(+2jSTvRigs%`Cuwh)|*{~Y~W$ z!SgOJ)$68G;)ye$XZ!nHT0TLYN!j(s8?~|zQARa0HCgP1@il;=Ep|m?;h;$NrO7?Y zpql0Hips(P#nuIWCF6K0$yD*zt?IpAm(bxH;0os;s@mwD=`8t+W6I;+SXW!#=3`t_ zGvgeP7l==&+NfzM94=uZI2G(*WR?MGxIEc+eJzwlMy!A3%grLDOq!%+A|fxUg=pp- z7LGJCkEiYQt(KQ^>sov~Q6M;3X^nWVry;+E%Aecz-pmc}^u)3ueQr1!*?6OA$NY79 z)I$2aA7PJuUw>24)m3NkAsd-I-`_7h@)B{aXJBAA;d)Dj*7!gO^L|2}9vtv9X(YM6 z+REohKt=u}fFWj18So<{H@<7C*x2hN)4RL|c_BzxVm9WS%uL10uZdV$S^Fs1xVvZK z-c3K0&Wv?Gc+M^+%YnoLdn%uI%wk6$fg0ND1TR>wbUt(H58!HZuHc_aO^NjZqq|-< z<1BKYG|J`cm~}t3VgJ+4r4XS}^b^aZ<~P-;M>f8x1w@vq#hqhj(e)2>Jq+za#$dMZ zlHeK1h1ar(c6^Cqy;RZ#soF`yGRR6iEi6K8MRlX9c!w=k{!-)AF#=hH2>O*+mGYTI zGb7$_>@_t@v@z#YU+-1@6m!7FODY7NkeW?Xw_7*(7Q=V*Q~wu*6qyiJjxw%?>&Wn# z8Xm*&6G$m})$CECAv9SUOAZ=sQSO%p%5mr(cwL8`9grv%somvYv@wUq($^bTD~#V_ ze04Q(HUG1t@HihtZHc(SxOpyhdqbz;0RnJNv^mabLmQ z@%u?*0Ly@|FW*Qcakr51yu&361iGO>8bvI|qsWGwqzWo@EDG)32;LRB=P- zUC~1&_x95?aYX?QEKq3-qUfDCLwm%S7SYj0LG>)Uv68bITP(=~g^K7uix_(ysSH7j z+%Kd7u5>0+fWD+oR>@6)7FAsEBdm>^J|zlJ}Dv60!nd(GI^ zWBF_+sGX*>Kn)pN1SjOPq$orlCW-Y{v*avis$(tjliSrUQO79w6Zr1VhG!Hpl3OH* z^_BD^c+E$IdDG5f5<`RFT zbHa@92T>KBWO(#Xx+E&}r1+|U=*KgxlDVf2?Xl@dR0squLzFI8rPsNxUdkvY2*)b! zMsji`YL?nNeI(jQ)Ryar{DJkhhW}ucNQg~!Q#a6+>Z1gIs)|h+pu{d-crGAi;~Ug! z{(}Me*}&LW&1ZUL0nc%Xw)Zl1PSjU86M5x2cn#u&PfGMjt4Yn$`%vwiLI|_Uys|uY zHCPgP69Q~%m{$WhVu&W$6Q%s1UbCz0j9%ermCC0>*+k;@Kgv#RMmZBt%foG?c@j6e$6R;$nCIm3L0=B$Q-T1~`xY&~6IUm;IX@L!BQCg72#eM5^ zKnz!^!t+oQ0F>xk2V_h!B);Tw)yf<)U-WpvC*Pkf046>iQc&$^82QhXF25g2JGv0o z%`k?MT&6)vLbHPtrd-`v%uGJxIN|c_&BVSvdd?$HSFJQu0gy_6t_YDOEV~Bi zHrhy3_d-PKq8^kuCc)3+0H0v;_)O%Xb~?pa9O9bimkrfs8K9gQKUK0iB%{G-QQllc z5@JhEoO#=!eV;~8%IlQDzLDsZ8DQf=gh;L52jI>)Or<}j)SwPP-Kc>t^b7fO| zr*WPil!04LO#*vNr^+>o6uG4fMNBUp4bqe}ZaJjWhp@E_u4#R)i_~>?SN9e%DeL#^NSb}AvQC^SbJut6)~5a zeKm?EqEn>-0*?-|*9kkVMD6Cy`N#fxKiv?#v46d5WzUtk$JHdTodcj#uH7V%qj>7r zq(~tyfk^hfvxToM`tE5eHnmh9NUyXh+L4t{^I-p+{9XUj9)6|Z_^olaZ48VQdlsaS ztGd}$Z%l#pF2JT(bd~L0OQQ7Jo}&K@5cU<)On?)M>w;<&SVX5oa;hDt9MAxF)dq1P zoH>qx-`8RYb4bb-@O@bl?cJLMNU%oNO^N8+GD@ z4KPlXxLM8R>yzqL+nmb?a(Wv}&sp2lU-rOrs&Y=AnCO1QS-$VTbN_`HnQFa` z(RiUF1*9*no*hf=PyK)A6Oqoo{>C1nB%diPcTO|#AGZ3mRFwiYsHebqOKImECy$1$ z@i;Q!6`i;aNp?pd4lfq=2Jw3+C(o2RI~KN&>?rbcD8DU zsr+a2pvpsEJKCG9c#LX%MMj?4Zz`*9Jj!9H7weul2+@++&$9HQAEP2z8&Z zi>ZkqQY+EOycuDd|DrM;z4Mb|rt~D!uJdL-Uwpz3B2EK^#ui;PmKpE-$F~T2ZasPc3pno3=NfBpd+lIYPPJXxgf`c zCdUnfxm8EO7Wiq9RduUfP-h8D+pBt|1lIeKq&6uY%pGrorU*?gN7%9-!yc-9iRSfu zgC^}dWa;z8EKN`~1QcqwQgcC!)7zPw9zwig0&4*=6r*- zK^I?Hm0ysq9Ox72-d&M(B{Z;wno}8*K)NCt8384x26wK2QN%dLyA9cWontCpMcyT_ z*E3uRU|Rg4+ITQ6`45}~Fm8nwT`cUliwD|P(1kP^^jr1JkNFEYTuOtR-W%%S^$B8r zBnS%4F1o0UHaFY`uMrA^LOp)fT!i-JbxDJP5Y<8#TD;1AIy{$_vS)A=PN_dA?y9nl zmB6xsQmBo0-iu1}5g*hAF;WU6=p2)rC7Gam7|ZNSn*yr2iq z;hKwN#%-CSbfyWU9>`-=IuT9$9$1+VgM8jBn|#t8{VxCMhXEv%VuhnKU(`yB_?+QxNHs-tmB64Z{^T zn&U6OIHaMN+dY!BGx$!z2MPs!IR6I2tuQkBkrBq}W^m_KVZ19XQU!!aQ^eRAt^6Y0 zl7JN(`h-1n(j=JDe+jdCU+EL~3#-k9vop_6Nly$lwahq-!3S zoE}J^dl(B8jhc&OPP+;PlwEpF$NT!YH-R%6O*g2XU~*j+Les&96K-C6VQGhpVV;+f z<=WE-gfnv>AAgBldl&Bng*xG1Xx$qfF&|lk#xkFOd6hm|R6g23i#l*<>D~S1!5q-K zJ0>l^IOe7d!?IUr2|PBQ-<3@hV3+)-469E9J)}n%@1m{PL!a;jK@W3ySo_&95B~P+ z^}}r$t~d{JnF-Tf-+WGOEZw_&^N4?{t?OngH(0}9v`jl-2r&fb8Lf|!-W~F2WzB^q zawE(`_v`g@cjMi*H^`!Truk(x7vi0buw!rnxRBs=WS;(A%(rU}UBAuCqFAQm6yJQB z8k^NTjMeQDD{8~LYwaf36Yu11FIb}qmOF%q)vB6SkoS2WO$ePFz~M*BG`n>xa5$O3 zUCPmFbh5(wBvdOXKcdXX^0nR_!T;j&th5orht$9p_HC;^1VL%99g@;t+Mm)g3# zW4w19s5;c?_D~02L2qcVtVKRH)c>k6LrraW(QM2@X4$Pj2@GQZnf6Tu#Y zYmcfn9eje*1VsZeO@PCBEE86vADe*=)I59WH6PmB-c@tm3z`=q_VXLyV=U(*$|=Yy zZr;NtyJ!$vCiI`=jipS&YB9gg1knnDj@uaop(Pvdu4Xt< z3W+cca7pt(afw%g8+ahK;=;aA8gTMN)!{`{zE`!yOsr2Ar$MwxtW{iqe_nKXDS_jvD(cl%2aHl@WG@2XBL z*Z0~1^)9OdfrR0Ar#rdwiw9QNNrgxM(GxH5<69u5kWpqDU~qp)Bdh|LDZb;H2Agf= zUI*wA8K4J+8Uw|T!#&VM=kAfvNo99RR(lt#pDm>c+|8p}XW)WKlkTQ;_E-;uWvm~V zm~J~tqWZ$Rw^NgZGxYmd^UL-pf7}V~k+RmO=CQJi2L~z^=&^KqiL*U;?df&icGh3; z6F+8n5MA3-9S>Y|3o!kx9ub>iu!S?mUlOzwZR6d+#^Co{!{9>BH)04_*l@nHfgUD- z%*+chAgBg0;2K_MU0iO7 zf8v1pbi2WH$91hf-*Kl4X@fwC+V0XIO$5_7Sj#9^re_9+S^Eome9@q_a2BT5$Dj?J z3!MDa5`RlQgFC%NSTR;3ENd80G17RAlMv07Fn!-B;-{|j-7j7~VO5qc7TWD=HGTi? z^E7Eu6;yZn?3=ze-XPt@sR7@!7dnswb>g4nCh*Q$Lg z@t+{CxV8EQt3zft2cGOneXe}Ea57QM>s8j1$O z(=BJUJ3o?%^BdSg$+!9-(k6#Q_4VLvqtRUgo1c2fSSw!)ur5E^BB5BbJw#)fRtSgR zlL=hnof-mJ+_G;Y;K64YG|{ZGYx44Z6@U}&tluu7!q4dq74Ni%u34`J&%676f`sKp zfBpn|XeFBH0<046j_uDdXHA&b;sl3t#BhE9ThJ;2DKxJ(2KEp7-=ea{0K^sY*RXm@ zRC~Ab>oQddjMrh~&`W!F-*f>WFl8wlp8PHv_c&~92s`hkx}+Lhux8)q(2rZ)djb+x zeXHuUG_jq|-}`0)2+oWOp|v-34G-8U906tbas#lfKg|_rqAcHS_y#-1s=pUh+jYlX z=P_kbYYOZ{K7t4^0!!pM1+Ck@2 zrIXQsn5`;HkzpXGKvoyd0wwfJ36m=?9G)W#0=_i@5CQ8KP<*93cvPRf*0DQykT<#} z00QMGjYpbp0!vQfI2vQiXZ$AEK1Sq9sERk;bsXMs;5(V0j4$4jd*w72fI3l>OJeYc zTVB-t)*&7iOjM4fs%Hdsdg)UYFeqf(@sR|spt%qIkPaQoI6fBj~F zopw0;M<9>7-UEB4puN78`zQr(wU;w#HMxeZe?XhBE`mPR zUL?uU?GPe=lb}yIe~l>q=Zk2b-(b(5`FX{&canxl4}7;>-j~f(gdVHYi#Ni&i6c#4 z19p6=_bH?rc4`~>0Yz;0ucv_ResXZVO9{;41LB)UG>$CYci8FXAIQx-$VsPOWh9}@ zQ3q3+#ypZ}LI!nYZ8LTQ&qXmI>HBH)kOTKfc9)auBTnw4oy{Lm1pI!;KKl`3<8Af; z{5N?1=7uzRg6EI2&nD$C(9djp-d25aOrL9YXPBzabGLY!u%fowoGsIY zZ}CcAfbVEN?xadHsu1(>UHHI1PF9>pZ6A0X1Zkq`2kv446x)*^XVcHr7Dgh$??(JP zy{u5x0ps`38r2?8IVO#bi+Z`n>H6!0$iy5@yrEMQmv(7{j|XBd8DbBpV7Yp9m>HF57#OY6zI!T7D( z+Z^D~Qn_eQ-`T|A`$l2utCv0ZxRpu>Y4E!%F7f5n#YvIItG7pzV{4&vS-^d2y&ZqD z@Yk425;z{74p!k-!%Yd4j=QRp&!3LG{h$?a+U=LjkBd)x-d#AAj)qBi}L(I6@NJquko&`D$9k{-{ERLk^uITF7A$B;`O_d`ZA zt4Uez=EF6O#$COby@`KX2i0-+<%x`pB9x8mis#4uF^iOQ_^ZFW92;wRcfuS`d^%KD z>Js}Csb8KoP>-C8@w8O9Zb%fDTW(04B2Kauz$q1RBHy_cU;EUZNnd@a(mSJ}Hp;GP zA(2?l6M8C;6D7aB$dB@VyvYF*=aa*vM7Y7Z&inUrP@FQl?ZJ1+AY)#+LD6Ys%hDu1@J4K%k5`!Tz}8}b^c5{LPg92f@Le?ND)+_0byEz%Lz2~bCB znr1i3XS>%gkk+vEZS1SlNPXbYr1XERX}xJ5qpAFrPqa!lr00ccP%#_^Vf808ex-Xe z>(pqmCHnhk;cO~pTDJ`FgZfDl+qRc(y_ot{wZwVO@E-PJ-Pt=AhHBr(P5a(yRVj{W zaB`}gKUI1SyU{D$u^NNaYry~^fz;VJz$BsOa|d6Wr(O|*;IzJN`tgWzOW#(Y{U=}Q z>^EShs!;`l+=m}zmX|q(r!B3?M6K~QL42R|3$)a1FT3i;_mE>O%n&ZTK7bo{hEDLV zNR2SYleVRkPaN;d{c+^*nMW@ustLwWWyqh_}NErE>+28JB)J&qWQ!%JGmYN)^9z?ji9 z9WozFFegfNHJ~NkD88oF;AU13v)hDC!JyUe>?!a;%i^Yul%0yOw@mL$LrU|E>EQ4A zJB>czauso@ppLl2V+Jm5wGfwZL@Sdf;_^S)zyAsR*E*oN!`yJTt)CjdTE??6_)(*A zARv}W)>?uxjjf?r!`M2qlCvS|D`x{=7H5MKjj{ENWaEJS@Uc|DHlwz66BY2`{p1_# z<4n@x`6GGEc~KtfG&yVjtu{poND5m+V;N_IdjhY)K?buB1B0roqH^W}xQ??y-`&`H zI)$@gme<(YWpa&!_Ru1V+vP5;kJ@USxh!SDIhnHo;$>_NqQb1sSgRw(^vX$fRn9cx zWKnfhRmfbJ%jRrwb27H({%RNRmYm-<0AKXbj|!({jd{YdVxWl`C`cY7Sl&ouHaLPX z3#rjJRLW;A6aa2?Y8zYM6NR~k>t$wVrZHc|!j;lk+eNwKzp#Z-NgFLC6NQoVa0+3@ zGg~(fT(Q@@RX5FC_=T7abMi@BEpwqbjziu~odAv~c1t1x@q-lR*59S|qZypMub5O_tF1p$Ym5+xXJ#_{t+1;epjB`-5CQE8~fR_GJK1ssJbz@I2Rd6-~xL;{c-pe`AZ!WbqH zU(aN|lGn>z_yTy)HexW69vDYZou0vLU`PYpJNlljp*?NqRRbmI^?<;24AVah%Wa&P zfxTgT&dDHp@WDO6g>YNxp(e*T0vC>Z-U4d*3?uKwo86V+I)+et26@E~?y=!$Y3rP%_8=|g8U@~=*wL_$YkQ>3 z+gXMx65bJP4I>G>Li)Tzqri|H>yPS&9~;PlmUH+IBRW1?UbuMNfoAZ@=WaP`JD^#S zcL*7?nrb5jU?Q&}SL!?jF4oD`UHbC3z>V+SdD_A=3nc$LIol@- zrw-P-l!dc;&IV}X&d&9SV!XP+$A;ZAvd!xml=##3f53=NZ&_>j>Nh&xutL*}g+hSb z;rUOiiucm(T<^ls2oKZn%=xmIhq&Fa2sTNZ0Sy4i%n9G##&j(AbNeG2zoEoCLH_zO zcQ?OM{%A2o!ZgJF_P_Q?RqN+#?N(xN@pq21umJftnPlCkoXQ{9+)0>Q)snbwzSseC zw>Gmifd29hdB}bsXxE{!fe$sY@m5F--Mn(twx0bN{;{*mTYShyKHfn5-FzI)CyJJp;`b?N+O$@D zH7N_1XR9dPhQPSBVq#_kmDR&P*FK8zqMeNc?g(USzpynd17*oQ-9Px|;f=Pn@6Yfy zhmsws5Ndrn0e@`nISwYj_70Z`ZfF8QyYXuDR+hSA{A`Ay3S6!l;Jr!9tX;zj(#BTPm=y9O zat%(zJZIFk+2awIK;W_m&|GbGXGd5XfYUJ3?jkn)J>eHSiaH+-ra3*JW1e0yUNCL# z{AB{3%pi%;OMhzh#5Zi62cmp7$*yBXs$iTmzTFyjM> z3ZASM&(DLSO9m*QcJYE(BLpthC-6f+ytD7zUn}5IEoSGq@&s6UUFd#^Z1=!L`}(vK|FysaL{jnB*-TtbQ{#q5dyT30CoJhwsaVH{4FV9(#_`Ua zF+Eq&MsxjJx(G)GP?#{oeof69Udza+zjt`g*s@Lh+?tggRPKdQ{9etk_(-l!5`)Lr z(+UP5^a^vvh^Mcp8~DK&ae@Vt98qXgIM_GB`>L9BgfZMlKNmeg@ziahUr1AZ4d%LN zTSve>#!J*3iL5483!`9a+79%ZYJvYb4Czv}<3+ozsd$Q;C@m;*iIWbJ5s`g*4ik$XaZr2E4c#lS!ZP^v?qXxl$1>6is+yn5wTZN)boEBd8I z;03ASKbWx-a+uxM**Yd|WD-(giKJmMkhsv2ot^r0$#pS|s3g(zjj_{TWCI2<&_qw& z$7YkMb}j%V@=tQM`4E(~cGpI}s(MkbnsNfSjQLSamMV-rA_Ck93v#zh&~eEN&M-S{ z#W`bR;i)64U!iqRs+D@Euin+v2t4oRoAQL?Mq7|mHr1b*69Lg2`i5uhVAs6<^ z2cI||3OLeR+ig8N0Yzi~IDXd6x4L(;b`5wAUWf+FoYZU)M{5bGTPxIZ5^JVI!N85Q zAh#8HBw06PDf?1WhKP_r1RyvgrM_glWvuBB7n;C!q<6C0!v9a@8YVp9^qFElBpMO- zPX`E(8b$q}e3$(wj7r4!OumwrT~_FvxvEu3tK=CAv0Q81A95F zv?!BE3k>q4?pHSuzUnBcGJwM~$Oy7u?8K=XBozcf6Rd;2VI+5~$!G{aDBaVwGlfb&5z&UK)5ZQ0i=@%1Hp1Q@FbWu(qB ztk@cOfFte+NVO(xixA;5=S#ZApWs`h3U!0+tJTYwdM4}3cTNgqhBajMlqylo=oXjrR_p2x_?jJ7tCFha4zW_G& z1E9{{x|)VNNGZZ+bxc66T%(C{xj@W&Fj>oqeR>WYh9VurJ053v7iD4Wa0z-{3J~Xv z3CM#_7ViG`t5VM6?OIOc&2+aAb0B`?r(o$5P~o zI~Lz6Yr=mGkZ=W18Evunuf9I*Ej_@UAv^}k>V9ALcGX5-sCY39(~;iJ4oZ6i`J>dK zgC1s=J~j%b0s<(ch=}x0+4faGw{x!>{1}Kruz^X}HNeBZIKzaVI@eaNHECQ#QQ0PA zpu~TocFL{M`?f{oXGuI=ke+!Qvu>zFb~-9^0^;32d%#Kn3Y99NP>s{KK8*TLhP;53 zC@eF)TKfQ$2!Rbq@48{DN&f+N3E+35U?Pw(s^E{lQxV*GJJwqXSsj!`Fy|6CEF)ub zGM1(D*bkI@s6(7XHJ$4U0+GaPSyn(*kEc>uw%xk>Y&9<1h-v~6>XDlyTM^;25KC~+tx!DfrSq?6&6xSr-vzrXII zIx@%$3sg6RkQClQLiQ_^WK@_6dBL2DCl*gT&WXK%%v zI6UMN5IVpDiV63hFM^?#bOMqM&q@w??YDJU>j_%w8(9+p%0H3H0$Hh_xFKY`c%d&v z(-D;87|h(wM*|;~>aSBn?&h20<9E5w2SV_6JaJg*pMbP$IwWlQem(Iiaq9D5LzW1O zY3y!36!@sohqhS)cZH_&}$si*euM#NCQA2K75oi)`A@<*mDG@E@&MH zE{9^LCW=-L92sE<6t#s5;~(0vZxmvOZN=ehZ3veS8JEi+DkDlvQZWAFf&~VXTO;3$ zSpzzUB-R%&emMD*n6mh1vH>#0fo4SFsM283|ha1b1gU*07 zH5@!@lr{l)vr16sNG7JplLf7SE!QH zs~}{)@Zc((a4s!!;a=HHXaf09SD3KWnruR5+<`O`-BPq=^tl^%N{75@Jsw=R zQbfRG7;PbHGd`{vY0+@R9pEXW{h=vXmKl}TGW2WP(D)NmvlM>x{rlWV*o0R*ufijogZ|6?eJ-|nq-{{0Pw z3^Yq+gTYB`1wEXUa^{acP>Nrjf=l=mo9YSVZEb9RyUi~bfQ22OL(C%$pu3)g%1;FH zvb-lCUCvYRh7KtL$sR)&Ir}vrAw$SJ8V3 z*ojGTW6)nW(A_{;C(zkIdq<2u^cmbQgu3rp!Aso*c>WoX6k$YEf_R`}0gpyHz*(;4 z-W2;MnD!N%gVQF5Z8njO;}6_m?+iFJYmLZnmUnu z)NJDJiQ);!E;E2xTQVNNGh770w@+Svul_;0o@dEHC7HYu1*qN8TFhM3-naMGWf*Tj z23nkeY5;hj4^eD=?`ClQ)QJkYN*nNn`FthJQ+jD576QVoG1`DAsIwy6CzrLgsnKf| zH|R3I`{k?UMBB`v{=WTBT_)fXA?qXXXG>2t2|T$7^i}$!X~}7QFpW*xZKlD|H(b~ap!}N%A%cWwhe&d zbL9}F$RELXKkkNoH;?ZjN}_sTnd&r)K+>g-#S;+i_y0706^%j^OoZo9M^o_R_DAW( zV$&S5&$0Jx501(H!NVmi(mr)9L9g0yCmq><$M*G0?HG6ZeFU9FV~ z5%MfDrhXW|#yVeWQ9Uuni<<^q>B<(#DX?g<+Gj;nSFQ!f1gA3{U987z=;P|}MSfRl zekX5aKhmTV2l}tm;TK2XW#;;TV~r5;2O*Uec})wT`6bZowZxnv2rMB* zo$>_Nil=H&;1wQLpL#;w+h4e^Tuv-U#G+Ad2I%O>7Mbg@XsuoGZ08VxlNN zftN=0bb5V~=}$33ZpRdFebIcaT*6!MK@EidmAJH0dq65u_3GKuJ=65B7zbkF7Ze=W zU*g@wD~;I`*2YDZ2__^1khNutQdL=|##^afrQTxuTS?KyqdgC()siiW@57;~cSED@ zYInyf3RNb+eSg|q6eYtSLoMODjN|OiF~C_woBED5!2yZ*1&!81!g(IraiqYYXQ*MIAY7R*RLpbp5 z*ba&k-Nc8l3I=FG<2{^ZsGy;Jc-pSbt-+dJ>G9HktK=zQXH0Xt~`zsR7*XS8SsW? zjDS{RKRf_ubaCb`(rF96NO04=sVaJzLq^O#TrP88CjWWs^J9xS#-4>Y6)Fh8NCkrDNHD`6tX zV2YDDF5{H1yuJ5`@QY$XeSdn95+#$`hl9G@91WAX`&&@`?C^CO8O0#KEGF`EVn3c= zZdpD0RcPDKO>&sP8v(G_vUFwj(FAn>X;J-pe5j;T2Tq6z*0k}+0Al>1Z+{^%ZRU-1 z$fWYIuStqSoXudNcR0=r86;t0GdfhLV*L?oa)}Kc+i;=xU$z-CN)yiJ`$>(|0TJAS zB0vWa*9JrquKW!nn+l_k_e7c;WJCW5D7k4iL%!}@MUsxc0jXrfo;H7o>M@F;&H(rY zz1$APb?itj_(AdV;*`dw z`X`VOB{CjUoDINQI8P$pkbg2|02{4<0T8aKkKP4H^SAfjv-=-zTJ~;V6Fnxf9U$E1 zhSt^`N|tN{S0QMU4A_vDwfx?PV-J9xyYJc~&KI6KkcU*TsfEX8xF9>wN`2AUK(S2( z&t=*M7#$nc!x=+84oukV)qhg-TbikXF2R9|=mi6&xRK>Dj+lGb1DXkc16vX+JJE}6 zjswe7fOiw3ps~ocQB_WrKey;8kpOFqtbt6~JURbKjIP4zzg~rg5(&iC$bK0of%>p6 zB8OPT8j3Z^$A-?Sz0ivZ5by8gRqjbs8WaBIItB_#B;bgV-7=1nozydiT2FkH8jSUC z&ru?Yfo8X5oT1h#DP(7JHG=)VfE*cFOCXQu0RNy4PL?* z*i|I z$^cm!U479r1(nodO);q{Er1d@9mJ5SMDZbaVv@ZhLUxX@p`%S( z$y?-~V#?YZO@%$%%?#nc7dep~wvzbOA1|cPPe6h{==S4{+{$)#5;;S;BjNNgl8e^V z)dCFDU~MuzS1hpbs3Xg<{BAJ$->ucwBJ9$S2InOHT_j|-o%Oa9b{VVhX;oY>&Jr&B z8hhDFqcFqnPKdD^&5eX8>@HN)rMAAvJURlB`Qa0(G9~jukb^3x$@7kI}kr<9Lher9#%-$7N22t}2FKONm ziESPJi8@3-%V{aZO!NiYd@Zaa9JZ)=$gt0g+Z_9PC1#V-Qs_tCma{p3gF1y`8`DyF zA$;bXFLb)NpCCIIw&b#cbd}|$C7d$<&eizFozcE5HkQ3GTeiwc=RdjI~ za)lZJpIy=6}O5oa(Cb1HP)P0LElGc$1m^fB@%edP! zs2>5na3Y7a-gqGrfs9o1)r?!|=-SrHiO_z8>D?6iVH^ClarktgFAqMGm1oVnAy-P) zKp<$y_A`uo^Tjd$GP+3XQ|$XVN*fM1raU!W%_I#^6%lmd-3?i$d?~cmFSW!ve%qcL z6H$MeUSmb%+AAQnwaGI)r`ed9y1K;ao_LCPvUsN=WtA8As!hZZ85Q^tOOEp93?EJX z9nu2uff6Nn4^;aWE!y>gX&>lm%$;{4c<~wNl5!(D_`?`-pNAd!xiu_pk^<#TMz3r< zne9I7Vh`KGWMG?&1t1A%6cWI^@(iy%V@LFnTh-_~6BWi);Z?c=k|MVGQO9g~NATRc zo?U$^xOntTu1f_bM^Y801}#oyY=T9H7)R|k#rliF$Ljcmy3LlJ-7(`|N`u1he)Pm+ zU^QmtlXW#oBqfmk!|;p>=8%q0Fcibi3xof>XV=TK5D|G0?fzaV(jBxu@HVVle(+W@ zG2y%#_b1ZNV{*N`wWf9KzwiQ}SrEwqZ|6D~7$OfGjGj^ACW=KHaE4y;zFR>hp#K?b z(s?iq@yQ>Q@Q~+M`pdLG$G>nd2HuNAks|&^k)KxVGsGw z+ZMUO+ZI%Z7d!K)z<83p)I1AuV3J@@C9g1QE#LqY<@bN-kB!`1YhPg zfnn#GgX3o%EpSMSz}rCh zKr>7s zTB#1Zf{LCqi!AUsVa1O!P;*9w!aLzy^g!?gy-dDNID=H4P90%^dX;coQu!~_sC0J0 zo`}bJ|h@2MNc+5;`1E9 zB$Z{O46@-jo>o;M&XWY;kuTw z{krh1;b;CG>8GQqPNUWp_Q|Q~~5W`sh6>;uwt2YgU z`M$(&erxNd9%=+7Q29^k{Qd5ov=Qn*uI1`o0Utm+O35Ggc32sBe-!s=*q^myz|X%2 zO!W0!z2v0rv?MHL{C?oikIcVx-mJuFljnwpa%U)E$zO5OKnr8<{=R{SF0Su9DV}dd zbc;P@Fq{bQw6EiwygprCdsxRMu*Z!k^zS{Pe)gnwl@uu**?o+4qG@+2e4@Eo_!b9# zZv5~Zj&)m2+FST@FZiTxtIj~i31zLZPJY+-aW9lDboh9hM#hO*)50LS(ODwj{Klgh`A@Qau5xK@!>TK)FW7G!I>3pk6X7>0b$89jsc2Mbxm)o2L}MvAz^JTe zt00s|I81Km~tI-96W>wGA?U1=ts5AOK6w~Jrcr3*!GVU0W z&r%bk^)(dVOYT`wi`rQqI6kVva=ak_)?iOkqKt#5(ZSFFe(tcutSp-#8SD+bNIO7T zx5si4M!f09NOxxC-f<54I_*v-TlOh zal9;u4rZHJ3m$%I@=suP>@D7^_-C%uo5^ZP0yi;jkqqQ&$>>bF&xDx>=Roy5wI++~f{#^ZfjwIVpv@qS| z?a?Nx_zw-m_~O8eeTEYMUgjCDWczjLw;jg~^IkN`cJHH`?*YR)bTX4t^8zvEZ=0gd zoC2k5I@F<+NP#8|)W1D*>b)@yu5%CX{oYy=qBy*|7tw5*$5w-y3BE<&Enj@t1ZU28 zC!aIevV(6fM=PLPiFQ3Q#9GrH0O8g&u9;H z?AMN*6H@j6RPX3--&Mg5GQTBTl)s`zCxhE`C($L&HOT-GobW zIa0d8z?5hoPJI5zvqTbg!jogxQuGs}`5N!DViWh8ddYcbRy})8=Z!6=Z+{_%RmmcI z(^Vcl3D+M50dW?ID6W8e^uyvs)t^5XUmIHXx&GU^zv#++XU+IG#y$&o^|fJZGKO^V zB7U&HRGBJCF`dADL&kloLMa1hwEZz#4uQG|iyV{VRj^k+1tChVCrVC!3*DxC$vU2x zOw%S8Zn?%iR^qA4Rn~N>wt%4;<7G~$@7%m$9JBi7Vb1T3rBCFJw8h%q6GvZ<7{4D= zK^r_K!dRpW!^Ug`qP{Ga>ad1@<6cm_pF5c34wZ~rZx_gchyTB~arpsn;~H&wtkz>j zLJAA||9KyryMxD8C{~{CY>WRDHXWTKk=_g7jRhfA_Nb@>hL-kI_}TIONIF&g9SKGm z8SizNKc%N;Nj#5|Ac`+C*xxL7cH7tYXeL%%rl?_?4{}SG9h?1p8o6Y0`R1ubBdyb0 zqYHR;%H_S;{qoF{xx-=Syh(83!>HH?TaJA8>x`FIC*k?OE<2+xo}7>V_R@DhfpNFw zhm&imr+GT87|z0eCfATlxQ~;|qa|Nd4!==JQJPDt@%UV6s%0S6Tov}%5xdJT;rxdN zEBP~pbFA>3fZ$wG75TDAh*}B_JhJ*rExE-TeMbEZj{4;NkhwzDX$~fK6xtjKkHzN| zg!jvzw?kyF*QV`6=@ZnoShP5iqbTunYqr0Sf^+m!K_n0_2`avv~+p38uky=^A`*M7>?eF z2Id;&eoGLYITL&HL1N`tcSrNniqB=uqC&oS^6-~ui6OUYxm2MQi|Z_-^1RWhYQ*-6 z+iHd4)XYK^qFK5fEpKEPQ=GnJ2^RmmO)$*_uYKXyWo`94b$pTisF9DP%H1Y_j2*0N z%2?!`xd-WkxrETANZL?RX#CVfuyDz{&S`Hg4C%%t^050`N)yZHex8T z6x;LcJmcMimpLls|Egj$Qg+N$cOoSknl)tKya^RUaZ^mxN%7RzsVKTsZqkTMrG>cP z$aYb>Cpbx>WU@YpVa3}>68~Z+vy6YIA?xwLQLd{jvo9Mh2X0=W8J`rKS^=%x}9~5@Yj7VLjJ-?V2r%0m&9T3Nj&52Z5CTS z+-4P8OlWyxV?*&w>1#goLoeL8DW_aJ5m#s@Muzq3+y(w`=O=k$1pO>;CB9|RGS;oXhR&^*QQHS=V?<8G-Ygs6?i$8!KV)xxAIjxMwt02Bbu|i45#(nl?QD>|SH;Fqj12{zeda`-+jXlX#r3>4J}Ld=A4lX-?`{C${aO z-7n;VNR>_p`hyWMP0@Fvy=*9fp+Qxce6IUcW{Lv7-`z9cR(d9IX^4I*_RTi0q=Lqh zJ%5RuNxmU7dG33jS$gX2>jEjos-4}B9I)|~Bj=!jl5#{x2%_Q&6nf~Xy~Fa2A1jid zVta8r^zRJPTb4yZq%1M*NF|so>>T^5mKTiwhp&{~u|+*xd-_VeLE;%lCuDSo{xIwd z5)oW$tTcords6-^xn+lE>ChxpqNH`7AY!w^7lk6W;5|?L%VPe&5bsqo>`>Yc1(RH z+H6SB_yaksdNK*yoX78`Q8Z(DXL6e-xp3&M#-~{0V6b2K`5L^>QX^k5pBbKRR#>>4 z7WiEBSC|c7uKZzPP?MOWCkF0vkd0aJa>o43I$k?HXiPr_S%Af{EsGjL z(BSUw?(Q-WJh;2NyM*8#2<|YrySoN=cL|W-I#}S%zW1JQpR@1&-rEnp2D;bkziZZ1 zHC5F$Yo$%97NhVtlslW$!eqKOUp#!UtqVNb!WU`JNt-gJnE6yu*Bn)U1UyTu!#JUB zxRk4$>KdNIW9*D3Xn&ZC>Kl03CQ%MRNC>!C{Fp2mm6UHPi~nmRN!>t&JIgC)k8Pec zcJUR$1%!738^gvJY~FeZj`G4Yy(DH>n-eb2KX3JFTN!a?7Ux^oDeR{`*<`_-po_PO zUhgIuvT$O45x$uJC?V>@UGhE^waRiq-7lE>BG2B6L11drZ4q zgy4|Ta?^lAUU`cBZ4?*rhh3K@(n)dyF>&MUvxztheqxGqWEX%WsZOm1cZta~_SH(O zC5G!sX`tQQE;bcWE~W04g#eqyRPLOOQEfP*$4IL*rsyr$_=(nyWPG@^iXeWJMui&0x{nWQOdmora9-^R~6OBjB&5`<6cr$fJvYa>#|mpMS_24KvTC~G*g zY>HJ_93Th>YOAf6G@ln_lmiT8$w`LX5oG<*P-X2Ya!|x$slFS}kLt$FasNOHzZ-jQ*JsQ6f8!O_SGFc=$q z4+$DNLu~i9P{cSWrflF#%E%q>3u}N{C491~N~&Nc&M2X0dMO7aeT6RR-xfBAlRDN<~))$J)V*f-sTsXn9mq}I8WGvXp+p;nOVG}o` zF85uivUkimg~EzB%HB_pwA!LWW*BslSjygt(QZt3IW&(EdOE+%uup20A=7axW#aqW zy}6=p!OL{Coexxrvs-k3&+ijwFRqMeY5O|Cu?`#8-nTKSNz2WM{_~uLvf&)o_rqvs z_OX_gh=DCREEaxdYPrl&zrl}1`nj^&0W)Wxo*5Op9^_Ef_cb~RbK*qiqmR=0-1SlZ z+E%m%X5{5FYn=co!B8Q(@hzOtY|@J5qtF2{?23~imAhM&Fb?Fh-Yae6@bACwK;q-$ z;vmI*ru4CrSq!nsD${85g(cH~{PBny2e-t7{c z_NP_#%Q5+`QFl_>o7!QgzCT6GVVqjQ!_-D^;P^p%(ZZ2Nkh0p`XU*O@;eZi7eL0tu z9kiMfdWtyM(}zt`A?g*nNn6}`>EbKZXM<+oU>k4E=@(o@ZLxjnqN^m|viqYsZ%4&iwh*0@77j0Rp57#}gr=12pw<&V4;b<-E8FpGPv9msxMyK@5SfErGY4v57n88( zmVyUx!<*o=3ldDy^*!&rYt8`g+X=PZaIbv%VhgOo2lr`q*zo3A#dkWgZ{*sUTDKaH zoYH+DEBvV%>%+6o4Yg%vJL=yux)l2C&`|Y(#XFW<_$(`fdO?H7V1*Nsu=&8q>JpoE z=9Qu|w5beV05UoCbB8CFWSthKmWk`|p=k7lbF_Mcg;EiP!-K`+CkH#IhvvdF#E0g= zQ*keshV6ha?(F~@TwQ%tDNFY6sCalhGGCuHsF7-NL=SbpGnnVn6C#Q1RjI1m6P24| zXvPwALB1<_fVB6@hm}0nml9aMWD?GVAG%-GlaUqJh6y`Th{V;intYIZ=H$sWcOhOuXZz|jsv%O-NXat!g8aY!;0n{JWZ{l zpt&V0f`i-|_}N)2lbNL!VNJH!qVyG0-=z&)?Eo<}l3@JlEkaHDUDdL7P8Qf;weVv{S&Y&zte$fS;Z|d~peSgbR5drzsS6#wB;RG{1$ap8fs< zfTK(G3!8}ul#(U?B;(7<0Q9G~dEt%#{2D7#&s*E8Jlm%y95oH(5%|)CX(TMOv=j-W zB7;|G%j_W~fQ#=dU4Y224fM?;j#|APlrKGGRmDTW6vmD@vC%=0=6E z$!UyX2!0&K@I9#louV>C3y5hmHqmA`)1V46{@M*=QO!10GKbbfrD~HMCbm&^*0T#{ z@}zJ#R&zF&;BI%4vCuffW#)z1-2ZEls(i_A|3|5d8%t}d`^j%n)L;0KIHIG3ORq*x zitKLgKIUBY0de+%bRHtjGm6!o45hq%vuyEe+UuJi5b-4&b8NXY@=fIIWK{upXHD|@qD}x@s1JGlZ~rrXa;gq-#?+k;uY8y zL?C(&_OufrE8wwbp^YO2@4q7na69Mr@Q3%6u$ZTk3WF?oJ&=$KrAN099Z|) zD}nVv9}%P9;MhHOkxz$qL{*Ze_enH%kkeG%* zTT**SOQVY$D^CuDYkup@&l8lgLH)JIdnw<|JL+ zPvf>qS>x=mcvJ<&N93UDW#8udhUR&z2S}SLa(7gxIUH zA+bK;4zD+uY;qD>)`^+P`5E~7v}L|<&_}<~Bl@=LB2}c*f+vKtpW5%|U2CjS<*5aO zG}f|(#cH)N0P=EM<0qZ~;oTXJSL$HEAo?-sD{ycU10k(51%+mz+8-pI+eO9Iv z>*n#ZFwJ-6cjmzESi1^M#5L;X#XvlJI}_caSZ?mRDg&Oim5FAbfl^1Vn=>9O+q4UN zU@Vv2yk{);Qmw)^&zjZ%_wN}(O}qK{N$1|D*GVf=sQ8{h@RbiedN}VyJC+%M!l!~C zEK79vnK{4XWIHT`6O~5A@lfyCcLL(MEb8n#Qw)ugVs8+&%)g@_jT%R=t&Vv6lbAKu zEuO4MTvl(3$?;mR?BmQi!1Ga433p>M3ubaCcG$tU&X`Pbd$g{&h0FQ3z!Lg$Z6Q<~ zZ$3#*9KFNpn&0V&y<0)&uY{uj+&iYoZ4ha0ZFFvsBjD+%tWydyyz=GiE16HZe&Lz5GNp@e`UoHx*V?8AcALMPE3sJ%E-Ub?wFVuv;{gbGF7-S^ zXNQpl!}YBfTC$F6zkb|rGpn8*c4=Pp1IA+6r9uM;7*?2<@$RaOIta@y&K~FFn~3e_ zy!4O1v02$wbi-#iN7*m3n9Zzc(BR)yndsi}SXHcQl;GOyP5YG)*fW}RbHs9E*D1gf zv@@AxB6C?quNGSp-0Mzo3oR4ERyy|9Zo3c%sssEh+BOqlVm(8vnuJXUZZ+oS^D%iy zc<0xQEJe)&0gWIPM=Hx4uWfpAxB2+uJ?e@|g88v1=s_%1ZGs?`J?$6{^!$*A-^MKf zT55wpUO8oiC|mO8ilmo4(T{;YSM|l5Yt@#7ZcmAOTFq!r0-_T&J9(4u8Z5-|u9nr7 zBo>~6>72d(UV+z4;m&4f%zHDCg^zxD-4Wj3En#|sPz1~+7WOCtG@JXmI~_H3oomL zTG*0?cW%z_Q9GZ{b+8RrLB1aD@(%cAEYg~|~C40@GUxUxdWpjpApJjHI z4R97!nLWp*s%f1yb*4;0WAP!3f-@gPQI~p#fh!suPkJq;3muezl_r!GyPEnm3%Sq_ z(bI}oacsTNZ*AagsWBU*c7%uL?5a7-UOu0T&f?AZ7Q((K8ISais)v_z-q6NTOGYp6 zCrgv3gEC=~8mDL$WY5EiX@eLYZTI6!9BNb~urbO&@@%nq5JOLD9M=oK$Ej`s>Uq#nAF~$QB^_Jf(9qu))9SsS`JfGbdNxa zi)_lnh-n>75+;uwmcGKhj(vwq#j%c!ca_pctD2jTkgj9Ltm(ceEtA9V6&>a4$N`?; zRCq1i(ZT$`i|+SQS~F%1eVT#V8+UaI`IxAn06Q~U?LD1124eA#&VZ3gxco-m>0O<0 zWffum-muRqqQbJh=t{KA%+9TX1qa_QplkgLFuyvYI0=Z4CK^6ErxhbZA&2 z6?2!C2`%)XD{k}$s&xgNafJNB)+-Y+vLrMfLq@6)^u5YxsSp*JEblr$VA#(8v8%8PF~DsfwX21q=QWCgN3v>9)J} zhLk%GY^6*wwh&-V+!%s}D9Yo#-#by!H1ig#B18$WAs;7o?g>Q$`SO!^m-mzvX{ozgc;moLI84ZU;A@#hQ}BW< z=xpy&ftG5kf!6~gD$~;J6$|7Bi($O~RbiAtagEmiEXFt&@)B5#udx(d;3mU; zRs}lRsY&o;IoDD1Y{)ZUF@8k@Ily9^@6E{5(ZYuEdyLW2g|6cSXlXXft-zaiF?g^? z`->C~Rt$`K`;{N{--NjO#@#rLhc*}r27K4pP3oYeLZ(zy-0o#4&qY;iGgjFH?H&l8 zUsh228}eR^=J@aM?j4*~@QVm4^InXPddu{N-mHwj1>ExWr+;_$0ChMK-{_1=ecJV7 zE&0Tg`@u3da^EwMJPYNBlYix;f7LhLF{o;`#s%N~uGNXSt8_h&#JjPBg=&jNampa@ zadZunx8)*l2M#p zFs5)^@Vz&NOF)J51I<4`2Z|ep?XlAmZyt-I$^DNm8j24KynHBSt?pw#`X6QEZd_Zg zR)4?ng^#i%-4o@ZlaSI_w)lb^*Qm07Rst@2on44uo>Kbu9?iFL=$*%$T|9(N5Ad#c z3;d%?2Q4Q@SIrOmp;$f~y%HYLIP5pv6hpp73$4Wh(G9t2j>MGS4o?;P9~~5dP~gV- z{O|^l{JDopBu&=0hJ9###}p-uQvo?D#SFzMjI~Mto@f>d%u)``WvRlcN5*) zYI`T(*PiaYm1G%mL&6u!?$A}1f~?0U$J;YueH)i4B=j@?+E?N}OwF8#UhpxKCiypE zFNJO};~AY{O7mRmzMQ$W%Xr~nli>O_$JIvrRqs8qZ>Z|Ir0gKu=BW3}36bzIU6%9_ z@3w5a)4jmYHLeSUl8xM?h|JD!^DQrn%+lbG_Uk62JKKA@5Q8$69P5rK+idC3!aZp$ zw`YJ*M<0$N`S`XQ^?2j;pJk7DLK*_&ZeqWFIATjZ%c4cbzqeP2Y@HS0dsgdmFS4JW zcg61_cH2Gex_JEo8*(#|1$chPoF(mv=b;_AoAjK6?p*8Xa?gK?XAXLvA+%gO>i1p< zT@*>V$UN)FM|U0u3&D4neslz1vVof%!O-*VCL->pJlSbXNF|c@Mu5*3rmII#mbb#i z<#pEH?>?=zrJtCL!ZoX=HFkO+(8tCr%W3J5^GT`Y%6p|o0P&nL3+RG>23D;tDow`U zdb}qt_+6*m!YG@YcNiV<%u}O}iD1<_*yU3_{j{96zp>9P+~M0!#HPkebHM2XzJ!Nw zW799y-K@0vV-$z|o1K%c^|4S_6-6M<#<1n`_M7_;+FiS`(YAGP*T6hEDc7IN@(U$3`*hb^#@%(Bf9In5NxfWcdcSjaT1GxO zDRt0Jv(@cF#QN-9JfcwTx>u7O*`zKNfXYI?Je{$wSv@_GYVXmjP%Y*faEs~zOV7@r zFIgS_?u=nM)k0*-^K7I%?9*G&@7Sn`JFJA0g!^QMwb5Hlo#VB5L%X+q+0z-dgOQ=9 zD>lRDvBe>$`F0`CktUR>S&UCxPaxLeF>pwgHZl_b)9jqot!8#dX z>%LF6?c_TraX%Z80P*aEmE=YVs#KED41-7Pr-jJtntP5{gAer9$i6zIHu!kcji7TI zU(LA-kztGCBhN;5b{@GBP9}{`=h-i^YCexTz3Gm7)0?wE#y-t2^w%9G`xT4Z-7ZzE zL?A<#vk~<=q^^j4N~}kTx&S>Q8^bnk7^F4>@Wp3QN}R5I>!Uis7hfJ;)2!}vB^hs; zcF&RN;j|%lzST@{s|(o30H1Nr`7(Ot+!n0|3fnqUB!p5sm^8VO6rAsN*|d*)2I)Q5 zU1cqYqm9tfUoV^NpLE|7fii+=#_zN%W4E6>-wp((Rd-d@4>01NLBgTiSCkVdTCX+R z&zP6~6x+{|H87Jfbyz#dNSHpm!ElM5(mQFdhB_K;46Ne9>ARE^#x?#`X%s*fWOEhI=(OzGBT=Pzp zWK;F{-%trD-Uy*PWn8f3&ik?8`sxpE*knHXR2rx%g~FDnjG4F^ZhxNl`#rZ!bknut znsG7OIPWK*f7opqL&4tf)NKEgmm)w@gx;^%ri|{o%qr+REIMkz95U#cbWTwn zX0mN}-)iz~6AU+@@4>cA`pJ>-{h+4T%hxHWtFi9>LN$D%XVQ&#gO^LzJnQF}dAN>h zwLiyp;VZ2t846pLqP1t6aiJTwz|osec(dcUUk3b|L-+Y% ztXz8)5AzMEnUs1+yZpyu@3KH+TSm=vPp{u*RgFV8LtzT%+`Zt^miW5(^z({uaR91w5)rUk0xTXVVJhy$m8Zu3;Kg3=KZT@uG zcL90QFcw>U>3nQ1&N_^?Bs`oKw@EQOsWoA*VHk8ykdD~xFU7pl#dQ}w#Rd?ewS<16 z8MHHYL)~j){S~9d6w^+s_yJfQG24G^G-zqOfK;cA>n^Q_4G1Tt{s5Ffiw{k}snfzG z3gcyrL6%0u1_+@BhJI2SG%$91zgNx5kItrvOBCwG5aUC(ga8DhS%!Xc9Mm#ifW1=3 zB??}lkBO7g!vgrDsfNxvN0jt`57(lPc_NEL0PdqngwDc8s}0H+yW#HvS^0_TKH-9&7SP7PkW(W7InYo-(FzJ={LLeR z`%4MglyTKbU*Umb5&r#Fta~}E<&qN^014EKkXhwHeq%T6y)0JzFkG6LDS#ga;E1#t z9_Wg;95U-2Vcmc1H^^a(Dawce7(g2dnS~oj?RTw3ot)ADKq=vJD-f z*?%lPNWc4LgH{qUD;4pn-wJc@8!LY73T4bNDKk8fe~{8xE#8YV=1YWB|FOv+neoDB zTyPutD;y9oNNmiB>@1BND?Nb*z(92jnWc*0>bD}_i(!2tHi=sB18hs{ zp#f6Kis9atL%hgiGSK8gX3YlCj5(p%Bykm_UQk~h@lC>6GvZpwVgf#=k;Pb$8o&X^ z(HKHz|>{S4d;3CG}7Nnq<^)z|{!Ye%cT%(wKk{UecIDQW!X3 zTf|$R6|qSmYesx4NlZ0h0tK*4x&;e_j=1YP9*MZ=JJuO|FmfZ>^JUeESRsz-k2vY0 z{gOr;qk}pfoDix-98(an-&cyoCWxya|3DOjP38d$9Ew=)vw}DAVAYB8B8o{N(|`pM zpq2#Bx(ps0HNLyz!>y9ELk3i!rUuX23?3LY!d&s<4xvT|&*}{B8Z{!b@#1bqJP^bz zQQX4-ks>gaN5XCz{HZZ9m<*G73E*0Sr8EJ;LhnQU*UEKcWu!ofy!oTov5PwvDN%4*Tgn)v8 zP=opZsr+(vaf(%2cUb2|^{?(R$-!na+O#jZ%Vb*BGX1vVp2gW)fa-=fYDcBAQxyBw zP0{Y0r4SrTO#AaP3vVix=jzOlJ47HU3LY7LT&GXYO9YRPZ=QtIMY{ST;1*UO*eUrV z95X4d(m?c2E5v+~7}#JfH`vi%U16XhSU6#S1VSCaSCSokDM2}-p$|9~3Q#G8Tv&Trn602;VGsUfzcfxHRdN%pQ=zi4@xcTeO1b%{s$Kp6el{ ziCVXys`LlSp?KRq(FwabiRJR~rZZac5O{WPe*vNpy*tFJ2vNtjW{`bfG8h;074~{m z_9=#XN2W4#*6n=)`Sf*|SE0u{AN6~4q}w`-GWrjf_XScltW619fG9^Ts~=~HyjX&p z_D2aN=EUCK#SxS;N5-?UUBbc_=3fIpZ)`p;IzYWm zo&u*cFOJsZIp!VX^|u}DLlzLGa_A7Zs=O=}k1-SFE#Cn9WpD0q&J5)b4!3ql2?~RfAD-t@i zT*kYf1=?N$xw+;rGKY(RfT87;vf|%wvPn}3szB}7sd=7Jeu@1;;uFo;&#MN2A zpq4GZfV~C>Pq|V!bbzgk29yha3597iXU-GjmI$E2%yYsl*gkM%qr6fWAmCN*PPiS$ z_H!Vb1Jz>rql}STf6B+&$i(LyUVd1|0&f(U>AC|x3%LM)>6MQi`i9Woo{g3W1dldr zT6Wt!zS(uZ{}z~t(X5=&q}Eh_!Dmdlsn1>glfyB!MLBcR2N}z-8R% zjm=ug4*to23ljDe=)KKKWlanA3|mU`xx!PPZgTzcBF<;c+3U}1_{%>TvscW<(m<%w zpU-BxqaLkk%Qc&-a((9_u9Z`%?{pyv(G0P$Ub?vC_8GG@H|t@G)1^R@^%(T5Npq4c zS2Lw~wQ)ZX%F{r`+2}d~szFyrA9@OleyB%;;)&3dzk6@!b7i}w=T(96`Hg9S z7HkGokCyy(^DqVwy~vLOhZHeeW&CO3nWV@;E*{Y6(K#ejuVZP2gG!%7Xj9@2i&WU9 zhW3mJzIs;^TECg&^;p=eT(37>SPofgruBOx2d3%POLpN(R8!!@>|0G%_aD7$r$aS( zZ-CnIY3IS{2h;cXHh~+Wm&(17xXID`ZXCanzLnbSC~oO$%u!$)!Aef-ViaeNrC3aE z0(qSrTtk=3Rp$&}al=ZQ><9ag(dlr3z(W9ke|MStt}XkevnW<0RHuV>89WcrRyA6`iWYSN}Mq9V(iC#rC^x} zN_`W(ZaJkhC0okqBKw}%aE4@cDw^T3A4c*dH+aF>l4~sR8}7A+T`d%@61rCg;;!d! zOprexW@Zs%he!`3hDfAKO0G4@pXiw2lU_DMFqcxs&!J8@VRw@#R@MNFfB44eAwkX} zc~8E&7DH)ElUS*M_jy7D1!5FacDa~3NE*G3UIKhxk*z9rj(qj_3{txI&oxG~aEHay zi*3QkFC-~d4%!}JlFY-3SeF(Y*TpBe7fDZ``%Tm7ZlaAl$WJkyMs@ziI887p!I6?@ z172$96k`1>m*bCfaalOJWN*eC+v)9Tmsq@$tDBGGt3TDPm5Yc$b{`APFq~~E^k+^* z+}b_;8zw|6Z1a}5MBR~$^r4E1Av-H=ojxt+sW11I+A4b%8*iqExm)5dWm{|g*G{#- ztoso-uwKso(f&=(GtO3I!kwnFIH2iKzYFHxu)1S#Y@YR2pXR%bpdYKf>q5hYz`jzR z0*W%WgIakg)C$pPV~~?ZaBxuTs{Cds&ZR{9!2zPL;gBM#@2w~d4#axCYUhmDWW#iC7Sh}4?$)6= z{m^5Q7#-DJntQgY&QGG1s5It+qr9d?$99NDd6UN7{VX^Ocl*6Pd{}ekquvaKm*a4! zR}fC1=gSGf<(--oJ-^U+F#F<3=0O)&xHLG7W}!S%iPmT;wh|5#`Z79>Dl<-;0<{49 zBsY#Oq;EG}B-DoMoU$H>--9s?64!QS{rtWR({PArJo%V=P1#Lw7d6BS#6P)ESJZEHgR?V(fQ*nbFd%$VE7T*zhN4T zIavA@v|T5xbP2aj@o7y7%Jn*74{}k4?<0I}8f(0pYu+#LKzhAqm**O%LaFbY#>T1( zYfQ6unv(5_4Vjwv zjF~m~ha}&yAkFt;m_ND)TlOXH@EsKPau~s1K4?D(`f$SvZe-!fU}NoPa*UDh2hF0P zxH>|*Pn1N<_j%cvJMLUQ1by#r%Ykz*ucR`KjaxLUJSPAzI#JA>4lz}JFr!-BD(;dYcmHMtWQ@BIM$|%77Wlv zPvYO7SDqpzJa;Go7dXxHu3}FoP#ZUGlRX zGfWZ?gg3A;qmwRnn=`ZuJy5ji*qBr*!Ykd(2)~vKZD6BCC!LZpkYSh2Na%=Oa>d3# zhglf`;%M*-r;@C+80jT};3szYn9=a{Y4=28_~_Aaa}ipO4WvksT-+b#(p=gzpshoG zVTS^Sp3jb{TQwaz(Nm+BbtaZfa`V;M?RwK)MxMU41ZC(77J z2z7Z@@>T>GMU*s@-03)$FWC{qP)&VR@FeOtwp*Ey0b+rQIVD%7*ETUY2PK=oxW3)d zKp;o*b0|{SV4>}jMX<(}YDh|klNNB2mq(Nme<>|SGnSG(LzQHTEmf0TKoMI|jkv5Q zFK~t{NC0$}My&9^uS*8leHyG=V-nps?|L6GLNv&_T2YjZuUjHzU0bE{X+@&DPPQXy zDK=J_C$Z(5?4aHKILre5yM8E$d3C6AEXY~N&p!(wKS_z+LG-#!ey%0Y^MEjFHxn%) z&kF$mVWOuo$bsA|w;UZEx#?EC|8!8}S#`)eNN9 zPif_fh!Wz@sR8d6qrBE2y~L-hBT7g<)3}{Rc@2ZxkCe(HmiZxAykA4&A^GiRPW%B~ z1vi6%AYTkC2^TkzQFb@%OI%_*N1CV)zYT7-;uGyxnWSt=(1zMZl!uWE?klmIlr|3& zMoVG3D)iO+e#|0^(ML(gODF%Ek!2Cq@fBLVM4ke+15Ke5j;&gR(;v#^FOuRcEYWa9 ziR9v*0wn!M4w~X{n|05b$CwW$gT*Zt$}2ot|V@9#~AM&^@_ zwd>PtHR&Dl(fPCWKqr?&pQfqJ(9iY`Y-c~DCfUA5Ndf- zx(%8~<%P2~ZdWS}XBW)P)mF39nBt16QtfF2c_KqdwI!xmy$*c8Z%>gXu|?D)5Sa#N6IRB_wOQsR!**v`W8Q~tx2IZ@cgYmCw5FOLOG!)AP|rLs zbuof}&*^TAqx)c#a6jH#5%@jwejk0C#`GDULuvbwt#0!(d@re7nxiO;wa9T(ghSOP zLRDu&n7bIenKRotTE?nrJ1(%I22g_oZ&aDgO|u&Dt!Cd|*`iR74=8X| zgqDdqyv;v=Zf`>Sg}P&(W(9diC;VIkyRRpF^6^xZ=<6AALuh*66A`F>bi zIC^WcFY0i3fZ-MYy2bG(DUL1)mRGt+JkZp#cBN~hPeqsG zy%#erk)<}WWf1VXRw4k{xDYG493BvtIW?7(Uv-V8vF-d_kiVy}H0Z~s7)_5Dl7l>Iimhf~9S&eoU^_8IuB#7@)no8Zn51utN;jBZ$vXtLP z(a}F;O^`LM9PiLUcS#S)mUB?KWz1Kc8)OHs;GyVm${Bm35@Xu3y{c{o(rjyQfvV(= zw%8BV#xCL)M}*>kt+Jk|ku=>Q6AMYE*dG*IU6QHJ*8Cts?%3Wv1&lJuKX8b>*-9q^1QYK?J&6V!f0 zWmwb>4Cvv1?%|3BrE*oZ=HK;|RDyDD1I4pgKFvN*45mI?=F5}9wC+FH?1cz0^9-7M z6d=`8$b|DDF?#@eDtzSW@I|ErwsiH#*~UcZLAgWxwod?l7M=2jDAl<>bcq@w+gcgo z>6cR)pk`5z5#t-h4^veY@(KzG?D}8 zIJugrycl@0ae)fOc8?=fm(oi(pltfWM9-O}+-m{bvj05(Ax*}>iYkwT@PXi?{xNJY zDIy^M=$X}%vKFAa3W6ULTkokrJKPX;L->?+tN2X}v5PgT%Riy zR7E-CyB{rl8(q-nlZa=N37D+kDH^|tUTAO~R@C!B=KjdHFt|Ts;6V^~!W2K#SWRSy z$o)OT2kKw%G2DM;Ifp$gkVL>~$OTvk2+F^I6aIA%G&FT|F=uu&wTo5LcbsR%^mhmt zq8gV_t!sMD%Ls`I2@Cs5U4`FiPUeEq!o{m-CSWTy8hEs(IQJewTz!2|7?ibtVw&5P zp&_g2oq#T^&&IaN)^yjYf=%aF=rbB=fWsaxfduQ=xH}Z(G()E}W-)vNh_aCxM<6{` zig8VJNYBd`J55t;LB++Ki%FYF8ff#**qEa6s0126uk&>;KMa+a1?(5E;v-80>60}~ zpTZ&;*L}`h>kBBU6MU%h<%VHAldwARls6kvyrV?IxqhacKI93gaDgE~K!ujIw|*kh zVW@$GudbN_9cR#yz7rPGGi17|N^ZkVbNgUtj|{!3S3+A>T?k=lMc9ZX+Ex%a@zf<1 zPv3VRXls~nxBmi5T`n}nDgSmoGwMW*k0U|gFUqmm@RX^k?i5%n5v;y2g1PkrFGCW^ zpxAcUS|nPI9NvMcJx;~M1Eid!CORW3wq*3GjjelEKZo!lKt8d>XBLSVIh2vBIgGx^ zfpnCrU_<*GIfx<%k5-}3BY*lhLrssS4J*eRxVbb#Sb!vz-25JFcU~=@(`|v)PKAwT zCc#lE)|a`!4)W>9?>VLqXXCaK)z4W%BS?xj(9_>NB|RGuU!c{>CWT z)Ql}xB}!3YZP2Xa5lW4_PvILeLQFMg zJey*1r_dLwS=|VqWxG>%i-mIe2|u`mNsHk^QCC#9I%d(L#AN>S-Sl1~mmE^$P1P&* z*XxIe%iABqf+pvwB-<=ZB^NS1Se0CSrIzJ-sRZvBI5s^Ao*kg+t2Exf7|94U4RlK# zNNG6RFg=5E&q=}rc^q5A-m$Z+90`$sGorc-8~s5VIvOon&MXZS8nkzE|V4bTs#zu*0*0diPv9A|>> zfd1g;02KcZ1N`IG`d{{^;JC+%Dl|}&hD0dagem)BZ%zV4VMW!R*X&!4%H9mMg)b15 zoyfe`b8+y2&`1P1^3y6BA2CWT`Xl3@g%hp;rWsZktM=ZZjG1KR&SVR_kRk@FVr>~!j4>DZGdFiWLOqK* zp;(zPsEv!ufki*3%mMPx_UcAJihIg{E9{NUzB6Vef#OlG%XL0(dd43G$%~F5CO)Qw zqe&kgh3YMWb!*hDdvTCtfeTUdnzU7Z?P7c8lLa~k+Hn}V-a&9W+!PzvtFK0MC36S z0O~T{zIw!TnF630TlddEzQ86L9k;Jf215c@MY}BSsNFI~Fgp6xI5&6X-wQRFX?wTP zoq$TV=+LAE_NY^^S@5WSa@7BDe89dAQSFqVQqsj9Y0q`b#7Y>zU65bq&bsL6MU@rlz+m>LTo8<3x+uqgE(8Aj8za4DVQHOO=#I9!sFM9OewN9$p zNn6`q1)Y(PC{kor`3GW!c*ZH5ug@wJ0?rxuO~@P+iSg?c7bPg)oNrvGE?6 z2ujAoDcXcTr!TRDIc6NaGW}g7!VeCMbLmsm;Y=lhGz9Gqh@&rAITx_C>~t3r9yDH76Ddq}NXM0V{ zr%uT4(TBSdofAtJDA{;>`gUuzn9mDdkExFJr9A;Pbr2;n-jLJlmG7mwW`m~M%^s1n z220@#ZCVQ~-zhSx&J7Q&ff$@_s{y@~mTk4)Im;!(cFeTCVMK|tX#=KT;Rqu`Su<2n z(XM&(Wr@TEAobh2Duas!+N*rW%xuzNh&5MUR5wY!wWK^xt-9T(C@6k>bgLAW_XQHS zcdPqjs4(z8_4`kI+Z$k!O_&h9ouWv*Y2p+Y$>g?QN=!wrxLZt9TSo&6w?32kiF`g( zukzH_N~^c~?d$n9O;Gv~ADoeknYXi=sGRg`*85zGyleKG*|}jN{CrB1Z(pH*i~Ztdu?_zG5p^Te+~cTvR0|< zJFbEKrsrB4h=y!A7_t zefq~gD0d?qcf8rt2MzR%iJ+6eWAo`IxS>e_jXz(%nAH_yX@APX6Ah;Qs>Q+!cr*@L zf3-9qpc*XDDYls@G?{B zcy~)sV9Qniu(f}$mj4@%aZ~FP{kH``Vq(M}Mnk*; z#OEaWCi8J-lVG(G9OODLMlkRz-TlG2%G1>VlBWI_-9yiGlxI1|1GVJ z*Ln&O81TpTpVIQ8|5L1mnd!e{|494%`)mGBY}P-<+E|(WiT&@e=>_u6PXIjH5#Z42 zKe7A&7;ESBZ_>j3SHyj{r%5Jl3jvX;3i%hTIo3ZN1hA9%@6!I=EJJ?|%FXA0j&=MK z`}Y+xe`3M2Uj0K&_@iFtPwwBB#{9`W`{!I2r@vzVS}O1-mb3hyiVIFW{5$sV5{rLg z^_%}8_CL~$fAar63-c%cYui8N|4&ZlPxOBe^j|YDf1)q`G5TNs-2R>Y$FTf0vG6C` zt>bUS{$FW^zY_l%Dg8;j>;AtJ|0izxEAX%G>7T&azP|r9Omgx~l-jsLqzNJ}W_i;3#9a(FPaaOsPA+NoMN z=_|OpD4Uuy{=b+E#?CHchAyW3OstH|oQy0?%(JZOZ~poeA{!?Q+p8Y zdfq?oY6*P>GZBy68-}(q{Ca97?mW3YpGWp`?`GxNo*$-c2gnjQY(#H|_j=53%$wg{ z^4G1rbm8rAcuOx@-m0^QD-jjq+;|H6rd*s>J(Am&F$-Vp20r(ErG2RrvNtz386O8u zrsTNOIo%#hTJE}T3%67Reslh3<>zgx=@2hsRjefDV&3Zl*JTr8$6M^VU}Tml zP}0Ct;)#dX#|f);Vn=3V{b`a$;h06Y!Ar!5#()!_UE{jF+#76dWNsEc|MOYjtZ?o6 zr^s`dlIOPOC$WN{=j-agfFz`O*yeo0W^E6S+>xm(J64LmZzEj0CA)oNg1v9!^X_5U zPY*|Iug${57W0k{!Jkl8b%@K~bvE?OV!+j9hlj_5w_UPq%#yxpzr~!L0wl8$vq#Ik z@lBVkC5GpS5`qQKxUA0)aMW`$8nO9xckG!53i$^bFS{ongOaI25Q@|N^Tf{X3yd>& z7=Oxf_{egj4%@KEHFis!&&ofj*YPTs59~3* zGY~Kaof!on-s?qjGzjNn62rqHL4ZSs6d4RaawHPNgZe*FpaZzF8d0W4_a!Nzjw6(r?F(xM7UDYe+R3Ibv^ehRcQDI%Nj%S}IB7iGD2dV5N^DEmj(=!Yt-- zEK&**I8@}&2&e(EU_+9ACS+n+F(_2zezD;H3o0wbbkiFQiV82wq__`0Z;Gu9jeGqt zmQfujXleVtm{$Rji&Ip@kdD>7`S3r%k7-hq zRy|#r`YK6mQr1BX3sJ|!31;_(u97yW8tEcmNTPN%{Hbx88#}~PvLd*gYOP)M!lYMvj-|I7R6Rm3%HdcD9cH~K^$V(_rdA2I00`E9im zN3I5!cWk6;b<)knM7(kI)*{|?mPDpd{HQ?OtWeynK%C-xXe5qtfrNAcg>(UgRQ)MQ z`^8-GW!#_IDb@eP8BHCLC`P$wkE2W`y@9j)naM|C7d`S>0z5<>u>jK1)p?;2l<8al zE*Nxmr+4}D*Jk0RR?j?-^&&AJzje0jI&Twrqx{!#+2$2~7C{#MCm)|hHo*c$lSh4b z-ZrA|6=6=}n|*s&6L}eA-AIdQ*L_&C)zETgivlm?#r_}R9*v2EA=3CW7%ocK=ZD7T z)1bno&t2itt;c}uZOkU;$$JFf#i-cr9QvFxPJIcDug-PuH}|e5o96hBHmja?#4gWy zdu5;FlinIa(x$ZE6w&2(MrpsHm=BSS#z_W~B*MqU!^gg%Sh)1}a4?KIR&nGG%9Zc` z)kP|fG<=Kv)6sRm=wjr@m?NKh{MQaAKc@7X_^lj4v-H}gQzt!jl z^IVcFIAZ3{EHu^z2ctA2}LOO_+AG7`~ z_^pvb1fTO;M}*>tTriK_w+__&n9OgM*#BgGV<`DCGQm6y-*W$#fh5&Fa)n1Q2|<3S zB-Ji+O_^Al!Cai4&9+MLEqA(+~qyN@T~H`$!oYxvf}{p_Y; zX!8wz)WdMQC99L+v7g3^*<(xTyIIuQ=Aq6dt30e(tQSk^z*$C62Eh=FbsuYh1OgS?_czOk`QdhoDsjiV7l9dJ>*?(#GDFmw&5=X!JV#a zh!BV7^{IV1@s{<3OSS}g#rb}&X0HqLm+^iN6y5D0ACNAy{<*yWia1 z<#zX}^`CbgZHa6+)jZ&G5}mfN1Nf4t#;0; zs;5#9sMG_=3aTS#kLkdhgv5%@zKZl~jqf4&!#XGE*P|&)nqvVbZp_X$j4Bscz>I@) z7Di!TU?C>D&o_%kg~R?^MS+bwyreu}jIYmxC@p!-+3#&%KpTIIP>@8av1F0kkAh>{ zC#Vu5!9%2;hRl!%TR}=9!~{!f2{oZCjt+FpQ{;!LR7^n9tMGURO;J)nlBWobsuVUT z^;2+?b=-MHFetl}RYEBe^pD>NY;6$3tb)?Bjui8~9wn62Jb#LCu%^$+O3eYID!BsG$|XXGBAeaGL=DpBVD0V8Wrn2P9vL;Qgnv)${8`{HrZ6_o=;(mI?4$c zyI(<0>`qnba$b#yHsz{+52@(GeKVB)nGxW^xj1q%?jb)Y3sH-BQmA}L?FoSclclv! zFfE<0gzBlXPcW0OZXZ-?hik#-e6}~`{KI-dD?He-EJ#{gG~n35G|zB@+`898em9yY z>_@+%{}V1B(^A|KcMUGpcHINjWaT+UOTfkztsT-O-(;cuP1i>W25Oz|6|M_qo__Hcy0f6Q#=6Tw z{5xNq3sG=vJ?I{Zyij9!t`*^0ozzEn3psfnpBSyF1K_*Ikx9)FLhoI8f`+trQ0KeiggQqJsKqI!4s z5=_^PFsrLXEutB-4{6H%C%R}@#S^6#R(Hyzjz3&6py`TLi9x#=SZvH}T$fg-If+GQ z28Y245sBUR0~6pvM*&a5t7j? z-9s!b^O1bIjz$8L@9+-Zvn1@{*S2KEgJG=RI_{#;&0vl9sp zBo{G!z~$?IpkH}-W2fw~w)S79fooScRIgP7T7S$}oKQJ9v3b}eSMwJuAz=xlo8R#u zXFgNLpN}b=+K`Jw*2dW!Hw#zU!Cu3f6gyKCKYo^6A`yLMQ5tEd>)=pxO;58;gbkO z@7}I9%jHpOSCls414`_cEt7md*)i+G{tps@)WiZItSg@V6?bT{n$D{(6X`* z;jIH0VV1ONJE|CgJ-@;8#{2)e(SLZKB1F`20<;z}xJR%C{ ztZtL_c5*n=@wQa z*3zReWRulpEf^k5*N&3?dg2s*dlt*OP(N{&1KH0nHbYg>ozD|CRgNgDoigQOl{BUb zVuRArUU^`A(DA(V46kkD`WIZ_BX|uN5pFhcd>H(*F@RbCo_hLPszAQ#umcTgrjH=0 zVoIQ&2&fqd)RI-{K@s$

R_~|tme#+ou%&`w*5xJzy>+ux0R;D@#1`Kd%;qnopQ=Cwi_;vE7^TS;(($ubl<%D9xXeJ`HQwDO9SC7WHcD zJnFZ|QOqd)9Rf|_aZRHR0h7}#;cOoSMX(WyhsH;L!yycYsB4De5*o6ZqlBjT#Rd?8 zDT`Z+GY+C6n*_>AH_j~^C58Y~mjXtgTcC%BP?i#QEV3V5|ee{c}K$JHfLAuYVbt@rm5x^lk*Te1f|ZQ%$k`R14bWg82* zCt<7v^ia@kLnK|T-QflttSjGES14hKHSQJ@KkLdP{J8!>(_Q2IT%u|$!tg%mHg zERbn{7*aDvpO-qX1uRnpPOgjyJ6)_SKv#s3vMoY=k0J@Y+aW(bHK}2amVDKG(zjSI zd{AR~VU!nirhFq+Nb*Io)>laZiaTGY4 zof@_u_x|^4x&JLury=2(Hn@dW(lQ&)nohein)yISr0~~(x5eJ84a944R2ZHJZz;%~!FCH=eH!*&C=%pMmJNQ3xAgqbK7f7x6 zAT6RhZcrNtpe+Nv7fcSka2p7)Ed%`*00&-w9vTr1ngT-g;2PC`RgXr>0PV!y4KgNH z=naH`bz%ozFuSmSPy3gK?`hWp_PcICt~Q+}$qsJ#_1y?s+XDYo{m80X@ug*m+81le zohJXk?12U^^w%#O9@2WaUAu@~!H9G{@OlKx687DqjHRFx_Ccu?O|!VWGcxPFw;Fry zM81&!fV>|2_Y$Jra~>0)?41X4SySl!v0z8z{zmCE4(NFb!!L}w?1B*-0I@%(t z$w&gSP>>X2-oX}#sHp$agjK*FY7tAkW*q*gS-_uS5lg-nv=HXuqq#N*NQq%Ds+&`^?4c;y_3Xnr+JL|_x{{*Z@I}Sb0$C<@zz112 z+~I-K2@5#`qN53PkNp6Gj-m@VLuy3`IAe0aho}KHpA9_zK)n{L0iAH)nU&9bm)jO> zlrg#hN{}O;_dLbwbM-+5>D2aklXe zk#srRv3M+kkWvsP^ot3I2?uKb2csUsQP9cehDa%^-ewXfrfHKH<=sBs2fnk+aeSy~`yVx<8J zitL|&S%!CBy97-Zgh)5;zmCF2#19&(z!VS5fY(YGrt+T91$`y(v;}?9)7ml++0)v8 zv*TWAD}WMTX^TF8GxU;SDf^K_UW%+?!Ik$EzyQcQ660X#D!{bs1)If~#CX>8QcIf`HsNo>@RInFy1zzVGZhU{rz zg|*^wD6%ww#bpvjaJx-94Zi6ujl*;P#hxDeym+L_cQ^d11>6**TF^-z%|Ey)#GOqT zDG#Bh(Dq|In!~s$r2mXP27W->S6@U#a8^ZKFa6N$O5B2LZ*n(O{4@Si(n2P3N)xDWp55yPKj7+boskKnyw6pMTA*hlbx zyk`H)%NNd=eL!>SJEA?p4)Yu~%&AK=r#r%q^c*(2v8(go)I5Qp!#|UQ_^y%IK|@jh z5ztn-IA{);fSxVS%xkt9%m#wPN_?IweCej3Qk8e`rP*Z6^ZSSqRlWg$m9IR(mosZW zQ6`@mtGX_3T%I+$auNMV?PcCCMwli6|26(Lj*17_oYMc`HpC?hBVcUHC}4g@sF7Dlm{ zX}mC1u;`kYGyo#T|E)6p|J_jEM>l!Htj-#>2#0sc)T2Flg>lz0^lr!8gX=Kb`)@OZKUMB9z;wWamsI772{N|ik_a*8<_ZlpR^ti{B4xuX?MFtC7a5RN%ZXE!AUXT)9n;LbUi97Y|+1Q%xUwzXeS7k`_@_ zbe-sfBnxXz9k7XGB^1)QKlW$;I?3km4r%hUFY1;KDTM3krZmhx6y21(9kp&-KG{Ah z$1!O25YuB-FM}@8~W$5P{nl<6tl7 z@->=FRC^Qf8YqYpeEo!+XiYN`^z_DuO*tD`Ws#R&1rC>-00)rkRc${*YyQL-+Xp=w zD*>IzUMO9#AkJpXD9&W3#&;K;Ru*}zya?#@#~Aia+4*Cr-59L?{RzJ;yN->$nU%nV zO&C{zccX^#7-S-kJyFo{w_06!y$j5_!`xPCj6H^l?O@(#o66LcK*4JLxWvb==0!6H zUat5#xaQFQl@#7bUyShy|605i5gHF*UgE;cIFk)v*yEPjJvR&qX3rF;*uA&aJ%Nn< zaD7B?!HVSZEKMmrUlRp763=Fu>(M;P^b%+-?o;`(pY8DngxMj!6-jU(11)hpqL|xb z!!Q84c*=~z8lCx%cG=6^@dF`s+~Buab=#3!6G_yqpk9z{Ue>I{&L06w?=k0}!*2S* zu#~J^=TvGXz7y>I%I0b;mxBifwi;C1Ul@u%4D@`o=Kak;HPFC=KW&nF??tzR#1ncl zfO|YxmEnGPiitH(1qSu!MX4L(?PGdYG|h$8-pRP)Q29U8vcDaURc zpwO?));YHPO}ScHV%vrRsoYYatPEP%XOCm?+LN3Yu=gtV!7!6Y*u*>U`&w>R)aUq@ z_WAE$kSS95)@tU7eFivf94;LVm=Ot%P>B9W`S=*}uD>q6lAzwE@`V0VX)tVp1$f<> zx>|yy@NsBj9&Bu6en9`rXaC8H|8nc^-7NwFS|$YfZ$5^0<|ak_kXb6?cdIi=I48Bvc?0;z$QHl6#ZCBmX}NBLbIq` zu9Cue&#RU4`C*0h^GQNMr@5pt<=h!xjd-(y&@E4o9K=+NX!Y|-K?T6?>PG&%fo*ytg@KjWIGu0ZxU%=U3mK@4> zw}ukO0h?Y&m=GP^Raw$z&JiBgfRVmS- z>Iprx!Y)h!M`eL5nu1jv!UAz>IuOu>9ODMbWf^f6VcYw1w$1e|XX8!g>UOiyI3y3a zytd~qJ6+H!gPg+}T&@R2BAA~FoIrKy5G%JF)h|9F?y)%nO#phJoZ_KNTz*e4Lu;w- z6t+Z_ku5oCx;f^ONgyH&&luoeLcn*R#bY|MEHEk~@(g&0W8iG=6rU`taT0TM3kV6* zsdJ-!CYCk035-cc&EFV<`ti`5PSVa0nTBrFjLff(Qj&mFkEHTZ!+ifBHBG7=qTEz) zyrg$iV90dFJz}Y6^lqd%pO6uu+0-PfUu#iHs)e;yAW(HV=yeowxZu4*e2ykPX_PSu z47Z!YLy|p22m60?D*X<@qW!@P5TO0x9Dk50L5R)j^eXl9SMjhYfP(gc1obLl zutM3XY+p^pGwG5(pKoc09vG7LrrAH}L6d%m=x`Bd*;_J}5IWCY3`yjfA;x9tnu_XA z2Sw->B_PKBR&&&*Iyl6rc3un%Lx*xV|6=Kf2i0u{3S0<+G=M|%89Sb6=@~QvvVSXe zLRrgnmI@O)yr7d2v@YgqOh|PQl7;l3TMvRnmM&UH0Bu&W^QkCdbWgO7tim-YJhW+@ z$SB_eSDdbDh~hW9?R}IIDeP4?SFywUfhCuq4I4}gwi=f+Ok;8cYl$4;gzQJWS$0^U zFByyYJw0ET<32osct$T4GCMFb5ihlg)yaE9`dr4(ikw*NQ05iCEVjh5eGe0bz{)o^I85X!!8%aqX;g@=OM^yk`|n2z9VyJlS6J^Q0C z?mg4UFcM#|jecpz9H!NJcrYTQkn$xj0>h}`BP9h#@MVjdRdX{|vGFF0H4TNRte7-v zakPAXBsNPkoD5lRe|Cmr8yach@CmLz8g?6YunuG!2C3@B9Rv)wUnziv=G-EoG-|^S z$-}V856J-_APCJhdL{`B>G?q>1PB#`DUN$%){BJV%8wY&;X9{d9posT#fdh3_E$le_*+wkQ5c+ zF~QWS4#f$=5GGT>@X+272};>h+KH0-Pjyg;Mn4b(5Khk&fCC?1RH#A%KgoB9gbZR9 zU$kea|5lFvf!8VP@dJZ1xsMeBuh1|-byy=7>jj=bLk2Eo7Xia7(^tYK7zqIa1_v^2 znp+FF{XOnzX+tLNh7cD7&gJM-2b3!Dev2od-pMCuS7?Lofe@|~1|p%*cdB~GQofPg zx@XZ=Fu?_9gCd@KgGJSU$Onq{>eLN0T1*lfV3`93qZVNvC{Z;D3eZb_A)#_tL-421 zF9V4USS;(G@3m6hWuDPl^f*yUvf_g%!si27n&@*LveM}@&ht7wU9$}eQdNTIUrZ^f z*^x1huDB~kF64;~sHo@zCDKh~3{YM=Kms`WB`$D_=+P(gVpM0cS%t!M%~etUb}UX0 zJ3Pgu4>nq2zTI)>Z$MM7%4NHbli+6!NrRWgk*W*$7RaI-8vZ3_S7zQpb*q*UFY>67 z?m1eNpB@&BlTKZ<$EJMUQKvo*g6tWid20oO<%#)6+opo5f1!=@2-6NP}U$)JA9H<>;vJ&o!<8qc`lSm1&UsJc=9g~NMD;TcMI@13%N74GHXt{N#Cb);|9*$5JH$& zxO4rPT7b&5UH0qxs6e~PyQh$FkKU%2`ziFZt%c9q_AD%CPrE0~L;g|?!?`sgQ!Rf#Y72drY%V@CSv zA|+wSA5%P7JM)u5Jz0DI#wk7XM98~W4(qeazgBusDwSO)H*AQ3H1O8zW?XS?*$F4S zaJvJUO?EL!>;wx0!WZCG2vF_Py;cJTAV1eRpsdMz*NDr46xqT8!K!q?T+sj^X?|iNOH}F*r4;YE zAsaT1w?vwjTj+{osaa~&(Jj54Gs?G7w~VB0$Wg_lnfs2^+6}xuHm}ll?IpsxV%arl zJX7bnz;gE18tX@TcpzMJ;X01(=m32QD4ghA_)8^AaA3nVj?HST=J?y{pK0_8g}i^H zh!oTB@m7MHdRSNOYh2*7bi&VZyGN2J<1Vb{(xUU&>I(G?Xa62vYle7EUQc>$>^mLo zhrwdsIMo{ZX`7evSfWq$(*m@;v1Lgc7*R5kEiw#^OTFQQC0SspbO?Az)mG8ArjQyu z#;6UV>=f)_rM6u>AMx)&OES#M64m8lm>SE9*k@cLY(m@ zjql-*)ge;V)|Od|ng*C{G>mntoF!zn67aHb=?-bTZF4GQ(7@v~MSxJul>9PqG17Iz zBO=C+0LsT{P!aIi)YDLxnpt8F?=JPu(JI2FZSpesCF)17LvZ+BlySYo#u+n%Ra3@g zjWRMih>HhQk)Y7SyzEJ&#_eYK#!P7t47%I%lFB=px*(~M}sJ7g&N z2t;z=9R~E*$`^7rBD|r8IbH8Rg>L|tjV)Mo7TD?$k2r;3`G#5+?%iZY0R%L;$dgKzwUfGasGO|FY6FQBHXtn29boncqdoFgwzcjzuJ(58ycHu-PFAMD_dWPw7`QCB+oDeFr>WdYhXEBfwfB+sxqR|>DSJZHvPuk& zFf+GkXa=6Jy>bnK@Jya6V@Vh*xyVQ%Y9%jKBOVX;@s?$x0ng^HUO8XTavYuP zl$J%J0o?Mcf<>2R$c7d*a*^|$7kM=6^IyDqC2vbyV8~vI_d94c``XyDf!ntS=3)bctRB@0X%}Pu=`IOg;K~hPCn^-axltd@b%;gt#wW*|8XBaA`Qo zlUJJ~W{h`K7&vns&W0^pAF!{)($NVgYBQC9(iDxAVr>PT2gDNBzuXQ&ygZf|4+b&7*;?6w`gS9t*08K%VH3XU6L zp4$yqF>TvYh_b3!3xs+$5xBbJAvAX+``-Lm&z~*fQrR_sJ1tVeD1z5s5*v}Bk7MQB zjm*xSEgzKHsII!=>{21qe|Bbj%{#iN+g4mqPjA!I;HtB~Z}S$?1ssVV!67Tqg?#p- zENUb|Tkbx(Io`-Esa7Ucg!5;K;=D?m#ZsLw|002k9%(IqGeAkA0`$}-Nf^9A0ypAu zWnnl?3_!eP%BsBbTQZZZ4m67W4j{c{n=~Pw5ketXb)-unXJflR>7<$LXhwj-Tm`)#dHVQfJtQjP8*D2MW%;SO=wdfC*9GrB3genr;BiJb1*BVESkZXYFQSo zkxaQy@|k?bNP|bF-zuI=8jb#{P7hnuF+Va?Tgsp|>Hae)mT{HgshP|f&6lO~dz)2d zl-ML?AXp2|`lTi!9Nl|*bf7kW=qi)CY8;Y+0>`i`y}zQ0lR17M%nXLMxlfk32i}a^ z#6Ln^_g355*71_@b5v-^v&bVcz2N+NSGI-eA}<0g>j>lBW3q;e9GTPy&vohjbcs+U z92r`g)ASMq6o2c+Fchah8*|=9cB%NPWH1MfiBe&J5Pg1U3pJLG&lnxZZYVM!OtiM4X@u?xc-)k-gy8)U4xPJvONT4g$NSwf5quIBxOV}= zL9Se7&{EuJ%Jk8%q*H~|7k#uBE>gq}PP+mXO;c1ZP%w@qj*g-N5GH+mr5u3JTz%n7 zs~;`!9vkW~C1}jxMWb4j6)8umjs+=Z+xx*@UgZd$xki$2pt6=rYf!P7&64J;LA6!l zU*0dEQIwyuUhZ!>v6hdN&%Ro0pyKF8y`q{`1X98yO(W)z+aU6m7vkd}tW{bwLITNo z@?~dfI*(v6$7r(U!q^mrj;J%rv1Ty@nwrgy>sh@9e~@%?0T}H&G%jx7sAL%()rxDh z%BPxIwe_u3Zf))0nKn-j$)#Zgt2XJ+rgCyCHDz}o);R{J^|bu{1NnodecIV|?FYJx zcJa%yWhEWkHoQ!gl`yCB>9LlZ#scbzsWj4q-W6{-+PqES?+jHC%A25(>V=@lU$@>D z{%RPP8eCfqEa004ZSR$FY8W(4uKhpoCLKHN$6%7zit0^?RZrn7tM27EwSIQns=>BX zIzM>I=xIK2ELP(XZdR9lL>1=%u(Pd>8^=Z3YW&2SZM`!;>WkG&L_hy@IW6|ib2n)n z!3hlK+8(N>SvRD*Pb|9co8|FAG&ZbO4w_Eo)4q9|u2wv!7xjT)WA-F*wTzm~UcBv# zwh`_Ae5iV{jZ(?5%FrwtIg@ zJ{(`F$LRBE)bP;j1HU?V;RpY1&bW8W6+oy;vsok5$H}{|2-ducyG3<|JlM4dsb%== zSo{tF4#T3OEvXLgYm^`9j104N+=lNYGlWRbNf5tI`r3HxHWms*xOhpEVrmgh7>6-- zfl=YTnfSx?rt#0bvbB+lrfTS%&)tJB`1s?~xDO3>kWbJHQ?bwkzqscsOZVW4c6KV7 zxM1_wM?73HZxtapdYQam!;g@|1usD|#9^)sG?`F?Z8HG`8pUkic)9$7W4>c0NN-)~ z%;Kdfi7AN(Q{8#Q55Jm}I!80|9)60mB;P?xRgD^&w-{8Za)YjdX>V1LSI)#RQ|o#} z9!4-Vz~{#?s+OP2(jT9(l0er-*scnXSIQ(!A$2HQxkwYE;V@k9^z7d-b-k1{s+iaD z{y$(~I``DDSrHDmpT@K-I&j>_G71>PF82U1ONYLT73$=l+Mz!3F zB?I*|x~e~Rqts6V3&d2Dy?Y9fY}DF>dtjEd7!}HcU37i^CNx+WdkhqQPoNF7GSyN) z8@6nlpFtHAq%|LT!MzG*fkEs1xPW|KqEApzf8hPs>FUVl)N~5T|dETw!%eg^e z)be)ww$mJU8F$~;Rn_%szd`i+<4f)daIL=2X@_?)TX+4W#}C?)^LXO0Ay2~$ zV{iiM1+f`J%Z$>R?$RqXAXgeBfpAHFv5e}neV!L1!MTEf&8@jFDuJ-{EJF%u`u_cO z)XLYCEbe|80*B>eZM5&VuknGwW;e6b&J(gIGgS#fX{LQxG+6!nQg43-J_pL^mo_Lo zxD+-w)fzG>q_m&;0nzkT6kZi;m-I4U58|g8=KXC){-`!QENSZ11Khih>U6Ic{^G7? zHK7Zay4cfwyCJ{toS#Yq9&1@a_(&9<7_Z%d0embjw3ZNMi_S? z)+;dM-aH$L{bc%k#n-{{L8kIp+*Bis5(qFJb5cZii4ZvIXG!rvO@gKec{-)hcvaD# znHkKsWN~t9Wo%1FjqyS`JvbJcC;HKNXvb0U{@dp8bQw%6amU=GkZ13iIlbHG^6Gki z?^xRJnN?%Hqy9PE(~=4IkD4HFoL%hA?1a==%hYfZFz3VAWJn(c@j`4~q-Upmui&lE z2|0=Sam$oF{`GBnL9fKO;)Uu`N6N}V5@(-d;k%rjMs8nhwdRO2tj94i42-N=f2 z%#5|A94{#jdN=D5pwmnj#2uS7^-G3glj40}-(xq%xahsdWUt%!px$rHMwIm{gwn2D zf`*EG-H08%441t~C_hnxhWcQZBNn0N6;o4()w#-wcHO?MDP_u;U{U{r|w-9 zdHzuo+6@Qpd4?$y7&tq?oVjjUs zr{9#xf$rgFv8^KEz0y^WDa#hJTY3YkUIR^$d*DvbcMS2s2w25kHmstQ&tS1__bdVB z;rjs5gn{5_V?a(s-WJR`srEB>i`%E`Vq>pD?U+Y5)KGZn!;~Dah++aVFbmILs<~Wn zCNfcF4RuX>A-!)lX^`hSl(0I({+Q7Y2WS_^fgqAQg(yKd*UUIW>=UVOjtb{2M&sdy zTVX-tSz2LPjFy$e_ui};I*41b!XN8U}p33 zDy=1{tY^jSWLbbhy0FQwCPH->WUSX|+Xq+fV?+QdixgAx9bjcq3^inQS=ZZiG|9an z7k4w#=krAowkG?4JK)S_v}-gv%-sIYKwC>({o(;;45K*dQtq%Wf&COt*pv)0;jKum zyf?8j#*y$LR7q`-9;aocR6M|A43Mv{ljN$!WaM*2w>p4A0q zguAPsKVHRg9`z|syyy^)bQLVqR|4M8+#+f#4X3i|;c?_2hZ#UJs}`qgNVM*uM#BSa z;`lsHRPi9Pgm>^``S{mQp8mSUWMOVR!1H65nhB!vjNA)Ua_9!?oUcwum6QHnYE)I# zX1(L-051ekiOKq9Fc&OT=60rNBOH&$S2Q=<(OzEry;;%kgvD_1&;SKL9WJz&k{JH* z;BSTnMK&wdeUS2K=(2${UOQtLLnb$;3OrUXN93PwCJ8xqd6GCYW9(CvKC76;G@>LI z@9mxwb%(&*Z|G@8dcQXf$j$fjb}-pD4+T14Z;dS7LX*UF3`)2&e6b#E*rZTkT|bhS zBgC~Wq-Ky}5~3dAh_ytah0+uSKRwOE#>if!VcM|3sd6oJA#Yg>lC&FZL-AZ~0Uh=m zf)&v%>?*id<_p7F8Rq&SBBs(sg(`CrgW%DR>45xbhqUG4V}=U&_Fkg+%(*NM5>8Po zogZ@&l7uL#O~?qeYb#v#?Wvag&Z+S)vE|X3bAcQrVIZT-g&Z77&C&GOO^&0@dF+cq z2TOT1(e)DRQh};6AMNk)OTsnmS^PPBhfhLJ2q#9^<56455-7i`a&53*Ig`<;Xi^~C z3l8<6Ngtx>?WmjHM}AUht-EcofGLP3N*%}1Suco(VGJx0>26rB@DP%PJ^rz3ykfOL zzbYZq!ZZSPrLJf2HM;tV^05$$Cf;G^NTRE>B#uy&BdyLytCKS3b-l?JbA*%K8a&%M z*2+S4bVxSGYRcijGXx@oi5qq{p9IrUa%Ln@&`G&(6n@=((%yD7|0sK$;GwGP`-{?FzJ;vpD=R}Y5F1X9gyhJ64mV&aD z0QZlHJZC9|1=xztDlhkQd^IT(sa6+)VIBoTZ!5ANPsO&OL?dMm+O{B3d$#bmfPJ*) zseu9C0@IdaFg}>K$>{<4nfmoEzH{^68g$qEeFh-gxt6Qpy-%1BsL!8L6A}Y_=V(Kb z(7zOvJ6n67(1O0Pxq9e$#-TTz8U2htux`trZehJo8ZhhB*Zgu5muSzQKMXs-K0c%- zE-{{Siak2OyUnTfly|&i`n!>yODt9y!9MVd0sJ7_g^lNW0au7{6xSa)DVvnn9|8T3 zkryE;n~dL@49P*ZSsTxR1Fr1Ru7IXfYpejqw;q0FOznEa$X4c!$2ZCS z{69FV6xQ5*m=Vr?9laD)bZyhKdCeBMHPJWfa9RQv;&4$VbtPC`<>e_shTtQysYRyH zBh#rS?#&KR6?>pb^!TLA6`DRl|2orLXloYFKGW>2X{6Gg1~Hp=vA*{^>y=BGyQV># zs5<>+)@C!(lh|G!xRu*p*wSaN2Vhzhhg|`WZnNQAc1ybc3|trm?%4{S=h8QzC9h}E z)mIf z@6e2U(uUC{`TO#Xi&14305n2gFA7alVZ2^q_UKVhor1sNv#+FKE%Yz<`TB48q^zm9 z(-D@U%kbBLF{F#(dQ)_w`x{Cc+C%+%ny>$cJ^29iK%dx|dV82(>9Ebp4xG%*f~`yO zEI4)@e+9ELKKE&&u{c4}Ga>$7^zeBT0#&5&$e55s21%1_8gg^53>ZZwjG948!^zco z{D}+fG{k}R{Xs%F&~L1nCXP-SPjanwTlqDtrKDmp4(Uc|D407Zk z7^x{wvJT|zks&Td89(hm0L2*T@lDC!2q*hCc8r!lbq~0H=Q;61-RiFwxXguUdJ9zct3W1x@&nla@P4nLb;$)8Mn(_A zIa90~EMuP?12J2y8-!*@em;Dg?3O(#bH_-jU;2Q_Kpv_dXqW7k-e7CrV0ToS418{D z-<)_@`T#1agK}ywA#wD{pL=#UdsL$OT0j zw1jc8pgM81*8fEi6ZT!6#2o0(PZ;AiTkH>TSUCblV78cVkdw*>T@G)=J3^YuJRJ~! zgbvW8r5*-)ju->TQ6m|wm;yK$idpSgzQG;^h_^EQefyDbSrj^pG6ItdqZ|ej2y|#q6y=_x#Wv zWugW64ioDr2}j~z)BnNJb;ncve}APYvt;FlyoW&Mt0XsX4bXI zUg5e5S5{m*Tg0`=wXXGh`+R?Yys!80II$1>TSv;tQ7pVVlN6j|MBh~mIgwBph<7T@oy)!qM; zQG~vym@?iZJO3}KdLkU;V?60tc=MCrE9*s!S{=tHKjHpAj7d1k_8mcn7)y=3uSEMj z;Fu=WJid1S-i}8CN%l_d{@k699wpg>7LMwYZoUI!8+5kUh+>L0@?6?8D^*@T#y)7z z+_8TDL`|4MV716dM~!BS=s_0NXxG1)GaTfM=eSFQx^3e--evVF2{#~+Ck?Wp_K3$l zwLq^v*c7CuK~ZiVWoADQ2kTOQ^5YvYJ9voI3ckNvQ>3aYOf5jHM&FRAynoH(eFTa| zG4E!(r9vc%S252tXJ%iEekw`1<@(D0wZqO^QQpM;4ERP3)jBDQwgqcTIkh^{pSz0D zUAQ7Cc$1nc`^!Xoey$^{RGD_gJXG$CI^W?jS(KwrZAu6)x9se&2Cruo?jZj9m#6sJ z$TaX2t2nPl?W%>UC(&Eq-+fu{*s$+|Puvr`x;U}lb7r5j(9>osN63GB&PJb~q`X7a zZNKfn7Oa~&*st*(x@XYS!cte?Dk<-5rx0WcYR;Gg}*=W$2ajMy~C9A#SR0VDAT?z*BF&=ER-` zcYyc~6|YDA`rz(*<9Dq%Sdv`8P<&EI5=rCA>x(P#|gq8BN6$j?1YH?*?0_`W`Ei2ctc$|N` z3A4@MXgnAGcc&B;J;i6`?3?{QXPaRUXacCjTa)Yt=i+hKxf(Hjii1E_&+SYH`-w*= zn-lfdvmDw{MYY{XbyDIj=bjbndTL1cM{;fyV*Vc2_rTNd&e0QxV0{Ai2KqKk!pb@6 z@tkIL%US%tBxO}=F}XEww@0*gy3KB3@-#c&j5OR#K=Rx_^Bk8-gTZq?RmIayEWw|$ zpZ~=q6Xg%{Hi=eTQ~g8CPhO6glgm%!%@ZS>gRAE{t~(;TsY~vVHzC?&)%%u5xBaiOVJVehPvb-`8R~Dj9Rdd2JsuD81)l5z)qkvVAn(pz6;)~PI=x3 znP=8hqt^r(=7OucI`Wd12>0~Z=r5TJv%tE`Hha}>1Gh_vVzLosnu*8CaUqBe4b>B) zPtGQmirigRu1iyiVA(OFS6(LiiroDn6-)3$@DDzE3ueQ_c(R&XTTS8MsIOG?ubBh!b^BDa{YW^#h)!*C#Zk61T`lp;{(bMV8 zDTPir8&RTOSjSeLDiEOS1{R4brg?-wDW3J6U&2}Zws(9N&QjE%eW>) zW4EZIhPPh0<5gW`h_jOoe3&y>8gGC1Q;ftUyH)&;!N-AzH*TMAzGv0NWoY0#hyv}n zxQlkcO58n<{FYdrsez?=cg40@l(;*yT-yVz6OU(l9=R{Y3g%zuwGi8;OX{SO$r9V9 zQR?k}birk%lT$bAS z)HdB}s%DyyobqpTSQW;NHT{YLV^MWQKb8|U*_Pox{XV9lQ{;~H_(zTY;C#)?KEKgVbI~e% zn40BvervT?kd?2xCFOK+P{BHS4f^!)$@UJ-yn_$=?gu#rO18o`B9)&Axc&~Mt!=T6 z-6AX_3~qq>_ns*zPS(Pw^2PV;IFgIu+Vt&1>AI6#l=qS2(9h{>Oq?2njtikPPaDo+-(Q!AnR6S;M+l!^e50(gdFrqGEmd;{&_=mPdYPr5;UUNj=3~q4J zv#8SN8o?Aubi?vScau59AmMO-J&)b{C_?^iKw|jzaP2zFp|i#~lf94YjS$eV@Silx zPo@=XEuWd|Tg2YyiAvbpKULBVOc_m3xgsZlskvi0tLf!-skmeZDp zGSUvh8IK0f*f{xq&&oAzZX+$>E;^jP+l`|>=PbsqK9@I;9dS?Kb2|K9OCN^X7)D-h zZ7)5XaPxkkGw3LaJ=FV)`MbG&@8QI4PMII}M&D2q^XF5s-bZTj8~G7g|AYt=XS?QO zLB2EFvXt&$4u{$O;Rb2XV@r?bP7$-`JUrE3 zZX=?T&9>T`-P%Uy+%_2wO_`p(3?9408ZCJHQKdm2#KbEJZ;+2Y&6! z2(isZR-RAQ$7`z*%e`@rrR@jT{)WnVJE;#gZ}%+!HHMGYui|gFXD6AFi%u=hwU1QS zzTA=sP}*J16$?hJ{kuEe`mUv-ZWXWnBRj=RaGdAzjdAyG$$VqgDuqTzcJ|!HSgjU} z${-E3LhbkKPQ26Gc(WU#b&Fb#rm~?JQQGBZb`94Jrjg$1lCjx|f!fuX+wPR=*bNj! zq~TP%Qube*%$plOMM6wz#@>B#JC=2w-_Z7pWmx_vxdv0TPJK;lgcn$UOZ!u#S7|3@ z4NB>%Au-iDF`6Yrvdpw(%ladHC{pV20xxegin;(y--MP`gSH5){vdd`}65GMQxhez6Vdb$h_8Bl11uMjVRg1Jm^we zuGa;yr5V)##g<>}y-cCBPW{?XUo%3sA1(Gv`r>|vXWCPnB08UlqLn{;6t#%oirz++ z2A~UU1M7V|soJp3nm=as-+MQhg!-qM9~aUMa7V@7yR=K4?YD+XmD?L^AFLAM?%Po> zd9sJ!))VC6NJJbQ{_cBY_1dk{m#}C)X=%u4K-sTdF2EnOHS^t+qPFtgjiY-!+bQSu zlLir%hho$#;a>%Kq(|Z~nRSbd&K7}=U8u9Lf!n70N*V#cp}sBLF~OR`qc~UlBX6PA zXUz})%&by<0FI2XytThCCp9TNK9mf8pesbFz&k0z*F+A!O_L?PI`IpX2$$#`U1cyh zs52G!AB2QUV1_vT-gjd%C5Trz^GZd$P!gBr2%lfUD!|yDiI+)@zH;eisYLn)g?28!2bTe66GT}05? zrG1${U}_i8W|Zu9a^^0ay)eKshQAvgl9)rj6jX~isN}a!EgA^@oC39+qHpNg8W0E) zi6ftPcIRI=kR(U9wMA7FNboHUoeZSkTp8mxt6vVH*w2lB|1JiQ;>&xjJ_7w+7)w+C zXMhyv{+$+*Q0(g`t$Z~Q2BF;6e`th%<8}B?im*_vpgw_=Q_XGQ5EY?-AtP+om9e{L zZ-9xe_B*l4ssAs5d5Vlw1K|zV(#**L0mA|cjW{|xRQ2mPR>l>_rJ0*;syFL%<_6j96`EhN| za=jKsxAK>{NUkN$a{Jjqnp4+#O>|LVYp+03p{$e|L%?U`(3lvxFXcgKX7-`fT#ai_JcD0ILkm-ti_kF0$bS^ z{@pJr_Nyd9W?jP6AdS>B0|GBGT~z)DYF%|tOOFm2D3VA{kZ&5J`|rDlKl!VA?=YW@ zy5)(3wcoFmJv(je41RntV(ftK)B zVY3X8URYc%z4s{ZxJV>xegV%-hJeV&CQsV;`Q%lb^nzDS4g(6K49OzNdDzXKFs>*< z3d@NTFVW?V)C4D5tyf@C)i&9?JgQiBsdAcA^aO5^#dKU&3r?Y$GPadV8tw`8koIlW zOgwnSgjI$HQL?e=j(hOgaQuvZ6Jd4piGqQ&66r?`D5;&L;e5*0E@cGRz;o>Keq$t!S0jtVleE?%>(jUygr=)-86o{Azz$r>WY%kzl*k7yA}h zv*Kq&T`)EiG^g#yb>+Hcxtt-?cUL))Zi+1@sz#BOM9~Ta`LgV%48?{QIEg>r zXcvCQoh(A$GG`F5!O8eEx)b8Z0X$?<$}8u(bU<_QOznnv&N|57x}R1<)uT9G6X!*0GF=b$PBBlc z&reTb*~QwTa8|>D&<*iP)h?T_8+q)>d>hGp(x1USWJ`AdfO%(L5Aw?GFJttAn8V?T z7|Vh8S8lMyh8t7QhI_+aSS^LtlzaU!33}@0`>nbpKOv5VW8Bt@q}ad{3L@Q=|IEen zwesbIjJLc`9r9x}+h43z>fF~IpHs7WGdq{qoH&s%sr)YRsoQ!AKDFGd15cFC zx8bD*f2EgF4^3w&9kqom{^(FoEY~-Ud>q>mD@;RU`*l&tAg{cB*a)z7`rv2rXa(Sa zK!$(2S+9j?fC+6S$G{o>XEC3XHK&Y8Y2Uh4w~iOom=yP3-*jB`nzR64&+Eqs`@C}C z$tKlL2Q|VqfJxdPy^;GH-3~T3y;GdzQ1eXLV{ww@N7WAh&R!3vXM4Ozk2n#Xk?nE* zWwRAuC^})gm5N!iNi4Uoq)If!nr$UHf?QY(rr$dCR8W(|Cp>YQ=e0R6Fu%OZIFa4C zoxD1cNEK){E_zl!lBmTuo|jhsMPw?^3%ZX>kWw>nuKePvA4!DfP(g1D(e_EIg?BRCD#_TH@j6av4Qm zRoz6oY0IN{E}p|hmutEPqiQM+zZ5{;Gy%%ew{L%ZabC!4W9q>hRnq{j{(UvFS*1AT z^%`$2!L`(K-+Zsn66iypp|~ogwuSz8mQ9VIuM0LW86uP*nwv_~%E;svB z?N4-nSxc{!B?Cs^?w$!$&>i;^wt3ZD*!QF2$ncyX(8i!>Ma{FnApn{;KP5e>c6b$O z_6uvv{3ZW2@EfY~j)OH}+^23?Y|%)P8_?8wsACYb-96hc3-XWx@A~)y$2At8f}(~$ z#HwP6lgQpP$*I0RcoAa%Tz%VZXlcE<{GKVkH->Km3~z`2%b>b>N3+fexMr&7f0-x+ zD=kNIpdHGo?SR5kf3rkU^DJu4J6GaGkcGaFx1Gc%B;KQHZ5 z1XlHdUHapK^noKXiSxqTh>tInn2mnxJ9eNAW#8SIzrkL80st|s+5m&(R{Oo*=2E?2 z@pJ=zSB`h@G%&3b4Smufl~?`Z@fbSk)of!J!~_Iw>~Iam-)D}ap`p1tAR0d{Dl@%j zQW~!HRjTY9Laq1@;^g*s$#48td&y+a-te&hW*yhNnfFEO1q&Qrx=mG#tu|)2dbkz% zAaeC*X7JB+O_A4R8pIP)7?-WAXe8_?+!qH#KlQ;xx-Cx{z=y3W!w~295Z43TRI2q- z{hnef`Ht>CljsS^!>~p&Fw7(k5>hIZ{|ay=jbXmg=v?taiFfRB49Zp?Otz&4vzk zEG5TiIb~E#A|Lg%CTc3RkgfaU6yukEJc1JMysu(vs0iDE;(k!ya636oV&n?=PlI9jzI`G);Yx z3s#f>H-Nd~Kyf$M21HOWUakG9_KZ6PFzd942~4{1TyR3&BA!j59>!}xd`%`GcV%7! zr2fTm+Gyo&y&zB21EEHQb2nmrjWJMv;3l8tHyE#GO@?1Ntd;F!o&xo}sO)mb^(ES7uFeob5WgY68&&vtf5+A z43i&rLYyjUKp1PdyB{?rj|Ok|&4|{FVa6vJCdH%@Cyk@g)fnxazZ)>?WP#{5=H+RO zo4*({~{>-M~Xah5#~B6ck#1{}xL@2$1AK*$rKZI0@k z(>+6sF(K4*8WBwkuH|>n5S6X1qHTwNOy8-2vXA3)rbPdgqW92q$OAWPL>PK6*>DtN zD7&1h3tFmS7CV!>AV||Tr*)3^TtIq?_?S`@TtQAZgO*Ic&--fciUsakloJ7l3KIZB z{gKjp;F%{FrDRI;!p|z zO70xzxxlb+BKmS05!5$e&Uelbh!C{f_xIJ0z%b|iD+&4MI4&jJ)przvIalq9iAGS4SO*QB59u^imvDpEfd=O)iL4UQCHpdW1frVobsc_^#?*u?8fGGe+R`h zBNV@=Zr%6zB--Jq3|E-tdKuQdpGJ_RJGC65ZmSBC3fN#WYGBTpPg@ zm7=e;D#QGDlmJOra~Z>41y+j%=I>}&8Pf$V`Nz*)OiV+JhA^Vv=Z`-EV-u#Z@MKj3 zHBq|FS$&}~sCSdpsruqzodNhgZP``X|EFLrI^nBmW+Qyh#F`}vW_U&^ZGTVLA4@Fq zrByWgUC@&J%ei^+w_g~LAhoH~P5BVV>EaTS?Et^&e}x*LuK&SN29lBWv{B|)QNl?U z=HA!|m_GR&K}<(1To^hh`X~f__ud)e20+i-6T#-Be1roN5MB`F12M;0sb%XzL~0m1 zA^r?u53oFn;ep2GrC^r6L|y-vzM9nf|=Yd?KVV&N+7nt|qT1+KMt{|x!uub|i1Q@XN z)5Fq}Uky~7c$G4wUco#EB|O+iFfQal>`zWW)xz%mKzMFFnP8Tlx<@ukWkMTd?UVSN z+Y*LUik`r*e4od$9fM?EGR|rYV~DB1#SI9mC(l7FFh#);3=4S>GGf`a6S%yL6g3+# z07*3%!fu8&Cr+CEo)=$mA3ElNPfzk3gHCS-A(eNZrpvf>9t3vi{J-A3bjsY;70BZVa2rk`CVS_u;JOw*?%#Du5^{XhbN< z@-g~vmOW&kkUbP(Wx8zlWx%*6DlGvLrlDW~;28Z|6(>c|UN`warhRB9oX^+Y5vR9>T8G_SfXEZ_)brt3Q3^{H~ z_y$3JpsI!M}|k?}piTWJ5w?yoelkomjj{y;hn)r5ie^8>JpY*%Sey>{yf z8r5XOM!T+QCC7b%X%dLZ=$<0M6!MN?1UctLWBq(#(lJxD49 zCB6crX}2}M-bg?|#R!WAnDw@g?Vc=z^-9a#iNbn0bD}KudsE_zi_@6C5K;Pf0_mCg zl#SF^X;9b893SN^V<-sA+%1MJ*{Xr8O@0b`X!kV0Ua=Y$-5_%S9|9wjUa(G}fleQH zbvZ44N^u4G8hv{OVwBmu?~uaS!FL_t=q!%_G9{UXra3HqGK7JO3U;0_2V9BqGmya^ zlo>C6J#7PjHaJ6!P%)7jx`OyQ-@(q-SAmklP>Jv{VLaEL+B%WGDqVZ-dRWZqmxzA5 zspGNA2E-U2c3zxGF*dCm;asm9sJSzpqa#NnRdx&-oU(slfr4cM1;--2O zH&z2lRrvvv12@Ql*pGeJeIZz8El@?04#g~_i2)t$!GYu)hF>X>NsU5yd(A^3FD!(&j&ji4p%@AK{AE=dLFloZ^Qz_8pD4#ezaHp!pCOlo$; zEjo(b5$LC9h*>sWQ1{4B!F{fDnD#d(yAwVg`#VwR$YT)e4TlGBQLwXt zQ;^#aU}+KPV0mGMcTxlSnOxs`u&%tKremh{$r!}gFLX%*WDDT=gp08% zcUwsPR%A0R%AK7a=CczK?<)b+EvqFKVW~TdtDk%&E);5g!)!`KH(^paqP)QFx5{5$ zQYnuDZ>{#^N>{s(vD%w@z30f5kMwVL5e={70m7y`YmF zl`Mzgr%s+LQ;9KMnRc+HJLtSP!^Lxi@0dU(LYlSh@k1we#FfufO|jX{^}Q$!kp8P6 zV&AB#NmgKDH;pm=9Sdp@%n;Ze6`-kn3}7f_LgasSBA(bSn0ov6A{+%X1XUs`p7?7j z3}Y-9fZH_PlxG>f5U|yNDXOk{c_0_P00IpuYG6Apx*dBSg0@)2yZU@j-(9`30o>-F)*R8hxbU<9Ab5#av{#~21fqApM| z^mgG9;O?`m=E*nq$TETG@vCu}a#kV&iz!e1dUt{Ocs*91`FUki>7u#@$m@UO5?@gI z|5?jHy2tLL{##d8_9WTpS+g9FDLDvu2wHc(!^!$BnfbkhI>ASX8~QN3UcK(hD3dAZ zfcFeRNJP8o05-BI1{z=*WhtR<8)WZ+%rUAyTzu3lO1M2`f90z(U}Ctf4EyP4ZVkwA z3?iow+LO45)&NoJ1N^E@sj>r;OQSDaLg~5NU-mjf7@G3)-v}=qD`I?215-!B+7_4F zl%}U+DHcH7e}vL~ji^<*YWPeAZNz({f%m5pyA^?*rXog#dYhl66_h89k4{pAK@xqf z*Lrm9O*fN4rUtDm`rJ-Q5BWEY2#-I4HwYh%yZwBexF>oewOrGrw0uGxcC2@+F@7^ir`RWlJd)}x!1_C32(Tk%5Gr098d)oNfz=UygJjvamOu zXZt{TOEy32cg62sLgbG0FNr19)&Tj>UM{I^euaHgz1;yxaXy~A7hTd6@ecCaN;ErnRt+kA$BJ&u=W@g}@^5(NN`eIoTxLx|Y{1%+wretA{6MfC}K6|~7XcH^$g9`=G2%0^tTMVP}C)Q3p5I1=F#XLKk z0B+g8Myn4c&lfcr0My^O=)47YzgVHvv8Rdhx!qgyOB*{u3!H*F9(lP}am{SJ>o_`F z8lCl)?e+mxM;!5r0CazpKSkc~Q++e})$mcyw R1{1CX`L-6HqgD#INEGBr#BbQ zuUcD%!c#1{7~Tb*cSQjDF_sxaktNmFcF9`$lu%Ak0K>1bn+`AY&2IO7@KUw4NagcE z!NY*tFH`D4L4m?^(_MXpaPoPRPc~fxvnit|UdQL}Wi-ZJ=>0&bF4rpTl-iZ}k7|?0 z2Z^mGC*aT6Q-EC8iuOo1mM)P`9;j8eh!g zZWwA<*BlyX_jRADOI@PF;{gK`Z+JGmVk3-|a!bIn^3bv+W80US^Js?4b8*?9U42Y> z3d|fp`;%BnbfAf!5yN9A{sUg=Av#-`RmJr*+kpm3YNp+LEQjfkKcUI>1a8g%HG>qd z;3`tDh=tS2BDUTCdVlf799`MuMwR3h3=d?$Mb}(pU4_uOfH5>^Z!ncStT5?VM{~}# zxdUR389TC=qw_A@p&eh(c))lDxL@y<8dr^X`nfG#1F5xJ%pJgh8KA$9HG%OkkaxXt zq+t4+_~W;>UoUjy+wf)6^>RV4xnvcM${0=rijiO*J{dW(*ZmS+6;|F`m08Lp&3WZP z`B(Ib**Hz;Rxj4)4xzwi#pUJ`ez?A_=5il0r-OtauLGQDIy_#~{2BH@t&1U?7oC3D zphm2|%Idu?yJQANKmE4B``ogFvn*#Q04)0gigq~|PD%BGM%;Ef5L5)k4l!gthpr8K zlu1%e^Udmi>5=RQT0bbipXMId;6DkRYOs25H50^nCJ(!Z9^3s0WjqD_DPXX(>{(+@TC z-f>&zC*@;q{H3qPO`8IfR}7o+8pTVyP4ZChGO+A0(7jnS|I4}mD#sIJC~1Xj2<$kJ z2CV``|3TD3SI*!*=_y``yPD4Yl*x~KqSXhj#$35G+g6@E-k5dJA6NTxREe|wuWrQE zY*i*_<*^(SgJq#m^tKu{rJoYY+*fpl)1G0B@nhx zK8y-Yix@-2F3ukZZe(cwkp%``36r(h{;ol`Tcbs%b<=;VeIe*d^)m$oO}}*(4>E#d%B8zn0;=Py!rSSraI;@Lj)zZ9|xWe?wzYiev6) z*3*GX&$V~*U(Cy>dQVxCywbZ$veW+fG}}`GMT6I=!5cPO$JCY~kka|)$;JfI%p{o! zz`c@r8Yb%hV50Kh8NeEH{fuzfsxOjO{_-hsq(Ib!2S|5=mA|JBPBKy~g5?&ZjiKm5 zi@<>KGc7Tpo<2#HV=bR&!8;-9HFNoFx4nWUMQj<9yb8nk1y!28{(T@Wc_WP$<~D|M zvQD?qk;&XJ`E;&%(Ybzt?GAO5mv!n@9g`!zPy$P8T=wEmJqf~CpU;0p*yQSEm2HpedE`Vq2 zO=`IZZ<~nRqpr;K9r^V%S2nw8bEkpd6EtKpihuTj{#^yoB>g7`3YNd#Y1nsE`K!0G zpVE9uysB5j^HQtNpsW9Wy0Y(^5!*Qsx##}eZWfq>%6iJcx z*6WSx1VhE#ZdL!bnn2Wz2ERExM;5Jr)SHt7Cn;&47K5pyIB&H_z+9t8uEDs&CScg= zoKU`eijG%aPeZ%e@RYeK&idsHErBmGTLWPcMULhI0a2VEB;H(`51+QQzo@U7AAK4O z3;|6xJ#SYdYbm(O8$Y$H4m=K|J04e?d=M&Qx?4AK4}8%Iv^ONdWa}52X5aIVVmNOt z*OaGbdlwjRHB}PIv8}Yz1qbJGZ^R_-pnt}V3iUMG*4ve}+aT_I^5SX|XS!$_IlBf$ z>{4 zFw3ecyc|&Eea@AvPFp9lk(qB%w+Oj2=}EY z@Y^5UFAtM=pCz`}uR>RBLE(*ZSder0twPL|XSvXTA=Z4Dz8C+}cuC^iacKGb@SKV*EWDVEK)kh;YC$m-57qVL+&~2r)RjaS1ketBZH)3Ab7TP7(s_$amV8hd;XRe7wl zCoM!+^2ZDG=M*MXnu-W~?RJPBQmm!~!Y@;QT;w1wq)b9bPRxh2Z^a633XpLzp%Sj3 z1q=iU5mfd`k%K3~QT#r=5OmLT>vbGt=OG?Hqf-KWtB|U#NX%y#C)A8JCV7YSA=`)S zJXl6#trpphBeJNM`a4)Ua5q`#(W&=QG53~^UO=)7Et8)kwW%$dm0)8#OsJamL5nfM0znNSM1p5H^v2_UXVLtOtThNVJC8UCUfQ3iiali!c} z)0o>PA+oabzq@-PS0#LrKEodtXZt{?fZ*_CEF!QrR18kd?~2c2nZuznNBf(xejQu_ zgLaH4pXi&9?I1h%Fzv00*f$*2y?I#Hw^w*pC0-%tH+2wmYA+FU9ZrI+e)`BGd?ZAr zn8SrH*f5b8~&7~IEF zYw+$0{uduTB>O(6yAJ{?A9Wi%(IFN&s zSLBFMTLNH?C;;!=DuL{{2o_P-l|TloQ%6V(m66CrlCbAU^Ccy$GSVERcn$b}O{Ag* za_88!<212o?g3eT7RTOEM-4VY`~|Z_aU}*6ARjCSj{&-7QJYKHK<>rPL_T!IE6TZg zR;KtYLw<1W+D{4)xH#oCkZ};z_8$qLKRV3cSk%UFp~7Q8gAxeq9UX*A;NJ4bxkm*| zsG&+pceBd%p9uHT*ctl_d;I7B=vW5CB4&8h#YjAuaIB*68yDsPC)N`q++uLW$Nt!r z9qI-JsHV@+jUr^V-Y#RvV`pxBPsoVR1Edl=7$n>cE-zFGX~RmV8jZ7l9i98ikoRE>SWWcexQt6vB2Yl$!p{Nv2j562R6ngqmcJ@a?hRxzn&3fEg?b8R}TI(F~q#Rc`RNR+epI&Jdrg)`Q zs{fqx<#GVWalXEDLvJw2R}oq?c!2GTY{s(E0zKYP*>?G!&_Nx1e0WcYfC^zhN?rIV z^H|(HE}|sO3n_OE*?#uP63=z8^rr4RK$VBwSI#TK9H&yZ-GmOBJxx z0_zRH-=qf;!ZS;W?5l@Ylz99HWk#60Q_>_Nh02xR+m}6{Ke# zAcT~wID*;XRc@+O=ol3Z(m#+u14uZ<-LHL5Fn%cRKG?yvTmFsx=sK{PA#?ux!_U|U z+ypgp6_f%j-^zp$w8LxKQhZr=?*>IOLJ5uWa`h6Htm?i}2QnDdIetKU#@ zQH#EEP1&);@Jxa+nWmk`xeu&srA1MOM;-Z?~z+iyiTPyx~V(00L`Z zq3KCb>UgSF0x{>7a2Im`T;mxR4uHAX1mb2l`KjRNId$+wGQdP~*V#CtO+1)doe5(8 z2@t4h>IgAaLsIw0i}3I`S77JjAH+prs2Cx`;VPH#yzjU^;%82mXFl(RMANnJj3iyiCK+dkw$w_Ci)3{DTB38r6KgRdWTbF>~{Yl^q`O!>}Rl zL#1d-E&#AXwAoO7RSoD*Vk|bjIux(xx_ac4FpYEv44?0o|M=~cMRu* zD#ePu_P6!>Vbyb(fKR5RZ0zA+LOl%5i-PPVDgzn#Xg62!g&!dZG&f!EM`Au}s{DsD z*^6L@o90hdZ;1PGmf3~{((wBQROLvcbt-nAe1GoLfYcTL)nN`dop=RFj@U22j%sI` z7>{go!CMU}RBJwiAAt>^!jiw{H>B4yFLaF#=H|)EAoKPw5p83+Oabr1j@m??GBHy& zK7GQ(Obv3TE{-*+juVp%4X|rVLjBzhn$DEKm0eQA!^1iUxi|1Ivp|q2P@da5flQ37 zqC6FhnJ56se1D|44!M+W2L(jLi4n9AM{_=wH(q;yyv011OcgqCEeb^~=k(K6)A>7V zGD=t3coEQUr@9gE@6X4tkU=auh&HSh$U8#&V9v*}l)Z5p0O#|1a}9O)M?Uu*nf0BDJ&MN^(iP>k?@qd>Zn{ew{d_C>n-{F|;i{qDe=@A3)!oREp!R<%@(~>`dDA{q4QFAe2ujAOms6)V873- z1802RioFNS7Nk1PM0qjkz$dV33O-~70#N21u3UtU!2F9I5g_PR^&Z)2%aKXStT#@E z%PiQW*kxOy^_qtw?Dgd6y{vES-Je$yt9H200N9u20%Ax(b~0=8K{U`(qztsaXWPbR-i-G7qj6qTmx$zCR`uw@lpX-;+5iE!QZ2o z2{1PjA>1x0LM{eY+HMB5KlYjpJecCongl67wrc28TvcKK$p3 zbK~5iAW}_>l5aLr)S9dM9zEU)#|!Sdz|x~VP!2k$UT7PAFn5V30y{9C^K;580#ZS% z$^`Hp@|6_DQCyLtQgB6zI?(IQ7;3)x*LZx)Fe!uZ3XXokjjrQ!s6WP*dt@Mlv(#&&XanN@0r>T4K+^HJ*&Ur|o?~V>Yh{|Xd)uUpF zT*aa19W7x=Mk-}m)KSYYSGtww9i6>71vWeF%McZoyjmTK$$v+xjF_>wdk?N8;wOAnq+);>jMrEe6`2kq zu;5Cp2hOF~4P!i>L;;I?DSQ<-NtXi&8+(CCg7S_MY9>!BM`jI4{_9w+IpQLyAb>RW zp8iqbO3g#oN03+Y@|1c7_a`+B?utBmG+ThAWzQK#2rJwPp}lr9i3jhCNvBy-#E(_5=$HCmpM6)IF_Lv^f`oYXNncIdf2&p@KZNuat7WFGZDBT zXE<5RY#OU_FNq`&M49k!Jd@2{8?M>5I;J8O_{n>o@f>FIrG&oVFVly=E5^HDa}(i} zr#I-h{vcpTM)pjAkP}3!n4>tfXdSH(1OdL!6U>Mo4u8?zp3KILdZ4@Zmoj-y>;n}x zDQrfE_S`A7C)AcVZNs`S5_$tDa`;5ZIs)$rr@o`^|E%6FF z*|G9nISh}uQDnMn&m&({Iu?6U%y=jlOh>(_v@6Cdtl{oF#W7qM4@nKqe2m$(Q-7hq z!nA@oXdp_asL!=CXz|em;_}Dyiq)4L z4=CXQO)JufIZ%611*Hq1~`P0cuI*jk&&y#%&p?_&rIJby77qfT6$ehGWP! zrH=_aXUe!foWrz0ZZ&FWF2nav%TeLlo`S1g95vjd?24R>(D`&fYX#T4dO?!{jsc?6!5;_ zNtDYT=~ z7lV)-n#0~R0o901TVq-1fkrdRUq9(YSXWv#Fi z#olH#cay0TeSq7t}|>sz3q1w>K;0FCH)? z_;%LRYRK<(XU@?_T&OH2;!4B)K`ljMxPt}#rcr6Ncc#8tJM=$H?*ahGmRp2q$l)W$ z&uQI3rUhv0@605?ZsPCLC0;uLOAL_S|9;Lxu5ev4CfX)IcFuE$Po0uwiMUbd>S1)< zBb$Js?m~7zI1@MaI&h(FQ(*uuF**j_c9lD!bK%AKw(zh7#->08LEL%N3s#F_yqm0N zBt3rk^6M~vKR*J*_ABKR$qx@W+H>X^@Y(`d`8FvLxNA`vo^LOP%Vvh~rdo;~|NTxY zb9Gq4zdNP{eqPD4qXpp-O!&cvQ2Gcf1=eu(*9Fv}FIer$@q27nJl){=fh9dxIk>{} zEE2%e$j%*bOLxt*^EqwJgw7gcQ?7CWHLx!=cNqOISIIr}VxAjyw!r_kaq4E`u(Ge# zQR(TE&Jfuf+yN0!>G2hN>N$Vs-XG@#Id`LO13kiGT$eF8?tqUK!{}S3ma!z~>YH43 z6IIr^!`+U_z?Zj#>(P&T8}L|AufQ9O}jXTcJBiIp^8*swY~~- z2IYb{4(@==wqc<&7f)4Q+#LsXvQ9ysPWB+-k!%&oM9LqujbLVps1(bxM2qrNUR%a6Vj(ZchifV+Y(&=7}9E0PF{<7Q$*9;&cXrhg& zlgL|rf7O45YBM*-uD<0>rrHW#X*389uJ6puI^MflX&(_z=c(q-U zVRd))8=QbILDpCq1SB)3ZC5Wxc}Slz|NTF{-ZCtz?)x96B&AcjyAhBU1eHbv2Bby0 zduZtr5EvRMDH&h{q(ed&80wZrMmmQYy5%{1fB)Cdi*sH3?6vkxZOeZOf&TOy-ene>9`SjQe)gP~vfX=Yr?E z$;G0}xKgCX_TK|GM7zSbJlz5A_7zGa9hablin-@{f`YcPSn)0l)vB%+Iu$PN=X5S0 zLarYnj_5bYq5U_PNSXgfJbKgAyiTX&|9&Au&JavZ#gY#B+4+AoQhb00Y@PV(#&u(y z^0E8jWh1nA@h+sSYYLw~T}XW$*W~(#JYivI#iUAnjc|2lU%(y$lNML;*|gW?@^d!b z`6fL^4z=;l>0GwPeil3ybPS3WTcoDA30_8lXv5!&@ika^5 z^J}z77acGB3<=vKaNW{tGM(pJ2>Mjm9{j$rdDI^}g+qX(Df0gSHlOP?T|DuwdA~Y- z2PTJ;=n1mf&S)Cj;0nB1*dGKR(gqh?dh1rsH4*b>LLhPkGL1|*&ig~)*iVYE?74HH z1^inB-H83y>Q zT)fhe7&g8Jlg|!ysMctj-T%&giu(~R^i=PvM`U+Y5;IId7u&~S;Q|-N(XK^JW{7IX zEOAqcJIl>oa!llk1Wt>zEBmu~t_bh8k%;z2n-dQ`QvmmcW{$flzK44Zjz^B~KGw61 z+E+Lixw<%@B_|prR5GlF*h!$QPX?p*OA^LKt~L%&tm?RAUEFf+pI>8F8p$ReOC#Ii zJoT`&-bXI_hQ<=MWAr!Bd6U`4}S2$i-@gW>JIi6{Z((b zIUR5<{YopUTmgjM^pxKX?4$}6b#CiYvuHvHP8S2(jXHZ*46a!_K;rlsg0kJ!sktF2Nr!1|x~ zwb-7q+pm#qvN>HZE)zTIu%;sPTX?{3IQ`yC{c@@;HEKAsNi8pYcn-)Ew1V9JP4l(9 zm^I=-h! zog6Lq{^wrGlzX8|F{-dJZUo|xqVxLerK>L3H_dV_Kq~AlCAY2mN|7$&R#64)Ta}HG zvy^D5Ws6#V)p;EWifZFhuY7x;ki3=8t8a{H@x_L%<2ust6y=14GJ|bTYo*{z4yQ9t z`TEj6vz~C{3nrs)x}t&!bJJNdzcC`hw=jQ=Mul_!jIRF4L42Wr47E^SSTFO6c>2#;Q3y)bX-P{Sn%BnJU7=sJAo6;Pf-*Rpe*u^w*2L3@7nbuqg{V8 zZ{I?yF066cVLl6DvW~!fR%iwe%!1-46RD+6TJ=lh4FPH8oJjONt}~R^Lcxl%vn}mo zTki6-Bo1s*qaKepw4T3!nuYr(<$_B+mF&oH0P&_%;(k6PJWmrc!R89 z$@FDIK$}auv`nQvTxb_7y;uJ{QL06}A8NurwdaLnvQHi$c@ynJ?v!-8UKDyAtZT-a zA!NJ8P}Ye97@>|vF=L@ZBS0?zlYZLik~m#Jv)p=1IrRNFmZ!=3p*L#vh)_0m6@}+$ zC+r9i1NRX0syZMQGg)I^C>%se(UI#)JH&s&+5?Rxupp-F_#>`vF?upWBTssl4cU*e zhq@$y`fy-UoP#L*9_W6*J#^1MEx75OQSong(VZ5^Uuv&2x*q6!pT)^7up0Ft9@eV{J^ICRmd7S7_$`{GHAY^v1yigzmrAb>h(6#nWw z3abaYM5b54dDVTqlB$1Matnbd2$&-}ULT>Jr)*Cr0@=t7J|hIUc;;)L>U>Msnk&ex zr|rw|=C$ZlL8GME$WetjFySAGdbC{XMwT^yb8yqgUe7BNEqemTK19{+}8e^%4tJ&KWS>js_uDF7hFrMBp>` z1kjyK3CNwiBQS4yS`LUc=MYq&eW^dhF)X7#ECMf64zT3~8pRy}Is{5fiB*O8QCj4m zlHz^y?9)6*h#;@+Kg}bQ24HHzAk-ucI6kpLzp6J0&?f)rIm`*Q|CWqzma{x97{6?2 zKFk=MtAcilYBBwyaZICEyR#8yH4%_`jrDvK5W<&=Sr7bRJBSeYr^UXDSxkWQLS03u z3nf~M`!BMr>#^SbE40%LwwoBH1;wF}$s<*Z(ZK&iR6=CbDK}2NTYZCJPDKD# zDDZX>j41L;g`1E9#b(IMs}drlUO9$RyzSj&*R07BwpGwVI~lP>fOO->jZBQfK_*V- ziTI6fX&(&NHfk@&P!yW3kM)QWI|wl;y{P41aCUzMQeQ#mxhrIEw=o9g8JcC{B=Pb3 zSq~JrGfuVAH@=>q|Jcb$EDyiYF->olc_&=;wy2BQ(kTN5>G_NkXRQ>!?zOpkay zQ!WBujhByt2@3l3R}QNws9-dt@p^d_dWAqO@A5U{H@c?j@zngI);+jNFZ1gbc=d}# z*H(cKne&k$-f98g_>lW*24)C5X6Y@33Z8{DLku-;wP|tS9KBAvm{$W z2@38p3C(}ejZ#5M7H~xvOS1P~KO15|{scBOZ$iWBrj&*Gpt6s4ZB|L%uyOW!fmMyM z)Az`|aWncAY~AY&V3vrqNStbmQ1Th?9ro%UAMuCt)+wzQbx4gO)x#R5$UQc;LU5|t zRyhof3?o>bjMa?$(${OksvEzjw~Xq)!glZ6=C&f{%&pjpXPD3gM7&WyHX6!znlr!c z(}!cZce?OcC9#7Al3K1hRB$|9(mH%uCkR2{zt-O@G2J_z*sO?oz`I;Wt~RvnYUK*&*dtj%Un#WF z3tG%w=ZIz$d6KqGJHE4DTF05y$_pXEOPiUgD~}E78k}kq9QSE1eD*K+s~wyH(4c)u zHS}z^psyI(C>vP~ z&Ka;flFZD3p0yG@d$n9+WWew&Ww~Y(=S!CwvI@jCWO`Rlq~rWmesqPF)E>0Aak|iVUK&Femv7z zo*uhuL@4MhZFZ$lwe&~zdoW%J@ol$2uYTG_O#zqFO7detUnR7WebthFoJMe#19m%^ zGBS(PegDr9B2RQhIWv$93}u*h-{&;`7s*I&M7m0i=mFf3Rk4;XTFk1cfYmXbj%p?$ zWBP^n%X>9em8{_*4v_~I;RK|0Q|weoSOW_BF+GoEc9(W8M) zeIPTNY9xrcsqDUi!kcm5-pz1DG%IrB_iExCjROX`k85@CC?fRTvD}Hvu4|SE7U{MA z+Ywzg3XxD>%2?3x;XE?h=dC%({N_pqqH&a<_DPh0dw=Bp zH*5UI^F^7j68vqm@(6uJkoGt!=Wh{?jl%Md@5Joh2LHLrcIy@e8qMLR%J8FH(36$? z{Xy)3+=d&MR4D~ohFqaH4ck`+hF(54C}h>eVO?QD)*Yjdrs%6tMn31ZM6_{P(Qg~H zqhJY~zeP1g!Bs5q@%t|vfm#C`94oL6g~8r%Ndt^-8^Yp&E%Iyb2m zj246f_k6Rq>tvg5&W(3M?~Wr5(nnI=vg$WY@KdHq;+ca+`2+W+eMEO_6*Y z#|+g)XQwaMz4QBR1H)5IY5X3?>`YFsFeAcYU~W#u4_hK?AJw)5j7}ZIBsrl zr`8+c5TrCVB``bZ2nSZT>Yf_+$8Fc)vi-JkFHdBi>?BJF++%`m10T3f=h}sT+c3G5 zz-8YZEZB5<8M)w?GkBk3Y+CN}$e-&ZZ`YjznkD0^Tvh<3xmN$ia8CT$vVRKn+?o?? z9=;R}^N+X+LMolucmAy+-_CrWoDzN|9Ym1g%kw{`FW4pUck^l~lI-9SCJKd_M5}*` zI42i?1d*QGJN(W2%YQld7f=!V@B@hvF{=vB4^YCL z{gb8VmIIhj7ro!YIZ0v94tv^jYjaR|B@p9wOW0;T-jmrJ-3PxS3G1R_tZVNlmwfFKKCPqPIt$z{u3HzlnB4-Gf>*(_#fNfxGF|K zg+s(u1;vO`lGVQ*oD*H1>@eJ(+a+M)1Tb+tEvO6Yuh&Q&#=Fxs04az0>D<%8?K(an zC?WXFCnjnsqugG5ctG;W82z^K_EJq5C5~s#qa{<2TJm3FW_VjP$WvrmAY*h{R{a(b zFwPa|k_ ztTyUwo3EOP>m(E$i~P6EFcA367PXWl_O?Wp+Yp7VpQE@Y*u6*XY*gmJF1dVk;4URSj!T5}ApN0UfN1 z=ZsCjm{Nv@+exs2y!HfBZR`(~;F+=(H5hG%*=riA`p5crbA0S@cGcv4 zHt?RF-sv291pFVX*B(}?4bU^%U$4(`vBQM{;T!+FUEFeJ%$1w@y^&|?8kkiq4^$S_ z3xuYgm@Jj?!+~%ls9RVD6JTkRsR6qkhJ877A}IVb0C$+wa*n^@by2 zSL9;w_1=p@n*x&ALX5voTA>tP)ttXmhDZ}-xRq4bv)xX1wp+c^E+L#-nzRZ=kiok5 zs>uq<>&Bk!@B~U3qlm$}G+=r1@Y>PtI7&;HRObBg?-VuCud_kbmDx7GUu>Q+3-Bt& zzap&%W~fqa#>r%iatG_gRg*Kgys8;fZ8iYMcjO#mi1K9&P1k^rp#o+|s9Ob^B59Dhe5+od1E$ zVE3wioND6>lvgJk03byf08ntr$*izwc36kInhrj7IK4TZ>sP$An}g(w z!i%9~p4|UX^Mw)U#Dsw6juJS^6kuiZsuoJM*#{))t{dYW)%c$3xpl&2|K6MK)+Kq8 ze}xRJlTIG}aP76(k7sn&TsJoN1YWJE8eIFSAh_njnAVe8`Bc@p6W-RUmg4Il&%A3* zsW3Ci9$0O^-lZV*ty7-Br_lcRBN?-yHn=+P(p>*E+rAT?o8V6M6)wH2a88nH6Y}fA z=J#6B8S}0{s04+=waH;!N<8DP?)u!voAEk1ViuLnu<)V2$)kMxUYomL%`;vs4>;vN z09xbRsWuK)<>t1p5P>PgY+fUp>vMYSa77L;7rIoNz5}3cEc(qc%jmV3X?tI!5u^mf zGcu^G8-tD(q)nRdJN!cHx9fhZCMU6Zxc~vNB$GMkO0}^8m}m=astw*Ga*6oQ#FsG> z4NG9rV%e2zzM|?gG4MS;Qy@LJ_>k@QpXe0G z`!%GJF;d^N49*FWoB;{<`C7a`o{a!4#tyKPeNx^@>sx<=i4FOtY%uv^XIFWH4uThY zk`p!IagbBEA}b;hP``j*_Vo1k@V*%D-Z6*c|%{b3iO;)J=Ahm3L^ZjxUU`Avslm&bU=yqg)tbdY~++~ZRENG+wRo#K)6uU;bpvzCN_uh<=02`Me?k4cRu|7+Q~J-q@NS~DhhV8J(lNK z2&H{+KmfTxvhp|CF*^!GtLxz!`=oTXywYF%4a(&V7FRLe7au`1myh*sEr4K|R08%J zK=a%vH0n$$ehc`n5HfQ>l)D9-C0AJpJL(5t-!8Sk(I&O6BhIjC^|}p!s`Ga0?)CN~ z&Xx%kIUqgV0=rLFS#Udge?Ccx6xTEaM50t|_x-Y6r3uPZZ+z`nWY1OzF*&G2&z{F#H^hpt7XM;z(FGd0fE@CYH?f#KO7)Np+3c4k2RvJKdSReCm?}`lRDyn z$goaj{>S(e!@|V%@qeTbDQdFza>Mw~Vz#psDEZXI42%0|i~v3+J#k09GRHqp0KlhT zO7JAW=er%1)sHG$=Y#Yn)ddtIb~^|_WO4UI%NfMiiqQgrcQwN>S@^*t#-72V4@ z(rCOn)Vj5!erEIa`K?VjNXqHgJ@vMWIK{o$gT*d{CG~!C!E=I;8YcP6^nPxwMM1KA z@Nz~H{gEz~#r%8De*wY(zIlp=5=s*oDR*rqJb$$!tDVD06&HquMsO!x@?gVUBq{EL z86E__&9KqqCly`b?2y^*^=i?PXo+}!n+_nmdaqZG0dtY2xW8ou827$ExTed_?r_9X zUa5k^tU|_2c{yXKTOiMdCV%oH$d5k2Hk<))qPSUQwXbLMD9uT~iL0meTcVbTZh^Oe z#Gvhvir1Z7IW{?w3iykA_upl-Xus5_#Qw_4B&gP znHMMqx?i!*ZEg39X^G z3);khMSbe1e-;OXuNa@-Sx+4B;ux|u!@74t>!12^hDo=8a*bsUUWZrrpnxW_vOLyH z&oKE>QE$f|kw zvmOV$k$rR&@+7dkTL2zhS$#)w&eh}P7yj9|4d}}*9I$QP46GW%LdG#T7@ipz9}dz1nicNGx2zGG zlk6uy{}Ii4N?g&d02mXWLKf062EEe4wgm+5oB)OjneN1ZTERS+2r@RX2)Vb-Ayh8+e7>0vp+Ova|oq46VFsM>65sz3NBIWmx?!`#%m3!=dB``ymI=FC%_L0Rr zzOS!3l(pp%uN((1Zx$91s(S7?#Gk}76+7&ylfV;a(R*xeOB%3kL)H-JX~KeA7UYu; zHbj8!p=(s|JJ-B@RjAI}tTO-Xr$o9pjs?MW&TWMs>7N`Dy$D?1u+5@eX2M_8kVZVi z6cZR^=-IuP)^_hY6bt4;Bz~1U!?}0-8X??{iSQKwP`Uv5G$LTzC1yHOcwq9KY12O% z3iMoT@J0;q;G1rN_FG(D>HP48ot@6aMVU8|)iMW;@jS&8RmNg*I9u5+( z!R2k9cxcnnZ1(nnFG-5SZn5qA+0FL~C2}90+ZAH);<+B(YwJg&>@2{-xw0z=5 zgP?_qY(`!wWC!ayH27n~ZlS)nH-J3v$9n&WABu7lAIJ}?ITtAqb6ZQtlaa%re466X zMIxq;r3z_G7#2el(gf&2jt7kWiHJXaaLGh<-gc1glSd~?A9T2X%-^i!2K=z!e9`Y)X;YLhLf`!@Wc6vz5%EpU#|JIjr(Vvu1FSL80X7ap`WcN| zMQe6J3$JE``AAQcfNF3IBA_Vl*vwD@Fg^tF5d#pL%Qq%Lm&R_HuH*jKPrPkK%?w{J z2rTc|H~#1(>7Wol7oau=60)S_A%wkqeehk)*xK-`7t2*DfBq44=&Nfk zf4IiCy8?m15O`06Sh68mj3%)9QUAGVwtLdBabZPhIiv8?ord8!iIUE!UsP%ie-tBpQ1w6PDVJEQN=vv4pdM~kA&5b0v$FlfV z`zow?QSx@sBy0*D{`z<4d6{bC0e(< zn~QXMXR(|SIS^W=Ec-vZfsOAlNuD|{XqN>Zn=<}?thgC}c+ZP+&oR&lz?Bn&k$@}N zr1_oi5SG9eRi$DH?SJ-lxoUvc%8~DLnEQam$1>_uEGnCy$K|9Cdo>`~T!9&*ifLyZ zpPI=WpL&-wSbi+qh}3YU2*S)X8WiD+1<eHZw~4&A5wwuD_~=WGltUxe}W9~oL zGt>2lN>hH=S;}_~RD*IGg&KhGw}$eCl0>>Es&_`_HP$lVLKyvXupdn&xtJQjoHrr;U>ZOIcz+=)gcgGI>DO|lzcZdbQhYRKUOW;B&p9EM|w<>+uee3y9 z7|bVP_6$j&f{z%s3^y4~J3#oo=Awb74tyJXKGxBCujFm@5ByMTy5XQd&K<{3zq>Zo zSvnpnOJYNST@3Zp-!8Sh%`mN~EA-U@QHMVFuY9*85JLH<*RMHPO9&v3;=H83NmW;D zfm6`k0$=)P9`LRr!VY}`{>sP!fsB>0V1UsFAFx`Zi8!P2FtSVX2K^fvvgK~|4>U6W zW;w%Y8LC4MEA3U-uB@}%p;7@fv+_G@_v!+-67o`!UFou-vq)dH%?G>gu487zk6d9M z1Tb8zb+^DtdY?N&9g5ngtHX~X6@$qPx~#_vLd~dk z>9$v?iBEszNq&Z%b-ERaN6RQEo9*h*ZEw;NgC|Dm9ZY{NI2Va$$ONf*Jd(36dZqXD zzhRr1Zc3PgDEqBZ;2_*{mHU zE-tyR=#&rB?Yv0Mz^r0;i!V?DD0(L|Y7s~US4*4>&@J@}Kp zR=(POhh6p(Tf7Xl$=r`0bD);JCw>3h{g!))b&R*kxYjE<9u-cm%JfOf9M8Qg82e{! zc3-|_nhhq%b}B-;$$lRct`@X6mRg}YRxLQ1GgLXA>ME1 zAM{GLMTHNkGHC(o+-G+Zw|IrD$K3z69A}D(Mps5YDc>!!R7T=!yhG$K1$Zh5SzFP+ z!CT6yjRb!1*DR|4B=hrPjo+lV`!Qghv^k!k$gJk03=HovlGQ(;-fpcD61%+GNIvS6 zl_$Z&Io>)rpdS>tx2jAdV&-^=nyb0_8mqA@8nX%wx@@(n9(ll-&6Aqo%~g#}Gl#zE zil}fIRVG2eYgtScsXMZjp(D{h*=|#1vf4b$c&)84-{5I# z&Gzh_FD+Z#L31RQ`_Bra!qrrnaDdrrsKrQ$z3&RA!v$0^;{<5`I3n5X=(n}T<3Uq+5a zZ?{GX$;|Z#y~9*-_d-#9xQz6iMaD>k0<5o{DW@{_yH+VPNvptQ$W7;iC_}eRsFcit1x!!aP^#C3?HXN=S5aYa?O0Kl+6BFT}U_q-z;D z9_O>7Sl_pi82w#5XQ8I!OuBLzgLPxU+jDq0|bjy8JFe#|@6Y(tGMj?C8ssK!tH zt=}jrqq0w$S!@5YKhEbyElW@OlF9qoNL1eb%HQH>VNVqG$gGS!0jlwS0k41e+^uUE zwj0X}HOo+?yG*Z)%mXkW(KDCrCmbUI0&&hs_}H^?vMsi4B!nAsm{z4e(>?1jp`MvJ zo4D($iv7FsX&`n0fSPT*@vi8YNHeHA1?qs0tyLYlP59((ir!?`fctZH!5S-)+9bBe zrE$Jw<`?f#Z0KQW=Z^@!%=7Qmpbj$J<9OfCMuYA%-OE?g0uczu%=0*=**Y>@!h|K; za+63qVq{APQ!~@Ez6oY+_%vS>=HI6FKjPGr21kZ(g8f4>%M=cJBzdARo!cCiJrhl^ z?;(8AmQ!DB9MwWv(xOE5<~Zniog|!D8)vM{;x7a=1(<)Gu|k`b;hvXw&F5S9%Uzo^xMX61{KdGH|P_Us{DqQyib)cGl9r!r2>V#S+%j8{%W{G;_ zevEoBrSlGJ`@7AKwc636WLs>t~9`O}( z*u^|fpJ**}7OYWM(Oe25PJbq&F{`ZM;MlYz`t6D3k{@=dRf)QHM2!0ARsBSsU`@2p z@6rJBzS2y2ov`qA?Wc~#{i5Hfn|%_?8g(2T>qbR)gxq%AKU2JWhZ5IX{lWbgmoLph zzuPr?DieB(t>23@b&Q%#-dbe(OtHC`@)8_uW4R;znn0*VtaojzLF3{%p!L<0neX;a|t$5YY=NoBTizP128$|0bA$p_k^> zgTaMjI{D@E_s=!5gV=2H74?-k1uy`(at@y;m8dcm1}6e}MmniqzB*mWB#Z!-lCRa2 zi!`5-Y3^qIHzBr0{IQT{nD9Zo4xKwju(Qj#D1h>?^axk<<5odNSxB1b#j2&tw{%=D z%@jbj{_QZo?*yycH&M--r^RL7o;8WGj-un8uap4*Kg$@niC0=L=pICLz(pN-;n&X| z#u4M9I}&c+@BxRJ6Vh=LZ)GMtV9EGwJ%L{k)c*iJY?F>VX}$FVNApJB`oO%Io;zu^ z6~qYmz<-ji;x|&CAXAFFxXg6mSxApi1`c0wS$k+-sYt+q0s&y|jkH7d)6A{Kw}P%_ zB}i`#Aks(j7X`R{Zw_SM3dX1_x(y9XaC82EjN4`ZBK=`o2E>c+=dh?F`*^4Qa}7w) z7?xXKDJZ<{n#c%zIa>+Qu5R1<`lSQic zreMp$Hd9K#`XEC>_Px}2CqFCKNGIV-&08M>BWl2I(C_WIR^)^?E7uQ$`R%v>WEvBY zDpmB9ewgE(P5`q)yO=fYF%n7wH~{LVHK!(jp>OhMHL7(>lv_|KGE)XHa)+2hNMs}P zLuQj;aarKYcO+<}cLWe^dOF7dneoo2pDFADIM(*PAB}fznfyUSwO*7Towp{K24Vub za_QU)mAo`1jsKv4lPB(l&ftSid_bGCbw^0;Mk|OCfI0hzuHsDo!U8bspwsmeW!Q+d zNF?SoCyMkCrIyyEJF@Fa|JSlUz3P?q>}_V0i+N;NKvP)s4V@lI>M%o zSV-N@<0&I9EX3oqh~!I~$ZQuAdEJqHqOKvwoPzg!wfZv)C-dCBx<-(W$fM`0nJf^9 ze@kNo>ro2&b7_mr3N=>z&8oF}e3x@W#IG;c_^%l)t<`tBj}!yK3Y>9qZiFPmIA1d^rKcTZ!Y`gB`(CO}@0 zvVNdN^U?wv03pP8C(|_x zC_}wQU?d+B1B==K)6O_ID3f7|fNE^@Cxb_lIPq)FoP3ebx&{EEy9T0y(NY#5QUdJd zY2w*y&bSotSu_0-rF#cVV5G0#!DuM}5N96P)e(BhhpxbxRNC1 z1?_amp-k5fz$*c)?8<KY+}7i76GrlzuQHle_~fd7Fp;*tDPsZ;eB$5##bIH6L|m>m&I0;gQ4!d{JA3l@q!x zH9z^8y3NlFcUaw=U>dO=x&el=pG@1J_e5*Ss47SjLoRfJ9I0!Tk-X}+SmpEBf6}OI zttF?C|4Vmgso{=25@C{|v#NurIwf-ZP_03Zd}W-Wvm(`18!nKelBb1t8fzoC2 z=~okIiH9nYVE9We9e7)WbhM7L>*_o-;cd3DW}ew7u(S18*0mA(cN&iZXp`^nHca}`Wq(cVip`BZ@WVk;1+ zw?K)U+b6R($wRkz<$Odr@|n9rSbTWtx*@7{--n#9H5IWBO9&2Wr54a2Of8M_PE_>{xdWTxw6;ftI>^4K`EQH_60y`E=giQ z(Af7IB!_eU{EuR0EAb3~@y^pb7CH+kGYvJK=tQH?W7u0#Hw04`BM|Ony)0J(*)7QPxjA*=jvWo!D)mfudRm9u>-eHG0reXP+~XE29-zXE7`L zsBpg4zN8B6m?^FKVrGjpxnLVJiAOR1Re161BsUzp3q2k&ft9ZqyF(N#Vpq&jm<+9b zLZH5sBcTw;O0Qq7YAs(;#9gA z1=I?DYhNR3EaF~w9?ER+S?(4w#O`YiE(~;det@2pxUovz14*ODnY!#?I1O)APCrOy;;_d<%8iy;8o4%?CMg<>(|nN3;8yR01k))dEI7c4 zZz`w?AN9dKG^8)V z(Osn(^z0LQ=N?QR6V|gIw-(cGDn8unfzyne%n*9&AF~li*u0a(@b5-19?$ynsap<% z^zF?vGmZ=|+-6u3gK%5dlr5cNqUq28F(|)7geYcwrK#4luWL24U-VYMt&UzgxCHf= zLG+fv&7WSnWmQgFD2i)}SRxjERc`V{~8QY5u>P1T;Lq*)ceWf8pR+qAF z(X`TLVS3mO`)}Qrn|wU`Zt}8Bar&e^6I)tV0+oW>NMi1L_y4TMd6Bw99U1#NJG%bQ*Pg2@9cM5q{ou4DQ z`P?r52B+qUj(7~0w5&9vAo(#2b*tyf_oRB7*f>-e7#R2%4ndwTIbx|BkK{2hu6|=+ zC;+oB)nDpbs=lz4kOGT|KeJQ~a5Hf5vefnU(YLi1`TrvdyV<*Mgb?IY^`G5$m@6Cn z!c^BI`@%{Nd2W}*K(%#n@Wd+CmRipv_AUPGcdUrqXKz-+Sve9|vm#mXOOoHj(_!s~ zuSP@JomRzGb1I)PD|7MRU!cxT{j~k>{|Q&ChZeGg237~2RV_AKbk;(5r)Oq1jyG!S z3xtngd{IVR=zEW$?E-a}e-)96CX$M!@)7@C28U>ul<;b*$M^}Z@BXC3D%R4l3A@^l z*J{4J#(z6!^Xrclr;A%BtGH(jKqI*(GXLIx2vie&B+&P-%ELE9idX&zLW%bv0&}U9 zmyJr%k|?J2yFTmrN$y!l31pqJTz{2gWr(>7<(1KagTTg`E1RZG`J(9jX9Oiq8}$=S zFkzfr1*`b9&DAhqpxgQ36A>h}Bs7cLe#Rh3EYNc-?!4QfekShRFT6>VqeOD8!cez& zTjR|#BdzLEtpKN0`L{FM)86e5S>*fizr~n2-X;G&I{Wrl?A^XZ3C@+1$O{@nb{sut z_9-jOcX^PU2#Oy%%o2~-9A4RuP(i+KhS(9#nBD0kqI(-tH_fuu^HN30U+W`m**_QY z*^w9&mFFJm7x#@sQ~diZLxefcE=`&0*UCvj1H40$lY$U*f#5XAx(=>URT)8%q^PRk zqjYjquje<@Uq=O-E5zj<(NYS#+SjO-5FU=b=F~?d^2Mu;wrFm$o4bvvbLx+VcpXjQ z?Ai+dqV}B4ie^lmtba*$q{`~5S~ut+Xad&siA=Hc>23Kf@#<{=;)+1xQL!57wwg}P zC$2r{tLIm*E=Q0b4n4oAild78B%&9g%8hn}n0e_En0;C+p%#?Zd!@g#HB-G(tv_Yt zh%S`MQbrSYvD&ih-E|FVFsW(FU|!pQ(c)y_%?P3jY@V{25Kr10Gl$SJ7+(nsPY!;_ zTTjG!ySX{U&c30zF2t@6uY=)}INsN+vd3R~lH16fNfgqC3s%Ggz@EwNY<*>4QC+aC zmMC@2(&UWO9ptP+eD%^1|6C)kh;?lL1ix{R<_T^mDG!ZHX|UE={?6R;TRU@cZ}|n! zZrj3_+YMfC2AMC7zw^Mkpw2IKYtARa1}_D|@6+lU20qmmDA)#`l{#jPs8R;L?jV

iNK*skR;leK)Wt8;AUKBT&>Ml&f%%6Pk-&3#k)r1x=lI8E zozmt4=gpP+*<0na30aBg-23|KoQQ-ZudGx?a|!Q>ZN6zrJMEkZm&s#0DHZt_{0Sq@ zX|q~v?Ur2EN$O|jiL(5Tn{1y62sn2FI^p?=&)s}-_&yXpiH|K^`uy~5Wquh@B535g z#4+z6!C&}{$mi$kNm@fO?`9Y8dN4!J#opE zk|q`bETs;@lx1>K4nB@Esy&(U`EPk>)dpV%+R~#$#pih@9qbeaIVK(E%=RP+wiBaF zkGZkJ-`R#LJ4kgRwTi;IR{uZJ-a06bCu$qTC3pzIodCgIg9ixi!6mr61lizj!QI_$ zaae-8`!4S8u6KX;tM^vjf8Kw-s-B*no~P$@pMJWhYj)3R&R*K&s8;pzLG18g1T4k0 zuPFRPoW})%of#gfb=Y~b3`oahq~<@h`8ABnwaw-Xr3N!#)ig<~cv2)@U0n+aG2LdS z*HeRMmvFNztMP7^r1zK8tdW%0DXw3|UDU@!Bc0$?1q&A$mw+t#S;X zT+l}s_CkiXff+^wR|~|=k4lS(W9`~Ha~v;%)U=SAcl)hL}(&0hR~z&D_J%e zlM7$gq=y(4P+Da0m1kZLW}sq>N@iUK*FU7D-6i;B=V0<|bELPhFJCA` z!xu6itku1Q?2e|7En+ zHDMPxJ+f0r7v67kRSV#8^;glE7}HwF7C!l=m(p#-#}m8!mNfI^jz$1ye-}&RvrnO+ z8J`KrGgGCS22Lcz|KK|CAx!x($A81=L^(*7H!-bl%FAv@pa8#QmWlNUKrYqr{R1mb zvQZuS!NIg<0YES9=l zbnFvdAV!b^;QdSk!~|!apV48VD!%%`mDk8WDIm!RT?E}+_frW*k z$=?6&K9O0*dbl55nKl>s!(ky^rZfDeIVx=~;fH5grL)*`iF7_H?0Vlg@NDDONw+MtNzDtDh)L&9~ExmbN0WaE055{ zBaH-yy~gK{1V>F4x_`yjcvhcrYE|yGiT{9bXfo_IDmnf}IiDZJb@ZHV?Y)UD572}& zq?LUXosmqN+Ln$d8~xrdk_ax6SHA+tG@HS_c&lb4hs&NNg?^?wO>otvwd9wHHOm*j zm*EooNNi3T>Pj20j3HAQW)oNezmG95-Ya7S{!Vm4{(U_HGwx1qn-aW^s}m8r)jQ(V zANDVc*5l*SETUXc-Oo(TUr%43T~Yu)^y4H zg+-<$m->vpsFRkX|HQh&ir&nBvYk<|WOHYLCF4K}M%KWJLav|&i+VAVj}e$DlRy8M z2vf!X)+j7{{rBJX?aExQa1vYooPSq5PyU=INChjFfwMxGRY4mrC1ZqETS(I0?&6V4 z6p<%YwX8s2g;mydo;O{Vntm~D26gmf!V$_C5w1wmyVydQ+U4_6!Odm02)8-1og)`X z`@z9MX{IHnbmKkLiSCtTg5+eev$fHqV4z?7?6@!NE^e5hLkhhgDytI{BK! zmmCV!!oO_kqilX3;c^X%!TbuEGRj2Ryh&%Bd-o5@Dy(GkZ|u-Po3Y#Z-o=`~ zT1?}_bbpSc5f!E%{ZA|+N4J*qE=Pq53O-=91_-Q$TkDN0op(QlRr?BohiVN=5LIO@~SwHNSW~x2P z2cgmogxy;xgqSFVI--dWL3Mhl(-^8h>kMkmjT)dj9H ze`JXCD4}Gz5ziwmb-)y|MJbfE<&phDcpMFVal9fz@`~&a!r|zm$?{H-=wMIPYpsoa z+Wtm|giJL{p%Y4w6Dj{#A(o0OZ0HQ8vhqRiG})o1HzdqNc$yW*oxZ?1QkWM)s}NLI z=Uo}!=>MUew~8pwlE4Sgbr+eWA(P6pRcbR9Ht}~(s?5LmFuz(?J~(#blZw^Wr-y9Q z7<8;VWTX(QWJmS-ut-`lf7&uC289&3qHwD6R4ry)EeWEugm)>GB4tELIOa~t7h_m5 zzA}Q;R6b@mtD5qk&E9*|o)F0QxCv9FIsGq&zNtDXFtjL1+O ztB0>PRzN)p@9*tQwL#XwTU3#6h9CUAC^gmq&m4jGr5FtBQPMY!4F4rFT96oW&2mGBZuc`!2qvR_v+u} z;W)CJH8})%OuT!yC{=g(MYmxovI}k!fC`bS(L(#hoVz0&RoynlSnQV!*9WeJM~8)A z)xpCqZQrD_^&8d&8zs_8-#P<@qwv?rTQ60*(=KgfS-s{1jRKZg-$(=Aqor5gWA0r0 zMd$_mk=(1CYvx?iQ%XHS^uLvI#^@lZ?S6un*89g!j=An9hj~T`p_X?ph6js0s3Rg1 zJtDD9RvOCazf8N6RTyUgp!kM~`o^V|xsQj$YWR0;KQ87uS zg4=)01XBjY<0${Y_2_fMjBnu?jFXksKAxpc?8%Ayt58^Y`$p7DmArb2=6FcXgfZ4QI2LFSx8H8U#WB_W8tK|6|Ap^P%3I*Aeq(SM9xSO~t= zWb#~qD6B}~3L6C_6A*d|g9Q^vXeB&@dy4%1@w!u&;s{9cNF}m^5qlC{00F(m?@6*& zc)9s%`Ib6H*=hBQfdm{AvJkomoP3uVVU;M{u8#}5IUH_JUpPGp30I9bOBh}@8dxQx zye;}par@n8urQf_Y|CM(j??QjnWoSv2#y>?we-(ndFVq(nLaVbII>0f8U@uauUxjp#<&j-gXOdAC zv@upmm!1)KdIhbGD3Ix6-pUXkLrDZloTFC1F@II4ggPZ&MHQl@vKJCpJzrp?Gk70Q z6Q}K|hp~n9dG2EVv0jLQQ#=0yHe!Gbkia=alzZ(bdUgWUz9jqEpJy5X zo@aV0!nKs9;wQW4O5k_v$6T^&m+ntCvSyoW$YBwL#~jmyIt-4!PkdSRsvU#++Ft!u z;x%gNMzo|`BJCYk1*|D6{di6=!LV@uB{l5bQi7|y_D95V|PJ`=mq4?q9A5h)8iN34d|=41goeBH1<<#tpsY+c%Pm$Wr5RssVyAI z)RIA5UHktgm--f4$`af?3Gp?&mC#Qq6rDA~y!>e^GMi=#p8n zTKj&qiu6P+2Q7^TfFe1sQ?qskwoR=IkFill(VFD4cbsKk4GYtAqDko{PzdUve^0Fs$fqGoE_oo~_Eo|8 z0N3p+0FbYQQ^e&^LRp$~l!1Nxt6{y9SCRO$6Ic+W-ND!n&TsCh-idxr12=&jeQrW3a#{7YDFV0FP zKZ|8J_m-;f&)4DHBHBIyIS$LOsC6u=p1`GGE#7dcQR%QG84gPTYTa2px{kJZWeSZ+ z(v*f_S;xFMtHmf+UW&^X!e+G2hyOPYq9Fu22B%UbrQozhjBw>uh{Z=mO=$$vm_$!$ z6#W;^d#ZZMA+9^7(LS&wnlj-DkbW7~qIFIGYs!QxK+2fZEPvn{@LiYXNKhuePG@P| zw3ST!j7w&KRQwFR>|6RS{yiHovvCR~hl81U8X9X8Wmwu{jeHM3XPN#LoAO{;j5U%9 zrLlXiy4*H1Gn^>lmuMlNGIP3kc(5(IT_+?Yxpu}976TmZ6u#FO;{eQ1u1pc+fUdJN z2F!`G?3=ziG)x^9>x9#~wuxCA>Uj9qD{d8-;n3UA2hT|3{th4-YGzxzJaq$|IbP=3zId}b znr+%Tpf=TTu8=_(OM>YNjX57<;nnBJJALNa@6YBmgprcZ)k&r+Osqf%uZ z8NmiTazNc611isO+M9f{FGP*Hu0}KRx>T+jn@N`9ni7Rq$te07GCJS+Yd%U(b z(fB&ii|BY$CTt&*A}=Ovfh>yY&mXcB6K|T84_xaDyMo0_W@%oTKi+0h9xE-a%ZIyH z{1w!Vr!)_qZk_Q8R8-ku0Kdz|-_hOt%O*jFBi&Z!o9InoFnzc6B^Q{R>*@Gzk%F!~ zp%^ez60@pj`4p`b(E0I_H!?Q1(^(X?Ip=#03Ld!qqvPM{MB8e1P3Vx!8>o3 zASgCAYr`tBXR!C}m@8&;+hpIJ=PI{@BH zxOn`&zJY`ujomIUgLGF^hB`*l(;XIJ*F3SYCFb=)v=z^cdAJ^n`nH##+yr0hsEj?) zj9mU`ls(bh@W;{VrA+-gO*HaD-?Cl26uM9RNu`X*2Vp1omcpd3!UlIF{f0YZw2u+6 zFOn0;TPL{@U8zl9h83$GBCT|{PbNQ%@GzNWqzIXbE&DgFZkoqxDUFeyWuFGAmWw-W)98s z!zOESV|W8GMX6ogSd+osZLi^uZH{S|*o&m1QYPR{^fWA!wDUw0)w<-9u)TXJLL=Hh zTgx&h&YV3e`tI^Aj=BJYL9%&?w6ZtV1evybQlDYs6x{?UHup{%^|kZlFX~aNRu`<> z37ia?D7xA5=|^?MFW;|DZlo(5(dX-)iAwP)_e+`DtNmq>A8@Vi5(f<{*OzFX*ZjTM zJvWP%xiNj&?Ns9@JGNP=GCo=?3MiLQ*B=_VSHL$S+30SY55g#0`~owvdY}6XAkXf# zEW2RMjpFQ^dEOBq+xOF{^u``hMJPl%w`F zxhz!3OI+=v{%r_mtBIx_0?H|rF-46hm@r2lv+F$@!jpb(UsY2qrcf6sI< zUGmH{4}K_InuncxO}(=UVa4h;lpig5*us91%rvB3x=(i}xxB2?<$g0h$&Fp*5ETk4 z#qxY$z@3tfe>l)g^jn~b$s-Z84O|$D%+tABK&tX26-IT3hpjUEOisRz3Zrw(B}@iF zM+7FYk%M-7+(>}#^m$olyqM{O(Rt|Yg&PiJIiC#E0=nq))B;{H#Cq?w1sQwKcA@Ro zS3Ai=(cvFqysurSJ{8&8Hu063dNR@>#}Z8NTOcpGU({a}Blf!$q#K;L%zccTJ>O(h z7DX%QP!6e)hgIn1;3gNW*JVv;RzK$|^epx1fVvTZWnpHrAr3yU80&6!QX%?2qV1nd z8aREZVczl$_KKI(67NW5}7dM>fz0g096cn|PT zrgU&6_(6uqK#ee4Gv?=Yza}J`cG~Xdx((Lk)``Kze7`2x(Upx~_~cVOztS>pA~i(Y zk!Q~?FR~bNH!WiltlZh)PMzevS9%v){qAUrh04~a;lQ(DW|}di>7w44v)Qu_p0KBg z@{p`;Gy_p@R40(u=pPXvc~lKmm?E@!a)f`n79A~ zJ>evpzdt-IH7D=AJ{(MBacvJOGYk68=#fi&b;m5y77nRD*^;^ThEA<;vYg&N9Vc3{ zE?&^tpen)q8al^7=*G99Q*yI%diQpMsi9(e`}Ve(p^{FpG5zG)KJcwiIXR2dYBxNO zNzh>?9XE$Y&|}6Lmz=fTaz+4G!qa`T5RVorf)2lYzghR zsF*ITkxrSnIn3$jy2R(s7k1KoEaR_OJC7M6-`|5Cn@k_K`M;BQFD)?n8VwpUnd!!h zBucm1#tVSc!KgPzUcuJ@m;Utk+3hGiHy8WEe)8EoYX#oXZ)XK6q&W+`{-|2;PmgT*lsxV4Ymd{eT??f6%Ich(Y?}8Q@x~tI3Z&>P z?D!yShL0DtdndMY2Zd1>`@@1CH6}SN@Zl{ofEfESWe#WC5(ZEEI2*HVKPPyY7ZlnD z&7Lgbd{h@l92oR&CRm zK1>cvlXLbI^X0_ySQ!Cd1{4`7K|bFxlBp7S%_sQ$?d_abxH*^~nUGsxVL~`VB*Bs+ zgr6~rh}6U)XK+>9^AUbb+iI>fEek-_-uc)f!m;m(G*NJRa-G^X*Q$`_($c*=2QAk~ z_I5`&=9#BbK>+X-o+D^sPX*= zcgNb`Og8U4y2It7$i~+_AuK1d>Kwl2tH@!!Q{ONdPkT-66-|q8{aw1hDpMJJEXui; z`Lh&<6s6g@jVCGb@Q%O0FX6RF|28K$cjMnl`8yYEFdDMnH&3>Qctj%dM*o0}rbAAI zPb9hex1l(Gld?o8YEs7?MBoRky^cl8K~6QEOoau73P3pjIWM@*WhUghbUDq6m2E#T zuc@ESc*DsL0@PJyc4_T-8MC@v zm4tu1duVd@;vruddX0MP&JTKRs>&?!q+{yJ;SVL?!}@K&>8y<7%OB>b$}SbHjCjtp-0vZ$C5Y4})=IP`HH@n=Ck?I5M|}lpE+CZx z0F-DeetX-}9Q)$%784V~u|j)^@$O@3Jbdd-4U;B1JXyu}!;#TMon{s}JkQJU(_Di6uN|OuH67^`$(+cnz?kR)FYl|U z4XpAe@N-H<8$g>i{Zh;{m(N; zpSX3v>;CFi{|R{~KES51;!WL1rn_vVA0i_V{feM>8;aGBT3@d-+q!g8$IaC)01MYu z?ZWqE2)@OKsJ;W!d>FR6 zC*;v3;UIu8;SQl*?6F64Rv6XkFRdr=U7#^v8}t2}`V*Uv_ne}*bVz3{w=p7o_``>G zd1D<+tsgEuxRltgd{x?drp)IrI{oO$HU$F;#=?))5@7-sDBou=jir{u(yV8BBzC}k z9JK83vXh;ErO;3;`H|Vx?7+nXQu0YYFLB=>=bv z`kIis01uv)0rOyoEeeFmXe;DC_?5hk8+>#z$dZw_){KZL%|Y;IKn~;~y{yJ}nJVVF zE~LhG%~9)2O}O`!6<(r~Fw*J~8xtcAwBKutXyy45PMF3Oeo6awi=yC9;ppFsy0k@Q z^LQPrG3L|CgL>`V(7b~K#<4>7kJQ67j)<7+`mEj0lxV}b@Uo^idqb@Q&V=)yRy>IAGyM?}E~SOUu`{b~%S*(hyU0h+1{%fHZ>Yk>Cm`vMn*<&Q z7SCGwU!tLmxlL)tkv-6NRwDaX9AM{Ot2`;z({*c*3F;a8+;T^gky1FCRRw|~z)W_5 z+!p;uQO7i`&s{9PLXX?``&tKPDIKHL1V`+;Pqj>4_!+dj2tFN(4nlH zO~qLA+PoLt0YS0Wz8PlL;JSpycZR0cOgxdUSeNUcdKy>H52bd3pw4aw?a)8@r6-t@=jy$uprNvhBp}}6T3e^J8kqK-8^PdC;O72* z=|C?1oZE4QCFS-uBpT3}#%BfQ2{;e_cii|+tEa!?wkAxhIpA^KFv%Aq{5wgE0{@-2 zmE%n;9e+mBk4Lc|YeiK;(=JKkVo3*ie>L*k=lxZx5;Ecv4fo7O7aeZ9JQO`TumGN~ z-lLEA&H-k3vJmiV_Rfiq6ZJL`OJ}SrVgW|A z$LCCEI_I09jWWfF%>?J@NSnl{iiA^>x-$5%gzCOH%Ezk=Il_5YW?bcRby|X$sW;D=@foI zXBPPK>U+T!$1MOZWpV*DfN6L~>3kEo!B6O|S6sCu)m>z2riTM@&ur_zhjqRQHJa)M z$$K|P2Oj(Dpe~9++)uj7dKrhDcn}IOPc6YGb|iYbrT~mayFuPq(mN98O!)2=H9<@( zQ-EF4Eq6~-&cPYLPk0K$Z{tz%RBP8xPY+VqDbq%_h_ooFYrNy*#eyKse}h~6xA87OpC_p)MgUvMnA0{`O*Z%P79S&PNLhGYFai z=9iiZRPl3(W8tyo1%M{gbS2b-+_Ge$drNn?kLAb*smj;^4W!_@1LFC(?eb*S?$((F zQ1x)vkM#+0?2aBNsh>*Sc3K909P6}EgOL`|lTG}GNPKH<=YI|xk@V31!9^;SwQZUO0 z9D}s9q>sKX=GdLu?E5(N?^2-HyVcidM0;?7F?v(j%vvBX_(eW;~vWP95l;{I}{e%V~TjqNj0M#^hWd=Ka_9B3SD z$|<$pd`9OF5xw{Vt!#&U!IrA~@O4kuGI;T2Ay%yF`xGFGUZg~IdTJ2eu7ds&#Um)}4Tz3f&@fJKBz{M8wgfUH!= z2c3NQ6!&K7*TISx?X8>%#g2!d(ULQQ*ModIWID&AzFWER&p$+0FsXRNC~WTn^;9pc zm2Ye{V{`YE#ig|~DXMmo^Zh(v~7%Pg!Y$o(F zut4_N_AM+EiB8-ye-O5}x-S;oP^TZ+7{^Xyclryw2fs5UgepFpd9ta!zG-5~dXLp$ zw?58~mR%HC=L?^grg&Eiad{vRkX=_kIB!`t`fas5c{ny{iZbrz#cSwFDjdS%{O*`k zCFIlQap1L+3YV9dAaueHCzLq)+UwSI$L1ok%C?vOAG7f3ng*O zve~81bCiL~=G}WL6C149)y-muY)%Rwc$iFBAfWCUK-lZ0>z(SVPwebn;Xfrn=lyVU zF^D$XYU2N^p-KoHbdM=e;Efu@Be2}--%HLazn_U1@_g-1(9}|s7ddS%ejp4jvgYE=SV{7pWuV;_m{ z>`qW^3BZ4(7z>`dR=k^fLwP!Ca@IX*Yz)2HF>1ry<3Q=uJNC;n*}Gu>z4Nw-q3gYr zef9nCwlhlSnJJdOYG`a;!iq=-R39Gd?sQ#|j1)Ib2||g`Z!xP1XXL_AeU~%i&yp8E zG6$`B)Q1X78zl(s-fztc2%>lTE=jJ>|3S`+%{tj!p-!E>OR>9Rgf`nK#RA4z4>fPH z0h1t+Iu(L!D&%8hgXP=mLG3A7LUGfWAoMcq{a}H(IP8mk@V83nH@?SFG)Y(J)IF3m z%)So;;ok%p8oTFj>3TceO~n_>yZ z!btpl`XlT606~(NHCUXwukyqe?*1hryP5IDK)Z~|F}2o#~+FYwYWirz*OT)-u@VfS!uV;&{Ml6|%v!rp)r6nFU%q2J7ywFQ8)O1C4X0s|n?Ql@ zk`jYdDf3U&_u5qs{)6QpysJL5&UXK!XYc4xmP-YR-wGp}yLRR#fGh1lXiI*!R2}JV zHI+N0EtZ2CXWH0al=JjmCO;hXx=`pyKH) zq3S$5Wa8Q0@LQvxxdHknsp7TpBNswf$Nm1h_nb#g^lep5L%D;=LOE#s%KBxOockQ2 zR#D-gR7K~zKb!h!j&kmve&3n?t|B4Le(Kvlbs1(0c>Xijw<{D|4Z8nkxOP-50`HC% zv>w4L3fLsSze+!&emJZ=$GMMH$?-g|Gyud71J?0DP{ps_>9omtpazMI3zRA~#B}sC z#wGd`eeZkV4AcM!+C72vc>wEVR>&+{6I{vwNW0`g;I(QPmxo|!&4BrIa{a{f z&ZwsA?fC2Avv9(Pqxoh*D~8a` z$yaIVHC{WZHO*}i^Mk)ur6Bu8jLepAjlP(qxE&Z&7M{K@`3k}Vhm{~I&^sv3qstbs zKgT`wlwF?TN@i|=83zJU>jKm4nJYBcQ`|&4Z%;kQK~I>&5`z>Z>Er?~Jm6YoGh^SWI zYdiLNL8b5BkoU^^b=T^;9?B-94qiWyl?8?KHO?O^MxFKgrx%ge{PDtokP3&|Z?3kb zcDYZF*upDklmvEF%JDFGJj<(VnZ^}Pu!6RAZ~?8k!h zArKI+%Au=vE&ma>%t8L;V&0?do88*-6h?(72y!SfuM_qS?8jeb>93Q zl5#)Oo5N$nI|NwI4*6pR;m*6J9P7ZOatnViqCUr1V1}P1C9>Z;Y7Ys6==4Zyv{A1LYnAm}1Z4lnG>a4Q206~YZd z(s%=ACJyBwXgt@T6x)y(Z6{cXoDkBc!dy#e!pHoRuuK3ELc^!=S7$l zF=B);-S6XWIxnIb+iVQdmkFSGF8VGf-=LqfF!5|%Zqx=e?avW!H7-_W4!+Ed`qj!T zN;v7P1Y<@u>K4e6X2mR)HURvYJ7&~M7r+tAy+)L1<0*B;sl3N|x}VErsza>&xh`K3 z&WwHW-c&icHX9sJA7ynas@)A#6V)C!2a_doS2n7NX%99z5z!N;?!lVj&i;WN5n^hF zr}uJj6QIX(w3b$GVmnRAwKW41=GtzPWQi_!{}2qkqNf(ZP0W%PL`y75T|i8o z@6D~{o>(9NL>~iGZV`83LZAGvFiP%_cLkHZdFVr15?w@@cU6)6z=>v=Xh-aNSmdSS zgbn0#$z%91jokYf0pJiTN@a-i8e8cpKQ~-?s2ZNKpmX^LYdWbS|MGKDNwN9+I^*1A zc94JWol$F`=xJ{eI#Q!ZiYn|8%m6;}{G^YB=&lI&Q8|Lf_FP_W%+?%(GOpg0XKsv{ z&wikQzg4ejRv&OPSMiq>wP@DF3=52gA%Q;15mRwAf*a8QZxkrpFm?P&u#H>hecwN!BV&fMOKGeC! zm%Udc^|x8y>fu~_Q}8F{N2UAL;s7t!6b_8J=hno-T>D>yGRn=)N9fAUPSzNuf-N0# zqJXH;4AdjV;&C`P{8{tBC#KPsPjjm;1Cy|gG2ZE|xo5w?X1Ql(;N4u%gmVqdEk^RQ zuJXEhYZpA!#$6xPI@{{2a+hgq7xFF4Xy=EyK$141If*DEGE1-6N93s(W2;3!cP_d1 z&%iys5x3mNosPx8h4kwQWCf4#c37ah-U!^3q6rh4*zu5-Jwg?3N<9j&fsY$QM^mvA z73j?3z-}p|Juseo`wPsRd%GW>;^&UJ-Hyj4Qo@25{Z7(sLug5~--!Y2CegtJWAEw0 z>fljpp@P|1%5MTHMh#tD#PjH=#TU6L!SLke7fb#d*RFi zr!}St+BA2OPj{fVFg5IAA-{w##X=}xmgGz9m|A%V9N5126%~lDeb$?Mx=Cc$Kb>ZC zgYq5Xe3au9wA%rpC~xjDq&V6pflw0dcsL?|r_OBtobToR?ZdQx<~@5D=H3-q=mGYg zH(b632^e+ySLU`%n882(?0|zG6Lo0$Pc2Ve-*3@$a{LdzCqFZNr*nC~BKcn8Tyyp9 zd%{>Vaej*90b6kc@u zzmfAj*w=-_*2eQZoRSCl*LusC_kWY;V>!lPQM3g=3I`qh!~_JPu}xfh=w&;__=>8N zCy0a`;Evs+eqY}qdr%A8Ybja7ng*=E`yx%lXHsL;eI|J#F=pn!LG06U`D!R$i6Qs= z!T9OnPAM#_#|N3hohTF(qJ=zhiP86Gm>(3ZWijD|ZEV55-bGOy>$)yBHp&kQ)$*9Q zgzximijlxRPFS?~TlYo+7lwh&pNnApCk|eg^7BXj4f?Rl17rn?VyDuteMrtR1(ZL# z`P0QJ<6TJL+214<9GUt;oDTTH^#AdvOW1ze=OZ&lotTC*Hc3542#enTOKgnGFD)0Q zut!ow!4vJ0iBf0!_73|$w$v~%=1^N|yI-%mlu#S${|@2*D_d$82WQv+)!5qI()7ds z2>(BZ+)Ec5w+!$wFlfmCw}#v<&W=gy5PJw8HlVu8I6LBVZFN)9fJ2k1Nwk7-&95_M@24N?^j*K~-^a54+1@)L=lyNOxG;#{ZLdbwq?M@t6}s#nsrm_BBjrhm zqpGJ;G~Cf!orn?feD^VNjks4MpL;Yc25)redx8}uBnu_Y(%IudBmjN{fw2gE?{9dy z>;Vi+@kW@ApjpY$&gw9qE5)T6n|o^cy;~gL*KNgNK1v2oE4T%ZT0SPtQ8$v{OZwke zFRV_kJMDo{i&74CFctE@Vb?J|QIiFn0We;RUm)b&ve6NjWg%!p#YSH^3YJY(+wBpP zg%?m#&z24Rl1vojRZ9|?N;c_XB`0HD@JfnrQH}a9Q+U9kKJYo{ip!#XZC<@x+6j zV@nRVce0*9bh)@!!63UoMBj!G9sWQ(Oy~hTCEb-e>Gg$;`2s!|Ka4K?Kff{v2X|!$ z<7-sKN?2}%YyK2JG+)6hYzUbvm2w*VHN{!U0^QSj(Lu@zf-q8 zk&?|HF~)i|6j%QqUjdo zo)g+D)EmQEvmHCB>%pA5((VB<@{UuuPM1own`dn!Hy7XHrEkYo>vRr_iv|}5g37)CU-=56q!IzqehUl;fje58>+Ys10hOq2G8kk+*@PqWd z0^ec&|AR1l<+qJLE(}a=-v8|&gbwgieTXxpr5ch|;YZAXukR1PLKp+T5VPo8HckyrnrW?7t<`8GnN$IR4{tA>ul%X~ z6^Ev+AI`S4nUE@elc19aR$QNxPX^qXI*Q~(2QFrkVbE3d`gIgJ^fp&rY#h)(aFC10 zZ&N&QejXk6aVM&6R&jp)4bmc2LavxM{X`y%_s?mj<{iIeFkyGg-HDfvOwoLBc9^NP zoZd)k$)9qrF6boal4-EG@j z&L3~>sfzaGfd!kr_2lo3DET{%1SA-J^j4&`k_2nW(iMY}Bz?V*;nQz?M1zrq76I9% znNbN6J%QADq|jePLN$!XI>;xHdQE-G>QxN;M)_JZDM<5ne4E7xNLFK{yDujn0Y2Zn zTD-9b*ZW@Hx2CNxh!E#7@Flv2VIZg{U_JnEu4mY0GW8x@w`i>0SH? z6K5hq@+GLumsb|OEuN>ftV)4gaNS&PXZRI^&g4}>+`ThCJe@hLnr`Bu=N~;{t3ZlW zRO5}q40RV`ecki2-%1M}t2loYqRL<^nOA6yA9fhANyMzmbyNy{AXMSw7XuyYfh&wpa!TD2hYMe}3S@sg?6`&2DPHn%>m56Y>Mm5wR zcJ-zXXZ+fNd$)T31RiOMTzAy{_2Hu9*wY2a3s_(m&|~xft#TCBNX_Wwo>cC+ib11R zaLSRVinuH#sLiuX_eMRtJe%$r#M$i#wlOv#N_rM~3yrP>U#dn!e>L2HrXo`?pLiSk z>Td_?o;d6KDrUFl#Au|5YVYE&>kmP_AI)P%NVi$z%jTXeFL(}y`iz?}%d_d;AMw?E zDtV)0Ijue{^F%yI7hF+8u3!6@l-F z*?Suzo1EZxIQ=lh?fiGwxrjSo-(IgB&iVAJi1AA$j>4uAPS!V$P|CS!`)EtL*p;>g zR|KGqf`*aSmi9>0Y1R02$LF(Fh>G5KAB)I&`5vOGvE@~}0PeMw52b27M0ECuD_^Iz z@{pUyF_X{Xp1b}ilEBTjVsw6@sQ0YZ2_L@rg@R zQ(T|$Im$_m&#JK?7x4fmV^-m=V=}K}#yKFLLHr-xb3vqG4#+1E*hlw^Ti2cA!=c65 zxSA4bLnUcYc8re@>7$px)&JaBS)Bb}!r0>M{}SdFXaASbw>bO1gr&vV|0O_c{J(^? z=2;LgJV;JDr(V+W=d+#zUyAR*WQ!QBT9I(P^{ zf(Cad3>FCP7Tn$42Pe2gAjq5S-F>+?Z)@+?%S@fAQ)jxqU-jw!`h5NGKHZP+5K{32 zPuMHzsF4JcUa2=Dk6%*yP?mh_edy(^`T5H$6LbPnJ}9EOjkT@}vZhn)sXZz2{_}kt zl7vj<1Q(5}1gJ|gwdKBvILKMN=P8vgIktBgN)mOj3n!I6xzd>=MyBh%8ZqcKH`D2< z2Wrl!JH2E@{^-I=z}K)vTeG=A7bCvBxmV;a70=xrJY`*Q$8GCy>+L6PS4&gk_snSP z%Pjb2gBEiw`CMjR@osBF3}J7iXVZI5VXHcCAuuZ7IIoTRERdXK^WzFZvCeE}lJ>9T zcLcJ|8^@9subZ~&_*H82MQdZQ{U@=XbO+iwizD(3F(tSv3{H74ndiXQtOFT*C>^G1(_1C@xC2bVS zc-pU{ysVSBloC}c#vF%Ly8<-Y4NC;V+am84kt1^2SRC}xsVzaSMWhY97}XQ2+P>%H zi2;rJJV^mhu2c3Wzwz+ar6NP;pte}|S$g2=1*&P`dU*$0S7&Dr7Z3Y8rm~G4uV%>Q zyVQaq=M(5~YDGb~wL)>z*nmK@<(r}Uefj2u>VS5q88EK~_^hB=514TiR2o1v=?)Zl z&E%E}Jz-uvobJ_fV67_mavW{C)7wD{)aS42BAwLi_WJHqdPzBUXx%`!$Kl=Z7$$Y> zd|cmJFKw9k^7t9JLxyN$OFH$#)mzQ|P=#_ycPf8-W)X1SDT7FarNC)J6!VHZktPPh zR(nfjj-xQ0T&$fidSQU~DacTDoSzc+Q8q{42k!|EMPc-bd>6^9M8!{jr=Z6?=&_gx zWywQViIEN%|4QIzC9q{I*2lv@iLBh-Bb9+16_H_va)mMhaQGf~_2sw0!CFj2*;YTxA5TK7u6MMJJM`0W*JF zfd>X=Dq?)8@7Xu}6nE0(n-UysrNgt6q6Fdt80$+bWt04~wJMRNlci%Lxn*YkVqfiO zCVyfP8>3QAC9RiE{$#062uulVlNe)&hLbHo$%l*Njh2h3l%33GQx3>mk!iO?Ro2kX z3s!>tpekw1`N!#vv8XxLjWLBN@nrr6*|N_QMiNt9J)1$tGh$(f=gHomCPj;U3@XF67ADl6uM z&!^GJqp6d5qzbH220F)~=SJIPM{hGRD$+t_Xs6Y1()(UB$3+$62&xi*kJEC?zmY@~ z4zWsTdJB~AszMZ-?6h^yYqY{$!-qlZzYD_3VL3rSHwQthiz%{RAL zPY$V;8(R&Luaid|znWl)v(nO|^xl4{^L0FitBu3M70p9ZpDIzf++UcQ_GO}QoxiXU z>D5Cy%6YLgNMHlfcz4an=dH&PE-AhzQE9~y_3Ltl6i2p|bPqYp9&fA`+iqwmeSy4eKxluM*qdlEixl!OO zrC~`I_z5B#*oo9;GT85n_4%Y`jio8JBK@5FXGlyag7fOW(~edzks|#p>_S2)yz?0B zLQ*e^BK9l6QX&4atrKOie{ds}69w*^oZSwT%EuR&*;VqA^^2`9+a)&D zVogFow-l;G76IvBQ37pE)KDb4MV*9#dLH7X0!Gh2K4E1LK+4=@6r*AgK*-!Be(?-1 z6=C!o@iBTZ1>ESlEB%Bwo6NE8!cE?%F{>GE%r|1`%P7djQi83DcIXIvKIAW2AEGY= zo@1fPgO=TL*Kir0`Fih0h3hEVJwlj9AeT}+f+g?fN8ZXUmD>wbAVHd1qwrNxPp4-b zKLx0ia^BFGy6GFjtHUG>xnW%N;!Yo7U{Vy{@)*lZOf2zR#Nr7b5nvKT14Xx1;tZA+ z^5h7;44D9rx&q5JToXYyI7&9qcO^qYVwj?%<*23HXdMlH`h=&nl!(};Wzrpkg;i4i zv7L|sLP$sw(sFPUay%i|K`ODH`@@E-C)cKQbRy64!ME>?sEMkNl?j8xKr>x}R1Cb{ zAkyKCj2XNDo9EL$MqEVI_TSMvH#w}=)Zv23TT8=`R09^zuIauPI<29l^sgGDxlKJo zSEszJA}J82$^g~jJiR3;#J4|Pd&6&eTtMhMzI<~dC=8y{x;q@Y;@Qb^!o8e6DByeH zNjp)jt4n=eLwmC)2gQR_`=!Ls4W4aEc5yj=*zPWUYrHC@a@J6JJ7I0}VemWkJZ*YN z$)U*2Zg=w%q6YBu6%~Y5ia|u+(6d>c8L3x4Xy|C8ccI}_;mbtRN4PBIgClo!CbVL_ zjO%z|6YCnTnp7Ex)M;r4#~f)uSu4le7Xi5Oa<*l?tQN}zakZWA+F5yOP1*ZcIlpAn z)f9T`=&r`^Vbm-v^SUYhNX7RhjHH%zN^Uics#*dYcY})VA}8=+R$x#9yHTcUho4r5 zUsj-vtwP6A>{C$e(=tNS!U#FfvR<(9lY*m=%K!t*qwj6mg#+w7S2iqW_@2E>NBtO{ z2DKmKt~ThJ!;oy+ORo1TJ+Gg>{CHQ^T2V$ucRx|VqC;l->TXicSgYXf=`vb8Pp4to z4N0DXsT(haf_!qOS~cM9gmC0n6paiaZBKO)d<9dwb8|P0DsQTX;#qCZw-S+?Ys=!3ZdMyt5{Pb;V+@qL!HeDEdvYlg$(gTsiUyo!@qAsb zB?~O+#!bM9X2|0UCdpbRmGc#vT~>5yv<+}<@c5BHzq;K?5ZF>x<#6JQbfr{0N4Y%T-V0H`^`Y;yJ}xKL zy@1KB^WFJr_s*uTCWlk>>vq79Iy}{YcaE5f-{Cdh5skQFmS&ZwiOj(d08VNOnI{g0 zeSPNmYUbMCOIp4uM@cW15RL1*eM_hKJyZSt6kzUl@3aIi*9t?C*4fMUzJm7s9kDEz zB_WuUqCYSQG9TwwxfBHw#r4ABWHsR=w02c_1p`O2sPk1O3J0 zgtVz=Pb7~-4lC%baL-jDEM~F^Cz~$bJUF&)xQgn2^We`brT$R&-_w=Awq^kU-Xp_S zN&Ovl-@x3|*3<#yWXfg@Hjh*Lr_$c^52f9@w}#uz(S4RDl5VlXf+E--_8xMQW-yJb z#ac5o!{oCq;o>K^bjf!9_LUW~ou#L1wbr7fYME8U;-kvF$$U#3-En@w{K)YholL=* z-#6MPjR0w*GaNOmg=*zKZ%!?A?JgW;XesXSNn?YYF-eXh*)sB^Lzzzz%ZE}d_&N?WWL6SwuWtr>=mhmOf|^WO9{?pt zooh6}mAM24^1adpSz6Wh(F@sTx>pIH(exL!BAOqH{HIsDD%}PAtv1QnABMBC2ZTNZTcBBeb;or3|EGs1_;wWx(3*<*n}&Pu=TJ!Hp}^>#XAlh6We=40vD1zjk=1;^Mp~5 zwU?Qb-Z5}6DJbkDJXnceMtvl_-N=+e^9i46u!`Gcq^ML&bjq0HgXk)vrl9xt^AaEU zm1ifNJI*#zhamzY1499Xixc#wAN?ynh@Y7;S9x8Sl~Un*Bn_0WAHqFP&Q{zIL8vVV z@5<8ZKbj2#$(~(1aTDx!p}nZeT=Ys(@%f5@e=GDB*((*NL}&jI0?M%;Zc#bgjE8Kf zZN`yv*yb0yJTg0=&4%=RYn8qYUwYm85$aTcX78iRtKrG>!{uC^rZs87TfSy%Zo&p~G|_os>}26$s^V;C2OC;@ zEH5Rl_sjU1iPRk?7!CkPgt@rbZy}v*?5x>f`hA%4yseKYmd6(Jrc#WNC=y1_tHRfF zpSom=JQfN$l$2*^`$wicJzg{@hKzb7^K&_FI;c;BuGX7GX>Pg2QN+b`6aQg!9N{7+HJtU(@j;=fSIQup zV~tUnl6CYL*))j>dwg*Ero*ayHJ+%LFxrN|(G0yCjareZH+wT8kYh(^W^(;d4?}F* z<0JmL;ep0lc3VbnZa)0e7j<&nY5KsgQd!*S6&_D#bv1{I7i>1)%Wdzl1}MqRpHASs zTDtYBrIh5%$k%NA47xB5Up=>tYWx;RVHxV}n6-c%f!2G^;&k6Kv-o1VZ8qd>Ai4x7 zmF5U@L_WBYpOxPfqiT9ZNw3Q|K-WT&3jkMBZ(NJD+Fw+Q* z&8dtMJh1cN>I_;>L#rjT@Del=-!q%E;?PrpIWE)7$gbS>nVBv}FsB-#(MRR4pvuk< zTd!)cRLJJP@HppInj1`xgJCJ;Qn*iQdEEyX&+2I+V zLd^VCN4PV$wU=P`B}wzQ*a7eYw@y2Vp_JL=BJNi9r6$XAj!S6`cl?89=i#pR*&Zl1 zx8XsBGkK6tD`K8$>$5jqV6~y;CV*1B=MH5?%e{~rYcTC zgcw`zqQQ*xlwCa9$vC`av@qXlcm|EL#X_jgSuT$CeZd8OAMcQtN#F_9g#hu8 z_?pq{EX>zA@6@5LYDkw41i3XOJmgmNAQ`}kWz#ydj~5lSG||gbnY#?MW^XVhfJlfK zcqSN|X{Kdr?94?i&D3pssW0PuA~g%It_)d5g~shXXFmmu9b!GhN%S5QwD$jhC}lRAR!olXcQq=f|Fv-OF;;DgBBn z|5`73r4ozeGMx9FNA%_8S2Lkt!)N}^3C@HW(qkBl33fzMP=aF`-B5Nq&^~tyexl2! zs>;I>KMii-u6zyj`^K-XC!ZOGR&9h<=ba}H(UgjcI+dA6dMuL@isJP$9(H}}p;#@G z>Iv$BAnP3H`_zX*_VoMEXslfpc74b+m$-*j%)ei+77=)Ki*5P}-$FVbC# zbeyWwDa750@jV)R7%=6vXx8u+EDz2a;V~v5d&`csJ|e!#_AS_*#k^txqe`e|-{vsl zLfOtn$Gkbi`!TeFzTfEBNb%xz{oGO+6|Fv<);!S-ViD3IQH_D`-aP+U-AVu@!nh6O z6Dl>K%QRW1UnXTRzW44sj4yK?OG%Au@a`9$@PBnnN}DU?Yd^7aOd1;7EEGVY#B)qw(pJoEY+W}cReu=b=;5e&TJhu z{Yn|p3Y`Y@JJ^@r*9+DzZd!D66JrYNbV~+hKjSXHj#HE?38hYQc+xBtU-)JhpNYqH zBAr`?^_honTc5e8Pl~)_Sg}7`=JNbe4p*1X6Gt`9BtFd<7PQS7{imC}LHMqHMjQnI zHVly$LZ7!~GCQsn*2d{bKl0%*X=M$BZ-Xf=IF)l#L&`DuKo11fBN|9p8^;KloV_Vo zQk6k`R4#BOLo)JQu5v~WATy=NRSZ`Q|MeE}!k*~%0>upEm&Vw$c`@vYnt*^iyi`x$(C@sqaeU*&Tt`-D~`PT&_@wnpb?Ma+tHsBsV)kEYr zk><0kAxAfGIl;~jeR*$n*{xCmJ=cqgH!izU{9H*a)=S*_Gy`k`aS(3!W`KN|>N$OU zg=0U2{1jv%28Fw!FYg+0u1|gNQnF&(?fgW$a;JN(zD<=|Z%xjRoX1@2tv%z;CG!Xq zmXzQoT@(Rdc=TCX`O4up<8>=}`wUb_&Vt9&?0=r`%+kahav$0jDJ_(Z5+)F%q*<`=ohH=+RlrjH-2Y!Wx{EhYoM!p zYlHDjmi#k6qJO%7{DU|%KdrHEhy77|9Trhtqag99Qwn~tH0;%d?kwIL(Y(ZE4pVzZ zS7dWvNDPO^BfXlf>jQtNHmP5MrWl7`I;BehXv9C9@=OO%6qM@{y`h6)zRi!Akl_7N z7BiY$H!CqTr*t_FEi3cATMB#->ISaaLGz4o=#;?}2X?swoIDagITX{-LV2BJD$(`} zgZPjlPo6?X9(&cpg*+$kxC#C!gQlh znat@kW(O@W6VXS=yu$H4B_(@w+Id5lET4I>%>Pwae4N|>Z0cluhU#b+oVayVIf!Z( zTZHhs2(^0FJBA2<#S!TxC$61Qk}~&!Mw5Y0)*F0yI?`(FWfM*8=ov=Z{+_)@Em2Z* zn{BU|B*lBy{D!P!vZK@j7CP1u&*t7kQ8+-HJaPyTvXxMpmV0?6;|rrH=l!Rz-gb|^ zyq|v!C7;UBc~a$*MZW-rFBy=1>}OH9)@>_t=2gA&LLN2h&a$0po)pueYu~(aPGxl0 z1svMG7fFRvG%9ym}T*`ceAh zxQten50bMZ4EgQi-l>$GGAa{}TE<i>?g6h(~g%KU!L{VuAB;B&L zfJ`RUNPbagA+;Lz;h^2x#`sGsD)gIHQrIv>`4XS7VEPkXZF4IZA=+WV`>-j>pUwP_ z_#GAWTyYpy?Hf=50Exe=_D)6y|7ot9!N20^L3JoNg#*iTtE9Guh9u0;A}hZ#rY^uT zj*e&0^9-ARneMeAwej3WbDCGDC_J#Pk%j%+fpFSk)1mdo9=fMzk_S?_Y*Pn~eu$dB zNuaSN$R|mYrgWjDrk*kl42sYE7>yV(ep+GLC&fXW7SVW|y=Af;{iNFa0|z?7XQndk zFj$`xePTry`wE`BO~fy$MXW=#1c@+(d%zJtoy!UwJn=&+?h} z5GBthOVs02pL&hxF2fdzsbMU?Cb8~fOXLZU8SR3*k2fF3+gFbY;s&YSplG6*W(x+6 z$xr~D$TFRuhbE=@%#GeeE_c6n6X_yq2Iyt@Avx_B5`K1msO7hlb&|vPo;b0TC&6M>Oec9CX-ghmP%0$Nq zIpwh1EtRc9fj4)>G1*m@u%fzy%^ZV+dB~3*F5n+K@yv5n=2ODXe;hw{;`!_6KY7T1 z-j~PN(dj4ZkGZ0X^|{bG5&!_X815I88;tt@N12+~{zUzeD@)h;cygH0AID#F6+!wt zC^Hk|Kcjwh`Tl&v{)&1)_B$xc*Cszve>~PX8S-|tV5Qdq>tX&CW%OGpYr8+?>W{K= zcWG-``2+w=Q^Nm(B7oJD|9@G*V(LHV>PM^n>t0YlZ>Vy|@1ejyQU89b^iLE74(>N{ z^<(1nPu9Pm8U2$*3jbRy2fJTUzs~>siAqQM9TZGW`ZMa^$==`inUIllf0) z{zaDi$^1(8JIsHIbU$%_6x^?p+)tbi`S0NV6;S+{_wO{LpFAeYzv2BK1?gAYzjl0o z+HR%#Z*Bjx8~m&3U;7w8O_$OBjp;x4IR0$*-^Fl0&E_)vjoJS;qEnPbg6RMN05

et_MQP$u*UMl{$j(9vvjb$EX~a>LZsMrLsO6z>Gs%A5hd1VL!x3AjU9X7 zSwKhmc(*^OP<1@nB?r%(Uix#!H>o7u$w{(g+V#kt=(_uPBF@7`&d++=fwVh^jT zx23kNtbgrQz+Q)0V|ynYXPRX-3`wADPd{_QxH*%jP8bjl&z(4P*5nz}!-FS}oi=0o zgz$lVdL1@y^6Z1cJ-hen?sV_xpwccOs&vNWGiKCR^_yQcrGCcDiDv@eHBI=ip+kC) zIrgxAy@wJMR#0@{$m)?Nq1+YqEqm~gt{+`J@|Yp`XHX3chnfamA^(A+D;z&$&Cx?FflN7YSGgB|n_X~1-7kxdjBEWv~>Df$p}N}vBdYgrwyvl^OnXrOm=cyx3X z!d@1m<|d4tJ$A;N*;T!OBos3FjPWz(OrKrf56Cd8Vb7}{KYM1qgYrP-F>gG~V6nar zpxy$hIuxY`0|`{=iDDHOXHJ}s!r?g;4~6>4^^>R11>`9Ed@}l;^^;NT&b}0yl{HW53l>5=8UX=aOrCyZD z-%7nGmq;n37#O568jqB~VHzST9Y6zftdNXa8ZU!NA?jGQu1K+{;^0y*>R5FtM0pP` ztw5yJjZkp}oI^u>%=(ik$Q#tMAV5~SsyY^VEY7h z)I650DELRsF$_(dHIeu^!EiwFcrJ1@%In0K%8LQY>?9MQ+>SQ^O6Mdq1|@Wg=|TCQ z${zL8=b>=2X{C%#G3zS^PBmQ|xX`&1Y#ZaRz662G={fB}ofxaii3y4NnPaEbJMR*4UBbXJKEB{rqRhq^e0 zeWuUFg-AVRF|EW!jh?9`amZU4l@WGfJuvT{BC3NQs#xKB}=2A4+p}iH`&1>=J~Mn5}AY zm&Z@8r$hN11ELO|qbjirHGPg@r)K7>@O#X# zS{Q;kv-~XQ=NNNna1tZiS?B3Z4Aulp$78xQtGYd z*p)di)5+6S{7aRY5}Q1oGQZS!p!)*{$mJynwYb2qLq3$qf)XF<#1*EGLqLfOfGd5E z$jZ@^oTipsh@(o4yD zo##gu@#?MQztO8xPd&WRuSFc*<$Wqxkpu zHj00bZ=?A4atQ~)@iXYI`9AjLvfMV`$38OG>*?6KOu3DlKu6DUbjLn^GMxz?dGIRW~|7{a+pgDduBAkouo7KolQ0ii+tcV${2_%9VF1)D!IHVE0KvLnmWzCHCwq zN1qgBJ`;s+KbTVf@mLw2IKZ6^!cD~Z$e)PqCFHEjL?(N7lUY( zL-0#JOy{lV{gR~3J~2fQlA^Qf%YKQ@T>qfbRz%c+MASi+{g>zBo;yRtJHnl2**iT8 z3#j(Jm8sup6xDB8ov5>ZBQz6U@k=yTU-4aOIA{G5slUqibg1Opsw{giyHKX_{^-^r zANhVpk9)x~AXBbRA6Rx@lPMFeFD!i{;WC!qud?|w?sO0V6aa#4)&_a1|re837r*0X*}=V8jRgbVjpyUq)im=&;Dlz>(Gepy|xhW z?;(-)I5-)wREcH4zA%__^HE{&UovfBZu@{giUAW1+WtEi+MvoGhoc->j<1Pg_OImq zVIxpuR|k0iF{6fztgjvxtsVvQt1)uLCm^GvgDmSCH-h3zqlb(WiZ_ADS^9t%kQaz>s6qITo3$?^IAxC}*^QrhzO30_)qV_Hn0B)YA@Br?<5wkUD>^DS^}pbFB*NRa9rdw@V;(`g^AY zQs=(+OCWWgdM`&^^kP-1lVPsJtx7fUdI_f7-YKc7PMEn8s7m$Y-BL{D`Cdu1D%H7$ zQcT5rw-i%7ZYYhXE*9P|#c)RL+^LAfh8#B>@}0z1Lq0m1Z2K!5N>!zk!9H_CL70wW zJM7&CR)@SkPSBfXdU&rw5T--P)}O?KFda>IADRlnbU51jFS#I0$F89#CfmU13T79% zzk3{xWAB!D(9Q18YvOGxQAhXqt;AJbbx=?D4!7x26?L^^-&mVC)YrX7WlbC>#3R0K z+j}|?^;n%TJyna8RqDtff#qmJRFE+G1C7BQfDJQA^YKP^`Rp~3yP43|K- z$k^(2Gk!fDGS~;b8Y%I@G0_T@AUI5JyMx(>dOWSL_dhUJ;)Sz%uQO971Z4Af5(eU* z<-|W}03DdyaX_ul2(b(PRzHBMPF^{+xs6XFN=_x1J!}m;?Fb&1sc5+OJ=E+~{ zlcf;HJbdSb3415)eG<76*dyS;Jpk)2R8a!8D>Ee+&9d;@;S!8aHXGKIbgHV4^nX{r zp^=l*DVU|)wZldfN@5T*NBo=(VC;Lq(UB6|<7VI!rF|oEwz?u&;tO`HU&a&y7{}da zU8n^2_&It`!o+EwZ*^X2Q-mGaD`L9gc8J4vlT!*G&~Z(!V5{MS7~FRdCU8LfXAb55 zvFPynfn!ER2cHP&Lvrz;;nl~|Rmq3swt0+hYyU~+4#P)`JeDqJ)_01dnB+U&f00** z5o1QHllO*cDHOvfqSi-L%N{XE-Q)htE8uj$VZ5WfXm?r&yV((N|5$kpKW1?K;E{vs zkF6e6M_>--vxeiktLMHE>_&zUtd9=IwHGz8PSrSe$g%aqVuR|3Mu$mt?UljLnsazHfkhHyN0p<)-dmtmksLB8;Opnm*)z3 zRW&;N_=k)@Zuo|3zc_Tv@IgZKh9V)o6>>s! z@MwB_=+m64HB`M0@>xs@^q}Druh54cDSYPn;q2Zp$1C(9;y?EtNtDlh8%~T3U-&kv z_oZJVF~9IViL-CKQg3Q}z3=Hz$+Pt#FSfU@^`hoBdZpgf%~roe9s4FG zsrDw%R=-3kZ179e&9D7>)Xi`G5(*oAN9xzNe#t>;gXh?b^7*%C>qXuC+AmSPfBT-) zv7&FIu6*a)s4L(3HtNcM{1T=2AJ4NVCG@>#>q)JD@7a1eDE;8sDC7^GsV8;pN6*xg z8voI^QOADrZMbaM>zFX{Hy9t}$YGH#R;1qja!~r!EA^m!f6a-UJt&Qz{SsyWv+qes{pOb_soy=%D)Riz zEA^oE{^xsA&wlqy)U!YQl0&7O*E$M6c?G%;aDyP_~Dt&rop8;au3 zN2A7W1jI3s?uGL72M0SrH<68p1W|Qg z+IUbK7!BGxT^RDZY}_wa(KX<9J4VWw)GRx!O)P4-d(89q<{sUwHXhUlR-HezO$^oh z?rmUtV7z5EnMk<2#C7nLbQu#}v~KfYxk|Wn zwKkmZl&M3}{x-K1<3@8xs!-~w zZh;SP$dsb$F1XK$;UJ2(`g~gqqUh_^pS6jAwEFFx4*Fuu@EeoPF&AL383;6bvyO}Nz zg#s4ub}@2#F<_y2)bp?5HYnd04egl>SiGCTo_D1J7MjIX=fwgj&5@x!vu#k0zr)H% zz~bE~c0DW}u+Z$?YkUx;nY+WvWWd*Z3f}$aM8M+RFdh~SM#4;&^H>!pF=8NwcGZkv zo>kP5!54?Ek_mH46=izBhfWYCf)B`t+QQ60@B6ti<0^{=!k~S!!5SX()kBg&llSq# zUq`etK^1Gmdu@8<(cEuUAsCDp-TRF;H9Sf?Rp){=JWjX%G7~V-0Vi@|B7mw-ArE;g z)fQ$>x;z{Un7kYE#>2_7gPxE$J2?b(7#yqWQ-{lo#>2Soh=Dk)HCE+Rpb-OcW!5+% zg+iynBOL7a7eh6!`x<-2#EULn8xIuIKq@$10T*+PJv={L%Qg1!EVz_w?Cn|Tg0Qi- zXTrr=V=u2juUa+s@f|5fAKykndP=F9dfwM}q=N5`@ob3>RPR%LnNy8L2a;)&XQC`eNhLKd zMtTM6;Aqc{(i-hqh_mCpf=c8B&yTuyf@h*pa-wHZ@}KCL6d7Z@k^{$+d`DICO!RJ8 zs`yn?j;DDR8bPOd7E0@M&q8U9_bd(yV>}D-*I4hB zs7LjlA9d(-ub{Yydwwe8xNoEIWBrnY(m3CfLXPuo6mz_9qa-KzB`UBZp4HT==NR<9 zN#j`ZSUQ-TC$h7)EGnW2) zgndMg|7Vap9{UU)GDzNZX`D#001c$JCy9L^Wi&}F;!NF`EcR-$Pxfj$D4gY4D9kjn z^d6+1_-!0lK;f)Gc$&9;oU*xG?fEIhvPy{ zT|k^QC`vGSEFI)vmrr7Y=tZ85=_25vgN6*l^T@^o`Pk|?W8)0kzibc@b~f#vHE7V7 z9{AXG~q?V+Vw5oJrL>4IDONP@Q^=I(#UyZakaNr32yBI5VVnsfk&%TPCEs>ziG{sBSxF zrBJgoF*Swu%EVB2nX@Yx)eYpF3JuVmXycp;HPub#yb4BjUpBu&Sao+czd}vi=-CH< z*T#4t7(NJJSh5d0JQqxpZFJ#JmlWN1Y16EBQ1^M~wuw%H&uxR!ap#;i!RP>VP8(l3 z5}n(|mkvqiwLxhNo!2G;9a7G3<4cE+^V|43Krd+Hi!1qF^@Ymp&^31VU1OCU=yE&! zzg%Spy2#t*FMr}d7svaYov0jvt{!_V{*yk?W!X_yp>hPeEbXxCpEN-iV_gqMfYQmJ z27wwO1G`tKjT^UK2ZYO3#0#qS&h^>yHe5dsSp-v=2JtZ390u(_hW^6xP$Be zY^rQb{^azt3Q1rT?Dk=?Y(>0_YKOZg%iHKS?z8gs{JXg$y2i^^K81$Xz*{TSreU>L zYo>fn?`H0xPg3O_ynDI(fxho6k@7XY8=3w3ROpr5w^%>F5-uNsWHHq-WrnnRyy6tYm5g$AF_+r!P(5|pj9IhQ=STKI_Y_neAL1*Msz0)&umre> z0t~I@ccL0EN+~BQ)vGt7@GqXLj>6|x>(6)CHjqDsYfMs2IbqXbbph8MtgdR3Tu^6o zI`v*`IMdPgVzPIlvpp^e*5y#>T|I0J3>UKFV0C4EiC3WbmrxbEdN6LJt;S0|3!Nw~ z^(=HQnc`X0ZN_C@i5k7k^P_Xa<(`F3OILUWJg7m*^HZ17SNb*wwnbvAR-Tu8B?`Yl z9Mw(Hm7aypSyzcgU65SmS*Ys^y*iZPLeD~ZTUTh4yqSzgI`jMYM`XM6uLTr4QV;)5h7Qhr2OEkvNwH^-o;Yk< z^HQ)Xm2RfJ4$e5`=5{Tjgl)5P(ZMymZ`rF+IFkzvPsFS(u*AX27+vpTrzuAtnq#Lq z=I!LrTnb1*?WhkgP}((2-#G3%;GV4!$+jAA30vIU)S0Xp=6|*+(NnYr<*Il=HNpA+FbGW)2-5Ogh;&-f5QZEKcgHNRQlH|gxoO|UIQ z9kOgLH>hsHQ2`YwFSNRY!YV9dbgO5p`@*MQefcgc-x(KzXhkYeJ666^Mztl_YlOM8 zQmRGlcJSU_#J;zIHTAio$Ho@1KVxI@Q{A>hg-%xE@^~p5R^z>n)qG3BV9b+Y z4k2!8!PVq&&`SrYDJ7QXph*Swix5L z7~O-ic4xGRtr8pb!hpwy^`~QFKy0T25eVbq@q(}cX4LbB#(Pp$^I14t(EYh>&CVL5 zq4P&Moxj!iBudXX)FYchsp@VxX;BCTsvxZVrP@nKSH$HClB=6i(ScRU zl|Ci*a^37tmEY|8>u{i_;rev3G*`QG3)ID-g`Y(?j2SQ0i+pC zD;w;{x#Gb%cA9W5dlfr$orss|fvf{^9wBFhaMDd-XHtrH=sR9`VGTaddOLi01oCDK zAwt-B3#NbNXeY-z^r#LedBmBBfH$J^c#FTIy7*Mj=Gz=u*G`?e2wlb5XH7_`Mp4Zc zt1%wJUcPfqAqtd7kNGYc6N{rAE5BvT#KwdaRy473%5N+BS8X)X#wow89TOX`ux;pH zwUOW06n695WCT*9^fcwSZOp_@ReswRP3$yK+g=u|-tZ z?G`t&#j5W9SrfZb`RyMzv8xoeU(&=DsJiqRB>>x|pVm3j0HVtTuAutJ|rg zl@83`Pz*FSSp_cb)LG@Q6m_7)kG|SMjddz?nego@I=vaa;}0K7EAR&w0qn%Kf%(Ie zfSC@ujV#l~=(bqHm$|m>p3v5>@q|!Yzf;O! zW6IQ>qWoScn%rNZ{GM`3Fy;4D&cv=%*dqxOyHH`v>0h6iuth7^;+hPr?y&3cJhtu~7&X}X9cgBVjXX9`RVr+yR znKJFKu z?aRnASnnA8+?IsrP5kvySgY?%Sg#!UwPs5E6t*E{V!dMIx6v`NevIWztS^<^Zxbfg zPhrK7i5(UrzhcqEs+8ZqQze-4`_3`39*pHo%%PI|pM;6^P}uh|6FZQxqKWlZ*bgZa z>l;JK{n0V8{*2`eOs%N1Ij+BE(uEkV(bUcj-pfD&e_jNVE@PUfXJyex zy3lE!;mC@RbScz4BQ1+Ix(6n4ZyJ*2*KqHs?o@dVCHLSsN<~>e$vp)1&W>Z13YG0) zVU%)M>`CR&9iB5IvSO2acp9aQEc@X)s5dJtYd5();5jRW)t*$np75M)!BfTT1<%q5DGQEyJnYDg*1e(;==l?6E60q~sb$kJ5q5%8RwmStGnBhyy%ypSwP zJm(c7{@=lq#288$S@+DvB~kOmVOb8*JsF-Cr(`8H_tcQpe2FD% zrn#q~-X#fHs>MAWo|hD4g*7)0&r4&nD4aVMo|k52(HIxEF3p!YvZk9m9`!Cu%PMg0 zM0j2v0=qE_v+)dgUY?Zo;M_Ced3jNmm2)vmn-|1o=^Xbg)LW2~mD=1X@Vp`-%l)|1 z;CV$x7V&X0YnrbN%W|PEPV&uHretX}JhI~FUsF}Rp$W753bP@VwrU#g5#o z;dy;p7FBf7|K=M)vZA7Ut%Fih)~ju2-CHtN z^X(y7tJS@=h*DCPR&{Srpj1Q)D#{)3ydy4aP|}5GGv<0je`Q;OdP^d*aICx3K`8@m zbEJD3_3jMI+N|zf@Vql63&Fbgz;mf3i(uiz<5zDtU$f{W3slc`y4#)&sw~At^0h&YJR|xbw=G6Q15}X zEV=8xn6sK649RlB?n|imU=oXV#fbTrQSZT`tPtkDf_e|dWq~kvrGrvVR!^pz-R6fQ zvZ}KCj~GfBoC8#pS5sE=BVk$S)_pC9QcBjPb>9eC&C4wup_S)qcrH)K`nT>HcrGu% zQ`z2v=c6$ky;W-h3ri|f9PdXG7>BCh)`JReKr$gVtd@XUl{32FB|cxIBa4zJsg zL#c?PzViG4^&XGQvc2v<;rVzDp33$iJfDcjVrK3~@O&a83+cKa$M94$ENd*$=M2qH zresN2x}~9yT^eF43hqOipGwH`OY|uPeF(#gce-2U=!vJzU*V%CcX)&-U!rl{lzQ^Z z%k8*%)O#i^ivYPz@O(Cel4_wjgHlqK`*90Jl!~%Sllv(=SHxu{9``eNuE@zMJ?`i5 zd@h1@c~q1y9h5S%N{{;$>OCKpb$Q&c;rV<@*6eZD!}A4879*rf_vRN8vWTGD8bYZc z3kcHXdh?4hS^nGIkU}Xd3;EF{dh<(;EcEAY%%PN)CH?5Ky!qvjEDPusLntL>c|^Jt zZ+^Kbt0%huPFc;b#AT&K_d5rroGiWQewVYFvk_SlkuJHLvl&@1(fvMVHLncI;)w3| zMU+yqGNSuK%4%L^$=ZQ*Y2CakAxjIoKju&>$V*J_PZ_KE^`yM$2J}rJTIFMtrK~K^Mo-O~*E+H^Aw5QI zUYo|kh$>1KM0q=eMF>@C%NR;YS&}fnWf7&KEI*jvDrGgl6PNW1^IJP8zpA~R5>_+jeB;~@?r>@! z3E{8EU(~g0ABx$v4?FyoHoNv_$F6-aVbe-Dc1K#4hE_J(zWat_?b>w4uD!FUmUR*n z)?vDK!fW5zwLKBz?u1&CNK9C_>EVrg?P}M)0MqjYwTO|JuuxNLhY2aW_N4^=ESuIJ z5)&4O+WygQBkkH(V16a67DN&g)@Pc(;-(pP?WzdA#-CH`2Z;&mIc+)V!aBS5)wo^z zkD^*|NKD}lR<}%KZ@c#OB>qA+tra9DEDd$%tEVovYgec7=h(D(k(jW;(}R#TfkpJEm|QatORoS(H||fYu~l(+ILcFVF58=HHwcf>2Zi% z`(D_t&1KX&1Y*M475~2NmXqz;_YrVq#HOVL#DoPa(!)A~`418BpDCMGG!PRO!I(bv z@$>B3j}Y)*8MRP=n6O;M54ZjHo?W{xV%L70vuRxcF=26wdDHK@(yn#mc5P!(tpgw? ztZ1>@`tcB?DT%+3T6jZDSQTXRT@Jm~uKg^BzqneOLQGhRH{0{)_izobnIS+I## z1zFYkMx^|8$gce=ZPR)bQmbdz!vA*rdWBuP-mz=JC9Q}dCafIt!LZw#?b_CuUE5Mn zD^7?BOOXsY0@LP=c7Sn8&1Zn?AN{e=OoJsa~rS6J9j^IM(?M=mmNk zK<}+ZuNGeD`>NY9FWa@hf!<$>Hof{LweTL`^8?+LcJ1$=_xF%ZZ>EU}ulfzXw(4=a z&PwCYQSTvo-8dk8VQu|6c3p=o{$lFQF)`sKz?;ICjkD`I74Vl(Z=8tGxBUEpo$b1C5`Q6^zStuse2eYW z;(~YVx_#34bJSOw#DuTC)xI+r0r$(|FQ&e$BPM*y?VUxN?O@k+E8s7ozN9B6e1$N) zZpS_BI=qrxcObZ5=Ie~FF!ousbU(Z9kg#2Ma7KNhO=|7gD>d`xw?4P)4vW}zhvsbh zE}EF|O~ZW`{QiJlcX-^c>t3|!%W-1DR}0s_-t9TNt}2PYkotxho9Ii6!&WW%(5~y5 z#-C%;SJI>wzI%8;=Sy$4>w0JL7gOIG6I1{FQu{mq=wsLQE#NO<(-+Oegl`7^@y@{m z?YaS$UDrQl)AyOgw8PBE8M9O9;gMmx?uZOd!+7S7v-}}Bs{=h?lS=T?ur*!eN`+%M~UmTP^> z8LR&~l0CZ>JkM_BVs`o9`WuF`=Rx#8`naQ^YqAWVo%j6jE2psMAz|^PFOs*_Xp84N zpY<|(9v1OE%eB7wY0f7h_B=f9c^+8KbF+=3FB!+4RY~!rFSoaCz0jn3sP=JCRR0IICZ-_xZJ+iqI;g6B99Y%=F*9a|{Jbxq zN7QN#b@}DUk^fL0I0t#2v&wmPzV*%rH)qe^;-2T6a-LhXj+=57d;XsEJZGoMw7&T{ z58WD89ynono^#51ZZ^B$H#6C@L)P=0QqHr}ikUTQ*|Sr@^PFFN7emIRix1j$i zedd*m*`af{K5N*sOW5BN0|v*)^q=h;xsbE_wgp7#@bx^d6* z-EysOxpu&vce7_x((_zXE@qd{l0&{^&(G9+B0kra^X%Mr)d|nB=NCmU=G*03-{SBS zi;~gTAC;cQc!xbVCOl8v zOUiN17)u?u?et$fcpx>4DbMrua;!L=lOoQnD#U4Mi<%h`<(AtE~d3` zuM3`J&mUC{VjfS@yng<}AM;!O`_!@DuxHwDeMLFXE{kh+Kb}2%#=O>_E$7*J<%DT> zvF8iE=X2#ew>Wy?tb5q=rGyvrxmcNgZvMqBTfWYoucSQB=gWC+w!_dhyRql0i0AoK zInPe9M{4nafi!wG?s>jYuAd#l4av>e^Yx_fSuSRW0Y|Ppi9J`RJQgfW5-dfH(lcCYN-)(Cdh$q}5p0C=!?hnZ zgbn$tMZvr`L;w7p;4Uxz?N?OT#mZ0e-VF6#?aZHsq!PG^dMyTzZ`M&7ad}TPKM5(W zb?~Ls5N!D~fI7*MU31I zo}p1&jLEyBIL=Xv*ebp|`h|Wc_|Mt6as5wUA<(W?eyaCYqtC_}MgELfv5_B}GufDu zGTE4J8EmxR>`%{Tu^DU}0{Ib8fd(5CmNCu7(X|Vr%m!K!qh+Re((aP(w2gL;ua-QiEgnT@j&CL4*2$;R0^lZ|b|>bW&S7;JRM z*g!x9nrt8}W15Y-?%cf>vw>E`sM$bUj0qcfO5TDNu~lZHy<_8uUWJ1ZXm=|=GZtjy z>^Q#_z-&xQnQY8L{(7d&E}Cro1@)ejJpAtx9GvdM1v~pDe3okF@%{1G~o9=z+0;fC@Cm28Crzv+>QBM=W7B(25u}8)%C$VFRD8 zP>a|qvhhWGXG%*qb$_>~mB)2^fQ@;`YPNUCYuO3;Yc|eB{+f;R9FvXxP;W(!`e(4w z6JrAb6=<@7u#9OoUbuVz3Csps5u;`UZ80Wn;FG5ow1}-D8*|#r#@Zde$|BHSR{p$9 zkd1S*{N@XfjXjXDX5#{sd^TDRiUzjUp1K(vy@S8t;+?bv**+?Q| zpN;&*@O-m?By)(>(igU8i_|}3Z1jz*fC@C&$RjLcnvF;Iu`Xmb(25v+HmEJegpC4b z3R=WgnT_@yH>S7l-ikmF?&4x88$c|6*NMl*VFig4Yb9Wu+fZ}f)=q=WaFFmj*T4~{-8VRy{-J^p&%QV zh521CW}{CD8w-%XX5)&C$wm~mXG7FKgN-BNRvrNrXtIH@jA=F&?lbKkW&^E=QL}-z z7!x*{FjLSXwu)@Dw3m&w-yO0Nf$*yDl}?b2DiAgK88U1TKTJE!iM+#wEV)jdgqe5iKD@Oj+y_WlKfAB=hInuAuaC&?e2}afe;Eb zay{_79~)J!6j6*?dBkN*a@`urBRaOqx$2|BpMOtt#o8U#A<%wS{@QRr`Icyy-`Hd2 zM@IOzOJ$xq{`#o!cgtjB zZce?~ruyuUjZ;Gw1yrDSRKVDvu#9Oo{+eBT2eW}z#HiUoTZ{=CONv$tTEte7jg9SP zW3Ox9{v3f0u=0OT2HCh7BmRI!667*ob3nAfN(GHV~FE&Bk@3|0m~9v?4~I4Qh)q zVdGwm4YY`@A{*bfmyK(#-F+?s9c1N~WCLup%#HI~$;`$@Nt2DG5rd6<8lL(}^LlvR zlcW9_Y>bUzK*t$0*+5uqCFjp6Lr=b##|Byvqt6Dl#h9@1DA+)Y*ebHIp}jNZm_Fa{ zi9iQi`E((`Mt&(q{AFoxrd;KiY}}3f{jrh12l;C@ZcnSXNfFjyV|>n{fC@C(Kv>4~ z*cf-;C|uppT?kqcqh^7n^>Y}|*@aJl!?v*q@ZvGD-%*K9n9{52cP3+g>! zgf-YW6JrAb6=<@7u#9OoCSChtXJ!Mfh*7hFwipvOo=#XTXc1dQHvZjSHqJ^_(I-}i zS^0+|K{g(Y@iz~6Y)p%oW8-1u@3YbJOv+^AS(F}3QvVD#CgUiIfC@C(Kv>2!8~0`+ zQ<)94B1WGLYKt*p<0Y_x7O_=iquAb=viBvgosB@DSh^@H>Tt;pkvf&+rL9ym4U1Y!89A&bdG>JI&Pp9u3+4Spe@FP zjTtGc1ubH$$i{c=W#fj?>-I&U!>xQK8DJy-7&N}hzutH@tk(>&@=qXt&Bl|ky;%Tn zImBvNgL(_I*fYn**+>up73htPL1D-m7EH78_M!`LZA@&S6>Ol-U;}M2CTz@hRExkW zvhknxvN37o=>-IGto)PdARA9)_?s|1Q?kha9RJGvY2>fjcqU@9(SUl3l89=ufrqMj z1XQ5OMwTFzFm8a5H@>@m?&=>tVK&f;82y=&M_Y^u8|Ngf7PN@1A{$?~myL6e|8iRd zs2t>Ys7kz~kIJ0xHmC17R7{V0lQ^vNy=)Wga@R|vAPqR8KGQf%ax zgiJPGK>j`(EuWXL@dZlPW~hG#8|Q%y1XQ5G28Crzv$0d=i(i-xv?4~I4Qh)qVdJ8r z)q)nWRc52TW8>(%Pk#)7dRqCHtN1A zk&PeQ%SNYxHynaMeXRWJ@gN(oCHT9D%*Ib4b8NhU{52bEER&5cS@q3Cgf-Z>5^Nx# z0!=m$mNCu7eYgExK7oIu;2AX=XbT&rmau{2bt_uLR+)|V9yg{9pM3xV^|kVAl0i0B zr}(>=ijDju8Iz5-kiTYQZP;XEpPc$;Cc+wQEW}JfKm{6XP*}z^8#}%D&oqw>v?4~$ z2HIjw*tpiQTG1l5ifsJUUN+wEy!dhi>SyKGrUPuW;wnUa!&I@++9hVP@ecCWY`hyW z**K)AzHh4f>|cv6PGCSg)M+Ja3_JA2a0<(qX5+0(j;&`l(25u}8)%C$VFM4;TG1l5 zifnw<-kCDz#@#ngO|x-yNUhhP`s|O5rH++HKn0p?AS`2= zjovG^xsKUDD`M1R18p%TY=F*Iw1}-T8||GbmkcWmL!cw9{D&C2U8%d?#~t~9BC914 zkBwd>Z2Su)&BjM*lZ|5>wO$268DnD!j-m*tK$8uGWlXcN{(;kGF&k(_jG7I!#h9>x z+pJc!h^-7L%Hr643pN-ZL1(S^!O4F!& zCL8x-Y#^Wl4K^q&W15Y74(vCN*+45|^x2@c81vXDSgmLgTSYd0ZtqN4b<06CHjc9L zZY01)>ru!UPkZIKF*Iwkkw?jABj1Gl^-MVlwrL6KpD{M@FqZ-<&|sr=XjU-I#?lV2 z^Ji^&w1TblxPi796E^lsTCHdiTSYd0Z7&-y&KoBVB?Fh$;MRJrpKs%1{-*o zn@2zenrt8}W15XWCM=S-y6AKl92;nhF^`R`)ruCeRb=D0_Oj98sW-nupoo?KA{}Jo z^9-+Sz++=v$=LV``RlRqb;M+2K6sm+MO2dwJj~4_paM-c5SB5`#=1l2%lnULMT~lE zpe@FPjc#$P6)j?`$i~m@W#h}W-|UJ&)mHxNY>kB$6WC2Xum{yrP67h^Q& z5m12! z8?6Y-m}cW2wTm}nHqeR~eKx2q#ymC(Rx4V>R+)|Vj*X^mmw%5ygRK0wR)CHC#t^Tw z!)#m(ZuC*Ki2VJrk^gtvWaCCltyhJx1{*U{76nwG$p*qQrrBtn|K0`623irLW&>?8 zCTtv-v|7<3wu)^0-d;AUUR-=P0u8qE--Uy0{M+HxgP0B6b{Wq%{)7BA8{cP5HkO3d z+BXPmurUj4AfN(0HU@vYp^lfvGNG0K$8uG zWlXd2LXSBUnGLieMm;vr7GuK360m_5u~lT_kM@p@jW_OkF9Hp<@;}FeZ2W}LuqY{A z&o`E^@eA_z*=WVZgmDyo45d5b)IWobb8r+zKm{6XP*}z^8%qy<;3Q@Pt%%WQgW6(D z*tjEOwW39A71{W`y<=n12X`(;pku83ugL%#t!RgyearR6y*YDi{LeDj$p0QT*;oP3 zr3LDr!N$4hGy*EnV51db8Pja^?zUK-eW4XG`fN~Jj0qd}hOAb!h^-PE^kdrX`}WD? zx!a9Ipc*Uxdpf{I{(oTOTK^vQv82I9lZE{KvC(8lOg2^))p}E^&;C8^MMaAOD$ryD zVHwkGZ1F)1NA=E@MXiX@XM@^eOxReKuv*a~wn}W!4~@5vji1kYw+VrwR+F6#u+d~e zFbTRLTWWE)n}g#Jldv!3N+b3SjIFPoBg&K-asTa(25u} z8)%C$VPiSQ23o{ci4FQO_4cvxc6v<>0@YegoeDuVIzr=A!DC}((qv@En=(4Mu+yY5gK^K-Uu|zYT7CsV59ZT zw0ia}N747QCL3GB=CjeX4QzUBe2LP%De9jwHgGtmfC@C&Xhm4YG#g7|Yw$@Z%@njE zMxPC8i!qN4$7=mjVHMf1+dEU7T~53V!H>0?wv7bXXxb*Go_z}&`Gm>FcF0(>5h|E$ ze2dZpX+$;IxE99^1XQ5O2EsC?**N;&{`VwOv!2RqOq!UV0Br-CIeLwz*!r@QXKCS431bjOX1=h|8Gd`bJ1a2UaQ9 zhR^gU|8rfpI{)U=5h!Lgb@h&bk}KMPlD8Kn*ZhBTX0E#=&0I$y^W_D^F?GE?p+YFo z(6!H&9lut&QbaLoT@jZt$#o5MMRaTxbN#fvx}I_5ky{|paaPl=x!@T7OGd4=NmC8S znjKSSuDe4??}%pCj6&v*#;8Ze7{04$H6eroja<8I-80X+BBB^|u87N+TD>5PJRx#I>_Kx8_KRoq01R7;E{WTHHbvSgrEA8dFhhyrx zcihbN)QDP36M>9eAI#V_2%$hD*ZmiC-;HxcL^0}I5tlK^^BNdr`6h^2xR2?P|>bI2n8Cs{#3i;e>hh} z6r;`+aT$|b--E7*j;&&@KeSiZ-B0cPDFThLn)VL`bv+@W)|Hj{x;HY{$KeAkGuMeI zWs1}zBiF~FD?%vH$hF6v*DT;%5mAgfSHxvZa>eRzHHeO_Vy-yEdDrECejMKM?q8N6 z(D7E&0fk_$6H;o`T*)aA}(W+>&G#> z2GOxq%C%wHru`V?S8w9_*|JWunw*$0Aiu6?*Gww%k{)MMPn!-4acOD@Db>u$po9T? zrYg+^J5)-k(!7LPms|ZDx?O`$CYo=^IsQe0H*!?(e9(D*gnuv5uEBC!H5Y`@E0Ama7^Ld50=*xDyd`AUpgV%8L}(cj zN=C4mw1=g{RYwJ*6tP@MLZvAfb)PY$AQ&aj7zhxI5@QU>2{x6iF4p3q6dB_mgQR_= zMpy^jhp&#&9$^xLY0;6KU@STbhZGr;UjRKgZr30+Y#lt&@oO9^ zLB>RM2iSrvAo7Fgx%T|*Yemr?o_)xU2y=?nbfkB__GkJPkn;JkC;H_HQ}jzST6DYS zY$?f1hj`Cr@GC7CU>KlrQ}k=Hrs&tERY^o&oK!N4=qc2jo#3B+wQH`6@G2`T`t@P8 z$_P4ci2fC3Cc3OZPxLP_5aty;OpA_W#7~QkjtZtlN1B3Z(UF2+p6H4I!L;Z|PB0do zghPsqiRj(qDm7qQ^uyD34H9HbMDK;VAcQfi;o(i2>67nUGYp|lwVJAn!I_@Qsij9` zrY{beqF)m;MV|{1^x^%olv<=iM88t%5o>nM-ytP=5;u0uEszo=5&c#~fy^TMZ5IFJ z3(xf13cP#@i++1nEgyo88=`-XS&c3$&=dW$j9r872&P3xGJ&_%MVz z&1yO(7Zm-rgj!QXM873tihgs^6#bH%Df+dJT75%AzfS70=yyR%E&5%MQj2~!q@0uW zM879(sbBA5(U&E8T@)7m-nd#91RXa-{}eMFT~?qcdI19g-4RTSj${PWqNAgNY0;6U zU|MvfAea^%1PG=@M{VEeq`FN0U?ZG9sErb z{iidgJb+NATTRDif}$@Asl`G>^t)rG=;@Rx`Z*AYq~yc<-*akN4H5lzsmG!}B%Z{L zUGp%c)S^EEDd&Ye(U(W~hnQION5j0}35))ir55}^#|_b&F{{yK1$v@4VIZJ8f@#r_ zj9^-HbW|`cI?@zOi~dmB!?fri02^HoXwe@|dKimN!aWifOhg|Lwrd^@6Q)H!B5v0_ zMi5~ldIWWsM+C!~!%cLHQ{uHDnPZs@YNU24C22#$Cd!j#6z!1Rax-9y$S(K9A)%yx?u)-k@4AJur zh{-VMiQZVSYtS9#D8EUsuGEo?U|Mu^R4^?%(iBXKjuZsbqJsdzwCG4qFczJJLyC-v z=trS{NDZ4WI@xPhqy-bv{}xBxf?!qy?ufSFAF^van=a9ta`<_>9Cn)Vffn!N@I%ij zxq81TReJS)(QVVlAy(XKIw=tp{TUJu0?`DqYo5w*i5S7L#^Dm>jZ;;MOFYvb$#IGJ zv};~UaEV2KImRUx{S`-*1Y^+oLr zKE3IRX$UjkYMK%XivF)0i^rlj6!->?MSnTV;_*y>BgrKe{Vj{@@!`D?<{LN`{S&f5 z6BhlGf+~sVpJtWJBKl`({w+)v{qv+<^LfY<{fjtCMdBbJI=ZYtQ*?AkFczI|?U0OM zEIQrVp`(In(UGQLT6Cl!m=+xb2&P3xa)PnwBpgy?%n<$aBw?j9{foF@BKlDD4}@S- zqMyHMGkx`ecP&S#307007!>_enw2EB`kf14l<0-~eK3N%GWcLZb6 z>DCU(2*#q*t=;;xhiTD~CN>+RREyq{^e`35%h)Tf9w(Q2BT3yR)aGSgc^d;`a#zn^9CSoCI+S&pd~ zk`783EG+sD5bxQLC;E>Td$Q<17F0<@{|PbA!@+)B0sPhcoJJ|(ozs6w+BLuUGyT7D zl#0YbKy-9jfu`u_j$kZ0-P$1;!B}*k2oOw*j^qSm(MdR@ z$e1DeFG<2mXZnBRg2_yeI*JhXgXo(!)9?Oy)Ov(E!)m&y5ET8V7>ma<{f89az_I9` zBv?EaeLczSi@qVl^;q;jARY|?b$E|jN%mBtNA0*OvFOnb5hXK=9_<+BpDca@I@-}r z`0MD+^eBGED%vSQyaYr?mlbG=j_wG?qSLJ%k`atWr*l6#Dwq}>X$t0xPALecMF#l%)aP9JM5`Mk8TO^wCGz|?8%~URZt}nee0}}Sw!C^ zZAZ6pWTr>AO=3WJSMSm7;&yb~B5_bEI(1orrs(L7U@STWrep;3MW>DmrbS1Zf@#r_ zf?!&75FnTq9mxsCqLXk)kueq>KQe$sl7y9tzFk}}799nUkrvEqnBA_!dqvTw-1uGs zu_jqfSEqxbZyjTSSoAGZTp~v7njaHfV$uH~nLW{?9Wq>E(RWI7iD&v>ARc+L=sU+% zNks1&G49i&yM+1Y$lu6O#=GKg7k{Sj3f*?4ewT<20?}m!`l16vcLWpBfgu^eM08;2 zs9;)jq$!vd9VrN=MF#D)bT?>NAOh48^`eDKFaHd@| zz2epTGt-~l9kC``P1hxZqVJqDK3a|bB?L(#a-SZxiYy-GZAZI^%<4iex-C4PPsuqw z`d5fY^;mRt+z>rnFh$=xt7Mj$zE7Heb)H4vH)%)r_0H+h{o*JUi34M%FcE!32I;Fd;Ab_QvuUD#+WkO^HN|RL5($caM8WuIHF|K! z6#XwnQ}n$urs(@Xt`#|NrXK@gwdgewQ}k%q6us6`GK=W71*`c&%x#|Oby+)F7xP3P zmbRnA6bFXrxc`o#%h+fZ()hFRv)q^=;^;klr&*0;#7~QkjtZtlN1B3Z(UF2+T67Q~ zm=+z$3C5z6a7d9cL-e{VVWpxEOA98V$B-Kc!N#I*+Ts0>?vsB-sHs-dJ>j6}(UkGg zYV??#DS9|zihdAe*5~vivwUYxeA?0DAf6U|By9SeJ}PaBK02vn7SYGVQA&EEA0M%! zV{)G8Cxq?j@xrtJx)koeqv$d=EqVcDp*w=5Nev$^dKfi*CO`4 zH)o=wLwsjWT-ni)F;nz|i>By9rNoE#+63R3v*@QnJT3ZZ5mWTj!=~ulf z^hA$m?P%Pe>0{G&bgb~~i;nwm>M}MhI_|%t=#F4obR;8~79AZGOpA^*1=FG<1;MoF zAV4rJI+7ENMJM5qB4dW=@hoAbqK{1rCZdl*ZXg7k5`E659p3+Wcb5qWHQj1@v=9{i z^px?@YV_2c)qGLhyO68Nn4%vCnQ8vA=%b4$;m({zKLg_FTedT^>`C0%(Mf4llB@U0 zNhPz0epVbm4iND~pAxa7XZbUIYS@lW$q)y|;T`wiQFK{>-r=2Y&(IygSoG0Fo01WX zMJGRWR4^?%(iBXKjuZsbqJsdzwCG4qFczJJLyC+UqECqsRx0|`uwWwk@j0X)7tCtN zv`h4gw`|)F9dk5d&9IuD$_7QB9Ade6rk@$(5;0;&M>#AWl5rreA>~o zA)Xd}W`sRi^jTq5647T{N@fv#HguSs@I=QOHO&|KqR&m+(YX$BV2Dn_q00(1MMrl8 zW6{YE$p}WBR3FSxM+MWOBTd1y=rbc8rbPz<;-^JNa)PnwBpgy?j74{kckC!q!&WN# z+_YdUItr*;5X@?bw(Ia-QS`a5_g;rs39IS(bWrqJDVB>xKRd@I7X7pgi^rm$K{ETI zPcCwaMaLr*Wh2(?==l(jJXv&Hol{9f#~EC|0v*LsrTOBNCweksN44k|hwW%GLmUJ| zN0$}oi=Mzh@CDJLBN_1%(cy=V3Z_Lznu2N3k%C}abPynz79Gh6#-h`GI#OiJnCUp2 zVJj8=;;>*c(@)MJ{kULO!>~;geT(^LKZaOmTTR(yP;^{7)4F>6flKuK7zDyWn?;}G zuy`zbLS*K{`y6;K$#{qN1rU$wvFKMsxE_msWmuI&^s6i-v&{6X3iw5ff+zaIEdLVB z>KF|jJVjw z4gv(zq9ZxMSacE&DKaKA{lu6ZMQYf5(cP0$DnZ6X^wX(sLHw+S+D#LEdg#gH5o@N^ z^m;rf`a%*f;)#A`ip68m7vxwx7JX)hODy_%3AMDGoYQeJW{94GlzOIL2PySTzaCOT zW)b~{Bz~O1@kGBd4jb-JS@fGCC?$vkL-Zv@5a^3ZU9sHz9n4^HG(|@;sxpsEKD?u& zf>Db6&;@An>?eqGkXSacHZ`m|so`l%s1dSje0E&6FOJ9<+@FcE!R z%8uTU6bv(c)6VI??9rz`V$HIe)<%M&-vGJNF;DdC9Tty8PeE7`Pl;Z$n1n@%MZY4W z){GO;ua6TJ+l?r561TNC}xm^d({Z>VkjEcBh43D~Wlc-&sH@OB@)Y-&+JR zzHlu1qrlJ|X0gA>tjlzJ@sGDxXKzZX(!(eH(nkXb~(FN+_Sz@^(8IY=A5Kg~bN z!ZZDWBs@dtw<-Ga42VfF=pEjlDcVtVM>(3JBN@T8=;)|mT6Cl-m=+x=2-;-hSSo90vNm8=tw-wbYZzB4gQjbMn4k@+hk3vc< z`eTq1GK=V$I95jsd!j!cfy_m3rauu@zczx-8Z-Ur1PFA97&?FROn(U&x+9prkV7(p zY0=S9!L;Z|Q!p($QV>jw4gv(zq9ZxMSacE&DKaLapP93xNDZ47eR9Z-B0dNU24C4pKs95&d}!Kb(^BM1Q`(Kcm7k{e`R@eIZ617^2TeftVbFp6GPZ zhVCdwbEYF1!L;b;s9;)jq$!vd9VrN=MF#C{n}bi%$0F z3t7QL^l2gZ5yXf0V>j*ao<8`Lt_U^HYWgf26#Y37k8jzY&9Rik2#z%|7LP^0Bf}-0 z>5mn;#G+?YTw>8zCb&f0*wIxnRg$ase>mnX+p8h`K1{(A{ner!eKqOL^w+@EYjO13 z6rBV{mlf!Xp1|xXdYGQ+NJjibboim8f@#r_reIohq#&3U9RvubMMrXivFLQmh7=iN z(P=;WYLT!~(O=66CZf+oT@b>4R>NtVHq#Gxj=u$==37nQq=TZb%BlqyWu~vh%+oXd zQ3ymcmPLQ2$R!s2`Gi`UP!8|!M7SP{{%)8(S#+dk%=BDA$t*Mdy(|{73wfe9q+yfO zo*jKZiBf_%FlPF!6h={&K~MDA4rYirnll~AV58H3p6TeQU|MvfDVP==DF~)T2LXa< z(Q^c;Hdu5L4k0+wAMkB_3FkG|*LbI;t9)%|bwb)L`X@#M=r_x$ef+{tEllF2`7`plbt zRCC=V>VL{MZTjM(zD1%r(-$SMdCC!)UYNn=VbdQ_{|2sN(?87Xn+cjT{bQ4dP5%m7 zX`B8vw9+-> zZ8|K*FxzwxYM5<0EYmRCbXbC6w&`GiVYcb8IK!~%s&TMHWTxpigJxJ79JcAV=45(# zXqajGU9b)?1P&MnXZk<;ncnsLuP4DYH;ek;Qcat_ET`{%Xqx_Y0U8v)ko&TN&BLa@ zU&Ke)^e>Y7E`g@$OH3X%y%Abzo8Abmv`t?Dt%Nq4rmqa(J6Hm0`YIp4y9k?J$-+ow z0dM+1FbgDWNKGG9kZF)(m~A>N#xUD-5NeohIxN#L+jLlhVYcaDfMK@jusFl8>8f$C zL}aGvw}WO_8XUIicT{8=7KqF=eJHGBWnh?CaP~iI`q^i`pN6?^5oPH#ZThN&zNw*U z`icxRC~kT~9-D_vpB>>NZ2DJ4efvMt^yMZGoBk8D(l&iHw9+>HXJ{p~*);u^6x=os zcJ*7}r%eCq;Fl6%)7J?7r92>GYp#!jZ;fRW|}@M zC)Lv6h?}nd9TsSqX?nf_xshRF!Fe^E>7(eLGoupKpIZHFa<@S+SAS7nH)_&!SE}Yg zgOpb?{bvCmDM#SBCW()*=}YtY2+#DNi}*-4-EE)4N4n{5hYUW#rgu#0N2ckW66{wt zw^Idfq)4yc-F1u7T{lZj?_7{>=alk*H61>Asw8U|Hyt(t$T7?`9T+UeFw=C%1)+x7 zro%D~i<_>NV3=(>7+{!fIxNmGY&!hP=E4$@VbfI%OH-`6>75IPnWm411%n|tPAsUa z=}d3-jgdnRKIU4O>NZjC5;kpm#{fT(uAA<*hcjQwtGGMkb-b5ixA~2$&v(!U5-^z0pt_mT8!6IxN93+jKC%Fxzxk zoMG5>)i_upGS>8N8O7qJFL^K_U05J8)ARsxH_jOb*VAh{)0=JjG3Vu9gt>fC-Xv(+ z^o<2xBJB%GrMpo|-{2oFt-4(x!!~_Ug*UxJh*#&Z>081qP2UPe!Yt-Y z-#XB3HcfYYxZPxun!Zg|-z*ldvbo(;`gW=yoHZRTqq-njLuxu)d2>OIVQL{_$-^1F z7{jpXYJ+raREe0M2b}`qprEJsO!a-Q697RDY}3I2!)()G zafV^jRpVfZ$V}581AVYGIBe4&PXdb!Gfgi5>){v%Pw)TiOh01XnTNn!w~I2ozrOl{ zi`xTQ`FN3%Jb<=-ve5yo+0o|-xFGCo4zNs z654EZK{#tVTt-!rHKeA)l{XjU7=}%sl8`Pe#xQL9 z3cZDE>{1lZZfD0fJ6OguuX@>8HP<)je{j3GfjWGAYE7*9JcAt zRHO?FL}r>k6LP^2WMaWl|E%f%d1j1KeupUcNHuNx-buVfif8(sIeo8v)AVG4H@y=y zLA4Tprtet6t8>`&o{%>&Pfb4nT4|epAhgmpy%)>^Z8l9mC=1u>;Pv`jMR3zSIHm7F zYnpzDqwmrR!dcT_1hYW0hSYSp^5%jZ!_-2=k{60#evTM6{RJ=;gqm@->99=0Y|~*0 zhS{cr0fyP8!{Q9XrmM!m5|Npv!@Y6U(%`U7hkMAnus~#{>94^!Fa#Mi{hvL(pRn-4 zc`((TqMUS^HvOO?ULsXqf!>6^iN0z20U6%(uFwSA^gZ&Bm!PNjeIQT$s;69&?h(*R z+w>!$mA2`q31CR}jvc4wq3~kgOp!{gtA0L5^W+ zA!5nPU@9!eFl;*fYUhGb!)()GnTFY>!x9X$O$P%EvrUJ^8HP<)je{j3GfkfbnvaSU zvrUH^%(}2ZWTxq}lQ2#pHBKzpwWg*w`^L!o*FF3UnC31~-WUAnR)LtVr@KexuwBY4 z=^k0YN6HcD9$vxbsddATQq|b_Og}J*Bk@c>*yLf;`#>vg)B8XxZPSm1RzjOi(=&l| zGw}<#<9ztHEWLU^J}cehlvdt!)i{u>AvIkwkYgA&T`^dUVYcZY)G*t0Sf*jN>97RD zY}3I2!)()GafV^jRpVfZ$V}5;ufRfl#p0%`e|L}18iq~REE5>Al&ezcFx+ z{a~(vqI_V~wCTrYuzA?@WAgY2o4&JZCA1lvenb%;;nO?3%+Mciz^0!P;3I7Msn9&z z^wYBXk$LrgdW!#^eue{IPvOv+ekM3^MxL5}CiLyhobrI3>8hC^Swm_%e1ypbIfmJ$ z!(t4>rmJxv)G*t0Sf*jN>97RDY}3I2!)()GafV^j)$i%BL}b`>6}x9D)THTWMuwR) zeQq9>?-(W)JX=%KoBfsTk!yaK0dox!ht9yISAc!W(qU+|`%AMvJN5b8FYGyTE>Al&e5Bi`m0p=Pk%0~rF zn|?t?e`Sbi`gzdiw_yul)BEIj(@#nArk`HWU%z3Reg!n|ZHJnEE6ifg^!_-DIn!^; z=r)_C`$?E3q^1u@NY@Xj=>wog1AOHHYx>(oFg1gan*J`NfgC*$cdsak0yH>oCZ6ek z=A;Wk%{be1Sf*jN>97RDY}3I2!)()GafV^jRpVfZ$XL?{BowP|`hbdIrs;4;H5UxQ zabm%=f7bNqnw0t^4Ouz>4Pi!k!kvnqHeQk`rQTiCI~pU-zuu-z@gySP14AUI>80p?6UKgXMXMUprDword@hdI+9j36&dO&WU1+6Qqmn=q^6H`q&r4?z|QoK@?dIK8KWAkUS5bL@J$~s$T19?{#y365}w|bbS zKMKv8=Tp-I_&3}1#~j}D@j|!RG<|#ovlOW5kB8EIJVQ;N5J-1|@j3p~>Zf@yRkfNm z{j(yRAt_?m^j|8{g~gb0*z}(Z(gmT0*)tuMX_##~EWt3_^noEU+w{jAV%T)mI9MVa zu(4Rv9}g94157=QsRI@lsQ&^pO<$;TBg5e7{hyubZ#>ZhepeIsi1Im3)22U`*I!d& zn*L}J8kD1^5B9NnYTeSkKZTF*)%&Olj#Pe1_i1RJ%2TdM_n8n!DsQAaDbSD1nLgRq zZ8lAxl7)YZsOeKv(uJ2V=IMQ!Bi*S5zsN`zBx^`#x_U(qatyYmk=wT218a<5-_mMe%Y}nz<=)*E4PNdGulzfc+hFGA`uW$ z&u`kDmA@A_(@w0MnCDEddS&k{XR7gH&vW&L5G%he zuw1<@#LDlA#FS%isYffnuW+VMot5}^SjF4G{}%gs(H6~GJRo0vq505P!bj9FDbAp~ z>gnlZ8_`xQ9-e>)OAdE5g8u`jz^iLv4zXm*P(4tGrVF0WK)7V9tRm`w@8E&|UwwBV z)?(d)$JnhEcO_w}+w=wSjBNi~^v%3s&?|ADZ2ws#7C#w6Y!3MLCw->1j{-t4wf@3N z+5StPG5z&J@}OZ^##StbMM(HW6CFCY2&{d5JPz!vRjRllf82>rdtC(G0S*FP-O)Z}<9bFnYN7{->f?{7OKX0?rLA zvUo<0pjxgz#TGxGWo-2hs^H?63XCnjD6iP8B4a4;`=1#)vY-Q;HfFJlb9OeVEIrm*I=jhyl@}j;A4Yc+i|4=-LbnQK%8qQlh^Ts+ zfcd7|`KD*8^__ayjd#K5PGa%g>iOE)r>Lv*G#|J}{w>)#tfFQuuYg`Wu=Zjf8rRIz z0qt_Ru zKid{FlyI^tiJ^Xy(emg;xuA+3VQ?)`?rO66S4^OP% zO4PuXo|E~{ND1N>7cZ+<3;91xFP%T}OVhn$%mM+dRhCftGmqoPt6mr(Twf z6b%VdI1- zU6Y|%*aqmEC1|BynP&`NRh6#gvW(R0Dr}sQ+BKf9by8<_eC^*b+i20SWk!^)&(X|G z>UBQBSZYJ(0%JyMKQ7Biy)nVY8L3+Y#9AkH+ZX@188XL+2Kbe%bYq@oVN!31r?yfz z;mtdsc z${9*+*fmp?TZBgqyTz<^QopV2a0ui-BpUve5~coXE_0aE3Vy%I5sIajt|~BQr24T0 z7-w7^P@P+ZtcLyKajla&%l%Bfbz_`pI25D~sE`8Y>VTNVQX6)S2m&)w@8GhG)I0NR zoY||XcwFnGZn8Q&5@vf?G`PMf-Ibu3nbbQSf>!Fj5o1Q`KrYKj9aLcBjMQ{Iu60s> z`~3+JARZA7CxFz!Nt&5S9h4$yrN)T;v>L)?8L4*{t8&$&hU4RLt&`gRk1tjJqoU!= zq$mx|(9H2~rlNFrmSEiMhV7D!8CmzJiS(hDq#iY#kwv0j^wj)A6n>B2Y(exHBm|=2 z!mKFW=g=(H!G?4Ut%Jv>XkaY0lus~*QX9_CGiI87LCjhq6<(Tb^2@&Wz-*6+hO402 z_{Twjf6mOl93HZ9#?=QR#*EY}3&dI{wQXwI9gsO*G{CcZ>A@_` zVqGmgkRuqoS{fEGW~7dY7&B5w5n?Y*`V|SbPU^E)o%;=BJ}w&kP?SdbGz*hDGEXp; z+HiV>F(Y*hAtlR59qSO(xk_ZiZE`Gg629#9Ak{?LG6~hRi2K!#Hp?h-elowe)D*Fe`OPk_K8=$7dNcQXlsjGg2Q4 zh_y~??;fwHt^cHGn3xx(2{Z}(m!1Jik0*#)srs*N8e6FoeKyWWeIj7YNSzQ8Yn{|V zhh6$5WIiPt;F3z|Nrz@(QlH=s;_p!n4{>HTz*7MwgM1_P>5wrabxK66by8pM(mw~8 zPm6|`Sy6f>MYAxePiF{%1S9pzcpxw%byCR2q11*K^Nbm(Gh)^{sl6|npc0-D4YO0C z^ml(CZ!hCl#QYy&wyC0Fc~X>SMKm*$IfH5O=WtLd$q(1#l-(iqh z5DmX%L@9J=7Av*%dXk`(x|BO@q|Of5I3smV$e5A(vrnvbQm40@(h)MB6^$|{N^hiS z7AAF0hM<+Y;%}tR4cRy&_05Pel-dZdI~6XCq(Hpngsq!8=&-QJhhd&w@)Lj)XzCHQWpknoRNA|l344c-aaz? z1u|!f#^Va2^o2vSFsTdUsbi_7S28rvN?jB%hEf}kjTkdhGcjwO)RdV2KbUQnXgnRB ztd^u`W-GPvpnza3wXt`|nAxi(&Wx+|5gTWuo)(X5ozx4~EG@unFNwzU!PRn>X0}pG z^*Mr8YKAi-HHz3cBlRmn_VsGxdGWZ`Nu58nJQt>WSu|cz6s52Hcxt;>zswV~Qcue; zW~449#GY4|IRtfX5gs*OL8z5K0>+;>cp{{}A{zhgh|;nkp2kYmwrg&sp7%Gde&bLw zj5Dr&n_|ptfE(jww$9a)2d||rr@bl~ZwFVu4QXb!0lraQ=p&X|diQUnexEYKtgDR! z2(b-td(2uV^|;Z~wuaQ#MB`A{s|`^+P3&r8)nzjLHL&r9cp%6(8(>A2lC7&Nea4Kd zcSpopC-u(je!BoNUl)xJf~%`&68LZYyt*<$G8H5@!^Un{ousYSgHR>60}m`4_xN3uKqV*%t-w)WXwo?*df+BSD(7-1~uDk z(fDLSlzvLlEX>s(GX$;F2mZ#@)gc>ar2ZT+W~4rmBGx*okA6QR3z>67V*y^S{GwuW z#2et}9HCfhY4P7k{WW6aP-^4U3S&ma@(VsW96cqH$IrO27Fuvvsv`T!COL zwQ*vRF|$|IPdz+foN@IJhcP2{W}MqPsXHwlumonCD;np3tA7MEvz1!<-`_}`=F>os zg;MKZfempQD|wkgJp z)OK0MjMR4%#9AkH?C`ypLgqZt_^A-}?IN0mNo^auV5QFXX`q$5c9toJf9bTUUnh1UmRf3v%UP)%e98ufQX7{nU6D4m&dGiQkU;=`sYH3 zw?$(GTwN~(u{mN_J7)-4sihoaM(X+@V@7HsV$4Wg6|>e!P4}A$n^e3b>v|VO{RUZ@ z*sL^}G7Jvd&A2`i+u*mDRZFud?bp za%N<0>`=0m<%YysCu_f(?%y6V-;;I6!XE5KlfZw?d!S{Vn;{D2%+vUqB4b9@CO%`j zi7=@{taY;fGjGU7komr>JE0=#H;t>svP6B?0!jjw~$huQAqJE2jW{G8i-ULA_YvaF>wUxuh8Cj=9#9Alo&KtA;h0G6S-I)bZzjcmg zVY2!Z2wGWNBpEYqZIflp$T~ActaY-cy|Bx2$Slgba}%Pzdprx1bw-h+in<&d`W>Tq8ha+%cO6(+PK5>nGqQHhGG^SmG-j=nwNIbUyTEK8%et$QqP|Cg zW@c_>lLW1-9dnEsS-S*`8Ch3`#9Ak-Lv+E>kok$MyCyH{clBu&ChN)!K`U#AJYz=I z?u1wquW<+}Sv7sEDwmyb9gO`{*7b+iNXfXkz12zGB^8=gDe9}V)bE<$>#i?w zcClvt@|@*0yCKKfHJaJq@>Pu-WXZaJC;2!y8G+pd|BnZZ!_V2(-BjW1yhx3^xkwD0 z&>yRkb+;5ao1anRZp|}Rn`2{=BesX6&t%=;im2Z=NfR)~Zt@9|W3ukXkTZ1b212Tb z_-@qtUE&E9L&t8<(m=(~u{%+^}^a>2p~(9Cm%L1Wmvk8&tJwwZ~-LT{#-4R*jC`QQ!>sSl=pU_E^Nnp<_2^ zIYY!cnhzZtmF45mvC%2UjALUQ&d{;3gw&sM z%vm`$BB&TT_E5-JZH|4n^zd^b=?hs0zrWWXRip`+V`Fm!tz)ALoS|bQE1aQY@Ha$r zs9bdHz9eVp*!@-Wp<|EHFnyMzV~<4yVLs#7_>ePn?D2q@b!>vq89FvG%UErWoji8C z+aPI?tech-^~c1*nPU?YG^=%Ne1_O)Q9Ro!yJ1thSsqc3RFokbnNjYXXx0NJZI?G)sDU{W%$$fI0Sh zK+rn&YQz~jHYvdwI`(|5Qsts!GvXqOp<{1VcrH3NzbY3Ud%M8K8OPqqbB2z+n@ zD=$yb1kAC|iv+D>3nI?YvDZS*(6P6C&d{-U3F)7K=vd?sR5j4CFA1@qm0v~#VVrU7 ztB^Bv?CXG-b!@5689KHs%UErW%^$e?Fi47IT?O`7u0j(q$G)oSHNGB;@-$Gb5FL9v z#Th!bfRJ7_I<~MtQ01ay-v^wbV+}rMxW^i^j2XvPq&P#zRyxG2W2*?UJy!ik&6<8z zjvP4o1DNScSqFb~tM6BgXN_;6S=SgtwL)~PAwdJJW7U5-M#suk?x15|CwVS9_LIXI zI<}e++heOEf>75u_H)P?I`&II%sTd~&l&EqHCe`L+ha2xeD@Pb`bwtPf<1OyL=&(* z_H%}yb?m1+XSm0{%yEW}eP87cI<}&~$Dw0?2ApZf(!%FV@3FMZGKP+&+oU+tj-}f= z#A3(N?FiXDmbRB?U|emE%~~_NAB_E4rq_o(c2^kB8t<{REE0?zON#_&=vYIAGj#07 zDtFMaUy^*Bb}Ze|;S3$?M9A*3bf<`5yvNe(hMb{eodaUlvGsh;^d3vEpJl8z$C6Hu zGazZHOm7BzY-m6e*gckBH$%`m)-lf+I<`8;89Mf7l{?z8bejSnhmLI&aE6X`^*O^m zwsDp*v&XunI77!aafn&RHYLRN*rpM|n)ld+-@l!Kq-8R_9qh6DeVTwdwsDc5b*yWG zGwoPfR5;U)r8^WjL&w&u%0vM*CY`ZLD zwe7Lj{T&BE(sG$TEHCOu#S<{cw#m?}*0HVgoS|c#a-5-K8znhI$GR0bL&tUwI77#J z_?+P$>zQTDIMyq}89H`QT-Q2waDv7uhK?QPFjkvmr=B_M3P}1!rjJR8`iE0A0dwrY zh@f?>M_gSobgXNIk3+|{DsYC5bx(4JjvbZd3>~XWafXhi9mb4fE+MrS@h^&LHzH^q z^Fq$hv7-aVYIE$vqYpb2lD?JcQ(%uh?$89xv2>oGb?m4jXXu!d;|v|!xyl`MtXIg# zp<~BIoS|dKSB*o*P6*gI~||VXQXCo?o-Yg^<)B z(>K5#dm*F=m}8eF2wKN3&Txi~osi@V9Xq4S9dzuR93O{{_04jIj$M=D3>~}HVazyo z9U->Iu8Rm-$F2`KL&y3BjMe7Y(JSBG7Lpoe8r~sU|57{wbL`qY&1xO%TjUHKJFCDM zI(AWxGj#0I3TNop%@Jql*exMv=-90RW5%)mK4-YcZp#w0j`=Ch(6IpyW3@TfrO(o> zA!&t7-vfIr^l1X-SpNh;>)0(B&d{+-lANJq_E&qAi;i7im5YuI%<^1xY*30bbZoH0 zm~m_fA-2bcL@V3sp< zY($E&+8pcIZg=&1W|d4oni2Ky#S<{c9;jLkIyO8>m8@g=DkrpKb;GK1(Xl}Vo{NqR zt(p%V8|(9N=-5M9#*Aa*Qk2q5v+NSjh;DsC?r*6`l*VjU*OOL z%&~{_1npV*P?0m-V`CGX;U2rI!WlYte}*%3?7^z}(6I>)ABT=jB&5&4!8x_xCq@K8 zpLqrQL`cj!_GG{r?y;wQ#%kMROE$^$fu#S)^mBPpzmW3bzwzs_CzC|2V-rCu9(IpC zUf>KJyR|A89UEKWQ!<>PV?(N(K*uKJ`8ag!sjB(Vu^CxD z4jr4BV$3);%i#XJ6B*9Xv2g{?(6MP1&d{+i&lx&4JI5J1HYdZFaqNvGXXx161TnkE z=2kdE$KEV5R-0qtRX0q9q#tGaV|X6>E}#jRV{<}+c8|>_q@GXA&&sJ>Io|C!{bH7b!Y)476XXsc%o-=f8cElMv_EnKHbZmLmeCXIu0Uw8st@ar+j{ThF3?2I=Ma(+( ztHT-Yu{DI$UaZZr2QM1DJBsk z!x=i(G07P2F}G8KGjyy|g_w10-6CgtkGY)-jMe7Yga@Z>07<{W7mx=+eItB)&})9T zV?Zo+%xxcWhK{Y~%FWNpKVp?CS373Don7TChK_Zq@cGcO4Xbj|v5g9BoN=sco-=f8 z;~X*TShoyk=-4Jn#%gnH!#iL63X*<@Z|^SXuT!TP>>k@VM%J;7QUn##j+w6=S6ngN zV~Z+$96Ht^+9?=kb`){0xlw#x8v=-4(@^PyvVID8yBwkIJqpK)x@h@fKV*j^zq z>)74_XSm1q@foYlv8RX6d=rxXgfCsr>uOyE>*RBW zdu+!FXXseZs`=2d142Fy9Xl{!%sAG|=L{V?C`-&bc5sR_bnFm^vDzHFExc=z+5pl` zC-qlI(+t+JgNnqgW4#ibp<@SRI77#}I-H?nd*nGo$M&h34;?!q%g3Q(N2VAvj`emp zL&uIH#9mz-6%kY}qGNR-W3@Tfp-X269Fy>MzeWB1%QS;^?5G?u>)4S6&d{;LE1aQY zPL&hr*nvqt4jntVYCd$V4-M02G&Ju9QXyqIRNjvbpJW*s{w&lx(lbJeQRu_KCn96DB4H6J>5O2EgV zW2gF@p<}0I88eQZp5hE0JHsJn9Xpc{d*(kgA_(JZbL^d9$u8hnTj^d5d+gAVCa|yh z%{S?)f3S|7nxKJ-p<})CoS|dADx9HX$5rK`W9K=196EMBA-2cPj|i&$gnR6QkTZ1b z!ho1{>>{5t++!DK8LQ2);ddU_7p!O}-P_^o?2Za(0_NBS8G_ca^QzoI$NJ>>ICSik zBxmT@=>^Wvu`2@3(6L*qYTzF0&ubXRZp*M-b5{CEV%D(%3C_^50Tsq-bL`B`_IMc_ zTMNFwHPYWXOEcKBvVTO(I(DnW89H`qTwRSr$IdPAap>5ENzTx*K~)SL8=T5k3oFKne5tYbs6#H?e30?yE} z^W*B)u`7~%96EMe$Qe5JV8j_ZHlk`AIyN$3?+M^od+APtJ$8;m6R_v8$MOWN zV~-X&L&paDoS|d)r#M5$MpZaN$DWQjL&u&8IYY-L1&kTTCi|S>9-ERSW*wWF;tU;| z<}fCAemoLu;kTu&I6o=mUfZVNYwo_t!{_qB1OB~oi+b%Ef^8jS-E}$h%}{N`iWLc9 z-a$f?r)R{9EAbJ00qJDDV)b2cdWcxksi=oRZLkyvx|0*YA|(eT%U%ARtWnCXYxgfg zLPzPo5Hyw23wk;|Bq=F)DK;soDljSQ=A;M1G$bj{WTcl;NJ}|ja;>f`Ddva5CT|< zt%@6@eph=jASp+rr29xkRbWy|P!@)1NK%4=^o~d=re@ws*6K>f1t+}*37w@oGuzaa zLkprjBSBJnXW<)wo4Qh;lO7C%!|s*6GScgvRZL0QXojfKmEHdJ!bOm}#Qlu&{SIXc34AYQYc^RbC`HCqio4;MCQObI^Px%!R)|c+fVN+L*tbmjX zNpS<|zN!jvDN#jwFib;|@@h_cZlIWwazLTh1|86)+bBqYZ}ELKYAU5JAV^73XUfxmJC=|VDozht~^-6TjUl9WD? zbZ47h#Lp{V7r=p}Dr@)3oRswNmog=#=fYx*Que&+u9qO8i*)DE7Z}D;j?RektQ1K( zPDuBS3RaD!EUkb8nQAF>v(h_GA?-@H#g!VR?D6~DA3@57(w$pu>I%GiF3&2EloK53 z&P!m`SjzGwIFLg~4Vvdm?*vCN<;r$P)Vggy?{VKIkgySysWg?6$&2z!4oNvFCEfW+ ztQt%CCMP`@28V6X{6Kmqr4&IzIsV*(s-s-=A7 zNbkxbN!iB9*XYU?-`9-*DVs|7qkK~-m#0Mel?+L_x&qHDDXbcA+r|PoV4xZ3ehdw| zxFdy4n}zSCb^=x}iSbeeG-Y6t{(R7yhL|eFKmZkd%HI>3&hfs#Z!-dN2$Q`@FI!CB1$b#nj2X=lnvA zQVu?T)X$KxrF0h+n(mcrLs5P$K~iqaNf(~Pb=6qPs+{y-n1sgZ0zbM^hzN)~a{3j0%I0&gh%Rrv`H3Fq{*``)0JM|j51Sa1` zy34aorQDJNDMgavSETz*fK}rL{g4C)QV2=Pw+ZR_6~(kGr`5Vw2F^YG0FcsMy5IUu zrS#8=a+o41cO<0yU5Hh!lp;8gMMzTA&!syOiYY1Uep&0ud`9uC|3JdF()~VYD#iCf zN`a)@m6UEngjHiH|IL8|K0=bxn33LHNyU^DXRD~jz4Gnx$KM7C+ex=EY%1mUK$K@Y zBxO)Wx+_%yzE^&PvM@|TlCl!y4az8{q-@?hU!#-@U+PqbgzceB)KtoyAxO!Slp#6k zRvcA$d%^;|psbVMpoy*J&zchyW=$l5%fBx<6*I zYP?rgC&2;5Kp#o@u_(QJ3yLWzd&A!^YWt(ceT!Fzx%HHS1Cvyv~4BO)7z96NibbtTLkHhHd)* zDI=4j{FbR|_6qzn{OG&iS7m9hh$U;1(u2WpC|8{S#oM+8DKAZUKL-iBz^s4yari(W zJ&`0Sqcb3-s47@jJOM)<2oO?(e#=P@2E(DG?7DlcQZ~Hg_&-#F^kkyxUKtTeuT6%e zjLnJi{1jFtSG+bbWJHLNr2Gzh1qQ>Rq;&6_t8uSv*88;6AYnJ@wM{maGAfc@yBtXw zmj@{YtQt#c2SY|h2uaEx&>$ELhmx|1eO_syLEB%}{{=|cU3zO}no1cfq_=jSq&!j( z<+mNI8cSIRhKyB=?Ug^FK`X09g8God_|PsQ3d$6 z?F2&}Ng*^1D#?oUU@#m?N}Ek<-78Oi)i(tQOX6EjM@qk_Lbg7$)-}Cilo;yM^dKbMfpALJ8Mu^81hu4%G$lsUPuoH z!=a>X^tDr?l=BWdRb67+PkI|?no5}jb9T#_=Ug=no9t?&rxH!dOZ{dN3FcC8c9nYlD8=qU~9b zaESDF%ruqqijdw;d6E(qM7ii-)mX~TFa-Xr2#4La>t&<|gW*t84qQ~Llo|i(Fc}h3 z(%U)LRLX0P^m-IX%A6ud(Tio0lSjrwSWUh~pq->Co9t?&l zASF~4*tXpVhRipRq;$zh4+g`bUAZJ%qbuG0KJdp**;{)1CYwt60G!@0M^ZpW`D5)n zld@j~4(NSh&!8?9>7iyNWuIqi-L`i&e)Iq&93{PfWtvJUCZ)H3o}_#dffT)1CglJD z4j6sp%7#Vh!C>Rc<}Vj(bY-f0$L%1cPI?FAno3!ak=}s?lJdC_gR%vyAKk(ez|=M!tG zz&m#yuoMa$D?Klv-?>4Fw!o1UVzz)A5NoMGdEm58Pyo(`W0Ly)7?fxW)Fp`70!N3$ zS}HK+kJBH70>?@3*o=Oc1tr=7ZjzWS&?h3+Qh^1Nha3Y1j)%88j`m04o8z%>bCEfqNCk3nBRfivKOd!Vo2Q=%46E#p1S5;4HW_9Oh1iM9Y)r-rr)#q zdr;tF>D}k(E4h?t3k->oEpTsvU`qvdTDgTc;&VMSv9cY)>k z|6MnH3>3Ijdc(8&sw*Yh0{5nf*#h@hh_zJU^n`Z}6u1m7efs)ZDka(i!?MI|fd>=B zS}L&FHP6D&TzNTM&L& zw!r8Nv6c$lbD2L63S0@7-XeW9lM-!#5g{>KU~G<9O9gKKWjwsjlvhdbVfaM~u2IHE z{5#sHh?p%fE>Enb0v9gz20?+VrT3_#uRKzsT>)NIRNNN8tBQ)XRN(l1Cys_p__g=3 zl)j#*lJpU;0IwA)W((l8Ld9Atuzc>&OChtb^d8UZtAr{^A8~<4QiiYs<155kD$xI( zE!E5SYv59yudn@4qO}OG>nUzmFfl>nS}O2`TY3&MuZ0V6fxeh59<(UkgkKh}jk3H8{mvDv+3Wk@_w3dbm^+>8o!vnO(sXAu(HE zQjSAjHESG6e77MPYI zW(z!DA=XlXcSq0J84BD4mzI2et%?$DfoHSCYyrFurFcsPR*via78JM{E*J&+3KUId z3*gly#cct+x};c31$vIVxjkgw0+)e8eO*Z<=_7usm>w9y3g9&(#ak-y);&{4K!ID~ z(nh4O7SUvO1v5fob_IAPNb!~mYL*%%>Af!WH6WVI7QpK}irWHseMhmD3ar`U z>O5rLCcW8?zOti|^buPGzharg76=Q(S}O2y$0&jVzVzNm>FYI=Xjg#OVidOp@LG&w zEfrX^=D5!wbAa^T%<8K!DoG#l3c{2jtN>nNQM{!Bf2{qU zsuBEO8?g=~9kj|(K;A9AFX(Nf3RERMlhFwN50ef(L_d~8A*tA0CH~4>RXU=pJ~`w) z(pyCD3dL!dyg-jp7%OdaL#Q6edm*XPT&2C5$m>x_>ZJRmx7cqk>E$L#ZGTJYq+!xq zl4vgJ)tnlm4wSb;mq4y?4#dy#q|AB3c+xup4l8lw(O+M@{W zE9aU^dM8w4)PYI+Zyc!ya-{Siz&CO$P__3^jiQ*;Jy+C2MnO`(xg>bLF^5hPEAZ)1 zj+Wk+PIE~gIaNt=Q`{nQ3?vnrOZqsfPCD?HPysnsdS9iQOM)Ls=D;=WcyLNRmJdNv zvALv$jvAv5T+^>7Me2bZC%vz;%_S{LsWIxnN}b_t6mZCgA*s?_(vqwiqYg~!wRcKA zkdH`jsoz{uy|2co1C!SNAg3P4N2Rwc(OlBkx|cR-gZEJ${G?kJG?%ojq7u}Bm3Hn~ zP!Hr|(p#QvF6mo6u}#{0Nlqt?horE%r0k2f_bg($?qr3dkp<_nl}i>4$^L~&(~wkXF6rYGB}x7BX{*M9 zPI^Xq4XNgme$Q4X?fhk4CryH+VslA<_|-|t#W|fcS$d7xW|H8Y@6}1`UYXQMQy{6* zTvD5`I%(V2Q#xs?^j7%ICAEvHleXIzD@~K$%0zQX>rf8-z*j$WH$Oe0D-|FqXfCOP zLs^(~z&073^sMyYC)M9SEqk3()k(>Uqm!P4q_DZ9&e`gu-R`OAr01nq$uyU=zF(cx z{@Xw&y#Ps3b4eTMF?yUfVuQm{`oVPR{U_I4(uScLqYgA;|1BK-;6>^EAeu|+8mTer zz@%N%S^Z!JB;}h++C&Y}2PW-U!amKE-hZ9uk~VWpj;VBb_fP>j3z7=WC2f&XW7L6_ z4n5M>4_=bqkE!O8w#uq8>d;AI#Yai~;AKcEHkai1YK%It(l&2l(ks&YDcf99_dsXF zN$n0TDj;8lq)Ky1+l6Y3IxlB@DIK+ksGy?`qI`v;qmB^e zb<|0euhaqD->Q6NPKTW#igdJ|C|{M)(fSaDI)b-mUY*p@1`q{0>LSXygpM|Z$k)+E z5LI;4Rh0W?b+j==MICh$FQBg-bit@ix zI@$@Mf{u0;<(nKG^?)d^qn@ICvkt^A5ao2ZD@2iwb`#}WGCJBFqEJWhe$rc$I@$xG zKu3Fua{q*m_JYXQ(cTbMbhM8s-*x$o#z342QBJe7Ac}N!wkY49(a|{&g*wWL^6;dN&V?w@(Rrf$Ktf07 zL*(n|0*ER)x=@ry<#lwCD8scWbzBTlPDhtO6zS+vQ67`g(Pa>YI=Wnx$0l`j1w?_4 zt`y~m5<0pHB40;WLsZdGPL#)Gb<`K4qK>W+<%d%`x)!2>j;<5sM;skp4^du6{Y3du z9f%ts%IWY%h$0>RTa<&0j&6b|)X~kN{8&;)w?Gu==vGl4pU_c%hJQ z)zJWmiaNSolqaNgbO%HQ9o;F)6CEAh1yNo{14a1>9f&~?<#ae0qDV(WMES{#j_!si z)X`8;ek!S>dmsvQbgw8sozT&J5cxV922n*vc~O2QtE2lND(YysC{If1=mCfdI(kr) zCp$VC0a0E@BSm?N4#X&kaylFhQKX|WqC7RDqp=W$I(kTyrzLeX4x&Iu4~udkp`%A2 z@^$nmL=_zcqWo-DM~^{N)X{iRelDe>#~~`{Xo4s|@91bEM0p)OA<8f4Ks*UiPKQrH z6zS+`eOEdaKBI36r=m&vo@^?btZ#{?qAB{0WGb4fZwRKMY5IO#Dk|vPVX5d@eK#r< zJ*RI5rK0Ecy_i(=g1!}!il*y35vk}!eIpistB>Kd9&peeVSo&DFO) zP|=(E&I2l%r$6|wqPO(t?o~8jf9zdFZ`0?@#XIydZ}BdD%38cfA95D&(`Ssu2lNqN z@gaS}Rut(2uHqy5e5qJKAMX?&)2A!NC-mV)@hN?_P<%!o?GvBVC+oyQ`e2**f<9L! z7SYGL#A5nXl~_U_Y7!;-Op&OkkMxK#eWFH0^nn)fC4HVmd_^DU5MR@$Da2CxFoRe| zpCu5>>8B)-6rwe|;aKijEe_ zx|KNY3t6`c$1Rn0zv8%M5aBrZ^1ik>4!)GG9gh1=raLF~EdP_~_4K&5?PYosJ+5sB znch^7YrBz5Z<|o#+IEEq$2l^6P@vg1GJUYGqg`a$%jjrVnLawHqkqZtNktv)FViO% zbaaGFXK~z-GJP(N%gFR)IPN%^z8uG$A=B68bjDdS-4DlIBGdSKqwS?K?c=y-W%}ie z9`}k&&-Hcms!YF`RZ%;*Id5B?+b$v9b&H2|YL!>*v*U^L09qQ<41l%521)?3ihi7zA zM~_K&OhHGJrTchBM^mIbA*rL8(w&0iW=VHy0q&HJS1LrC9e(e#3?_O>-+7c_JL{HDGMogIDf0XwV>c%Hr?oW(1X*X#)REq!w~i`RdzZ-2n^ z^^MXjK4$*D?*M*V-?Yr)@Vtf*fZqY#DW>G;3r=1i@VokEUUu{gf0W>Nrgrb?8*5qo zeBCa}*!T5Kt}Gtf=AfSdf1qzTW$~jMKa~gkp}zT(#ScyXp$p)mz7dnfvp4n*1pJY{ zDU!v9cbW1P;05{yMHcrse##SoKh`%3viSWzY1PY5^o@Hg9zC_w5Wt_p`xkkOuYPS{ z1o$(3LmNB#*1~PD&D(vhZ!Tl;FK55|Z@>%njb1E%@#LAa0e_)yx)NhKW4$&n174(W zhhp)1#Va}kUaW6TVsUxSoJ|2Qfp@O4eImznylfuelD=h!9WB=T*EWFb_3bk(-tgpy zRLQcwm4(GU_Wa~Zz>&VKgvHBOU)dY*m--eD7T?}ygEZi;^z9Zb-mLN1hXH@BZ+&3# z8RGee058?IAz*licU}j)Oy3f~;sb73_!;2k`s4W)yY8Ng0Dq%DDsS|p{ n(BjKimencsv;L@`#j|^zayQ^#^vCWjJ}@skN&n!y=s{)EUoI>On5rl}6@c?f! z2E|~Aw0OiTW}?Q2(Wm1Tjn^BGfEtg{s3>Y2V~jo%eee7GRoC>fJ${(KOML&{PtX3Y z`qi(#U0q#WQ#Dm6mdeBG>Kp6$=qZ|aw?K6}V_IV-9G+h%Vpo~O&z?JbVMqN@%j!?- zSh#4`sdXa$Xqe=!Mr1Gx5%+GW* z)Cr?lB7W(N1&ce*STemMTqjyS%PY~$I+0kXF_&4qsG}b2tyYB{StpWHrFPM*1s!wY zts%o&W;^CCI1?79LsHjm8ItBLR+6Wq>qP3y5VNp_?$?Ei?kKPuvM>_%`X0{KOW66+my7}&eA6>U9Am)t{Ve#XKjC^GDmqojcpQ08l;1^J@{<6%z- zaRLOM98_`%5I}NF+9e=V4#~O%h}bw?;v#)e7{))`X%J^JRC1+3p^aBGmw=Ld3BD2t zu0^uDB?b1iqr%i{9ubO@jFmhhDnXmqYW^A1AsnE4?5JQvy&@`F|Fp-73ilBtt|~-@ zsVjIyRGjxq9udXg;_90YA+gqLMJ2pE>#?FB&MkOERKg1^j|e49F85kd32*R;sD$^1D=FcqWlOHY zq9iTvS``*Kw>*)pu*jn27oiGEu5Q&TEOKk!B(;p)jJeYQdekF<$>uPpU=vF6_ngC1 z26mNVJ;G^zC+p}DZS&)r!&3wY6o_BsWSPj8STd7Z1iK07nPriEgC-lmAq}x~yUi^V zd&Z0<)2DTuIfv4mu^8gh@-Febg|;}gXTc)|#<#j9bI=MUlNQ-<26T=0OIKK`7$fxx zOP5=-Dl7m`{Eh+PwA@_mQmCk@7Jpo-uz`Sz6`4?4NvNGlLded=5-;(aLf9DJ2gsW+%EMpHOgiDMy8eml+4&rcKJuyjB~>- z0Rl8GcPF9pkXR*6Iu`VzgK3Kv&z&}}WA>7H^I$e5 zM?z&r=%1KzOXvb!C~fQ-K`q0Oq^;zsH6$f7Ei@;8G->^hgMchYD^R6g2U+M?wW{K8_12yJa)x zcA)J}Y%00ak+s0Cd3ny^fkN}tjKdR7 z7v+hcO^u_InAYR#d7M(iJi5$C_H9xcX$7I(mij+*2 zfs08ykaa=W0qlRC#Ep=_2~Dh*v@ zD$H3P8_M~-lG}z5$ZBp01+&s}OK>4mH>6z>%KSQy3E~}IR2Fj=0F+jZai$W^T|l9< zHn|KCZ0oTu1JWPu;sIi-yfvf)1m4=>(i1?joRcAfZr#tNr~2J`xLZIe4lX(=>M4Xl zA(w#i7?N`d$fXaH>X%g<;d5byqr8UX zm5%ai&nb@L?~_p+`MkvD!sOHVsw8z`j(F~^s*;MjWT}4@SwHVIl;DN}(XJ8zocP@# zpAfhw9w_;QC7N`f5P2j_(E4FpC^RX?ssWg2`DDo)~RwM>UInk8GGbsXja^JatIJp|HMH+QcKU_>l<< zK|p{xw@Bs^6}XMAA!WA{Cm8MVvEyR#4kU>DGtII^ae7Lx4S5ZkARCZj86vRrJc{zX z4MMnzlf}MSpT*Izi0&gZbJC3<>G#t<+mR&guluBpl*ixwIfuzs;!23v@eCvB-kQ(D zaFX_w<#BB5N&17F&$gZ%r^aWMn4Y?0EydA;{`j=w=qYa$6vvO_X}V%jcT!W+ibJJI z&C(Qy%9ff7MqWRdbsiaa7a$mcZ>s#UDad z0D&id#5kRhFrbz<7sd?RjVRcQ)0B4NEChJ@WBs;1FH zG`EQB+Mu#qL^n|MU`QNaRQI9>mfRw)Ooy3n5!adM(40rc-okm0j6^&6)-)CuFv1Ssh?Da@JDU9C88Iy z`Plf_j#e|;(QLF*#rZMRwhwCFPA-D&iK2kcXr!WI(VZr5A>wcsMyHco*5Q7QX4y08 z==M5aS32^z(zZ0(J7P_AJH#iEzR_q)#-r`^lp;Q^;Fcg&d~#Y!jCS(4Gy@KA^+r40 zl?Xe2N<~k3+(CMNr$e(7-QOhZW*%dR{yYb!xn<%6B+~M>8LZ+1vNnUZDL&Y;88l7N zhm>XnE@$*U-ByjDr^oNW$ehUX*@})ZdAy)>z$EfI>taTP06Hp5su=q7b|XQxJhrNA zCm4?8vG$D3HK53`-4xeIu0N@8l4s4{If#fchGCTXno2rC>~du} zoPu7bybPzn##kypsBTxPVU)p5%E>Sa^UktuIE(^&zM`WH9;@gGu?H(U3i|1=N=KQ$ zt}N>*%$M>?N1 z8~eKA2f@UXS!D^Px1|`Wx4kMHvXA%6DIFq5l8GIx2+z=mI0Pnsn`GGf@Qn{t_nM=~;LaW$sRs zRL`MCHNQ1Kkh!zt#-yHnN1n^D^|%NsP_z-Ww+QrbJg)4Q@{@K<$uH(dX`=>lUn)Z7 z={l_Fvt+}SVV0WpBU1Ftd_K?YxCkm;Uj-wVj z@`}u5ToYB|$QIi}6Ur6&Q`oj+)r&dBQC2UM6-Rl!VA&jMZRAB=sVK7-ONyi1HfIzE z=1)b%pz25dlvNx#k)yDP5iKUTf~_OwB|GaT3iu^cYMLmhEqR-vn6{*ChRXjEXex3O zh4hkTGhF_#&CqQdc{!&zav?`ykcuLDxujI&Bpek&CtUvBAfe|(ZqFuZ^_0OMY@T`Qjz{y0@)+dIxd>x*OazqdSNirkOq{7M=y@aLD`co*LaSh zbD{aM*`^2k;AhY{3ML=}eiDrh2P^pjIFWMi)--Sa!ZQ}jo_^}iqTe2MK8g-sU>5uk zNkSKtKR-=VpgQYDvjvUHbd>?hoIpz#!rwB%QizT)Q6VMP(%BXZZqWi6WcXESj!=) zX(HEuE!t*u&-^v47~(!EDUMwHHLEzf(LT;dj(ZayYqp9t1zSV0mh(14Eq6I>Gt_W@ z0-8!e4~1w0o7EpR%4jo>Zy)9ED@D>F7>cGC74UC4J5fEw_eoiCr2E9OISTe~x>6DM zX;^WjDk+Ad{WNQH#Ei7SG;)JDy#x}uQa;luSJ32Y2Dt)F=A$#9cc-RlWEoUr6NFJs z1KABlL3>AKsSK$^J}a^&Y!@=17DoG|*`!`2c8}*pZ^}a{_;uw>lgRM0;lt>SD}GWI z>=EH*BSthKn)uud*ki-Xjy{^$Xf6#_gr|qYh_B_cGIPtoQ5ind!P41Zf=r|vW=NzE>16i(7<1O~*of=x_LQwWfp!bJa3*YA$>-9+S&vMYnSZgsm$q(mx2 z5%SRuF%ayXWGG=L8B+LV^Z*jc+dTg0LE)D*!lIK*>ns})f-E&AjI8*e_!^32ceANv zf@E36$^Rtd2c1hSkc?V@ZW2D+?3=IIG#&;*M2^mQq3JHGlQ8NE$^&IhPb87JAmfpR zNOqyAWRwk!A_zO1uZtjlo)ghu6z_iYf$Lxf=VN@AVkZ%y-i0uh6qFyzuZi;W#Xyg2 zk@_m@wu_NnYKuok?^D89VJSO`i{5%wTz8<-*m3>z?!kM)tcQp+=e;;o;(FFperk!! zK&rsF68GelKfWxVWGZoe;>5LSAs;KyCq;ZpmIO}#1k(p(0 zQM=j2ay2TP{Iq-}`MhxBzveb0`K}@|M-NW!YU3D{bBiiDzKnZ%-XdyZcN3A*GT!8s zc3_bP13nmNE|61)S%%_a3U5)_aW)s^>w4SZuMC4&S*9<4x(oDL=xZNHE+irg;-_JH zHh{<#ZDNf{L;aAf4cUZjMj-h`nYuwBuFZ((bsC84bep&Vt=vs{NN$3koAUakN9o>1 zTc62#%eGj)l!?}XDrCN>?`SAT>j7R_hGc^ZN=W5>2b^dfoOR3S4NbK2*GViUyLZzh zJ3^Up9`x=eT95R|=*Al*k)=tt-fIUAOA0)8 z)FZ^C`?5RjCnjXBTZzkNb(T>N&;NL*uAN`Dtw6G=o_wFvI?L$Y)c?%8t**1b_ex|J z%0R^j#YZpJon+b0vLeg8&rlkR@u#J6oKCW0XIV)LkRdXE+ESsjj7D$#c5kLT%U;cQ zmeC-VKkaK~fXoVzl{?E`*8^m^09mQCjK-b(vAh)!9}RJcWXgn!54vvjx+9YODpHZr z3lV0Dm!%cDawCIsp zBzw`DwhWoC{(Yo(meE_D-;Une{IYHE?tj1*DXr^i$aS3kdHaO7W;^@aTJ9`+FCf16 za-HppVUl_84<5v=a}Mfu^XRQ3sw3GeNb5dWmUTr|p1NX};mjEQ&l{?FLh}$J5J08LI%hE0ZNV0nY{zPg? zPH9L+ga0D6%=E}$AyUgj9$ATGD?GA4g-Mnzy6xy&0g*aC=aIoeq*j@3*=CJo7l+)k z=Q1R_%quI9Y<1CX_dF~_>WZ94mL}Pirbm`1+10R?2V($Iq^|MGkP4G^iyk|RWE*mB z+2#z%Ho{sSt0DqJ2i=4{7Xtk( zyow{;E;B!V7_Rnk^|9WU6YfP~xhWD$^Kz0rK8ex=ugDRJb8|Q~IbWV$Epg(pyUIc| zc&P+clGC(B;^HFCwBQ-m0xv$G3UXRD^{qi!a*Z54v~gzT8bNxj<3zgk2#+58ICZ&{ zkGcjpq1(v1jnHj`?uHUs-k8Iw$z^@i0l^9BtsL2HCa98JA4r`ToY3W2_gm=l(A||K zFL#GQ732aQf!98qx|~EW68GkCYH|WUKB2oGx(7J-2cdfqx`ztn<>3rY{C|{lc+3P< zlCw-j;)yV*f}G+n5>G+*By`Vk?$1K^40Odbd3i1b%92Y6@L9&0mCF$DS;U!^^MUaR z-OJFus!_UEp?ej&H|mIcBac%Ti8o8cy_LhM$;AUA@lFOOq+40{9(3@0^_HDvZ7wsJt-xq52AAZ=C(0>mMere+g$s z&KSmL0jDV^r3zy|3ujpHY*Tzfr$Kih#bOMCZV+?_7l|93#Tk-wZiR7Z8dO=%_7%nv zI;f(YPb`chp&JTaeU8!%gRUOBh756y8mPQ5Mw-N7xX~DylM`F0z?eq0^y@hKP$6aGG+(jWC)moME}PMi@pGXGj>SGFi4l*9u)*k+^Ztje~A{p128V zP-Qu9SQy9apo((sk1!@_pz?BUkT6byZVGf$GnDQW=%zxKHi?^tGo6!*jqq8*nUQm; z@mauW$~8Xtgl;Z$^Fowv9(41dTc8oQPzP0%i%o^GNCTC}Ko46kf#nidE(??8vJ%dW z;I&rxgl;)>=afme!onGri^cE>%X48#Ls7z5se#JNl}y68NC#Dv^P+`uDRh@WcezQH zt8reQlMBj(aRn^#w#f^HgmGmSXGktB62{dQ&aj-ZEsSfRy9PRXZ4kyU)1b<7C7UoT zSX!{WK1{mnOE@!fp_4Fv1<6f0ImaBI(A@&v?IE(fy@1n{3*>~6hvYY~yfa6-yL3=R zxj0G~_oP9Um6f7Sq6ZaUb9uCX-`}lkE_TzMWBVE6OTwW}a`-DJQa!E2ik!}Fe?UyDm1EE8U!|OJw z>kXTnLmUk`Yc9LAr=87hH>EJcm=0i=0I=k-=Ff zm!{GS2&fVUaX7NJBB-?Bh35Fo6j)Bt@mkAX zrWQe^1+TZqCv>MncV-=>I}_ZQ;Fgt$`*9AZCf6&AF0$HFTFlmt)-((B+_8qm$*DGR~~v zmDl(z;!KO=x;oOW%j48Va=k{}dg#_ew}EvVpxXf5btTem%;D7J0&9`HF@qD*UsD-%xqADty`Oc+!_@RCk}AyQD5oODSei#XGA8kWEiu1GaQ zVz8HFMx>HRKbG=KwV9wwf@h42)Pyjof}A5RQYVB!S#sjONKJumGIUeZl(f1ryW#D z@aiw>u7k1!uNR|^Iw){ijnZX{IMaexg;D1mrzTP>Ez+$rL6rorJfjXdC`<6_H|mOm z0=JsItS*8|%Y|^%{l=-um37qd233+v;Hb+D3hCCRDTiyotpmr`9o=kDX}J`RI@mZh z!3)gq;3OuE7JbjB%gQS{58_%L&W6^IFa_wB5`+Rafamg z%_4P=4hre$J86+ZtDo8g?mqT%KP30%# z%hzZ?6erRR$&v0*9aK@Sb)!L0P)IkFa>wu|C~)=crM>{llWHGbT!PDJB+fMw``%?l2c zmIu&38nubW!U2|he(%oBdr3<<)=ZXBEx@vOpP?U(m6n6E^q(y8jTe2>;f-^T)iWRa zo3uPsSC&l(yOZVaxBYeC_obyAttOwxl>;ny`%uixNy{VYALl-%6kxe)pF0Lmkd|^} zn|zKg23YR0Y|~W>rDa2w{*&dgg#gQ5yUtmWl9u!+l$OWj11xtQoYxt0H8xH@M_B=u zJ+FQA#jya{BQQGO2Ij4oC<#7dNc~myQvd4dodY>(*A}Y&~nE=b~M~-=k zo+hYEs6jX=&JpPVOY!n~!wx44s=#!RWh2;5SF_tE*Zh16S*Y=OvK$tKe4W+W%dSgf z3zUK^d0H2xw!dkGOfJG=*_Q?UW4rx{z#&p!@PA4>FwZ1pTe3uvY`jzML_ys{yDXUZ z+vQ|IjU@diOEX<5jbqvCvupnK99d8UN(WhvGXpGlUb^7Ai^zf+SNczuZF+!Z&jH(J zWXOUVUiwd#W5WTKJN4Y>h;?K^jWYcw%T_JGvd6^_uPBlQHQ4l@Ea}N^UmvGL-5>6C zrM!}<`^k_klStUfQhYVwy-j364L$uQp9w3#vfGQTzj%Wz=pjJ=$+97+M0N4m=lqT= z=mA0h$r5*{%3aH;Yt(1wP(O<-=poMkVHwT`ScXnrJYt-*l%sM2mPc9vmV16QM*mz| zE)OZ6LvsO^z2}_u`peQ%j^L5cA7%qA_juXt^|-W@<9cLyL?*y;_pO6l9*~xDw2v$g zPX}1;_WQ`I4@pZo21u5NnE{r&##Yz=R$9uDL9#ql53t;2MY7|^((rPzXGeNT%Vb7b?wbv; z+~wr*s%NETo2e`ZIB_~YdnKQZ*||>$E6eYv1AOlM>}5Okl|D}hDa(D#0Lz}*D|`Jw zT286>?5_t{?lj`z4F^ffQz|~c7Y?xOv1sj6Z%fN*WtIEhT7YHuL94#LSz692D$9PM z086p$4}YrB!E_C2qn+$-&pknZSvujy z)1Df&+|JCF!8zW3i^@(=HYb~vY)&rz&$EX`pxskMrsjJ@Tj`m)C&YR$RKWVVAS@3K)~k-i25U#(hO}rq88{+=V5(iRh_CZ{**UjSGxQHuy?HWzE^l*D9qsx%gDyr|HSnPef14d$Umh z1-f)f!!9#!m%n*(oduVBi|B$XF8u(7aA`3xjYNE~%f%tSohG9$x+X+Dx2<>sY`!O= zcysq$&z;0KOo&2DYafKl^b+#(#ULj{dFw(4U$`s6DlNyA4Y|;}{r+CRfwsShuCTn} zDD-^U979EQO-*E%cVsF>bxrqM_{9G7PO^`P-d6PbMp0u_1bj22LZf0eak$RKCRrT8 ztIjdmlFTqkCOf@KhL-W8WME$sZMf5?f zN?ecOipZmD;*t+K^2l*LUI>b7YMAD))crt2A4^w>>!~h|OFpVAaXqUC#r4=p zc3CTNZPkO~O8)-xOJQ<=h`wE_64x7f@i<4=!XvO4B%+`B9=Sc#bLh$t`JjX1s_mH*t<(XI z;cK&kjcZE&x@&Lg3GWAq=s(NB*x=i0byTqNJ$r-VisexDpl2bzGgSU4F8U>efI9x+ z^wTbe*})5{ncO{wz7arG|;#kFZs;%S%-5wX2nhu*a3E3VlVnfU-ah;@hZCvz?jyxEz`fyNO{a-rrConof z#D*5D#5GO1aoYCnXuqnq6Zo!OIpnxTW`g3{`uqE7X#9sF))=Z1*Nl?#(>2#|d|vtE zxJKuL;@WTHl^rk}Dq_c&RpOf4wQ*s_k0-8{a!_1+UEgARHQ zRlSawL*$98EgTfrmM8mM3A3<>rHWPXTB#{NmFxJe;##fTao>S{v?$>Dc<2`$^vy}V zh)oDpiR&U=IqI6YuBiCq`ZXmV6xZvM2I1?dx?v(V$*dCBmD#S1>z8TekK;<0gW|e# z%u@QsVYrB$lB*Ke)t2(pHF#MSe;n7TnV`6y9(CeYm#zZq zn(KJKru;EpXN7~}I&xB(>itL&TUx9V*F9ZD0gX40&Cv;6*8@zaJC7lZ_fwC^~uT8-iOU`B6h1;B`)VZ zp=;v0Ib(+<+xt7qL2>;wvF`~m(?u+ws}h&Jo^$&1a)wlVNG#?@+Vt*`FiED+W{8ZxlR{fk8z1Q*iOi*0r z?Ma$}5Erpxs7hSU`%Blv^=VO+lk3+@`JlM8=d(M(tXaf1n^od+-e0;Vu5!*3*X!k= zxJDiO&}5jkh}f&SDsegQFI^IsYuPO0g(2jC_p~Dh)|C)fLd4!GR*B1bf9aaIcJ|i$ z5As2A{iw&D-4K@{V(*((;&R?!x+bmzOk~IhpPb*$yEm8 zY7_C@bKbbrcP?1{q%I`IRnwRf*089wj7`5>Pz;lc&-@H#<3zk~wn_}!Dt>B@;p~hj zh5^~27_Pf^-v*eOBEC+6m7m&UIL{k{mJf>In1<3}$YQ*R4@?KgfHhtJ?=h@2 zRa(9lgRP(#R==b@1G5Pteo&SAfR$v*PwhBd8d7OFh9d&&!?yBu17S8%#1E@dAF%RF z`KdjI)nS#EV;B}#AKriWm|w!IUBtsx>I2rhDL=Kxu%?ohV>l`kbS*v@%-^>kFXAJr z)rX-KKeflOwy5Ig7{&zFhx;}jJQHRoi1@K)l{#5p@l$&Y>nmwF27E~x&_+GocWVJL zoG9X0z~Xt=S8Wtl@u{-Z9>a#bO3N`M1M9=!*a#Xonk3?hYV{$JQGRNV;l@f@j^TuS zjX&!5kHXNuA@|85K0)(BgdchuS+2uZ&!I{d6y8V+GS{>~mYD%|@anf4k%!Fpiz6e_ zon?*4(Qij{@BFe6A(EXAukxT+8j6aO{{_jion?&$q)j}SQyPYEPI!CZo13wJ6LD_l zjsxpfz{3;~pOLNtpEo>)4=emswiWpNkiy{K0-p;41)qD?o`O%{R~1yuB$Mzx5q4zg z!7RS<-$VVnzYGs2i}-n=D)3D!t1KM&oVjEyLtuWW{(bN{ z5IFEX<$M=Np=p9hZRG=bDnwwUprV zb#QhFeE8ub1%ax4il_hISo`q9Nb2C)_olu*wa@kF81lD&{23mmi}E6>>FK_&z{3m?|DfLbzJ`R%E#+b&{IY8 z;hApfWt8C~$*FMZSs13gT3r`b#b42r~Y%qNO z{+xxZ{4(64on#b}&u)jn_iYBO@Oz60lMjZkExkSPxgH$@S3mPMlFt>*MlKjWe}B#b zEWZ96kGS}zka64RlkE`rTo9<*CjpV4-`wvToK?0A-_%Tv@I5o&^5yXGBhfsmR0Td? zf6gNKy#2ZF7Cr}p+TlAi?SXGzwnq5gFRnfk9!?X@rwP{g8a2Xuje9*M6S^ zfr5{Io>?8=^yF1G^q=9|RBOL)`b`rLMBBJ_18!W$!E7i zYTvi&_eBc`lMjaPacz6rKG$2vZckr&4U(TOnjfyx?{h6D=9|(2EUu-zETfw@2tJ=| zhrov)^yc#8!>N5^l&JF7K|ceogq6`|{KU5-!;rz|aEi4;7-?fX+rYG+iqPdh0 zh7Ug;t+H_78xr=&zSG+0K;XdVEVx!}AN^pwirInh6wQ$VzQ1o*zt08VoYnW!9OlKM zxm;HTKJS_W`CW&1KL2-H`y2=y_$IkvQMK8%CB=UZ`5{i`D16B=IqkCPqq=x`u#YTw+F1IssjQHebm96P{w zK%qwX4mj_z)8S#MXxT3u44<$0mpAWi8I|of*1rGq9hc=^`08uz_wCV34*`i~qU8uZ z7(Un5qzsisH^;dpB;w;6;qyViV``rRfm8dI6zuR^9hYS#w@lu=muij-wNJ0L-?ygy zl|k_EW6^R?jve3|S8KoT znaj>O7#_|NElH~ie7{VqEEp<_YM*z-$9MbWbs%uyyE3a{cHp}jk;}t@@0OAy1AM3G zHMV_oCY(YZmW!6j`6}?;UQk&$@a2_A)<_eO`fgPDO`G>|vSV&u2LcDa>q{y?2fkk^ z5#P}A=Dk-}LV9UynQztz-&s$5_9i@>En4Ojs=#-@rm}G0dob;heW&m_5IFETzboLt z=d9^>YTr{CRSt&l>{|PMXPxkFZ+JLIwD^BYK+SE&c0DSK3Vi;W_MO7#K;XdlSW(5S z;8Q&Pci?-XtmF*erQ6f*!#47AZZimT`a|?+SRq<2)PifDuk%s0YuxN8@9_=#v)n+=A~KX1vnZqT=4bFzw_VlniVZKR_nNY=?PDETzsQ2 zCPDRSQHAHgSFVIdvK<4T1A&51VD~N+iv!mD-2Rz*NlK z_C1lU5x!f0_F8{(pe}QOuD^vwO-{6!*@U>M! zVW<#NTelhe+Sv#=gY~Ypb`E^b+BXNjDS4Ha;rncRIxcb7cwfBN7(!yDX!%47 zhR@%}Bl!F>g3m95SHEn>)IJ9Sr}j+>JFrwAuu?YkpWsXMC~VKo>w<69fwM0~;#DGn zU+dfcZ`!63=6qCYUl4r%jwwRlrr(FX6jeDGzJ9g#`+7vy91af`io~9JFnq4X?F^NL z%Yjr|ok+dHdb}C*VV&bzkgk(pG}?#g;(VAMuxB&VY782B6rYKIR$O=lkrpJ~bMPiM`R414UB*!&nfe}nd_c;SA`)meL>NW7&nHAp1hVFH^LB;J#Lo5skGc{Bg znv@+Wj6qTs7RKNZYwLwEq|DSXVc2i3!-ZkLa5V_Se$8qWhW+w4LKq`+oMNOfMrD{f zN*JT1>}X5_lCn|4h?=ZDMi|Xurj8Yc5n^hzFj}Q-j4;MY*>S=cUuLZ?jP@c^O~N=a z&s0PhQ=}{^jHyx<6Gl46+PE-gWSD9e#%zibGsgy95 zNNuYymStErRv62rtW6jz!YmtyZ#SjZ6vhR4mW>z2g+-<&2;&kdn<$LSb1Z8YMowyv z7siz#mYpDstHVs4D2!{QY?3h6msvI$-(gAZ6k%MSVcAK-xGBff$-=lr%BBkAc9UhN z2;(e&(uoV*`BFYw5vT+7t)UQOkG5~*)w%9?PSl?B?7zHGj%ELV9&>8w0k{M zm($MmOs&R*7@et~(vI~^VUP9`3rt->JJmDwGi+OyW@-(7nnlX4q}}ORb`|YR&(vDl zm7b5QX-9gdelD;ZJs;}?cA{tM8i8Htnfism4)jc|7ubECsb320JkQj%BDp5Z)CPea z=b5r-w|SNeUzo~heu_jo>jO*_XkbqDPl&(v>d$9Sglv|Bt=zonhxnYxp9iD&9A z+995)yJ>fLrhZ2|!!vac_Ck}g|DYY=S+pN2q3hemKRDpJTXX+u^>7A*EX_t4V9-$rHnR=9VcW3G`+S#3{KhUo3 zOg&CJx-<2Jz;5nL{ZU{iccz{c*u|Zxr_et(nR*(%=MYoR(9Z2lJxjZ`GxcAzV>?qt z+O3_b=kQ`2V(NL?rJbo4uxpN#y-2&Wv+Pf_Gdok8vFDuBZlN97S@sg`#?I8sv=cj1 zuh1^+O#PX5U}x%8+I^j=*J$T;re3FA*O_{Qc3fwwM7yms^(O7K&eU79%Q{nkp&izl gdRt(3b*A2-ozP)>Su$%h-0;4C~qyPW_ literal 0 HcmV?d00001 diff --git a/lattice/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.vhd b/lattice/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.vhd new file mode 100644 index 0000000..51a1398 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.vhd @@ -0,0 +1,1053 @@ +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.4.0.80 +-- Module Version: 5.0 +--/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n fifo_18x1k_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 1024 -width 18 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill -fdc /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg.fdc + +-- Wed Mar 18 14:32:11 2015 + +library IEEE; +use IEEE.std_logic_1164.all; +library ecp5um; +use ecp5um.components.all; + +entity fifo_18x1k_oreg is + port ( + Data: in std_logic_vector(17 downto 0); + Clock: in std_logic; + WrEn: in std_logic; + RdEn: in std_logic; + Reset: in std_logic; + AmFullThresh: in std_logic_vector(9 downto 0); + Q: out std_logic_vector(17 downto 0); + WCNT: out std_logic_vector(10 downto 0); + Empty: out std_logic; + Full: out std_logic; + AlmostFull: out std_logic); +end fifo_18x1k_oreg; + +architecture Structure of fifo_18x1k_oreg is + + -- internal signal declarations + signal invout_2: std_logic; + signal invout_1: std_logic; + signal rden_i_inv: std_logic; + signal invout_0: std_logic; + signal r_nw: std_logic; + signal fcnt_en: std_logic; + signal empty_i: std_logic; + signal empty_d: std_logic; + signal full_i: std_logic; + signal full_d: std_logic; + signal wptr_0: std_logic; + signal wptr_1: std_logic; + signal wptr_2: std_logic; + signal wptr_3: std_logic; + signal wptr_4: std_logic; + signal wptr_5: std_logic; + signal wptr_6: std_logic; + signal wptr_7: std_logic; + signal wptr_8: std_logic; + signal wptr_9: std_logic; + signal wptr_10: std_logic; + signal rptr_10: std_logic; + signal ifcount_0: std_logic; + signal ifcount_1: std_logic; + signal bdcnt_bctr_ci: std_logic; + signal ifcount_2: std_logic; + signal ifcount_3: std_logic; + signal co0: std_logic; + signal ifcount_4: std_logic; + signal ifcount_5: std_logic; + signal co1: std_logic; + signal ifcount_6: std_logic; + signal ifcount_7: std_logic; + signal co2: std_logic; + signal ifcount_8: std_logic; + signal ifcount_9: std_logic; + signal co3: std_logic; + signal ifcount_10: std_logic; + signal co5: std_logic; + signal co4: std_logic; + signal cmp_ci: std_logic; + signal rden_i: std_logic; + signal co0_1: std_logic; + signal co1_1: std_logic; + signal co2_1: std_logic; + signal co3_1: std_logic; + signal co4_1: std_logic; + signal cmp_le_1: std_logic; + signal cmp_le_1_c: std_logic; + signal cmp_ci_1: std_logic; + signal fcount_0: std_logic; + signal fcount_1: std_logic; + signal co0_2: std_logic; + signal fcount_2: std_logic; + signal fcount_3: std_logic; + signal co1_2: std_logic; + signal fcount_4: std_logic; + signal fcount_5: std_logic; + signal co2_2: std_logic; + signal fcount_6: std_logic; + signal fcount_7: std_logic; + signal co3_2: std_logic; + signal fcount_8: std_logic; + signal fcount_9: std_logic; + signal co4_2: std_logic; + signal wren_i_inv: std_logic; + signal fcount_10: std_logic; + signal cmp_ge_d1: std_logic; + signal cmp_ge_d1_c: std_logic; + signal iwcount_0: std_logic; + signal iwcount_1: std_logic; + signal w_ctr_ci: std_logic; + signal iwcount_2: std_logic; + signal iwcount_3: std_logic; + signal co0_3: std_logic; + signal iwcount_4: std_logic; + signal iwcount_5: std_logic; + signal co1_3: std_logic; + signal iwcount_6: std_logic; + signal iwcount_7: std_logic; + signal co2_3: std_logic; + signal iwcount_8: std_logic; + signal iwcount_9: std_logic; + signal co3_3: std_logic; + signal iwcount_10: std_logic; + signal co5_1: std_logic; + signal co4_3: std_logic; + signal wcount_10: std_logic; + signal ircount_0: std_logic; + signal ircount_1: std_logic; + signal r_ctr_ci: std_logic; + signal rcount_0: std_logic; + signal rcount_1: std_logic; + signal ircount_2: std_logic; + signal ircount_3: std_logic; + signal co0_4: std_logic; + signal rcount_2: std_logic; + signal rcount_3: std_logic; + signal ircount_4: std_logic; + signal ircount_5: std_logic; + signal co1_4: std_logic; + signal rcount_4: std_logic; + signal rcount_5: std_logic; + signal ircount_6: std_logic; + signal ircount_7: std_logic; + signal co2_4: std_logic; + signal rcount_6: std_logic; + signal rcount_7: std_logic; + signal ircount_8: std_logic; + signal ircount_9: std_logic; + signal co3_4: std_logic; + signal rcount_8: std_logic; + signal rcount_9: std_logic; + signal ircount_10: std_logic; + signal co5_2: std_logic; + signal co4_4: std_logic; + signal rcount_10: std_logic; + signal wcnt_sub_0: std_logic; + signal rptr_0: std_logic; + signal cnt_con_inv: std_logic; + signal wcount_0: std_logic; + signal cnt_con: std_logic; + signal precin: std_logic; + signal wcnt_sub_1: std_logic; + signal wcnt_sub_2: std_logic; + signal rptr_2: std_logic; + signal rptr_1: std_logic; + signal wcount_2: std_logic; + signal wcount_1: std_logic; + signal co0_5: std_logic; + signal wcnt_sub_3: std_logic; + signal wcnt_sub_4: std_logic; + signal rptr_4: std_logic; + signal rptr_3: std_logic; + signal wcount_4: std_logic; + signal wcount_3: std_logic; + signal co1_5: std_logic; + signal wcnt_sub_5: std_logic; + signal wcnt_sub_6: std_logic; + signal rptr_6: std_logic; + signal rptr_5: std_logic; + signal wcount_6: std_logic; + signal wcount_5: std_logic; + signal co2_5: std_logic; + signal wcnt_sub_7: std_logic; + signal wcnt_sub_8: std_logic; + signal rptr_8: std_logic; + signal rptr_7: std_logic; + signal wcount_8: std_logic; + signal wcount_7: std_logic; + signal co3_5: std_logic; + signal wcnt_sub_9: std_logic; + signal wcnt_sub_10: std_logic; + signal rptr_9: std_logic; + signal wcnt_sub_msb: std_logic; + signal wcount_9: std_logic; + signal co4_5: std_logic; + signal co5_3d: std_logic; + signal co5_3: std_logic; + signal wren_i: std_logic; + signal cmp_ci_2: std_logic; + signal wcnt_reg_0: std_logic; + signal wcnt_reg_1: std_logic; + signal co0_6: std_logic; + signal wcnt_reg_2: std_logic; + signal wcnt_reg_3: std_logic; + signal co1_6: std_logic; + signal wcnt_reg_4: std_logic; + signal wcnt_reg_5: std_logic; + signal co2_6: std_logic; + signal wcnt_reg_6: std_logic; + signal wcnt_reg_7: std_logic; + signal co3_6: std_logic; + signal wcnt_reg_8: std_logic; + signal wcnt_reg_9: std_logic; + signal co4_6: std_logic; + signal wcnt_reg_10: std_logic; + signal af_set: std_logic; + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + signal af_set_c: std_logic; + + attribute MEM_LPC_FILE : string; + attribute MEM_INIT_FILE : string; + attribute GSR : string; + attribute MEM_LPC_FILE of pdp_ram_0_0_0 : label is "fifo_18x1k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_0_0 : label is ""; + attribute GSR of FF_68 : label is "ENABLED"; + attribute GSR of FF_67 : label is "ENABLED"; + attribute GSR of FF_66 : label is "ENABLED"; + attribute GSR of FF_65 : label is "ENABLED"; + attribute GSR of FF_64 : label is "ENABLED"; + attribute GSR of FF_63 : label is "ENABLED"; + attribute GSR of FF_62 : label is "ENABLED"; + attribute GSR of FF_61 : label is "ENABLED"; + attribute GSR of FF_60 : label is "ENABLED"; + attribute GSR of FF_59 : label is "ENABLED"; + attribute GSR of FF_58 : label is "ENABLED"; + attribute GSR of FF_57 : label is "ENABLED"; + attribute GSR of FF_56 : label is "ENABLED"; + attribute GSR of FF_55 : label is "ENABLED"; + attribute GSR of FF_54 : label is "ENABLED"; + attribute GSR of FF_53 : label is "ENABLED"; + attribute GSR of FF_52 : label is "ENABLED"; + attribute GSR of FF_51 : label is "ENABLED"; + attribute GSR of FF_50 : label is "ENABLED"; + attribute GSR of FF_49 : label is "ENABLED"; + attribute GSR of FF_48 : label is "ENABLED"; + attribute GSR of FF_47 : label is "ENABLED"; + attribute GSR of FF_46 : label is "ENABLED"; + attribute GSR of FF_45 : label is "ENABLED"; + attribute GSR of FF_44 : label is "ENABLED"; + attribute GSR of FF_43 : label is "ENABLED"; + attribute GSR of FF_42 : label is "ENABLED"; + attribute GSR of FF_41 : label is "ENABLED"; + attribute GSR of FF_40 : label is "ENABLED"; + attribute GSR of FF_39 : label is "ENABLED"; + attribute GSR of FF_38 : label is "ENABLED"; + attribute GSR of FF_37 : label is "ENABLED"; + attribute GSR of FF_36 : label is "ENABLED"; + attribute GSR of FF_35 : label is "ENABLED"; + attribute GSR of FF_34 : label is "ENABLED"; + attribute GSR of FF_33 : label is "ENABLED"; + attribute GSR of FF_32 : label is "ENABLED"; + attribute GSR of FF_31 : label is "ENABLED"; + attribute GSR of FF_30 : label is "ENABLED"; + attribute GSR of FF_29 : label is "ENABLED"; + attribute GSR of FF_28 : label is "ENABLED"; + attribute GSR of FF_27 : label is "ENABLED"; + attribute GSR of FF_26 : label is "ENABLED"; + attribute GSR of FF_25 : label is "ENABLED"; + attribute GSR of FF_24 : label is "ENABLED"; + attribute GSR of FF_23 : label is "ENABLED"; + attribute GSR of FF_22 : label is "ENABLED"; + attribute GSR of FF_21 : label is "ENABLED"; + attribute GSR of FF_20 : label is "ENABLED"; + attribute GSR of FF_19 : label is "ENABLED"; + attribute GSR of FF_18 : label is "ENABLED"; + attribute GSR of FF_17 : label is "ENABLED"; + attribute GSR of FF_16 : label is "ENABLED"; + attribute GSR of FF_15 : label is "ENABLED"; + attribute GSR of FF_14 : label is "ENABLED"; + attribute GSR of FF_13 : label is "ENABLED"; + attribute GSR of FF_12 : label is "ENABLED"; + attribute GSR of FF_11 : label is "ENABLED"; + attribute GSR of FF_10 : label is "ENABLED"; + attribute GSR of FF_9 : label is "ENABLED"; + attribute GSR of FF_8 : label is "ENABLED"; + attribute GSR of FF_7 : label is "ENABLED"; + attribute GSR of FF_6 : label is "ENABLED"; + attribute GSR of FF_5 : label is "ENABLED"; + attribute GSR of FF_4 : label is "ENABLED"; + attribute GSR of FF_3 : label is "ENABLED"; + attribute GSR of FF_2 : label is "ENABLED"; + attribute GSR of FF_1 : label is "ENABLED"; + attribute GSR of FF_0 : label is "ENABLED"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of Structure : architecture is 1; + +begin + -- component instantiation statements + AND2_t5: AND2 + port map (A=>WrEn, B=>invout_2, Z=>wren_i); + + INV_5: INV + port map (A=>full_i, Z=>invout_2); + + AND2_t4: AND2 + port map (A=>RdEn, B=>invout_1, Z=>rden_i); + + INV_4: INV + port map (A=>empty_i, Z=>invout_1); + + AND2_t3: AND2 + port map (A=>wren_i, B=>rden_i_inv, Z=>cnt_con); + + XOR2_t2: XOR2 + port map (A=>wren_i, B=>rden_i, Z=>fcnt_en); + + INV_3: INV + port map (A=>rden_i, Z=>rden_i_inv); + + INV_2: INV + port map (A=>wren_i, Z=>wren_i_inv); + + LUT4_1: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_le_1, AD1=>wren_i, + AD0=>empty_i, DO0=>empty_d); + + LUT4_0: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_ge_d1, AD1=>rden_i, + AD0=>full_i, DO0=>full_d); + + AND2_t1: AND2 + port map (A=>rden_i, B=>invout_0, Z=>r_nw); + + INV_1: INV + port map (A=>wren_i, Z=>invout_0); + + XOR2_t0: XOR2 + port map (A=>wcount_10, B=>rptr_10, Z=>wcnt_sub_msb); + + INV_0: INV + port map (A=>cnt_con, Z=>cnt_con_inv); + + pdp_ram_0_0_0: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 18, + DATA_WIDTH_A=> 18) + port map (DIA17=>Data(17), DIA16=>Data(16), DIA15=>Data(15), + DIA14=>Data(14), DIA13=>Data(13), DIA12=>Data(12), + DIA11=>Data(11), DIA10=>Data(10), DIA9=>Data(9), + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_9, ADA12=>wptr_8, ADA11=>wptr_7, + ADA10=>wptr_6, ADA9=>wptr_5, ADA8=>wptr_4, ADA7=>wptr_3, + ADA6=>wptr_2, ADA5=>wptr_1, ADA4=>wptr_0, ADA3=>scuba_vlo, + ADA2=>scuba_vlo, ADA1=>scuba_vhi, ADA0=>scuba_vhi, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>scuba_vlo, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_9, ADB12=>rptr_8, ADB11=>rptr_7, + ADB10=>rptr_6, ADB9=>rptr_5, ADB8=>rptr_4, ADB7=>rptr_3, + ADB6=>rptr_2, ADB5=>rptr_1, ADB4=>rptr_0, ADB3=>scuba_vlo, + ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vlo, + CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>scuba_vlo, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>Q(17), DOB16=>Q(16), DOB15=>Q(15), + DOB14=>Q(14), DOB13=>Q(13), DOB12=>Q(12), DOB11=>Q(11), + DOB10=>Q(10), DOB9=>Q(9), DOB8=>Q(8), DOB7=>Q(7), DOB6=>Q(6), + DOB5=>Q(5), DOB4=>Q(4), DOB3=>Q(3), DOB2=>Q(2), DOB1=>Q(1), + DOB0=>Q(0)); + + FF_68: FD1P3DX + port map (D=>ifcount_0, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_0); + + FF_67: FD1P3DX + port map (D=>ifcount_1, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_1); + + FF_66: FD1P3DX + port map (D=>ifcount_2, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_2); + + FF_65: FD1P3DX + port map (D=>ifcount_3, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_3); + + FF_64: FD1P3DX + port map (D=>ifcount_4, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_4); + + FF_63: FD1P3DX + port map (D=>ifcount_5, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_5); + + FF_62: FD1P3DX + port map (D=>ifcount_6, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_6); + + FF_61: FD1P3DX + port map (D=>ifcount_7, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_7); + + FF_60: FD1P3DX + port map (D=>ifcount_8, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_8); + + FF_59: FD1P3DX + port map (D=>ifcount_9, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_9); + + FF_58: FD1P3DX + port map (D=>ifcount_10, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_10); + + FF_57: FD1S3BX + port map (D=>empty_d, CK=>Clock, PD=>Reset, Q=>empty_i); + + FF_56: FD1S3DX + port map (D=>full_d, CK=>Clock, CD=>Reset, Q=>full_i); + + FF_55: FD1P3BX + port map (D=>iwcount_0, SP=>wren_i, CK=>Clock, PD=>Reset, + Q=>wcount_0); + + FF_54: FD1P3DX + port map (D=>iwcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_1); + + FF_53: FD1P3DX + port map (D=>iwcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_2); + + FF_52: FD1P3DX + port map (D=>iwcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_3); + + FF_51: FD1P3DX + port map (D=>iwcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_4); + + FF_50: FD1P3DX + port map (D=>iwcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_5); + + FF_49: FD1P3DX + port map (D=>iwcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_6); + + FF_48: FD1P3DX + port map (D=>iwcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_7); + + FF_47: FD1P3DX + port map (D=>iwcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_8); + + FF_46: FD1P3DX + port map (D=>iwcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_9); + + FF_45: FD1P3DX + port map (D=>iwcount_10, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_10); + + FF_44: FD1P3BX + port map (D=>ircount_0, SP=>rden_i, CK=>Clock, PD=>Reset, + Q=>rcount_0); + + FF_43: FD1P3DX + port map (D=>ircount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_1); + + FF_42: FD1P3DX + port map (D=>ircount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_2); + + FF_41: FD1P3DX + port map (D=>ircount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_3); + + FF_40: FD1P3DX + port map (D=>ircount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_4); + + FF_39: FD1P3DX + port map (D=>ircount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_5); + + FF_38: FD1P3DX + port map (D=>ircount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_6); + + FF_37: FD1P3DX + port map (D=>ircount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_7); + + FF_36: FD1P3DX + port map (D=>ircount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_8); + + FF_35: FD1P3DX + port map (D=>ircount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_9); + + FF_34: FD1P3DX + port map (D=>ircount_10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_10); + + FF_33: FD1P3DX + port map (D=>wcount_0, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_0); + + FF_32: FD1P3DX + port map (D=>wcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_1); + + FF_31: FD1P3DX + port map (D=>wcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_2); + + FF_30: FD1P3DX + port map (D=>wcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_3); + + FF_29: FD1P3DX + port map (D=>wcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_4); + + FF_28: FD1P3DX + port map (D=>wcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_5); + + FF_27: FD1P3DX + port map (D=>wcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_6); + + FF_26: FD1P3DX + port map (D=>wcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_7); + + FF_25: FD1P3DX + port map (D=>wcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_8); + + FF_24: FD1P3DX + port map (D=>wcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_9); + + FF_23: FD1P3DX + port map (D=>wcount_10, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_10); + + FF_22: FD1P3DX + port map (D=>rcount_0, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_0); + + FF_21: FD1P3DX + port map (D=>rcount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_1); + + FF_20: FD1P3DX + port map (D=>rcount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_2); + + FF_19: FD1P3DX + port map (D=>rcount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_3); + + FF_18: FD1P3DX + port map (D=>rcount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_4); + + FF_17: FD1P3DX + port map (D=>rcount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_5); + + FF_16: FD1P3DX + port map (D=>rcount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_6); + + FF_15: FD1P3DX + port map (D=>rcount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_7); + + FF_14: FD1P3DX + port map (D=>rcount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_8); + + FF_13: FD1P3DX + port map (D=>rcount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_9); + + FF_12: FD1P3DX + port map (D=>rcount_10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_10); + + FF_11: FD1S3DX + port map (D=>wcnt_sub_0, CK=>Clock, CD=>Reset, Q=>wcnt_reg_0); + + FF_10: FD1S3DX + port map (D=>wcnt_sub_1, CK=>Clock, CD=>Reset, Q=>wcnt_reg_1); + + FF_9: FD1S3DX + port map (D=>wcnt_sub_2, CK=>Clock, CD=>Reset, Q=>wcnt_reg_2); + + FF_8: FD1S3DX + port map (D=>wcnt_sub_3, CK=>Clock, CD=>Reset, Q=>wcnt_reg_3); + + FF_7: FD1S3DX + port map (D=>wcnt_sub_4, CK=>Clock, CD=>Reset, Q=>wcnt_reg_4); + + FF_6: FD1S3DX + port map (D=>wcnt_sub_5, CK=>Clock, CD=>Reset, Q=>wcnt_reg_5); + + FF_5: FD1S3DX + port map (D=>wcnt_sub_6, CK=>Clock, CD=>Reset, Q=>wcnt_reg_6); + + FF_4: FD1S3DX + port map (D=>wcnt_sub_7, CK=>Clock, CD=>Reset, Q=>wcnt_reg_7); + + FF_3: FD1S3DX + port map (D=>wcnt_sub_8, CK=>Clock, CD=>Reset, Q=>wcnt_reg_8); + + FF_2: FD1S3DX + port map (D=>wcnt_sub_9, CK=>Clock, CD=>Reset, Q=>wcnt_reg_9); + + FF_1: FD1S3DX + port map (D=>wcnt_sub_10, CK=>Clock, CD=>Reset, Q=>wcnt_reg_10); + + FF_0: FD1S3DX + port map (D=>af_set, CK=>Clock, CD=>Reset, Q=>AlmostFull); + + bdcnt_bctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>cnt_con, B0=>scuba_vlo, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>bdcnt_bctr_ci); + + bdcnt_bctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>bdcnt_bctr_ci, S0=>ifcount_0, S1=>ifcount_1, COUT=>co0); + + bdcnt_bctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0, S0=>ifcount_2, S1=>ifcount_3, COUT=>co1); + + bdcnt_bctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1, S0=>ifcount_4, S1=>ifcount_5, COUT=>co2); + + bdcnt_bctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2, S0=>ifcount_6, S1=>ifcount_7, COUT=>co3); + + bdcnt_bctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3, S0=>ifcount_8, S1=>ifcount_9, COUT=>co4); + + bdcnt_bctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_10, A1=>scuba_vlo, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4, S0=>ifcount_10, S1=>open, COUT=>co5); + + e_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci); + + e_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>rden_i, A1=>scuba_vlo, B0=>fcount_0, B1=>fcount_1, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci, S0=>open, S1=>open, COUT=>co0_1); + + e_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_2, + B1=>fcount_3, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_1, S0=>open, S1=>open, COUT=>co1_1); + + e_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_4, + B1=>fcount_5, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_1, S0=>open, S1=>open, COUT=>co2_1); + + e_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_6, + B1=>fcount_7, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_1, S0=>open, S1=>open, COUT=>co3_1); + + e_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_8, + B1=>fcount_9, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_1, S0=>open, S1=>open, COUT=>co4_1); + + e_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_10, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_1, S0=>open, S1=>open, + COUT=>cmp_le_1_c); + + a0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_le_1_c, S0=>cmp_le_1, S1=>open, + COUT=>open); + + g_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_1); + + g_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci_1, S0=>open, S1=>open, COUT=>co0_2); + + g_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_2, S0=>open, S1=>open, COUT=>co1_2); + + g_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_2, S0=>open, S1=>open, COUT=>co2_2); + + g_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_2, S0=>open, S1=>open, COUT=>co3_2); + + g_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_2, S0=>open, S1=>open, COUT=>co4_2); + + g_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_10, A1=>scuba_vlo, B0=>wren_i_inv, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_2, S0=>open, S1=>open, + COUT=>cmp_ge_d1_c); + + a1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_ge_d1_c, S0=>cmp_ge_d1, S1=>open, + COUT=>open); + + w_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>w_ctr_ci); + + w_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_0, A1=>wcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>w_ctr_ci, S0=>iwcount_0, S1=>iwcount_1, + COUT=>co0_3); + + w_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_2, A1=>wcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_3, S0=>iwcount_2, S1=>iwcount_3, + COUT=>co1_3); + + w_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_4, A1=>wcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_3, S0=>iwcount_4, S1=>iwcount_5, + COUT=>co2_3); + + w_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_6, A1=>wcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_3, S0=>iwcount_6, S1=>iwcount_7, + COUT=>co3_3); + + w_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_8, A1=>wcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_3, S0=>iwcount_8, S1=>iwcount_9, + COUT=>co4_3); + + w_ctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_10, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_3, S0=>iwcount_10, S1=>open, + COUT=>co5_1); + + r_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>r_ctr_ci); + + r_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_0, A1=>rcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>r_ctr_ci, S0=>ircount_0, S1=>ircount_1, + COUT=>co0_4); + + r_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_2, A1=>rcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_4, S0=>ircount_2, S1=>ircount_3, + COUT=>co1_4); + + r_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_4, A1=>rcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_4, S0=>ircount_4, S1=>ircount_5, + COUT=>co2_4); + + r_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_6, A1=>rcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_4, S0=>ircount_6, S1=>ircount_7, + COUT=>co3_4); + + r_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_8, A1=>rcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_4, S0=>ircount_8, S1=>ircount_9, + COUT=>co4_4); + + r_ctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_10, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_4, S0=>ircount_10, S1=>open, + COUT=>co5_2); + + precin_inst234: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"0000", + INIT0=> X"0000") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>precin); + + wcnt_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>cnt_con, A1=>wcount_0, B0=>cnt_con_inv, B1=>rptr_0, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>precin, S0=>open, S1=>wcnt_sub_0, COUT=>co0_5); + + wcnt_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_1, A1=>wcount_2, B0=>rptr_1, B1=>rptr_2, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_5, S0=>wcnt_sub_1, S1=>wcnt_sub_2, COUT=>co1_5); + + wcnt_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_3, A1=>wcount_4, B0=>rptr_3, B1=>rptr_4, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_5, S0=>wcnt_sub_3, S1=>wcnt_sub_4, COUT=>co2_5); + + wcnt_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_5, A1=>wcount_6, B0=>rptr_5, B1=>rptr_6, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_5, S0=>wcnt_sub_5, S1=>wcnt_sub_6, COUT=>co3_5); + + wcnt_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_7, A1=>wcount_8, B0=>rptr_7, B1=>rptr_8, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_5, S0=>wcnt_sub_7, S1=>wcnt_sub_8, COUT=>co4_5); + + wcnt_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_9, A1=>wcnt_sub_msb, B0=>rptr_9, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_5, S0=>wcnt_sub_9, S1=>wcnt_sub_10, + COUT=>co5_3); + + wcntd: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_3, S0=>co5_3d, S1=>open, COUT=>open); + + af_set_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>wren_i, B0=>scuba_vlo, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_2); + + af_set_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_0, A1=>wcnt_reg_1, B0=>AmFullThresh(0), + B1=>AmFullThresh(1), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>cmp_ci_2, S0=>open, + S1=>open, COUT=>co0_6); + + af_set_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_2, A1=>wcnt_reg_3, B0=>AmFullThresh(2), + B1=>AmFullThresh(3), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co0_6, S0=>open, S1=>open, + COUT=>co1_6); + + af_set_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_4, A1=>wcnt_reg_5, B0=>AmFullThresh(4), + B1=>AmFullThresh(5), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co1_6, S0=>open, S1=>open, + COUT=>co2_6); + + af_set_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_6, A1=>wcnt_reg_7, B0=>AmFullThresh(6), + B1=>AmFullThresh(7), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co2_6, S0=>open, S1=>open, + COUT=>co3_6); + + af_set_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_8, A1=>wcnt_reg_9, B0=>AmFullThresh(8), + B1=>AmFullThresh(9), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co3_6, S0=>open, S1=>open, + COUT=>co4_6); + + af_set_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_10, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_6, S0=>open, S1=>open, + COUT=>af_set_c); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + a2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>af_set_c, S0=>af_set, S1=>open, + COUT=>open); + + WCNT(0) <= fcount_0; + WCNT(1) <= fcount_1; + WCNT(2) <= fcount_2; + WCNT(3) <= fcount_3; + WCNT(4) <= fcount_4; + WCNT(5) <= fcount_5; + WCNT(6) <= fcount_6; + WCNT(7) <= fcount_7; + WCNT(8) <= fcount_8; + WCNT(9) <= fcount_9; + WCNT(10) <= fcount_10; + Empty <= empty_i; + Full <= full_i; +end Structure; diff --git a/lattice/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg_ngd.asd b/lattice/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg_ngd.asd new file mode 100644 index 0000000..c265c78 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_18x1k_oreg/fifo_18x1k_oreg_ngd.asd @@ -0,0 +1 @@ +[ActiveSupport NGD] diff --git a/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.cst b/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.cst new file mode 100644 index 0000000..4b60fa4 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.cst @@ -0,0 +1,3 @@ +Date=03/18/2015 +Time=14:42:54 + diff --git a/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.edn b/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.edn new file mode 100644 index 0000000..dbbee06 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.edn @@ -0,0 +1,2679 @@ +(edif fifo_18x256_oreg + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timestamp 2015 3 18 14 42 55) + (program "SCUBA" (version "Diamond (64-bit) 3.4.0.80")))) + (comment "/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n fifo_18x256_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 256 -width 18 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill -fdc /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.fdc ") + (library ORCLIB + (edifLevel 0) + (technology + (numberDefinition)) + (cell CCU2C + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A0 + (direction INPUT)) + (port A1 + (direction INPUT)) + (port B0 + (direction INPUT)) + (port B1 + (direction INPUT)) + (port C0 + (direction INPUT)) + (port C1 + (direction INPUT)) + (port D0 + (direction INPUT)) + (port D1 + (direction INPUT)) + (port CIN + (direction INPUT)) + (port S0 + (direction OUTPUT)) + (port S1 + (direction OUTPUT)) + (port COUT + (direction OUTPUT))))) + (cell AND2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell FD1P3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1P3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell INV + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell ROM16X1A + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port AD3 + (direction INPUT)) + (port AD2 + (direction INPUT)) + (port AD1 + (direction INPUT)) + (port AD0 + (direction INPUT)) + (port DO0 + (direction OUTPUT))))) + (cell VHI + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell VLO + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell XOR2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell DP16KD + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port DIA17 + (direction INPUT)) + (port DIA16 + (direction INPUT)) + (port DIA15 + (direction INPUT)) + (port DIA14 + (direction INPUT)) + (port DIA13 + (direction INPUT)) + (port DIA12 + (direction INPUT)) + (port DIA11 + (direction INPUT)) + (port DIA10 + (direction INPUT)) + (port DIA9 + (direction INPUT)) + (port DIA8 + (direction INPUT)) + (port DIA7 + (direction INPUT)) + (port DIA6 + (direction INPUT)) + (port DIA5 + (direction INPUT)) + (port DIA4 + (direction INPUT)) + (port DIA3 + (direction INPUT)) + (port DIA2 + (direction INPUT)) + (port DIA1 + (direction INPUT)) + (port DIA0 + (direction INPUT)) + (port ADA13 + (direction INPUT)) + (port ADA12 + (direction INPUT)) + (port ADA11 + (direction INPUT)) + (port ADA10 + (direction INPUT)) + (port ADA9 + (direction INPUT)) + (port ADA8 + (direction INPUT)) + (port ADA7 + (direction INPUT)) + (port ADA6 + (direction INPUT)) + (port ADA5 + (direction INPUT)) + (port ADA4 + (direction INPUT)) + (port ADA3 + (direction INPUT)) + (port ADA2 + (direction INPUT)) + (port ADA1 + (direction INPUT)) + (port ADA0 + (direction INPUT)) + (port CEA + (direction INPUT)) + (port OCEA + (direction INPUT)) + (port CLKA + (direction INPUT)) + (port WEA + (direction INPUT)) + (port CSA2 + (direction INPUT)) + (port CSA1 + (direction INPUT)) + (port CSA0 + (direction INPUT)) + (port RSTA + (direction INPUT)) + (port DIB17 + (direction INPUT)) + (port DIB16 + (direction INPUT)) + (port DIB15 + (direction INPUT)) + (port DIB14 + (direction INPUT)) + (port DIB13 + (direction INPUT)) + (port DIB12 + (direction INPUT)) + (port DIB11 + (direction INPUT)) + (port DIB10 + (direction INPUT)) + (port DIB9 + (direction INPUT)) + (port DIB8 + (direction INPUT)) + (port DIB7 + (direction INPUT)) + (port DIB6 + (direction INPUT)) + (port DIB5 + (direction INPUT)) + (port DIB4 + (direction INPUT)) + (port DIB3 + (direction INPUT)) + (port DIB2 + (direction INPUT)) + (port DIB1 + (direction INPUT)) + (port DIB0 + (direction INPUT)) + (port ADB13 + (direction INPUT)) + (port ADB12 + (direction INPUT)) + (port ADB11 + (direction INPUT)) + (port ADB10 + (direction INPUT)) + (port ADB9 + (direction INPUT)) + (port ADB8 + (direction INPUT)) + (port ADB7 + (direction INPUT)) + (port ADB6 + (direction INPUT)) + (port ADB5 + (direction INPUT)) + (port ADB4 + (direction INPUT)) + (port ADB3 + (direction INPUT)) + (port ADB2 + (direction INPUT)) + (port ADB1 + (direction INPUT)) + (port ADB0 + (direction INPUT)) + (port CEB + (direction INPUT)) + (port OCEB + (direction INPUT)) + (port CLKB + (direction INPUT)) + (port WEB + (direction INPUT)) + (port CSB2 + (direction INPUT)) + (port CSB1 + (direction INPUT)) + (port CSB0 + (direction INPUT)) + (port RSTB + (direction INPUT)) + (port DOA17 + (direction OUTPUT)) + (port DOA16 + (direction OUTPUT)) + (port DOA15 + (direction OUTPUT)) + (port DOA14 + (direction OUTPUT)) + (port DOA13 + (direction OUTPUT)) + (port DOA12 + (direction OUTPUT)) + (port DOA11 + (direction OUTPUT)) + (port DOA10 + (direction OUTPUT)) + (port DOA9 + (direction OUTPUT)) + (port DOA8 + (direction OUTPUT)) + (port DOA7 + (direction OUTPUT)) + (port DOA6 + (direction OUTPUT)) + (port DOA5 + (direction OUTPUT)) + (port DOA4 + (direction OUTPUT)) + (port DOA3 + (direction OUTPUT)) + (port DOA2 + (direction OUTPUT)) + (port DOA1 + (direction OUTPUT)) + (port DOA0 + (direction OUTPUT)) + (port DOB17 + (direction OUTPUT)) + (port DOB16 + (direction OUTPUT)) + (port DOB15 + (direction OUTPUT)) + (port DOB14 + (direction OUTPUT)) + (port DOB13 + (direction OUTPUT)) + (port DOB12 + (direction OUTPUT)) + (port DOB11 + (direction OUTPUT)) + (port DOB10 + (direction OUTPUT)) + (port DOB9 + (direction OUTPUT)) + (port DOB8 + (direction OUTPUT)) + (port DOB7 + (direction OUTPUT)) + (port DOB6 + (direction OUTPUT)) + (port DOB5 + (direction OUTPUT)) + (port DOB4 + (direction OUTPUT)) + (port DOB3 + (direction OUTPUT)) + (port DOB2 + (direction OUTPUT)) + (port DOB1 + (direction OUTPUT)) + (port DOB0 + (direction OUTPUT))))) + (cell fifo_18x256_oreg + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port (array (rename Data "Data(17:0)") 18) + (direction INPUT)) + (port Clock + (direction INPUT)) + (port WrEn + (direction INPUT)) + (port RdEn + (direction INPUT)) + (port Reset + (direction INPUT)) + (port (array (rename AmFullThresh "AmFullThresh(7:0)") 8) + (direction INPUT)) + (port (array (rename Q "Q(17:0)") 18) + (direction OUTPUT)) + (port (array (rename WCNT "WCNT(8:0)") 9) + (direction OUTPUT)) + (port Empty + (direction OUTPUT)) + (port Full + (direction OUTPUT)) + (port AlmostFull + (direction OUTPUT))) + (property NGD_DRC_MASK (integer 1)) + (contents + (instance AND2_t5 + (viewRef view1 + (cellRef AND2))) + (instance INV_5 + (viewRef view1 + (cellRef INV))) + (instance AND2_t4 + (viewRef view1 + (cellRef AND2))) + (instance INV_4 + (viewRef view1 + (cellRef INV))) + (instance AND2_t3 + (viewRef view1 + (cellRef AND2))) + (instance XOR2_t2 + (viewRef view1 + (cellRef XOR2))) + (instance INV_3 + (viewRef view1 + (cellRef INV))) + (instance INV_2 + (viewRef view1 + (cellRef INV))) + (instance LUT4_1 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance LUT4_0 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance AND2_t1 + (viewRef view1 + (cellRef AND2))) + (instance INV_1 + (viewRef view1 + (cellRef INV))) + (instance XOR2_t0 + (viewRef view1 + (cellRef XOR2))) + (instance INV_0 + (viewRef view1 + (cellRef INV))) + (instance pdp_ram_0_0_0 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_18x256_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "18")) + (property DATA_WIDTH_A + (string "18"))) + (instance FF_56 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_55 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_54 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_53 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_52 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_51 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_50 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_49 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_48 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_47 + (viewRef view1 + (cellRef FD1S3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_46 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_45 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_44 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_43 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_42 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_41 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_40 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_39 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_38 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_37 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_36 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_35 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_34 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_33 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_32 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_31 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_30 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_29 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_28 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_27 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_26 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_25 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_24 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_23 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_22 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_21 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_20 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_19 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_18 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_17 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_16 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_15 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_14 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_13 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_12 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_11 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_10 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_9 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_8 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_7 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_6 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_5 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_4 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_3 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_2 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_1 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_0 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance bdcnt_bctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance bdcnt_bctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance e_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance precin_inst207 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x0000")) + (property INIT0 + (string "0x0000"))) + (instance wcnt_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcntd + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance af_set_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance af_set_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance scuba_vhi_inst + (viewRef view1 + (cellRef VHI))) + (instance scuba_vlo_inst + (viewRef view1 + (cellRef VLO))) + (instance a2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (net invout_2 + (joined + (portRef Z (instanceRef INV_5)) + (portRef B (instanceRef AND2_t5)))) + (net invout_1 + (joined + (portRef Z (instanceRef INV_4)) + (portRef B (instanceRef AND2_t4)))) + (net rden_i_inv + (joined + (portRef Z (instanceRef INV_3)) + (portRef B (instanceRef AND2_t3)))) + (net invout_0 + (joined + (portRef Z (instanceRef INV_1)) + (portRef B (instanceRef AND2_t1)))) + (net r_nw + (joined + (portRef Z (instanceRef AND2_t1)))) + (net fcnt_en + (joined + (portRef SP (instanceRef FF_48)) + (portRef Z (instanceRef XOR2_t2)) + (portRef SP (instanceRef FF_56)) + (portRef SP (instanceRef FF_55)) + (portRef SP (instanceRef FF_54)) + (portRef SP (instanceRef FF_53)) + (portRef SP (instanceRef FF_52)) + (portRef SP (instanceRef FF_51)) + (portRef SP (instanceRef FF_50)) + (portRef SP (instanceRef FF_49)))) + (net empty_d + (joined + (portRef D (instanceRef FF_47)) + (portRef DO0 (instanceRef LUT4_1)))) + (net full_d + (joined + (portRef D (instanceRef FF_46)) + (portRef DO0 (instanceRef LUT4_0)))) + (net wptr_0 + (joined + (portRef Q (instanceRef FF_27)) + (portRef ADA4 (instanceRef pdp_ram_0_0_0)))) + (net wptr_1 + (joined + (portRef Q (instanceRef FF_26)) + (portRef ADA5 (instanceRef pdp_ram_0_0_0)))) + (net wptr_2 + (joined + (portRef Q (instanceRef FF_25)) + (portRef ADA6 (instanceRef pdp_ram_0_0_0)))) + (net wptr_3 + (joined + (portRef Q (instanceRef FF_24)) + (portRef ADA7 (instanceRef pdp_ram_0_0_0)))) + (net wptr_4 + (joined + (portRef Q (instanceRef FF_23)) + (portRef ADA8 (instanceRef pdp_ram_0_0_0)))) + (net wptr_5 + (joined + (portRef Q (instanceRef FF_22)) + (portRef ADA9 (instanceRef pdp_ram_0_0_0)))) + (net wptr_6 + (joined + (portRef Q (instanceRef FF_21)) + (portRef ADA10 (instanceRef pdp_ram_0_0_0)))) + (net wptr_7 + (joined + (portRef Q (instanceRef FF_20)) + (portRef ADA11 (instanceRef pdp_ram_0_0_0)))) + (net wptr_8 + (joined + (portRef Q (instanceRef FF_19)))) + (net rptr_8 + (joined + (portRef Q (instanceRef FF_10)) + (portRef B (instanceRef XOR2_t0)))) + (net ifcount_0 + (joined + (portRef S0 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_56)))) + (net ifcount_1 + (joined + (portRef S1 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_55)))) + (net bdcnt_bctr_ci + (joined + (portRef CIN (instanceRef bdcnt_bctr_0)) + (portRef COUT (instanceRef bdcnt_bctr_cia)))) + (net ifcount_2 + (joined + (portRef S0 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_54)))) + (net ifcount_3 + (joined + (portRef S1 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_53)))) + (net co0 + (joined + (portRef CIN (instanceRef bdcnt_bctr_1)) + (portRef COUT (instanceRef bdcnt_bctr_0)))) + (net ifcount_4 + (joined + (portRef S0 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_52)))) + (net ifcount_5 + (joined + (portRef S1 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_51)))) + (net co1 + (joined + (portRef CIN (instanceRef bdcnt_bctr_2)) + (portRef COUT (instanceRef bdcnt_bctr_1)))) + (net ifcount_6 + (joined + (portRef S0 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_50)))) + (net ifcount_7 + (joined + (portRef S1 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_49)))) + (net co2 + (joined + (portRef CIN (instanceRef bdcnt_bctr_3)) + (portRef COUT (instanceRef bdcnt_bctr_2)))) + (net ifcount_8 + (joined + (portRef S0 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_48)))) + (net co4 + (joined + (portRef COUT (instanceRef bdcnt_bctr_4)))) + (net co3 + (joined + (portRef CIN (instanceRef bdcnt_bctr_4)) + (portRef COUT (instanceRef bdcnt_bctr_3)))) + (net cmp_ci + (joined + (portRef CIN (instanceRef e_cmp_0)) + (portRef COUT (instanceRef e_cmp_ci_a)))) + (net rden_i + (joined + (portRef A0 (instanceRef e_cmp_0)) + (portRef Z (instanceRef AND2_t4)) + (portRef B (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_3)) + (portRef AD1 (instanceRef LUT4_0)) + (portRef A (instanceRef AND2_t1)) + (portRef CEB (instanceRef pdp_ram_0_0_0)) + (portRef SP (instanceRef FF_36)) + (portRef SP (instanceRef FF_35)) + (portRef SP (instanceRef FF_34)) + (portRef SP (instanceRef FF_33)) + (portRef SP (instanceRef FF_32)) + (portRef SP (instanceRef FF_31)) + (portRef SP (instanceRef FF_30)) + (portRef SP (instanceRef FF_29)) + (portRef SP (instanceRef FF_28)) + (portRef SP (instanceRef FF_18)) + (portRef SP (instanceRef FF_17)) + (portRef SP (instanceRef FF_16)) + (portRef SP (instanceRef FF_15)) + (portRef SP (instanceRef FF_14)) + (portRef SP (instanceRef FF_13)) + (portRef SP (instanceRef FF_12)) + (portRef SP (instanceRef FF_11)) + (portRef SP (instanceRef FF_10)))) + (net co0_1 + (joined + (portRef CIN (instanceRef e_cmp_1)) + (portRef COUT (instanceRef e_cmp_0)))) + (net co1_1 + (joined + (portRef CIN (instanceRef e_cmp_2)) + (portRef COUT (instanceRef e_cmp_1)))) + (net co2_1 + (joined + (portRef CIN (instanceRef e_cmp_3)) + (portRef COUT (instanceRef e_cmp_2)))) + (net co3_1 + (joined + (portRef CIN (instanceRef e_cmp_4)) + (portRef COUT (instanceRef e_cmp_3)))) + (net cmp_le_1 + (joined + (portRef S0 (instanceRef a0)) + (portRef AD2 (instanceRef LUT4_1)))) + (net cmp_le_1_c + (joined + (portRef CIN (instanceRef a0)) + (portRef COUT (instanceRef e_cmp_4)))) + (net cmp_ci_1 + (joined + (portRef CIN (instanceRef g_cmp_0)) + (portRef COUT (instanceRef g_cmp_ci_a)))) + (net co0_2 + (joined + (portRef CIN (instanceRef g_cmp_1)) + (portRef COUT (instanceRef g_cmp_0)))) + (net co1_2 + (joined + (portRef CIN (instanceRef g_cmp_2)) + (portRef COUT (instanceRef g_cmp_1)))) + (net co2_2 + (joined + (portRef CIN (instanceRef g_cmp_3)) + (portRef COUT (instanceRef g_cmp_2)))) + (net co3_2 + (joined + (portRef CIN (instanceRef g_cmp_4)) + (portRef COUT (instanceRef g_cmp_3)))) + (net wren_i_inv + (joined + (portRef B0 (instanceRef g_cmp_4)) + (portRef Z (instanceRef INV_2)))) + (net cmp_ge_d1 + (joined + (portRef S0 (instanceRef a1)) + (portRef AD2 (instanceRef LUT4_0)))) + (net cmp_ge_d1_c + (joined + (portRef CIN (instanceRef a1)) + (portRef COUT (instanceRef g_cmp_4)))) + (net iwcount_0 + (joined + (portRef S0 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_45)))) + (net iwcount_1 + (joined + (portRef S1 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_44)))) + (net w_ctr_ci + (joined + (portRef CIN (instanceRef w_ctr_0)) + (portRef COUT (instanceRef w_ctr_cia)))) + (net iwcount_2 + (joined + (portRef S0 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_43)))) + (net iwcount_3 + (joined + (portRef S1 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_42)))) + (net co0_3 + (joined + (portRef CIN (instanceRef w_ctr_1)) + (portRef COUT (instanceRef w_ctr_0)))) + (net iwcount_4 + (joined + (portRef S0 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_41)))) + (net iwcount_5 + (joined + (portRef S1 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_40)))) + (net co1_3 + (joined + (portRef CIN (instanceRef w_ctr_2)) + (portRef COUT (instanceRef w_ctr_1)))) + (net iwcount_6 + (joined + (portRef S0 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_39)))) + (net iwcount_7 + (joined + (portRef S1 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_38)))) + (net co2_3 + (joined + (portRef CIN (instanceRef w_ctr_3)) + (portRef COUT (instanceRef w_ctr_2)))) + (net iwcount_8 + (joined + (portRef S0 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_37)))) + (net co4_1 + (joined + (portRef COUT (instanceRef w_ctr_4)))) + (net co3_3 + (joined + (portRef CIN (instanceRef w_ctr_4)) + (portRef COUT (instanceRef w_ctr_3)))) + (net wcount_8 + (joined + (portRef A0 (instanceRef w_ctr_4)) + (portRef A (instanceRef XOR2_t0)) + (portRef Q (instanceRef FF_37)) + (portRef D (instanceRef FF_19)))) + (net ircount_0 + (joined + (portRef S0 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_36)))) + (net ircount_1 + (joined + (portRef S1 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_35)))) + (net r_ctr_ci + (joined + (portRef CIN (instanceRef r_ctr_0)) + (portRef COUT (instanceRef r_ctr_cia)))) + (net rcount_0 + (joined + (portRef A0 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_36)) + (portRef D (instanceRef FF_18)))) + (net rcount_1 + (joined + (portRef A1 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_35)) + (portRef D (instanceRef FF_17)))) + (net ircount_2 + (joined + (portRef S0 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_34)))) + (net ircount_3 + (joined + (portRef S1 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_33)))) + (net co0_4 + (joined + (portRef CIN (instanceRef r_ctr_1)) + (portRef COUT (instanceRef r_ctr_0)))) + (net rcount_2 + (joined + (portRef A0 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_34)) + (portRef D (instanceRef FF_16)))) + (net rcount_3 + (joined + (portRef A1 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_33)) + (portRef D (instanceRef FF_15)))) + (net ircount_4 + (joined + (portRef S0 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_32)))) + (net ircount_5 + (joined + (portRef S1 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_31)))) + (net co1_4 + (joined + (portRef CIN (instanceRef r_ctr_2)) + (portRef COUT (instanceRef r_ctr_1)))) + (net rcount_4 + (joined + (portRef A0 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_32)) + (portRef D (instanceRef FF_14)))) + (net rcount_5 + (joined + (portRef A1 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_31)) + (portRef D (instanceRef FF_13)))) + (net ircount_6 + (joined + (portRef S0 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_30)))) + (net ircount_7 + (joined + (portRef S1 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_29)))) + (net co2_4 + (joined + (portRef CIN (instanceRef r_ctr_3)) + (portRef COUT (instanceRef r_ctr_2)))) + (net rcount_6 + (joined + (portRef A0 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_30)) + (portRef D (instanceRef FF_12)))) + (net rcount_7 + (joined + (portRef A1 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_29)) + (portRef D (instanceRef FF_11)))) + (net ircount_8 + (joined + (portRef S0 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_28)))) + (net co4_2 + (joined + (portRef COUT (instanceRef r_ctr_4)))) + (net co3_4 + (joined + (portRef CIN (instanceRef r_ctr_4)) + (portRef COUT (instanceRef r_ctr_3)))) + (net rcount_8 + (joined + (portRef A0 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_28)) + (portRef D (instanceRef FF_10)))) + (net wcnt_sub_0 + (joined + (portRef S1 (instanceRef wcnt_0)) + (portRef D (instanceRef FF_9)))) + (net rptr_0 + (joined + (portRef B1 (instanceRef wcnt_0)) + (portRef ADB4 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_18)))) + (net cnt_con_inv + (joined + (portRef B0 (instanceRef wcnt_0)) + (portRef Z (instanceRef INV_0)))) + (net wcount_0 + (joined + (portRef A1 (instanceRef wcnt_0)) + (portRef Q (instanceRef FF_45)) + (portRef D (instanceRef FF_27)) + (portRef A0 (instanceRef w_ctr_0)))) + (net cnt_con + (joined + (portRef A0 (instanceRef wcnt_0)) + (portRef Z (instanceRef AND2_t3)) + (portRef A (instanceRef INV_0)) + (portRef B1 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_cia)) + (portRef B1 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef bdcnt_bctr_4)))) + (net precin + (joined + (portRef CIN (instanceRef wcnt_0)) + (portRef COUT (instanceRef precin_inst207)))) + (net wcnt_sub_1 + (joined + (portRef S0 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_8)))) + (net wcnt_sub_2 + (joined + (portRef S1 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_7)))) + (net rptr_2 + (joined + (portRef B1 (instanceRef wcnt_1)) + (portRef ADB6 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_16)))) + (net rptr_1 + (joined + (portRef B0 (instanceRef wcnt_1)) + (portRef ADB5 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_17)))) + (net wcount_2 + (joined + (portRef A1 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_43)) + (portRef D (instanceRef FF_25)) + (portRef A0 (instanceRef w_ctr_1)))) + (net wcount_1 + (joined + (portRef A0 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_44)) + (portRef D (instanceRef FF_26)) + (portRef A1 (instanceRef w_ctr_0)))) + (net co0_5 + (joined + (portRef CIN (instanceRef wcnt_1)) + (portRef COUT (instanceRef wcnt_0)))) + (net wcnt_sub_3 + (joined + (portRef S0 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_6)))) + (net wcnt_sub_4 + (joined + (portRef S1 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_5)))) + (net rptr_4 + (joined + (portRef B1 (instanceRef wcnt_2)) + (portRef ADB8 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_14)))) + (net rptr_3 + (joined + (portRef B0 (instanceRef wcnt_2)) + (portRef ADB7 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_15)))) + (net wcount_4 + (joined + (portRef A1 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_41)) + (portRef D (instanceRef FF_23)) + (portRef A0 (instanceRef w_ctr_2)))) + (net wcount_3 + (joined + (portRef A0 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_42)) + (portRef D (instanceRef FF_24)) + (portRef A1 (instanceRef w_ctr_1)))) + (net co1_5 + (joined + (portRef CIN (instanceRef wcnt_2)) + (portRef COUT (instanceRef wcnt_1)))) + (net wcnt_sub_5 + (joined + (portRef S0 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_4)))) + (net wcnt_sub_6 + (joined + (portRef S1 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_3)))) + (net rptr_6 + (joined + (portRef B1 (instanceRef wcnt_3)) + (portRef ADB10 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_12)))) + (net rptr_5 + (joined + (portRef B0 (instanceRef wcnt_3)) + (portRef ADB9 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_13)))) + (net wcount_6 + (joined + (portRef A1 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_39)) + (portRef D (instanceRef FF_21)) + (portRef A0 (instanceRef w_ctr_3)))) + (net wcount_5 + (joined + (portRef A0 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_40)) + (portRef D (instanceRef FF_22)) + (portRef A1 (instanceRef w_ctr_2)))) + (net co2_5 + (joined + (portRef CIN (instanceRef wcnt_3)) + (portRef COUT (instanceRef wcnt_2)))) + (net wcnt_sub_7 + (joined + (portRef S0 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_2)))) + (net wcnt_sub_8 + (joined + (portRef S1 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_1)))) + (net rptr_7 + (joined + (portRef B0 (instanceRef wcnt_4)) + (portRef ADB11 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_11)))) + (net wcnt_sub_msb + (joined + (portRef A1 (instanceRef wcnt_4)) + (portRef Z (instanceRef XOR2_t0)))) + (net wcount_7 + (joined + (portRef A0 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_38)) + (portRef D (instanceRef FF_20)) + (portRef A1 (instanceRef w_ctr_3)))) + (net co3_5 + (joined + (portRef CIN (instanceRef wcnt_4)) + (portRef COUT (instanceRef wcnt_3)))) + (net co4_3d + (joined + (portRef S0 (instanceRef wcntd)))) + (net co4_3 + (joined + (portRef CIN (instanceRef wcntd)) + (portRef COUT (instanceRef wcnt_4)))) + (net wren_i + (joined + (portRef A1 (instanceRef af_set_cmp_ci_a)) + (portRef Z (instanceRef AND2_t5)) + (portRef A (instanceRef AND2_t3)) + (portRef A (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_2)) + (portRef AD1 (instanceRef LUT4_1)) + (portRef A (instanceRef INV_1)) + (portRef OCEA (instanceRef pdp_ram_0_0_0)) + (portRef CEA (instanceRef pdp_ram_0_0_0)) + (portRef SP (instanceRef FF_45)) + (portRef SP (instanceRef FF_44)) + (portRef SP (instanceRef FF_43)) + (portRef SP (instanceRef FF_42)) + (portRef SP (instanceRef FF_41)) + (portRef SP (instanceRef FF_40)) + (portRef SP (instanceRef FF_39)) + (portRef SP (instanceRef FF_38)) + (portRef SP (instanceRef FF_37)) + (portRef SP (instanceRef FF_27)) + (portRef SP (instanceRef FF_26)) + (portRef SP (instanceRef FF_25)) + (portRef SP (instanceRef FF_24)) + (portRef SP (instanceRef FF_23)) + (portRef SP (instanceRef FF_22)) + (portRef SP (instanceRef FF_21)) + (portRef SP (instanceRef FF_20)) + (portRef SP (instanceRef FF_19)) + (portRef B0 (instanceRef g_cmp_0)) + (portRef B1 (instanceRef g_cmp_0)) + (portRef B0 (instanceRef g_cmp_1)) + (portRef B1 (instanceRef g_cmp_1)) + (portRef B0 (instanceRef g_cmp_2)) + (portRef B1 (instanceRef g_cmp_2)) + (portRef B0 (instanceRef g_cmp_3)) + (portRef B1 (instanceRef g_cmp_3)) + (portRef B1 (instanceRef af_set_cmp_ci_a)))) + (net x + (joined + (portRef CIN (instanceRef af_set_cmp_ci_a)) + (portRef CIN (instanceRef bdcnt_bctr_cia)) + (portRef CIN (instanceRef e_cmp_ci_a)) + (portRef CIN (instanceRef g_cmp_ci_a)) + (portRef CIN (instanceRef w_ctr_cia)) + (portRef CIN (instanceRef r_ctr_cia)) + (portRef CIN (instanceRef precin_inst207)))) + (net cmp_ci_2 + (joined + (portRef CIN (instanceRef af_set_cmp_0)) + (portRef COUT (instanceRef af_set_cmp_ci_a)))) + (net wcnt_reg_0 + (joined + (portRef A0 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_9)))) + (net wcnt_reg_1 + (joined + (portRef A1 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_8)))) + (net co0_6 + (joined + (portRef CIN (instanceRef af_set_cmp_1)) + (portRef COUT (instanceRef af_set_cmp_0)))) + (net wcnt_reg_2 + (joined + (portRef A0 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_7)))) + (net wcnt_reg_3 + (joined + (portRef A1 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_6)))) + (net co1_6 + (joined + (portRef CIN (instanceRef af_set_cmp_2)) + (portRef COUT (instanceRef af_set_cmp_1)))) + (net wcnt_reg_4 + (joined + (portRef A0 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_5)))) + (net wcnt_reg_5 + (joined + (portRef A1 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_4)))) + (net co2_6 + (joined + (portRef CIN (instanceRef af_set_cmp_3)) + (portRef COUT (instanceRef af_set_cmp_2)))) + (net wcnt_reg_6 + (joined + (portRef A0 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_3)))) + (net wcnt_reg_7 + (joined + (portRef A1 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_2)))) + (net co3_6 + (joined + (portRef CIN (instanceRef af_set_cmp_4)) + (portRef COUT (instanceRef af_set_cmp_3)))) + (net wcnt_reg_8 + (joined + (portRef A0 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_1)))) + (net af_set + (joined + (portRef S0 (instanceRef a2)) + (portRef D (instanceRef FF_0)))) + (net scuba_vhi + (joined + (portRef Z (instanceRef scuba_vhi_inst)) + (portRef OCEB (instanceRef pdp_ram_0_0_0)) + (portRef WEA (instanceRef pdp_ram_0_0_0)) + (portRef ADA1 (instanceRef pdp_ram_0_0_0)) + (portRef ADA0 (instanceRef pdp_ram_0_0_0)) + (portRef C1 (instanceRef bdcnt_bctr_cia)) + (portRef C0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_cia)) + (portRef D0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_0)) + (portRef D0 (instanceRef bdcnt_bctr_0)) + (portRef C1 (instanceRef bdcnt_bctr_0)) + (portRef C0 (instanceRef bdcnt_bctr_0)) + (portRef D1 (instanceRef bdcnt_bctr_1)) + (portRef D0 (instanceRef bdcnt_bctr_1)) + (portRef C1 (instanceRef bdcnt_bctr_1)) + (portRef C0 (instanceRef bdcnt_bctr_1)) + (portRef D1 (instanceRef bdcnt_bctr_2)) + (portRef D0 (instanceRef bdcnt_bctr_2)) + (portRef C1 (instanceRef bdcnt_bctr_2)) + (portRef C0 (instanceRef bdcnt_bctr_2)) + (portRef D1 (instanceRef bdcnt_bctr_3)) + (portRef D0 (instanceRef bdcnt_bctr_3)) + (portRef C1 (instanceRef bdcnt_bctr_3)) + (portRef C0 (instanceRef bdcnt_bctr_3)) + (portRef D1 (instanceRef bdcnt_bctr_4)) + (portRef D0 (instanceRef bdcnt_bctr_4)) + (portRef C1 (instanceRef bdcnt_bctr_4)) + (portRef C0 (instanceRef bdcnt_bctr_4)) + (portRef C1 (instanceRef e_cmp_ci_a)) + (portRef C0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_ci_a)) + (portRef D0 (instanceRef e_cmp_ci_a)) + (portRef B1 (instanceRef e_cmp_ci_a)) + (portRef B0 (instanceRef e_cmp_ci_a)) + (portRef A1 (instanceRef e_cmp_ci_a)) + (portRef A0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_0)) + (portRef D0 (instanceRef e_cmp_0)) + (portRef C1 (instanceRef e_cmp_0)) + (portRef C0 (instanceRef e_cmp_0)) + (portRef D1 (instanceRef e_cmp_1)) + (portRef D0 (instanceRef e_cmp_1)) + (portRef C1 (instanceRef e_cmp_1)) + (portRef C0 (instanceRef e_cmp_1)) + (portRef D1 (instanceRef e_cmp_2)) + (portRef D0 (instanceRef e_cmp_2)) + (portRef C1 (instanceRef e_cmp_2)) + (portRef C0 (instanceRef e_cmp_2)) + (portRef D1 (instanceRef e_cmp_3)) + (portRef D0 (instanceRef e_cmp_3)) + (portRef C1 (instanceRef e_cmp_3)) + (portRef C0 (instanceRef e_cmp_3)) + (portRef D1 (instanceRef e_cmp_4)) + (portRef D0 (instanceRef e_cmp_4)) + (portRef C1 (instanceRef e_cmp_4)) + (portRef C0 (instanceRef e_cmp_4)) + (portRef C1 (instanceRef a0)) + (portRef C0 (instanceRef a0)) + (portRef D1 (instanceRef a0)) + (portRef D0 (instanceRef a0)) + (portRef C1 (instanceRef g_cmp_ci_a)) + (portRef C0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_ci_a)) + (portRef D0 (instanceRef g_cmp_ci_a)) + (portRef B1 (instanceRef g_cmp_ci_a)) + (portRef B0 (instanceRef g_cmp_ci_a)) + (portRef A1 (instanceRef g_cmp_ci_a)) + (portRef A0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_0)) + (portRef D0 (instanceRef g_cmp_0)) + (portRef C1 (instanceRef g_cmp_0)) + (portRef C0 (instanceRef g_cmp_0)) + (portRef D1 (instanceRef g_cmp_1)) + (portRef D0 (instanceRef g_cmp_1)) + (portRef C1 (instanceRef g_cmp_1)) + (portRef C0 (instanceRef g_cmp_1)) + (portRef D1 (instanceRef g_cmp_2)) + (portRef D0 (instanceRef g_cmp_2)) + (portRef C1 (instanceRef g_cmp_2)) + (portRef C0 (instanceRef g_cmp_2)) + (portRef D1 (instanceRef g_cmp_3)) + (portRef D0 (instanceRef g_cmp_3)) + (portRef C1 (instanceRef g_cmp_3)) + (portRef C0 (instanceRef g_cmp_3)) + (portRef D1 (instanceRef g_cmp_4)) + (portRef D0 (instanceRef g_cmp_4)) + (portRef C1 (instanceRef g_cmp_4)) + (portRef C0 (instanceRef g_cmp_4)) + (portRef C1 (instanceRef a1)) + (portRef C0 (instanceRef a1)) + (portRef D1 (instanceRef a1)) + (portRef D0 (instanceRef a1)) + (portRef C1 (instanceRef w_ctr_cia)) + (portRef C0 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_cia)) + (portRef D0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_cia)) + (portRef A1 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_0)) + (portRef D0 (instanceRef w_ctr_0)) + (portRef C1 (instanceRef w_ctr_0)) + (portRef C0 (instanceRef w_ctr_0)) + (portRef D1 (instanceRef w_ctr_1)) + (portRef D0 (instanceRef w_ctr_1)) + (portRef C1 (instanceRef w_ctr_1)) + (portRef C0 (instanceRef w_ctr_1)) + (portRef D1 (instanceRef w_ctr_2)) + (portRef D0 (instanceRef w_ctr_2)) + (portRef C1 (instanceRef w_ctr_2)) + (portRef C0 (instanceRef w_ctr_2)) + (portRef D1 (instanceRef w_ctr_3)) + (portRef D0 (instanceRef w_ctr_3)) + (portRef C1 (instanceRef w_ctr_3)) + (portRef C0 (instanceRef w_ctr_3)) + (portRef D1 (instanceRef w_ctr_4)) + (portRef D0 (instanceRef w_ctr_4)) + (portRef C1 (instanceRef w_ctr_4)) + (portRef C0 (instanceRef w_ctr_4)) + (portRef C1 (instanceRef r_ctr_cia)) + (portRef C0 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_cia)) + (portRef D0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_cia)) + (portRef A1 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_0)) + (portRef D0 (instanceRef r_ctr_0)) + (portRef C1 (instanceRef r_ctr_0)) + (portRef C0 (instanceRef r_ctr_0)) + (portRef D1 (instanceRef r_ctr_1)) + (portRef D0 (instanceRef r_ctr_1)) + (portRef C1 (instanceRef r_ctr_1)) + (portRef C0 (instanceRef r_ctr_1)) + (portRef D1 (instanceRef r_ctr_2)) + (portRef D0 (instanceRef r_ctr_2)) + (portRef C1 (instanceRef r_ctr_2)) + (portRef C0 (instanceRef r_ctr_2)) + (portRef D1 (instanceRef r_ctr_3)) + (portRef D0 (instanceRef r_ctr_3)) + (portRef C1 (instanceRef r_ctr_3)) + (portRef C0 (instanceRef r_ctr_3)) + (portRef D1 (instanceRef r_ctr_4)) + (portRef D0 (instanceRef r_ctr_4)) + (portRef C1 (instanceRef r_ctr_4)) + (portRef C0 (instanceRef r_ctr_4)) + (portRef C1 (instanceRef precin_inst207)) + (portRef C0 (instanceRef precin_inst207)) + (portRef D1 (instanceRef precin_inst207)) + (portRef D0 (instanceRef precin_inst207)) + (portRef B1 (instanceRef precin_inst207)) + (portRef B0 (instanceRef precin_inst207)) + (portRef A1 (instanceRef precin_inst207)) + (portRef A0 (instanceRef precin_inst207)) + (portRef C1 (instanceRef wcnt_0)) + (portRef C0 (instanceRef wcnt_0)) + (portRef D1 (instanceRef wcnt_0)) + (portRef D0 (instanceRef wcnt_0)) + (portRef C1 (instanceRef wcnt_1)) + (portRef C0 (instanceRef wcnt_1)) + (portRef D1 (instanceRef wcnt_1)) + (portRef D0 (instanceRef wcnt_1)) + (portRef C1 (instanceRef wcnt_2)) + (portRef C0 (instanceRef wcnt_2)) + (portRef D1 (instanceRef wcnt_2)) + (portRef D0 (instanceRef wcnt_2)) + (portRef C1 (instanceRef wcnt_3)) + (portRef C0 (instanceRef wcnt_3)) + (portRef D1 (instanceRef wcnt_3)) + (portRef D0 (instanceRef wcnt_3)) + (portRef C1 (instanceRef wcnt_4)) + (portRef C0 (instanceRef wcnt_4)) + (portRef D1 (instanceRef wcnt_4)) + (portRef D0 (instanceRef wcnt_4)) + (portRef C1 (instanceRef wcntd)) + (portRef C0 (instanceRef wcntd)) + (portRef D1 (instanceRef wcntd)) + (portRef D0 (instanceRef wcntd)) + (portRef C1 (instanceRef af_set_cmp_ci_a)) + (portRef C0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_ci_a)) + (portRef D0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_0)) + (portRef D0 (instanceRef af_set_cmp_0)) + (portRef C1 (instanceRef af_set_cmp_0)) + (portRef C0 (instanceRef af_set_cmp_0)) + (portRef D1 (instanceRef af_set_cmp_1)) + (portRef D0 (instanceRef af_set_cmp_1)) + (portRef C1 (instanceRef af_set_cmp_1)) + (portRef C0 (instanceRef af_set_cmp_1)) + (portRef D1 (instanceRef af_set_cmp_2)) + (portRef D0 (instanceRef af_set_cmp_2)) + (portRef C1 (instanceRef af_set_cmp_2)) + (portRef C0 (instanceRef af_set_cmp_2)) + (portRef D1 (instanceRef af_set_cmp_3)) + (portRef D0 (instanceRef af_set_cmp_3)) + (portRef C1 (instanceRef af_set_cmp_3)) + (portRef C0 (instanceRef af_set_cmp_3)) + (portRef D1 (instanceRef af_set_cmp_4)) + (portRef D0 (instanceRef af_set_cmp_4)) + (portRef C1 (instanceRef af_set_cmp_4)) + (portRef C0 (instanceRef af_set_cmp_4)) + (portRef C1 (instanceRef a2)) + (portRef C0 (instanceRef a2)) + (portRef D1 (instanceRef a2)) + (portRef D0 (instanceRef a2)))) + (net scuba_vlo + (joined + (portRef Z (instanceRef scuba_vlo_inst)) + (portRef AD3 (instanceRef LUT4_1)) + (portRef AD3 (instanceRef LUT4_0)) + (portRef CSB2 (instanceRef pdp_ram_0_0_0)) + (portRef CSA2 (instanceRef pdp_ram_0_0_0)) + (portRef CSB1 (instanceRef pdp_ram_0_0_0)) + (portRef CSA1 (instanceRef pdp_ram_0_0_0)) + (portRef CSB0 (instanceRef pdp_ram_0_0_0)) + (portRef CSA0 (instanceRef pdp_ram_0_0_0)) + (portRef WEB (instanceRef pdp_ram_0_0_0)) + (portRef ADB13 (instanceRef pdp_ram_0_0_0)) + (portRef ADA13 (instanceRef pdp_ram_0_0_0)) + (portRef ADB12 (instanceRef pdp_ram_0_0_0)) + (portRef ADA12 (instanceRef pdp_ram_0_0_0)) + (portRef ADB3 (instanceRef pdp_ram_0_0_0)) + (portRef ADA3 (instanceRef pdp_ram_0_0_0)) + (portRef ADB2 (instanceRef pdp_ram_0_0_0)) + (portRef ADA2 (instanceRef pdp_ram_0_0_0)) + (portRef ADB1 (instanceRef pdp_ram_0_0_0)) + (portRef ADB0 (instanceRef pdp_ram_0_0_0)) + (portRef DIB17 (instanceRef pdp_ram_0_0_0)) + (portRef DIB16 (instanceRef pdp_ram_0_0_0)) + (portRef DIB15 (instanceRef pdp_ram_0_0_0)) + (portRef DIB14 (instanceRef pdp_ram_0_0_0)) + (portRef DIB13 (instanceRef pdp_ram_0_0_0)) + (portRef DIB12 (instanceRef pdp_ram_0_0_0)) + (portRef DIB11 (instanceRef pdp_ram_0_0_0)) + (portRef DIB10 (instanceRef pdp_ram_0_0_0)) + (portRef DIB9 (instanceRef pdp_ram_0_0_0)) + (portRef DIB8 (instanceRef pdp_ram_0_0_0)) + (portRef DIB7 (instanceRef pdp_ram_0_0_0)) + (portRef DIB6 (instanceRef pdp_ram_0_0_0)) + (portRef DIB5 (instanceRef pdp_ram_0_0_0)) + (portRef DIB4 (instanceRef pdp_ram_0_0_0)) + (portRef DIB3 (instanceRef pdp_ram_0_0_0)) + (portRef DIB2 (instanceRef pdp_ram_0_0_0)) + (portRef DIB1 (instanceRef pdp_ram_0_0_0)) + (portRef DIB0 (instanceRef pdp_ram_0_0_0)) + (portRef B0 (instanceRef bdcnt_bctr_cia)) + (portRef A0 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_4)) + (portRef A1 (instanceRef e_cmp_0)) + (portRef A0 (instanceRef e_cmp_1)) + (portRef A1 (instanceRef e_cmp_1)) + (portRef A0 (instanceRef e_cmp_2)) + (portRef A1 (instanceRef e_cmp_2)) + (portRef A0 (instanceRef e_cmp_3)) + (portRef A1 (instanceRef e_cmp_3)) + (portRef A0 (instanceRef e_cmp_4)) + (portRef A1 (instanceRef e_cmp_4)) + (portRef B1 (instanceRef e_cmp_4)) + (portRef B1 (instanceRef a0)) + (portRef B0 (instanceRef a0)) + (portRef A1 (instanceRef a0)) + (portRef A0 (instanceRef a0)) + (portRef B1 (instanceRef g_cmp_4)) + (portRef A1 (instanceRef g_cmp_4)) + (portRef B1 (instanceRef a1)) + (portRef B0 (instanceRef a1)) + (portRef A1 (instanceRef a1)) + (portRef A0 (instanceRef a1)) + (portRef B0 (instanceRef w_ctr_cia)) + (portRef A0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_0)) + (portRef B0 (instanceRef w_ctr_0)) + (portRef B1 (instanceRef w_ctr_1)) + (portRef B0 (instanceRef w_ctr_1)) + (portRef B1 (instanceRef w_ctr_2)) + (portRef B0 (instanceRef w_ctr_2)) + (portRef B1 (instanceRef w_ctr_3)) + (portRef B0 (instanceRef w_ctr_3)) + (portRef B1 (instanceRef w_ctr_4)) + (portRef B0 (instanceRef w_ctr_4)) + (portRef A1 (instanceRef w_ctr_4)) + (portRef B0 (instanceRef r_ctr_cia)) + (portRef A0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_0)) + (portRef B0 (instanceRef r_ctr_0)) + (portRef B1 (instanceRef r_ctr_1)) + (portRef B0 (instanceRef r_ctr_1)) + (portRef B1 (instanceRef r_ctr_2)) + (portRef B0 (instanceRef r_ctr_2)) + (portRef B1 (instanceRef r_ctr_3)) + (portRef B0 (instanceRef r_ctr_3)) + (portRef B1 (instanceRef r_ctr_4)) + (portRef B0 (instanceRef r_ctr_4)) + (portRef A1 (instanceRef r_ctr_4)) + (portRef B1 (instanceRef wcnt_4)) + (portRef B1 (instanceRef wcntd)) + (portRef B0 (instanceRef wcntd)) + (portRef A1 (instanceRef wcntd)) + (portRef A0 (instanceRef wcntd)) + (portRef B0 (instanceRef af_set_cmp_ci_a)) + (portRef A0 (instanceRef af_set_cmp_ci_a)) + (portRef B0 (instanceRef af_set_cmp_4)) + (portRef B1 (instanceRef af_set_cmp_4)) + (portRef A1 (instanceRef af_set_cmp_4)) + (portRef B1 (instanceRef a2)) + (portRef B0 (instanceRef a2)) + (portRef A1 (instanceRef a2)) + (portRef A0 (instanceRef a2)))) + (net af_set_c + (joined + (portRef CIN (instanceRef a2)) + (portRef COUT (instanceRef af_set_cmp_4)))) + (net partial_full + (joined + (portRef AlmostFull) + (portRef Q (instanceRef FF_0)))) + (net Full + (joined + (portRef Full) + (portRef Q (instanceRef FF_46)) + (portRef A (instanceRef INV_5)) + (portRef AD0 (instanceRef LUT4_0)))) + (net Empty + (joined + (portRef Empty) + (portRef Q (instanceRef FF_47)) + (portRef A (instanceRef INV_4)) + (portRef AD0 (instanceRef LUT4_1)))) + (net WCNT8 + (joined + (portRef (member WCNT 0)) + (portRef A0 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_48)) + (portRef A0 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef e_cmp_4)))) + (net WCNT7 + (joined + (portRef (member WCNT 1)) + (portRef A1 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_49)) + (portRef A1 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef e_cmp_3)))) + (net WCNT6 + (joined + (portRef (member WCNT 2)) + (portRef A0 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_50)) + (portRef A0 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef e_cmp_3)))) + (net WCNT5 + (joined + (portRef (member WCNT 3)) + (portRef A1 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_51)) + (portRef A1 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef e_cmp_2)))) + (net WCNT4 + (joined + (portRef (member WCNT 4)) + (portRef A0 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_52)) + (portRef A0 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef e_cmp_2)))) + (net WCNT3 + (joined + (portRef (member WCNT 5)) + (portRef A1 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_53)) + (portRef A1 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef e_cmp_1)))) + (net WCNT2 + (joined + (portRef (member WCNT 6)) + (portRef A0 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_54)) + (portRef A0 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef e_cmp_1)))) + (net WCNT1 + (joined + (portRef (member WCNT 7)) + (portRef A1 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_55)) + (portRef A1 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef e_cmp_0)))) + (net WCNT0 + (joined + (portRef (member WCNT 8)) + (portRef A0 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_56)) + (portRef A0 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef e_cmp_0)))) + (net dataout17 + (joined + (portRef (member Q 0)) + (portRef DOB17 (instanceRef pdp_ram_0_0_0)))) + (net dataout16 + (joined + (portRef (member Q 1)) + (portRef DOB16 (instanceRef pdp_ram_0_0_0)))) + (net dataout15 + (joined + (portRef (member Q 2)) + (portRef DOB15 (instanceRef pdp_ram_0_0_0)))) + (net dataout14 + (joined + (portRef (member Q 3)) + (portRef DOB14 (instanceRef pdp_ram_0_0_0)))) + (net dataout13 + (joined + (portRef (member Q 4)) + (portRef DOB13 (instanceRef pdp_ram_0_0_0)))) + (net dataout12 + (joined + (portRef (member Q 5)) + (portRef DOB12 (instanceRef pdp_ram_0_0_0)))) + (net dataout11 + (joined + (portRef (member Q 6)) + (portRef DOB11 (instanceRef pdp_ram_0_0_0)))) + (net dataout10 + (joined + (portRef (member Q 7)) + (portRef DOB10 (instanceRef pdp_ram_0_0_0)))) + (net dataout9 + (joined + (portRef (member Q 8)) + (portRef DOB9 (instanceRef pdp_ram_0_0_0)))) + (net dataout8 + (joined + (portRef (member Q 9)) + (portRef DOB8 (instanceRef pdp_ram_0_0_0)))) + (net dataout7 + (joined + (portRef (member Q 10)) + (portRef DOB7 (instanceRef pdp_ram_0_0_0)))) + (net dataout6 + (joined + (portRef (member Q 11)) + (portRef DOB6 (instanceRef pdp_ram_0_0_0)))) + (net dataout5 + (joined + (portRef (member Q 12)) + (portRef DOB5 (instanceRef pdp_ram_0_0_0)))) + (net dataout4 + (joined + (portRef (member Q 13)) + (portRef DOB4 (instanceRef pdp_ram_0_0_0)))) + (net dataout3 + (joined + (portRef (member Q 14)) + (portRef DOB3 (instanceRef pdp_ram_0_0_0)))) + (net dataout2 + (joined + (portRef (member Q 15)) + (portRef DOB2 (instanceRef pdp_ram_0_0_0)))) + (net dataout1 + (joined + (portRef (member Q 16)) + (portRef DOB1 (instanceRef pdp_ram_0_0_0)))) + (net dataout0 + (joined + (portRef (member Q 17)) + (portRef DOB0 (instanceRef pdp_ram_0_0_0)))) + (net AmFullThresh7 + (joined + (portRef (member AmFullThresh 0)) + (portRef B1 (instanceRef af_set_cmp_3)))) + (net AmFullThresh6 + (joined + (portRef (member AmFullThresh 1)) + (portRef B0 (instanceRef af_set_cmp_3)))) + (net AmFullThresh5 + (joined + (portRef (member AmFullThresh 2)) + (portRef B1 (instanceRef af_set_cmp_2)))) + (net AmFullThresh4 + (joined + (portRef (member AmFullThresh 3)) + (portRef B0 (instanceRef af_set_cmp_2)))) + (net AmFullThresh3 + (joined + (portRef (member AmFullThresh 4)) + (portRef B1 (instanceRef af_set_cmp_1)))) + (net AmFullThresh2 + (joined + (portRef (member AmFullThresh 5)) + (portRef B0 (instanceRef af_set_cmp_1)))) + (net AmFullThresh1 + (joined + (portRef (member AmFullThresh 6)) + (portRef B1 (instanceRef af_set_cmp_0)))) + (net AmFullThresh0 + (joined + (portRef (member AmFullThresh 7)) + (portRef B0 (instanceRef af_set_cmp_0)))) + (net reset + (joined + (portRef Reset) + (portRef RSTB (instanceRef pdp_ram_0_0_0)) + (portRef RSTA (instanceRef pdp_ram_0_0_0)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_48)) + (portRef PD (instanceRef FF_47)) + (portRef CD (instanceRef FF_46)) + (portRef PD (instanceRef FF_45)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_37)) + (portRef PD (instanceRef FF_36)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_0)))) + (net rden + (joined + (portRef RdEn) + (portRef A (instanceRef AND2_t4)))) + (net wren + (joined + (portRef WrEn) + (portRef A (instanceRef AND2_t5)))) + (net clk + (joined + (portRef Clock) + (portRef CLKB (instanceRef pdp_ram_0_0_0)) + (portRef CLKA (instanceRef pdp_ram_0_0_0)) + (portRef CK (instanceRef FF_56)) + (portRef CK (instanceRef FF_55)) + (portRef CK (instanceRef FF_54)) + (portRef CK (instanceRef FF_53)) + (portRef CK (instanceRef FF_52)) + (portRef CK (instanceRef FF_51)) + (portRef CK (instanceRef FF_50)) + (portRef CK (instanceRef FF_49)) + (portRef CK (instanceRef FF_48)) + (portRef CK (instanceRef FF_47)) + (portRef CK (instanceRef FF_46)) + (portRef CK (instanceRef FF_45)) + (portRef CK (instanceRef FF_44)) + (portRef CK (instanceRef FF_43)) + (portRef CK (instanceRef FF_42)) + (portRef CK (instanceRef FF_41)) + (portRef CK (instanceRef FF_40)) + (portRef CK (instanceRef FF_39)) + (portRef CK (instanceRef FF_38)) + (portRef CK (instanceRef FF_37)) + (portRef CK (instanceRef FF_36)) + (portRef CK (instanceRef FF_35)) + (portRef CK (instanceRef FF_34)) + (portRef CK (instanceRef FF_33)) + (portRef CK (instanceRef FF_32)) + (portRef CK (instanceRef FF_31)) + (portRef CK (instanceRef FF_30)) + (portRef CK (instanceRef FF_29)) + (portRef CK (instanceRef FF_28)) + (portRef CK (instanceRef FF_27)) + (portRef CK (instanceRef FF_26)) + (portRef CK (instanceRef FF_25)) + (portRef CK (instanceRef FF_24)) + (portRef CK (instanceRef FF_23)) + (portRef CK (instanceRef FF_22)) + (portRef CK (instanceRef FF_21)) + (portRef CK (instanceRef FF_20)) + (portRef CK (instanceRef FF_19)) + (portRef CK (instanceRef FF_18)) + (portRef CK (instanceRef FF_17)) + (portRef CK (instanceRef FF_16)) + (portRef CK (instanceRef FF_15)) + (portRef CK (instanceRef FF_14)) + (portRef CK (instanceRef FF_13)) + (portRef CK (instanceRef FF_12)) + (portRef CK (instanceRef FF_11)) + (portRef CK (instanceRef FF_10)) + (portRef CK (instanceRef FF_9)) + (portRef CK (instanceRef FF_8)) + (portRef CK (instanceRef FF_7)) + (portRef CK (instanceRef FF_6)) + (portRef CK (instanceRef FF_5)) + (portRef CK (instanceRef FF_4)) + (portRef CK (instanceRef FF_3)) + (portRef CK (instanceRef FF_2)) + (portRef CK (instanceRef FF_1)) + (portRef CK (instanceRef FF_0)))) + (net datain17 + (joined + (portRef (member Data 0)) + (portRef DIA17 (instanceRef pdp_ram_0_0_0)))) + (net datain16 + (joined + (portRef (member Data 1)) + (portRef DIA16 (instanceRef pdp_ram_0_0_0)))) + (net datain15 + (joined + (portRef (member Data 2)) + (portRef DIA15 (instanceRef pdp_ram_0_0_0)))) + (net datain14 + (joined + (portRef (member Data 3)) + (portRef DIA14 (instanceRef pdp_ram_0_0_0)))) + (net datain13 + (joined + (portRef (member Data 4)) + (portRef DIA13 (instanceRef pdp_ram_0_0_0)))) + (net datain12 + (joined + (portRef (member Data 5)) + (portRef DIA12 (instanceRef pdp_ram_0_0_0)))) + (net datain11 + (joined + (portRef (member Data 6)) + (portRef DIA11 (instanceRef pdp_ram_0_0_0)))) + (net datain10 + (joined + (portRef (member Data 7)) + (portRef DIA10 (instanceRef pdp_ram_0_0_0)))) + (net datain9 + (joined + (portRef (member Data 8)) + (portRef DIA9 (instanceRef pdp_ram_0_0_0)))) + (net datain8 + (joined + (portRef (member Data 9)) + (portRef DIA8 (instanceRef pdp_ram_0_0_0)))) + (net datain7 + (joined + (portRef (member Data 10)) + (portRef DIA7 (instanceRef pdp_ram_0_0_0)))) + (net datain6 + (joined + (portRef (member Data 11)) + (portRef DIA6 (instanceRef pdp_ram_0_0_0)))) + (net datain5 + (joined + (portRef (member Data 12)) + (portRef DIA5 (instanceRef pdp_ram_0_0_0)))) + (net datain4 + (joined + (portRef (member Data 13)) + (portRef DIA4 (instanceRef pdp_ram_0_0_0)))) + (net datain3 + (joined + (portRef (member Data 14)) + (portRef DIA3 (instanceRef pdp_ram_0_0_0)))) + (net datain2 + (joined + (portRef (member Data 15)) + (portRef DIA2 (instanceRef pdp_ram_0_0_0)))) + (net datain1 + (joined + (portRef (member Data 16)) + (portRef DIA1 (instanceRef pdp_ram_0_0_0)))) + (net datain0 + (joined + (portRef (member Data 17)) + (portRef DIA0 (instanceRef pdp_ram_0_0_0)))))))) + (design fifo_18x256_oreg + (cellRef fifo_18x256_oreg + (libraryRef ORCLIB))) +) diff --git a/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.fdc b/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.fdc new file mode 100644 index 0000000..6fbcac9 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.fdc @@ -0,0 +1,2 @@ +###==== Start Configuration + diff --git a/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.lpc b/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.lpc new file mode 100644 index 0000000..a889eae --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.lpc @@ -0,0 +1,50 @@ +[Device] +Family=ecp5um +PartType=LFE5UM-85F +PartName=LFE5UM-85F-8MG285C +SpeedGrade=8 +Package=CSFBGA285 +OperatingCondition=COM +Status=C + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=FIFO +CoreRevision=5.0 +ModuleName=fifo_18x256_oreg +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=03/18/2015 +Time=14:42:54 + +[Parameters] +Verilog=0 +VHDL=1 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +FIFOImp=EBR Based +Depth=256 +Width=18 +regout=1 +CtrlByRdEn=0 +EmpFlg=0 +PeMode=Static - Dual Threshold +PeAssert=10 +PeDeassert=12 +FullFlg=1 +PfMode=Dynamic - Single Threshold +PfAssert=508 +PfDeassert=506 +Reset=Async +Reset1=Sync +RDataCount=1 +EnECC=0 +EnFWFT=0 + +[Command] +cmd_line= -w -n fifo_18x256_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 256 -width 18 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill diff --git a/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.ngd b/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.ngd new file mode 100644 index 0000000000000000000000000000000000000000..97f9124d8f2269e7525251cf5d5999814014a444 GIT binary patch literal 110186 zcmbqc2bfbu*UsDp#D=kBkAjG(n5BcL*-Zi>E%c^tXd+69fQpD33!>l&`XL>~KnmE#8*0d>;&Y3=AN*|x^+^KWsPMwmqJ7&`Kc}M!XcJ9{M-?^9HBetC3r$VPsKYeypd9V3hy7#D>J!k4PWK2&}#-M)T z?xO}D)2n+wf&vm6P&vF}_&B7eMJOE#j~);VBYAp;lELBOijm=}kpl*YM~ti(96`p5 z3CgG(HDFMvYGlRm{$c!I@FZj`^BNgOfi*^f(c$4E1`Hhn>oumebQ2sL0*(-N5LErB z9pfLDQ8S4fe3=%ZFp#y(i>y45H3^~#UtUZ|{>bUAoj!lg<0%^~s*j0LbNcnG>RJxB zzZNJpCOBl|gkF98r*`adB*2HHNo-22YR<%&Ret&(&{YzhGkNwov*tZVS&7p#T2A2VuRScIWqGi&ZJ~ds&x_SztcCUBX3? zNtSk^YC2hQLGsg!Or2zRBrj!S%I!f(CzslMnUmX%l1^?HBroS&j#vVm&j7hwWLi+| ziyRNc*%f9!MR|qkfvQ|=T2O-*n-q5!TgwwgJ25`o2bRKYdHb`;7r#a0x|wZ&Ex&eCEl3h26GD+=j4$BIIt zmR(y6QH_aWh-yqYzNjEYxvUr>F0L;wNbOoy3{ksoa0*gwH?l?5Wb`E}vD_&^K9@Vz z6v2&7Qu;CqPo6#z1~(NU1aJxIANBFnx7MEew%lywQ-v2AX{zxSnVviOoJkX_&YdwE zgJ|wNz&97TWVIxiTJ!Ci_0w0#?*qo`8r(?!NAL!zzSRawa z7)CNHttQVVk59|*(@%GZd5jTtO_0SIInSZ~QHjJR&Y3rT;*6@(&zUg;t{#n1pPa7D zi1gJ(2)TN&$Q4nvx(K15*A$f^CDs(#P_t7-2!;MwQ7IzlaYj@i)Sk7B%sO}WIrC)C zeuCX76Y0395LNzokqyl~PZZfulh+p6P~{I6*-)TQ79rH+rx@Yp5bz|sVSv1>V+*AY zh44%fBE4`SWkao5SAdBLQ~#@?#mE_c z^gye`k}xgprp}DbJ6{^UD4kA~aZn>(GSU>p^K5U%`Jw?)94{GZit}~pV#>sM6Q|EA zCx)N50ra+VGI?1dTq}k4vUEX3U_sTsVgjW0M$<=cvM>RvWusYyM%X6Pg0$acTF91d zHchBun@tl6?p4#GoZP-@nv_#xUn{bqnqDiiAwRF%$n;s%+BeKHM8O-j0g*(=o2E%Q ziSuTW4S+4S$@E#o(iYob`Ya-;+Q_H2R~z}%^0$n9YWG`4KGBge@+pdpkuS-3R~k&7 z-L0xCb-T9>dl|z!CO|d5V|t(}-!=iN^M7U$s`P)R1<~-X2_XHRQG$re$uws5Dynh4 zv_=!jQh%3oUX8LtuE;N&8cag39z|Nx{ z_JN&8m@!q}a@Dg1Xq`NpRzme`z-e{U z)nI#}LRB<%ZndFlQ>&(s=LRka&k~VV+iVQeJ+<`<248>GO$a+jz2zH(bqNBPEWNzMOOf<~`X7pU)~2Lq=D{3MOX4jta5Y94tkxQ)nT z!R?V6^u1w;-39gpSZzrV+a@Ci1U=S1^8?Ze3Urf9yik#s9VQs1yEc=RwbEkDc;^!I{y< z?z^4pv3id1H_qsRjLx|dCAuS{eZLYJU666?XX(bSx+0@phY}g($Y|N$*SLfq8T(C$ z7G+GJ7Wr4Di$KgDR@=q#VywHzI-+m17z4ds-vY#YSWItEk9EM=>0+#_$7*qnuNdp* zv5r2&W?7acS*+fHK(Qf6KH=m@F;_TC}JZVqyOTxM5d@&SXA%8E&zC}9#1EAE(clNO4o*Yw_0`qYv`zv!^8cNY(pi* zunFZoX$QBQws3#iAp^`BK6J206xNY5`d;2+EVX<`X^*mUawmta6*3|`k}!~$;__i2 zRH8sN=n@Ec+wKVhTR3H%#Fk_Xqo824uK&Df((0`4htom?o`#9}Ku*nuIzm_Pb%4hI%J~7CWJd z=)MdusU!Px##SWZrsQk(=HgtIvRdX^8to>yU$r$iStk{L`pu zgbSVb;vz>Vb^Omh(*_sF1J=b%1ed)|_a#jP7uKVe7ul4q^+OMMkS>TjTSxD(`JYFbeXXJ#LDaFm=i{ zcce^6j^0s4R_d~C?H?_emgVX)-{JBi2jG~)3gwH^EbgMY!f_?~{{7IF05%7o=Snx2xBZd=aj>=`*R=p2+F+L#D`<*lGQC+=a2pn@IweZ z7|RHh+eaD<4br___1-#xwZYas)6$BT340Q54H-IoFxFFI+rb4S*V3|UU;1{+kf9!H z*Rei)?K`BhYQPXIw8(W^>1}X$aMhqlu&UpHLDbHDsDv0aYGgOsnAmnvgh~w>9UMG# zL>JuJRkwBWvF_S-VFvlV2aOnxTcPOzd|x|A|3JU(4l-Rk0EG^sZ=DAW88DK3E{q`6 zcfimpwY)oka>allqpQf{a!Sn~Ftl{bSk-Zqlj` zuv4_K+K*DLPy@anNTtx0lf%K0m&zfnViiqUO%5z<*AV+Mpq;$esC zLkOa$3vd@)eP~Q2u+v(7m`-5pt@==HhFj-q*$=5qcgkv~v$_-cN9a=Bi0+PdiafU0 zsqRE?d%GOTbeNr@d+Gb_6p`G~P9bq*OtrBawfG2~)r}g~$bFFokz_)*3P4b9c$+iM}9kx z8s@k2Pd&G1(;;Vq30>wDYK8J?%Usy6QwZHLSNbET_u**eP<^%T7^K zdfVkFgg$nPf<4Z*q-Gvx=TTFR*Ll>EzIK*O=`5? z30#V@D#v0m{-d8F58-DWweXgF1f>7TRSgPP zPyiKVAseikCU4OK)DjbwcYG(hZRM@u;ciskF;%!d$h*NxH!67uxlwr^7IOQQcUWP! zt-L+14!e!z-C;#Gq2n;_gXnJ1YE_mjkwy0<)%_d!qFc6Lql#rK`!zC_&Ft66TC#Fd zBUs)Jp47+*?i{Th_l%clBJTM_^#FGPvOn=p+q)4hZGi2&IZ?usyd|nCRR@-NV%u)s z5@jTH59dqd&=T(7drH+q3$x?o7%~S2-IvS7>*bLW-sH-^!^6la8cp(Mo=WXFC|xRx z8u9s^nNnG_bUoqAXsIkB!h2TSm4zEpf5)^7lntQeU&SGAdznSCdJjjTM$M)|oqusR z4L6T_e&+^p8`kbqH!HYZJ8Fp=#4Y2lH8EFhxYs-A_p}SdJz?MBUKdFBZ68OALB8qQ z>!E@RroD#lr@D-*=w5S&o!x%%xTh7EkT3R7MR%OLZ%epQL@R0|ZWIjfoN z+>IiNp0_34C~h~~wDY-8fbDN^wLss7T7er2#pd!}(>EsVLg{w%@UoZ-rE#|N=kZ1; z-xZvANYs_1Z@c#Dop$BW7~47NZl&Ht?!G1Bve!3NT_(G8sAHEEye@mX4L$0wXd{$c zy4xn7D@WgOwb?V{%Awv~epKFt()jE-&~2;lN)Jl;T=x3Lt6lq~J4ch$a*^9zIn}-Y zTa91}ZiizdZb!uFQP~nO2ffc9UUvzaFIr!pZwzyZc1I@NS^8dn$9?1OEE1xl@HK|n z*^aFm#X%(QQyX`e&@3L)v*6CsG#>V9%9TY^&;B1YDnM{~JmE6N%`G?Ts2ww|Ec;$| zKnyv1IIA37kp>bZLPINQ{dY1YtWc!9$3Uz)s{89aTGsJ43k+#hH=@9$Drl)TM4Mq! z5!IC{tCA8Y>jW+L25CE5?G4g7w7eUtbL6UPsLrCb-VmL@+$5?awjue5*m>k+uu4@> zYlqo}l(6k+RXE(vBLBnfJX$`E(0P;?sS*`b^GIb^K|GDpImE>%okL9;t#f3HbV3p{ z#!kV-mT5`FAT?sNc0obboNF7xW6imC9$eO(XQz;uZyO@6n)!AXT-Ka#=OL7u^K~92 zmdUK(hzd$v#&!cL$oqwCHlUIo7OFm6WmQtv0-c4BYc9|^pt@#(PEczv&}N9SW}(iZ zs4mh8dYq}|B5g-)y;x_V%hX(~bEq%W#C4Wr=0csKmd9;FN?oF}=&7xmOYA&yd8wU8 zJY8z%A#s^XR8XTXS9TQ?4uy5K&Y?yu)H%do%@sODEn2MYs6kig zgydqewv!QGY3GsqtLzl{zsj~GmrLwC^0~y$qad%gQ%GFHhS=+aPsU>-HA^Wq50gU8QZjxyge!7~zmxbXqzP|b1O|9*L{3Jc z=2|ir!4aWwke*r{RvMU+rE%9xswQ27;W;j z(7xC!jl?NIY>0jSLJD7x3l73ITg^>edeA`fyPQi88b~dY3y6n0%`|(v&%%p9ak!Q@AI`@nT@<%@UrKsix^0g#Ljk=kNiy%Gu@x|~AzV``+2Vsw{ z=4P@%2GxBNeV-8|HdoNsQ-Z-!UGQ7y7vu9tb*2<$#gJGMM07uuNAT5xMa@Ggd}~oT zXlQVt+4^h^vl%|!`fQS54N>ckUeJ#;ux}&Rkq=`(rjjVY%g_5m_nbq{Igb%uh zs<|OnI+JdhY948#B;7gItnrsFN%vqiYnmuYw_G*rIWvR^trn*=a??@%oJgyN4*vw) zb;wNlOB>y9)I8p(9wPA3MktNtRHK?`!bml;rTO8pMz%DPA8TYwB0t{9md5fEjZj3} z_v3ui0a3)A9*#F{K#Si4cL_9YK7I{k>;C^MVSM#Ow^bKM67b8s@&_Zg5F^Q%P zL+Oz?!dc>QB(gwJj=`q1qI*;yY8k#7FJDZ)$nrJreZ;py)O8UezP`uV^KfH-b zq1SOe{Ur<2BQ*z}7cZTMX?3?@iIREr;FZ;j7bWIIq`SPDa37^wt%?~lXV0C-ThBF5 zqyV*UR0d1ii+!c5BDp%07fNSRPw8@Z6D9RcO~?JBrAyO7zje9^*Lo>_?0AZzXx`y4 z^*v4ZQOVK<)QkYYzU6P4-{KF`=o;rmHabH&04k{ z62jw>G=)7yo0XnTrp@AGS;$TsFGGj>vV!# zt|Kc^5u%%knrCzlt)`yQIW%o9D=0Hs7uP(i?PNmRQH!6`IW$u~uM_lqdCl|MPA>O0 z*m*RAzohaiq~&uuMef%tLt4exY|uFr#|tV)UPR@p0ddHMU|N@<2-CU@#qpxfp~k+f z6EdimwH-D66+4SkFHx#RMTpw7QJYb_U(pE)aHCy@vNo$SRDLs=p*-Q6)ORZJB7GOV z`(OauKN+;&=Jt5MifMh$wCth)H;_wFt0-a<*sKi`jk z)D)HM+NCRE|MSQQa(ht5n<;5GNt$H`QVRcCyo@&8%-=oYCL{tfA)n^mp^Tn0qcs=4 z99W=VOY!BPnEp~AB7ht$zsOy8`~BYDgULKuVLu`y3e79GFQ;ENBU2MYXq z3a!s=dG|T&&I3@YOw@dsSMs*xn;xs|hNP&g1f^xDRn*j`L|u0XL$?f8*`<(T0XooL zNQpn&r$ycQK3K}Ut30AE#Ww-kobl4!b3Uk=l z?Vht9CpM@Sop}>Q4mPMRg-Py3_7tC0wp3zGvT=mLM&ZBu#=adptbi-@hi%|l&NN_# zZiub2Woc2@vB13nEz3K-!75u)aN-v=Um!I-%B@sgOJql)nak1)N++y*_^H|JRjhfH z$vsTQx&%=Zi`>sd_6PLFFC^Avtj9a!pzD7sf7kl{$H6(e>(}1mSjC@*N95EZd%mct z4>($jns1@5)>?eN2(g|K=T_RWVhF&6rf0=aTLilJcYJNyN9h@$#qUM_f8V^b7(h6eZ+`;Jr zENTiFQP(HWmvB+@9m1q}|GXITw%+d*bw@_2X@dF+UFGE*3Nr@zDlW2@ddZQ-WHoXM z_#&<`*^q1>G~%0t#$+Ygk!h-kFdETxKE$3JE4FU&hD7QSGeNKa7%X&a-rF@anQ zZ*QjXB@yEv(FT!GE*FIxn zFT;X5qlwX?)Q!EAB5b@iqe(_CL`8O7RD*Ll)a#Y-GWkfC9nl0$}Vh-$=@a1v0dEQPX5N=w!5@My%&}! zOSh=*vUJbc2=f#6Uc%If?nXs+OUA_3N~}6&V$VoyW0Bpu6qVgnY$ve|Ub8ISc8e^H zL;gpj<5_7ZZ|fx}F=clUk zp1kz#2KR{hQaUNF?79LuF2=4cVRwCFjNZwi%2Ju$Ga{>9Bc_^fO?2Dn!l`~?b0EU_ zH@ISqe|sy&st2P1=0EZ`AB{KvNvwq3`HeCD+0rOXZ5dUD6 zhBH<$v0+GwoRu)K;SwwJn^^xC*_CBXY@oDjfgJuvZ5}A?T1HH45Mu=sI~ggFYn3pu zK@!`+Z(@~u^?HDn!5sVca42!-q{GL`=e?62E*z!?dUdI|tB5&v~ zucrj|HBjCouzbU|2g+T!YR)qNc6HWaqBS3|B5HoZdcK{Hk24Y3A$d{PAW=X9*(V}9EQ(Z;&&d(l;U3%pdHF~Xq>@O*kwTN{_D0?v$rC@2O49`Yj8&GX4XB0C$F z-6H&czsSa5*)7c{EYWl=Z(`&r1tL2qf>e@EJ`mZtxTWdg<#QH9_8i>A^oaA>5F&dn zEPE9A_yUnVFCprBMsehTY}tI2>zU!R4n%eVEPDmSmd|8-7r?StiqBmT*@dv|?c-A( zMD`*tQVE<>AuTUPxjr5~b53OAu>|IY>+9!(yhQd2SoTfwu|*=g7?#I-`8Xnxy$Y7c$NBUIkzEo)s=&t?iR{%VcS4kp zG7{NqVR=FZEXin>!t%rb4rP+9z7Ccrrue8NkxdjtUBJiZR*38}lnW&IU?q{g0hSdW zKCwe&m&39m#^-Q|>`kz&$n(*4B71WTyG#*2afr5w0dVL2epXM~9Cqp&>L&!>fn>}pt^oaEELM0QO=)D86V!B8TbLb-u) zJ{?75ABW|@0-vHHvQNNrP?V1m6WO(}#6FcgeQmEu!3MD}Tv z8{*^R{X}-1AE^ZLWVFwq+)xi6#wD`PdXb9pF?k~UTm-2+pYSKL>ocM*5`N#&D#ge3iR{a;9PQ&H{zUc_ zSdLEcVQnJ2F(c~6c=&KOS}-CN<5LYqb~DP2$@2+@BKs=Jjg9cxDI)tC%3;=&X9SAu z8(vX2&d)~@itL*xH!jI%$I${-)Q$J@X>cN29YZRP7-W2Jq1^ZaADSq#8I+q4g_<(& z?F3R8KC4h<{|C!c0(`=u$i559Q&N2X94(tg-Kjo4>QH29%lp&>AJvFdM$};@mZ#f@ zY!2m4i{U&SsY)%%otDR_lBqgaRz>(UO1g1FD$Pe$B9#(#Q9ouW*|LxPNG17%L6QA9 zCh8`7`M6Ax&BJnH95a~o`AGq(0-snYvY(;cq$nR&D6*f!a#9Afo3z{tOU(T8P(+b! zfaT;ApNA;2+hU?_iVriNwEP0)rX=`aM3MapmQy`^WTeP`4a=!9KD|d|zk%h{JfFQN zvfmc4?-t=hOyo{DZSc!80cp`Ke;C+ui;NGu__QGNs0@~i}(o*}Zo!*Yg)&&v?mKVUf{#^-E^?4KE=@_c%R$o`FTGb4O{6)hlUv z44>0Q3qnzMc7TuZ61f&Jq*8oBm&mn@h`KpGKEMm90#XS+tcw}sEYFMbBPJrZyBDbpKSUyOd!XF>06**^a(lvZ zKH|HR`UJKX*m@x3GS4v2`lg?>IM3!8NXq>_A)7H!Fix(mI0Xcle8ArsREx8 zhEz(_T@>Xr#6<3p2vQk7F$^1Qeo=REfKM%>?KY%Rd|DW7w28X7kIxdLEjFYQd{P)v zDN%QchtCnCZ8cGMd6LiT!seM@)GhMzXXH$IWBtjffR`)6ehrS)tbwR_Jx? zksgoOtm4(Aq+T|DDYlZ6IzRo946;W9@i{6`Xr8z*P;VWR2B|=c_R5|trhw#iH~2N5Tdeh)Jpv13o5M8 z)-*m5i;e?PSvZ-cP&oHdE7Xw3Cnn$jR#|wb{+{*AzqUeOdaTeF3F{cVcC51SCi_mO z3^>sWeeJVCU!~+*$|`HW0f9wdPJG=8eH*Ys-()O$(O6~SW%p6<95>4f6{1$?yMjgU zJF6_bK;JTT9UT4$hxxdCjaX&jRrhNSTsqPU{S1dcWh{E@SY_eGc=6ltSFF&laQI6> zz9p=(@HYH0ZTEcB3jH3($19Ji&{^Xm=bjY#-3tAg#K$jRT<0u05#iQ3cek`cf2Z+@ z$k*IeDI67W`9(_+*S~puVivuvuCj2hK>e2=-C~9DQ$|=Mj0P z!euF|-85S-oKH|U@1%BCxK+Rkx6D}d_PQ#CBM0_=^NlH1xOLPD?@*9$oU1IHJ+LA3 z?A2Cyr#L=di{4LHSvWW#8hNd=72YL@kKdx#s8tpY0w{aEzONN-lg1|^pG;C&cv7s> zZ{zN;!oC7Narr2o%EH4|hd$(g&b^R4hmuN5AVwCG(Km4z3iZrgOqwN`kv-wKaP%a?al7T$>3oVfK2D?B!0g~#OO z8!##h?^^wDQj5E+@c0-$c>HW~L_5SQQ{5MSgHC!%0w14zuSJ!@i&LBYr%kZJr={=- zSo9){%EFsV-+E3TXN98~e4-Y;e4(=N_R-Kit{QHICl&CCW5Wcyi+u&Xs%T*=WiL$k z17g@**%KYZvwQxR#ov$#DNp|u*g^`&N?3aP#5&L;B(MxnNog4@<#V@-SKLQWBfv7~ z)t3EA`E0XgP~dE~4Et@%Qa*RxJ2CEkw(K9#mcdd!cR9N9qg8AwQjo zo-GF^Y|B!$@03_Jr7c?yPHD?PDW5yG?{>^swj7$#mM50-**bmCR~y-qwg8#Wic*$4 zyqaFV16z*t+LoniZ&f(`pA*<}v|n3Rma=SlN##>`yofX!8_|}Bm#V$RDJy1&*m8VK zTZT$mmK|7l_z|`|C1G2Zs@?kSroS85^0bt;>|e^K=z2@n)@&KgXv+bmEIsY>Pjq3+ zNd;xeF99GyQ=Y>33`2?aNhnNCne?5eO^vmb-w5jwQ5ZdSuEr1^O4Va%huZc(9L=SD z1#S6oDa%$r-t+BRwruCsmXD-L_-r|-)gkrL64x4Sc|)v(Ws5DNM;;_Cap}>PYf9B# zcE+kxzhKKwNo~2hRPENfZr9YZ<Rjjmyq?ZMnKs?VkB}jKq)r#Ai3Z zvZRx>^qjPZGbiox>GYP;5^FH|U(88uVkIoS!vjk@u;tf2ZMj=1%iRvSWpy1}ejCu1 zyOyfG&EIGCy_zixQEllh<#X5ZSNtN_@<*Sx+^v+)UHZ&f$`)A8X-jV@%bkzhscN{i z!0Jw0?pmt$ohpV~o!RpDxVGG(l+PW9UDWMYw)``xEq5wq*}CxQ?N_kn-)U{RbE(>Q z82Q15UTpbqUR&-|%Cgn>tDe6^T4155Eq5tp+44Z&gR0lH@M+7POSQAb$>Z;zEG@8% z)Rw!HvMf7n?MuJ0W$UQ6Y*DIq>(432su^*oxVGHAluvQgUxV+H7FcuImZf}po?kz3 zC0n*hD@!`yRQGvo0jTS6>%Zd99U(1ntEDY}FJ-yImF>s0V#^m(+VWSYosQ2|k9B-W zK1)hBV%qZeQkE^ZcBtdI6Zd7>^4C(ew>WfLhXq{wM;UGTM=76WA4KP#!Iq!IZOc+V ztqHL$m2CN0Qd|C6%2Ig0O$}kot!ZufOR3sDS1+)xXUm4XvgC6ukf1wVjrrs^!d^=# zOx`KW@3>oL3=_~kh5!G#teMsK--ZJFh#WR=_)$ANO0_wKi~H95lJo$bdM+zBJ0Q3D zMw(XUec-0A1o zfuLX3`02acT(9x;UGCh3@$_8|abQ1<+h;#_dPd58OzT7u11PA09P9z)H^+k<@ zHOaFjVW=FizgX0*nnGwIc!)zRGrFf zT&5#8*tpzpJ1L+B`n6!|Thd9>Y&3VI{MzN?k??+y$X%XtvvFBk{_?Iy%Dkw_#ubR) zVPkQ`WFwcP-!{0-_AH8@@pG4@88q0)&5J6`VdI@whTqL>T%jX3*jOB~ok%wDOUl+< zlCY-Oprg~8c}=v5lQqqXn=^IxeWwWvMlOi@gMe58Hwa@4q zXP|Ffk!R3gV{2Lm=dfY@&}(;Q<0?DTo<&iY?W90`1HUqD{ZwL2u|WsWHII$@Q0zr` z#~i+-;9_IzTVDCBg<>P;H`%x*X0VaFHevRSuOjrD7`NH(8@MFFp&dw!0>Kq_YtFAQ zhmDU{FI&TGTmv)WRL|CQg}pXmJ1LM8`~tW2s|aCDvTS3Uk<)Sbfi~%*J&( za$}?4^ZO_D zEzHR4TOw~rg4$=y3YVeLKM_XVnqA6e^pb$W9OdtQVEMJIJh99L_QE&0nm}C|v!{-Y}|qP?Y@DFxyi<%Nr7i@sC@<-SD|m*7Guz018x=Ou<`J#gN|l4?#Sy* zhm9mbJlqQSFFo;7f2ahTW}~@%W0zf4KMUs_L@pV3vvDW-#tBi)M%yAbRv~_ejk|p& z8=cbhd=k8xY+RiZxjPdKnryUf%*N$?e;CGWtRj1g+_+-g?NgZQ8=KKLI;9C~l8vLA z%f=xKCw~P;M~K|rNjDp-Qu2vGo;T_b^_zX;Uc~RPabLh>qbt~$5T*7RedAj6ja4ZI zO*RhoE6nK|i##VyV>a&f=}f0@+!s)oVj}}Kx~2(hl8p|{9VyFJ)V~Es9YyZGw4054 zGx8Zp#YW4x$;JbS-)5t}Z_H%lc%;S`sC@<-*P(CRn_N`c`Gop%(u1Gmo*oUJA8@Wfo zlygn#2R2TlCYx*|3h2-g22D1)YRqBdz*ndAV>TX+sshGHc_eN-DWC>==B~cq|HDRe zN6I;uzeKwiokZ?YcrIFR<#D} zk9h4s(0sXV2e%4y*!b<8&i$E<)p4C^_YKsgFx5A1j*0rA3BsD}8^<)aZ;W{8sYBuD zD3MF~+-$7z%cpx)-{=Q6y8HF&>oLUdu<>}>WMgcKp7n)Svu~^@h};@KgSu~=9fzz0 z!O&QXwqN+<9n8jKNuBAi@pxKcijCVaQpTnTYmyCrbNj}*lNRg_N4TOr5pc8dctk$4 ztk@XpGuc>+_#HN$%$saX1RMPV)IMY0xIH3rk4G3Z*%<0mnA11fytMak%*NWZ&UDy# zGOsYj#$6FnKk>iWIJUWcW7V0@UJdWZh}=_AHycmJiiz-auWdfMR1+Le!rn zv8MY*bJ>{QuYMA|cNV#4;x0Do#|Gq+=&El_M9LW{&v^_sa_fC28}nd!QjFVd&l`7V z(4hki8f?^0)R@yZlCNI*60`9f*~?+%^o{jCg()`fhvRtx!kT2`nC6a@lLsCC8XO%f za_f^WHgeCQ$M;XDrq`cYFxl9E`0c)tdjat~*OUvR^jto*&*&TXVx&B$I*iH2nFTg9 z=8d;Ieo)VBZ1Cw!hm98k3R7%6=oR%BMhR<@jq>L9ja^nee>)ucMec>Pn~e<_`F?@w z8*`Cz`o@ch-(lk=#P6_iMV#I?p!OMTJOnm2WEeErn5!|TZ){sO;!9@Z#egc{jFj9< zQQHZKlnw{fdam#!93HWvG1y8!51{QBh>D zk$ce3hQ>%)xzhpjnT^eHooSC0)TJ=R##+o9D<#$>8y%Y4H>S0J{z`c7Dsr#+Tx`@| z0XBx%^Tu^x!&!^I4*hL5a&M$fHdev%$PBmHo<-OB1svLe#Hf?wN7MT2{0ejW#@qXR zcqOy(dQxZFY@jZMDKT<>qxugIHh zY(e}E8`a2jM#{q|*D1hlw%Nd@3>?~l*leuGE6icz$9P>Cv#}+uGaWXnbpgf3Xpg9W zSUPT!jc&~yDYr}+buVh{E^=>0-E36HBdH zn=-j-aA3|G)Hm)*E6icz%K2pvF&mk@&UDy#hajGO3e>?yCq?~Q>9|QYx;K}NzO9a| zfOG7;y%Tq{@peLB*GA8x46NP1~z4K@22cPVk9fr$gRsL%wc14p9!m&jjT^+ z+I<6cDNM03)+g#WORPyYdNj9h9MEOp7I^O^a=EmNjrz47`OcdfDbFK*r*G6DVw;WJ z`%#mP4DybqCY#q3+LT$VK(lW=?_)#bnzH5^Z;aWf3+POn4b-JD%|=?(XQW-zY&5rT z4C^@Ud3f(Fa_{F|Y~<<+@_j(X#-^ga@gd@O*!U=JvQbNHK>Q*$uqgwF44Q0gYTP$2 z=+gFQX5+)CDqxJ1kK(oyxRdXP*VhIJYm$wg&1Iu)davW*sE^2f49~^ujgP#vYoq6l zw~E-vBYuaCPm(4ZpGN78b!wln-oU0z?jtXQCL3=xW~1ATi`B|JA6EqoHaZ|qb1 z3^uSSll#QapvgwAF&n4O+VM2*8~jvU@htjz+IGThM{PLKqPwPy3-auUnQ}f1CDN+Bk#F}KIS9ANueap{!AKs4_xouGw8}*+Q zXb($|l&`!d8(-uNHgaEiOg8=j8^cta?Q6oxSBWr7tjo!^=og%<0te6=N|SO z#BcYF+_yf1jfNc}^tL&-*=7SKosXJ$jhA-uTw1 zFwKTnphm))WTRJeN6O3@Zyp0jCyLy+NjDqcq-YOIv+;Kk8wJGgus{}t->5OE^RyeU1sB#sLr(6KwSz` zY~XIHp`FB>pufC#*>}`ZRZ> ztZzM-o|_Je+@C%d8@WFa)j)lPZ8$7tvhf$Y}gZxV&lDpK#gSABpZi1Z2b3gOn0|J|WTY7ch@Q#7_MG7R5gpOilE1%k`r{bZ|=U?+AlNtcSJ_@e^$Ds56~d z|J9g^^<#_!Ub{3I>mklK`0rSU=kH0N#;~Xr`Uy52YeU#0Ph{a(bH5^TJJ#AV#bVt{R=FK}@>I#oK(}}fpQei6A&!Ov7>7>b6J2qF>KP!WD zw{()I-7)TpwPC7Hp7Nt&Eh~z3XO9`{%oLq9!>zRY@GA*4)2Ev5#QNcq;iEa$vJ$cG zO!hq87w}&?#in7V^xR~uM>jXtF`KGZ!TA7DyGz6!>#69cuqJiw*<4-!ZFAAFa5PZV?p|=mIuo&;640@>fp*T^v}fLobrHoH<5t?S z{tvp&3@~WKI(PZ)c+v ziQ2u=?pPN^<%w2myzYv4oLKh(56(5`TI8J`;a1wQz6)I!MOD+C@%l;4y1hBpU1bc# zvF;O41yrox7DU6f{~7C+2V$P>`tuhj@7NA?4i>fhCKLnu?@2UV2nMF1XRnN5?x@`> z!l@eyNHr{n+S4$?m&(+QX-;kOQ{GKT4aN24A(^^arB=i!Z-uhFQkGlEDf#!;JLP|Y$v=M~vQ+^ALI|{wz2&QBiT);z43&TL`D*yCLnWgAfN)g7)1Z3(43Lpr06T7GkU<+P*K}XU$52BK`n85dyq#u zV!)`>q9byQN?Y`XYom_nqT%KUy=SLK`mGR%%1P0KWnQ+Fq6fPD=4ecdPQhqQi;ht!iKeMni%wx` zJ6m*wpfOu?5TG$zbOfg{79GMNM1?8QqiG6_Fh}%B9t!~~Oo=`XWm~2gqmjOSqVIOa z&u_vP*2zcui$^+IZ!i;mG{W47oBQ`k&f$oDV?^6IqVJl}7>iEAwTUTAi9RJxp%LbY zJ~eFxclRnxiGG$OgfSZF+c&+>Z~x36@HI@-;`+%u(R!o@cT3B29+l{AJf`To1RT*Z zGx`kCgJp4gGfs&f+(DIN(f5Irj_CVBN=NjzkPeUql>d*>a|MZ?O7Df+HHq>@^6x|wmN_d_71Bf1Y#I-(y6DZ53q=!YfH z1@h{e9&8umml0X?_RzOoo;WZ>{~-ecqYP@%zXz$i`ZVTurmf&%3ATgi z&o^s&Z}N}V{k^b1{c=A-)b`1^MW_975{S66g1#uHXc%Cg%5#c3J1#c?PSNTeT{6xo z;?oKq9pMy@^ke*-B5tf;XRl1D>AiEoS-o4qV>9xcay8QZDJ$p?@B-HgmM8G>5eJ6o z-+Muf0&UTO@ylN%5{piDz9<*gBb~6LBN}r?dYin)9MO+KSjD0f0UC2e@9fnWi;iPC zgPjWslVQTlC&aJQlrYfYEV3B~R~z6EdZy_aQMUvl4x11gW?l=@Gvb9BPmBVO}d3NfHMx z(SvaY&5_b*Kt zHW-lgsUer!5xJ8epSRfwhvkIJI(Z?h?#iCD6aEe8r=H(QNe!hoO zEc*En&k=n=TBelf7rhyiHPSDP0MFA^#VTL#`MfY04Sc*Yg zbYRoG8dIVJn^n-55*--vKn~5-`aW0`8<_h9@Tb^=(D^U zb3|Vh&=`wO!d>B0m?8S&JYmJ6Uzt{z68(Y%!Y7DF`U}mP-kTKttEF!bgR4_S?d+6W z^hGJYNh7YnNx>9-cE%Kaeu7gh`a&GYCJ<7)JU7+c(nF|6F|+e4Q$4 z&q=sNPx#FrT$aX6(HBHa(JzC{&O+{rgzEnu;=mA{Zp9LQ2DRvPD|Uq~h$H&an6`67UlG@sGtw8RN=(sL zMzx(I`ej~?IilYZ&=`wO!rkgqm?8RYdBTcCzdfxmCHh5(?KXmVq_5w;k^X`;z6!2R z6SWt_+@jx-GJkMcQ7}cnJY$M}Eo62?zus&9;Bq&_b40&8Z;F0T+7$iXq?B2SeqUVv z8Ac`g{TS)@*(3b{jP(06#DO6?-HP3kVo-}tw_?}Zf;ghDC}=xJ^t%aijr7Y^C8p?i z=e3<9`n3s-IilZ_))5r$a;NubEz!05o#qRYps70rh`mMGgEP5Pv zcgM6Hi%xcr#x>@Q^y^e5rs%7q+RhPug;!&a=xYKRW6?>tluu!X=#S+ID;E9nw8E6= zm!=RtK|IpGY}WMNEz}#cc&wk<9je z`h8xuWYM4VbBaY@PkHt&+xmh`Dbb(LNST%B8xZFPY{#^eHN22Ohsf)NTsme2(?o$O zItjNX#h@wreO`^R=u%)furCI=&KUi&JlfmL1QdB3HQAA zC&Qw@9BTz%kY$TSPsgY%Fe$qH9^8;(3?m&4+@5FTuDtZw)8K2OsJ+(f7X5h|l^CNe z`g2K6vFP_iSUeW}Q7@->A(w(cBrJ=*F~zABh{FnQQh7YmH^*e268+VPdCT^iU;ag0 zCHm`N=e493{q=$sd_7Ja7$cp;d_KycEjo&&B&l>O=7|1WQrjufVYg9|T71iPPej{M z^Lh2Y$@b@n{-{^mIihby3GP@dI^D9p8c~=r(qETli$#CEKz3Sm`t!gD-_ICCzj^ya z|7_9~iEAy`%l9k$zdh3ci~l zJ1si>HE1jNUQA(XdS4Q=g8xe~2GK8U)-}D!>D}7@!9(F|vZ!5=af|*g#2aRh^mn2x z9*dqyvUn`|`Z%Xp^o;>QXHBS){%V@bvFLS>(wW}hhm=Su(LaEckXcRdA4cTgsaB$Y zDt^g0Mj;z`jf|4ed$}=z2^uCpZP3r0WvpkE( zBmJ#3r&#p&ee!e&CHhCI9E<)9q;y387E(H*e+MZcvl{7zg#7FAYNUT31%HSrOo@II$`%rgLG+upZ=_GN&dkEs>7w@0 zq+9gwJ@POMCHi*(2xN=?O`OGJ(Ko}Aq-4=QPqQWQiB7A^vFN`-N=NkHAf+vOXve6O znMDungmM+QNt4&~(9S+SMpcR)+68}tX=llSA^OcR5J- z0q+!G45Ht=eWH6l{pDHsnkH(Wh`U4&?U-Tlh$}1jn}<`x2OHiN*9z^O zBn}MGF-4=v4C;|ik1`c}8goSdjUXwK5*-e`c3DUC`na~UMMnr4qu}}4|3O}3w&(~> zV=OvW?+8(0GA#OzK>YH*82!|v<#zs$`a;hjxOnp|}?P0EkEBSo8z^T#iLQ$SYGy^n(gg zW;N0e&fwtJj28Wn6lNV;bYFrF3?>c?(Ql1`RKlc~JkoE2m^-C3W{ZwsG-i+V&;gQE znvNaOzl~};NAv?##pX!=)u-(o(GT)!j72Bm4k{>2jr0|0-ytc&^hg)k6$LBgODIf< zo`l`O8O9*`!`nB~|7dmo)9^K2)V>gKi+%`X?ibLa9~5Wth!HDvK$^vqqK8^TE*i}| z(!bBkvr5!Rr*|A3(GQ1|WXYm;fRson(T{+XkXeb|F@{qu(EWL&9~nVM!VMfx@16W~ z5-@RKh<;ZD1O^z?BYjl@Q+{4!j_3!)HRgzJzclHHjxe>IBl_Wz{Gw|*2+($p=p9lT zW6?>tBN7TzqTh}-9~mLc5&e#g73$X>Ixi~gY33LTi#m?QcTK8-n|`)te}>7m1; z+RhRESV?}7=)wO5w4EcmKcX=forEj*D@=)gH`?5#K$s)?y%8(aHKQ;k`lE=Wig!`ri%yHXWAhqwMDG*Tm?L^u8*@bOmDF~Q=#`TEV$t{T zX*);sAQ6iO>RUDvE)-Lk53Jp%ml)9!5iJPnUp;5dJ71N?e0#;~fMqks1`K(YRMI0ESW2GJn9l$u5?7cMoxUTG zfc$UK>V23`VM_F8(Y~Qk#vuBQ%^K-VJ~=b!+p`~luUVq@gQQ#ZAzpq9jYS`XkvGJr zr}y3vh&lv|UK!vNiylt%o;`~`8sZI3>DBufWyzwC^_ilN^GKQ1NFSFMb)!;R^zmsc zG(Mt5pOB;jnTP{J^k-rq)ytq39sBX2a9U$5`qK$3G$^7m7X7J|6&fAYm?L`cxW*jO z#{@Lyh+b)9j_6~3+Kxph;l>d}ox>1)e3~#l(na>km=&6kRG1R|B`TX|45GtfGj`;f z6ut1}?FYcuY*G7Z+%5XJ82@m>qK`?@8IfA_Q3X@aYtg5s=(Hx{zz`iju7t+L7}TO;KRz_ruQ3)K zzuAVyq%_8&Zvd%LyR0MnsDie0M4uSZm?QeZQH?pGPx5PwMJM4Vdljap_vg^QDH*~X z(bs3J(A1Q|l<2Pkn_OUwL~qvg-lXWC+;jd+_=<_zZBe)AQy}Hoh@RdjVRVg&Y0;y3 zQ}p9Krs$&~5bfEs=o9>OOrjS3%z`QUS+I0OpOKO>E74~ru!D~Yov-P$V)CFWb;~w8 zLPt3f2ZreQaV0b*%Agh<`|+XCl2p33vgn&)R%lXE+p*{yLFxp*#vIY3d5t-upDD>N zzNQ~fW;BB3y(mxlXW8|#=p@{Xl(JKzzl`?HiV^0B{z}3M&5kHciT*aQnF+=q`c>OE z(#Oo-*c!gh7Pa37+@jBdloO&_^cev<)lrLnX517#R3!SuH1FB7=u@ejSBpLmQqpCC zNBTLC(h>b!NC}yh=;!&dgCEnP&-cnxtd!{U3v|vCabSp!A6G)N2$ST~l}2Q-A0L{S z))N_bcO!hw5w$=0+@hbCjmuLgytJ)FZvdZ-vf^YK%pHFG0a*j76ta{wy1FM4#u=c8=&5N%D(D zA5zeE&h&n3!62)H~D+| ztd4b5=Uh?y2L?v*eflKSYy zS_~=4l1KWLkP<1idcO)@AhQx3KR<_-c(mwON6;bi`kH=?-wIuwCJqeINw`Y_3~JE{ zJBJ`S3R!f*E{f)^?8Qi!&Od;CU(^o6wje`jsh-vFIe+RoYI8UYkH5 z5wg>w%kQCU{0ftzGqxng7)1Ye`$RAE-q{tt=84*W^KQ|v^09a<`r;_3SoFyxCB&n+ zt6b`VD@K zvFLQmw%n^QDLQ>eAoL%X=qvLIQzQK&Kf?Dh2GPH3mgr3`d4A74=ez%?&%9=R*5|$6YwekNX7Abi;XqAuw5Lz? zp_d9x`ZP~wrX^|8r@Qb79B(k`pXOxd9$!P6^bm|56B1LCUfRA06C5)&>80(mGV_o{ z?aZF;xx~z*`-s#lhne(Y0WmY_en?DDI!@ff)Q(O1G!NS~+|#GK5sN1Mvx>}2^)-V@ zul(QM)3@C6PCV~@m?&@MH=gu|VXwT%rAdD%FUr>xY0_`A`J|6e@JYYd!wbFAq(2Um zcMbHSU*2cJb7oJU2tz}MU!3&xw9?JaMJ&8d%FA1?D^2wHKM$&*Lc!r74gcoH0d+p#dlbR zCjIV!Pdc1xrKVE9yiZNxRbXk-=fdO-^JvnaP4G#7Hsq839P|m(98LQ3KJ)_9tUt)j zgFSs-yr;had-}WzdcY>VJ|#1=iW<_SugSq4k|L%jy?tJ0W+sX0N#8mvGjlCsX43Bt zh?z-$7Ez-;{lNk;GwIKU#Pp==^8j7|DH4_U)K{RKB-(WI{}$jtM;X84}|f7{dl zHoD((=xewrcXS(1`g2*ltSwFY+&oNPk|y2H@kxIirWyBNJ?T#r@Di~!>GNUouJ&ot z7kGTq1D8+wLR(F9H0g^h=mkEy=}CVT_Vh*Zp8hKA>96L{12*YjrNGpzhBWExaVAx%1dQhz>0OienT1esZoB&H@^v9~N@X3}Ry zMfjvI!Dfy2^k)jh%%m>~iRnqliF-SU7@PE^96d%IXWG0T~9cI_m1MsHX{ymJSGQ&P8lY}isuT6R@$r;&legVe(H60wkNceV&m3y zny5+@GSNz`SPcE(ortJ60fAUCtAO+jw*g)H-wM(*3F4drShHa~-{51#N)V$rwD{ku zo&K92DX=voi}3%(&VgMd?K#Cwq zm96q|Ly{^scH!-mRM~1QrRrfSspDc+y;OAdsAc`{g4B^>wP%YJYvNuK3A`VJ>RP{{ z<1t2t_;P4{qo{o}5G%e5C^LZzMip7{ zb=(~eSM6de)_T+s*oH&0=y;{b*otvEWbmhLv_U&9ulCU-F}z~_(n-bwQE6yF-P66M zL)$Gx?W|k_3$}o9MT7m8^(L^t$vLug>BdI=J-^f1MbO`tV#QAlE!~p!=NWaXzYVdz zr5hSe(N`;a-UqF>5-Wab*k5b&5_KQ=pf~;!b)MCLMq@EA!1xk{FOMl2%O)?6XD$E! zbLgYJD32*N@W#A6mO3`963yKP`_F2B+{nje+r{JFWN9w@VeZ4w$2OvLL7_oywlup} z{-nwZQyZ5ijBswD$q`QTyN-Z9;PcHxsRmWp2zLw{REgdIPHRXbcg>rHc6Zq>O^$H1tI25?_?AidVgP0j+(+r|#kx(9%^lWBLWOG$>BScIpMRba{%k z)AC9KV}>uF%XV$r=)IR6w;J+0it@vWmRVpvLum`D`?Q2_pzF^2<87#Ulc;^VC`wl+ zNxw1#-`7QHuul*Msy{8Q9_2Bn@BC5vXPgY}^qXpFSe~`h_v$EJ6SJ1R*T0=uQi9(8 zC2C)UJH8B0QO`{3KLdiX)Y9dgMN+RVuy&Eu>xztNskJY7#9Aixq>)KQ|gm=&d)@^Lrxaaoi+pI|I? z#Wx;fk<^>HtjN_{QmkDh_1!qPWv+g(`kTK(Z=*%+N>~856sTwB>dgVcSZZmg%UC3J zG?x`gy*10)MN&&Xv6e~w`N-)XLFTQZ_6tjt^ieh4Q}p+eD2)!O>sV@Sz$HXdZ|6)) zt^JI%Nb2V?Ynjw(UrzZDl-wq2*V&?E{*ExN*1ntERB9>3SS0n%9AlBIWAltfQoqU) zYnjw{dp~m_WZo`nzXz$~lGKZlS{iE+G_HOYG8Rd_i_40n<_oM{Bo#jIMqA6IUb?=| zFOWD!)c)p+()bkhV*Z9IRm-aVGGr{0b@!jh`n5>ys+Wa-aX$Itom)fZ9ipyH zAWHXAC-6V5%i0Ywj2$eEu?fafOZeBk-hgSTb*(p*T8CeR=&gFG_$Jz|@@sF%yHnI9 z;f3iwE9wOPBdMi-djyTt2|i=dWKZNQlG@&5?et{VZ4OC%Fk<|MG#-ar>NKBvVXjUs5Hzmtm0~P%b%w=QB=r%S zvB=fK0%9$bx+>M~Ysefg>W+uk&`084nA90X>e@)%%wsH)`k2j_mRi>%$5X`?vR7;}@$Zl0=Qv;|SsI5=ouo5d;=VebQ$vl6rccSj${Jwa~8vWZo<4&hXRvgMrsWqCiN+wppp7?z*r=;Z-H3Lq+a=ZrwNexZ&7yZCiU4I!B}c(Ql7C$>hmFE z(QY-+Wh|0f+qjr$BG_iMp$kqV$4CJsYWYeQkoV)Vd2fi=@6p&Db9&FIxmv zZUz2usT&&SwoGcPE$>?ly-gH#a6)D2WuJOBQcEut2pXvap{U+~MN;Qmj71Azfz4Rt z>PVMZ%cS~G4Ez}~CyBaSv!b*hpkA2N`9*?8>OVP)q%O2syGZIHm$69dXpdOSq<*s~ zdnsg27IpcYC@l)97bbNfcTj&lQ8$9KNa|}YCBu*+sjqvCMN;qbiM34Xk8O6F3z_$e zy2+L(y+NJ8|MBbU>q(-qtEIPszsBw*$r^sZ-ta&>9IShN6^@ph5aS#f_YllsH*8()SB4~n{HZBcsHrD{y-vK&Dp_2GcA zNNO=;ERy;@A@+H7ZroqXq}I(ldI5Czkf@vQiqiXD+_hP&@8t;^sWU^yBB{#>vDeiP zEP^Vxg0HKu#Qn8Q>gLu*j77WE8#ZIn z0(diKEtBdzac)oO?O{;|*QhI%0_xdFt;1Icy~R@NUa=U9q?T>Qq6P4g%UC3}7`JPg z)Ej%XJ{5YKD(cE0^`nq_Hd0IF3PB_FjX!bq6PLA%q^|N9i=?i!iM34XqIK{54w=(L z-4`iQ`jk3>|Kr!yRY{^o>brj;HS}1!Na|-kW0BO)U1BYhdcj2pe+8M-MculrD1B~G zFHGuZDS}37=})A7;j?y;)YSoFk<_m|Vl9(ex%bgmA#;YP`vKkpYHjL;NnM>K7)vcJ z&M_9P)w+N&Ewye#!(mqN72x}rwM^;+>xIpr!Xu*Y50JXXrD{fM-DglhZ?V+6uab;K zQrCuzMXutE=}qM-slWe^Qvcn4(gD!dqoTg8B}!&)jMUPX`3B{3=*)(Iu}JFIgxKrq zdW&EzwSMy~v6i`-edh@LNc@)V9X5adTv|7$ZAN!{Qw7D?S1 z3#zSUQb+H-u^Xh#6!o1dqO>8@ec->bR6M^)Z?UVTQj)Pq>JKhsT5A2?7GsgrPBCkl z)blg%htS(BQGXn))t{(i_@AWK$Ex&4jvTDj`kgImXJ@w^;e&m2Ki`#;uhyPhH2dRwiY))pT1Y-H76Y!NiFTK$Qvt*Dv#W1@agfndvIT{^n9HDo?5>W9H3 zw#!m4OxF1>K_hF6Bx8|V?QO;)x32ylW&LN5*VjUC&xraPK-M-E^~_{lZ4)%I+NKzb zWF>vZB3UDg#9HRo4wYA*fy}w0esmyKZd;*Vn5;oIK_jbu>;kYz*7hN57s(p+Kgt^P z#H=Lr_N=HM2X5^UP|r-(D3_p-wRK$1$m(FTc9E>HaXWOYs#C8=%#$xdN6(3RINfSx z$2@f!U%^V$k8}y5qDeXEdgTsT&hDw;d)B=nXX6z6cfi>jDQq{P0h_7VeGS;e9JZU- zfT>fj>nCxhPra_cKS3Ml)2Ck7PqB%?sXF@9>-q;g&f2IN4-rx)NmS)nru)*-koCOm z`MWJv?hf717N4v>IZr5dtiHiFOZqZ+^%JtZopNmD_Hl=pi;l^jKNfg9bPR3>pXUr6 zlRbZifAxmB=$P#JOO`SH>xG^hQ=H*4ls$h<5+lceK@WOEhK|XezZDIua*Z85>@O}P z&6DZPL$R_`mO6;n7*z5Il4GDe;0)IojF1q%qN+2ZMg3TpAUP)L?~98d(`)ShSUED~ zSh`h`=PJk2t)PUwx!ED^R=Gv2>d}XUehk<~hTvbgX@c`I8{&1(}8) zwXNJgMI9K&(rqk)I2zn5WxBP?nQ|;Gea@o2GRv7>V?T$S={5G7%b9kpU4b+0*w%T* zBFEZ;BE6xDYK^70iR&82k|}Bzud(#DNyDmiEPdw{{UGT@ncmeBD-Vu4V2*7QP_M?Z z_Jq`W(vG#W31T~R4E8pQGv!#imB*QKEWJ4))t`2(LzW=+ryc8N$Ay?CJ4;v|~GYoN33p#mcciy~Ykq@pgKR z9h77&a_pcAXWFrYi^Pm$sRC!(u|x8PRq0rVGq36dNw3Is4|pB3<4&1l2YJ-1S!3M- z&a`748ZhnHo*r+f9m9Hh!~V2mM;1BLjvW73|M2m za?~kvEbS3Q<@&wy#DFvH*nvK0+OZ>D&a`94gq&%|PKifo9P1U2&^UH#p5;c4ofeBm z7xfxDJxlG3W7!mE+Oac|hE=)7?%Sy!CIvEm9;~r5<3h}_)2LJRKBgV(WfR2FXvdDW zIMa^VA!pjLjLVsJtan`4IMye{+v&ZsFN#$gx`X>3-6kEOaqQfpZUxUrpZVdA@CDAa zV}HvVR;6Pv<$r$=k`~JJI2!F(?|?JySWllb?bsEC7Xuo!(~eynP($O`B|c|*ja}*yGmc&6a;6=-+%~LA$4=N`99q9friZ5RhT_x# zbL^7XM&sCk95qCy9Xr+HOgnZ?$eDKRT$eNL*kF${{W^A4gLc}noXy%rYwRBuXWFqL zgxDGz5)wogwPXJb46D+y*G8X>U+}yt(>K5x>tCS`m}9vDLF3rqxS?^ZkI&m_$NJ|v z(~e!5%o-c%a;6=-!8WW)$IiUy(8D0< zHJQF0*4X7m>VP?ReTtxQ?Ajb>dW~J2QJTEiPx;u~9Z- zkz=DR&a`8<5@KuY){vlCW48r{Rq5CtJG{FaB)u-v_rMyvsz4nu$414_ICgV|8k#kB zRgp98*zi1O+OZKy&a`9W2(dLbE+lBy*j)i*kz;wEGws-TkC<6wce|YFHFl3}Se1?) zzAl}Gq&H;xL0Dr~#{*=JNJrq|dc zmovS_Cfkffj@@r@rX8C?h^?_HAwjdo9taGp(y=L12la=fH)Z-USYy}6Mli=F7pPa` z*u)BF+Ob=ToN33#<~h@jjZbo>9h*jot+8n#L9@oD2aH9I&G0$Xjy>WLGi&TomovS_ z9VP>mBSp|SHZ8}QcI>V=*{rdNN#0I7c7L8T?bvLO zGrh*$}H6(pzvJ*%0r2OC2!B zo-7bFj?J!crX8D9%zsd>({V~->`(~iBA;!HdCa)Wl-v6m~XUF6s+Mb5Ni^9#hx z8e5R(Os}yZXIPbvb=dBeVUV;$rr&n)#)^ekuEvDtBln5!Kt zaBMUE}ba;6=7D@DvWwj{}!c5F$-uqqu(EP8ba zB)tu{A%rz{ciaJU?9GsRHIBVuai$%cQ{+rL_F}-9c5H#gnRcw0;!HdCUXnBI*n6=+ z$-Qx9Ub*@nR<;RZJLOn(4@~69v}5miyq$LJ z148V*a&MGQsajd?`nRaYV!LTYF`|E~*_~~&O+?z4L zn`Kf5%&~fxAS%~?iP!p^X~(_@In!(G-GDRg*hdy;+ObtWXL^l&9go^Lwmu%UaqOFT z)W)$&JP_m9w>heTOgr{n*03raYv1kjc98V0%(TnlohGRR=GeC}Gmd>@6GWyR``Y77 zJN70aw#HTm1Tj}Tw#Md6JN9EdYHX+1*iZ4Of$23C-MJC-k*PHn-H{Pl>{xU+M`Fsc z=x&ahRq5Dk559$aWl?52!0XsE9wzH8zN^y~MM9`tud$y9DJQgJbsj-%ryct^%z zip807EV?}+a?DkZMYlpErW}iIdl<3EvF#hUs2$rOi*0Z-j_sJzL^JJJhooUuIu_1s z^)w{CCo_8`@E(WMfmvhGJp=KH*ss@?|n{n(%OM!?f$D;cUBFA>xv0dX4 zBGZoT7LO2_c5L@}guo)lcCWA+(Hh&MNX$64XMr>An3XrIO2_(MIpYvWdLQmb=i?0m zsRQQNo-st_YK>)f_oyK<Q3uSi z{X&AMTsyXJ+z^>|Y#*1m(|hHAY|iu=+s)@pJ7!s&={0t6JZh|=9ZSWdMt8cyBf481 z<|EUN9h#*6%o;ni!kKpLu%cmAI`-j!-fu(Fa<~&(h_|LQTl_k9s7DZ$YsXRnXWFrY z39&V{OGprNwPRgu&a`9u2b^igj)_N&x!SQ~;!&eJ+Oh8OsF7*MjxA7s#<3oG&a`94 zcm5xo`r2~E*TOl)Nq}JUVpqXw^hEHIB+ObnYYKTlb)+^vlud!2o#-cTLn#Y-5 zW2d{sjAL1wGws+JmSI&ocJ17K-hreKW#+sj-cgJ?V2+&@&x3KSSC$$g(~ceEai$&X zX>+C>a{|t^W4&F@v}1j2&a`8FEyg0p&LzZN$IcB28prwtoN34Y<{MU}V+(6$JOxQ5 znYqNm+i_6`%&~KG1dU^T3Y=-j&Z%&w9oxg@OgnaJiZkt)+n|Pa?7}Q>ryaY9=SGh8 zPqKE=>sbE^G2_^PB4^sMiwlNT>Dc#eKkN%hE8*5j0p81sI$(|sh@o-pBA*(XHRgt# zX~&Ku#P-VGv2x7Sj{Pk~4UuWb239!Jj$OfXBgd{Ruy&DSgYv|TV}o;?X~(Y08djxa z{kwdRZ;)k~xz@!SK~V?HvB5Djj$IiyG>#4Qs39`#ScVXD?81N`w$qMXY;&d^8yb%q z+iAzHjz$M;9Zoc1LoK@ zK0#Ej-z%>UIn#UPP>VC|*lDpM%+-746)tb59UB~SrX9N>9yR7_$8L;Ajqd1A7dP@6 zkz-zw`ZJE*RNzcI_OHBQRXWx#T_(3>?byhGGwoR4 zSdnq;YHG&bD~Edov7L78)_By&v}3o$qXrf^b~~>TIW{Io{TavZ$a1C~yEA22m5$Z5 z?Xw(`K9QNpS-gJ_b->ox?G`~)uGiSDE@#@Y{vK!Au^W8O^cwq@#hG4X+Og@bVO2VIO!(`ako2j{JekEi$502%v4F!K&BTE8p!h29iRV zc>&hgwjOoB9Gg`nXx7-wBxl;Oy93U&V-FTM(`#&MiZkulT#Ga9*t3M#8hbV*Xx7+s z0cYB==Y3+vv3VY6dX1T{x2kq5aeRlrLr0&<%xkd5I=a*;bL_b+LF3rmJZIW5KgXGN z?C}(5+Oa1JoN34A`WLH5RyxMQd!K&6##=kwwfn_9`K^#$F8xR_)k=7yJAG zNuSHiGFW4~+tdMbEGQB*jx9)XrX8DE;Y>UBOaphcW6!5}JMGw87H8VAC4|@-TM`m9 zYwYcSGws+rJ~89iQjase#+JE;C1f}F4tg_vONiR-gM{3=uLT#YACVPnZpb#gI{d6B{%ADZX-5_bT%={g}q+2QhP zCus0v+kFd3^)mC}CTr!2f+!EPNlMOz+t5_70+X^KDV-}Kt7w827NnDNu^~!1>a0|i zt}I&7|7l2CBQvE<*2*AQwgU?!Wr!y;WeY1XDc@(MGpMK`Nh#;0GsHuNQVuG)RZ98t zFZ=ufNo!^1qeSBgx~d||S6C!vs4p`g+gO1~`2osa6kybgoCFq;%?1tt;~n9n&9@zLuHQ z$;MKK=RitGQbt-bQyW!{rEG-B7@otjMoMiUoskwYbY-)XtSTpH?C77ihoto~Qe3eo@?cAv?Bz-3{>kEyg+?*8UtCA$; z)<9;yiK>#6QX&TqB(bcKQgNkoYk&-;>{+bVmCJ{Zt%Ich%1ou$SjwmrNbyL@?V-$s z9#$|faV3!i2T~eF4Kx3>q;q?SOi7t{qJcdmq_A7tjoO(y7$JV+^$l)No7KPRyQlhP_B zojdXxl9Zo4>Evx>DCIafF{rxFwu|PktB0f?p-iRmS{Yjq<$u^DzM;0SA&AQbV|z zi!(7mhEk646IDvN?3Y{ahNO+sk-5fFCZt69p9!ikIh0PT0#;yB+T_6j91B2d*xHiL z2hnTN-2N;*S_5#=~wBr&Nr4aF$+?1RN(;_>}Ex*z@%)R0td1hQo}a3bRIyY zq~Pb(>h_@aKYYCg6xHigDgCg(s(NEIG}!ERo`3S3GN9LQ-%4cofX(O>qVD;*!V ztCX_m@&Cpb{@8Y$T;^K{`|N4W(@9N#|i38A{pliDH#f zj{esnpFq+dP^QvY%7X=v5|ET>FxYk}tiW8^A}gH-3mQ_xcD{6`xyVq;@y}RQO4)sf z$>*ugovo9NrT9g-BW;Q*%z(kR&te5Gr6L`_s3A3MA4q40hYY23o#l~~Z=B%&_f10U zBY*7XC8TnZ_jz1+sG%t%USZ=a+*9^l=68%gN|O=d)pq`=*DoyP-gh*EZIXICj@jJ4}+ zAf=UbI(dzyJerc3?~){CR)}|v#tO!j%y%9*kkXK(z@3|&Ss^l%(&hJRrCc}BT@9VL zmQI&V_Mpe1&;4R4a}ppWnv3XD96SwD9?v$E0yma+!0AZJ5eu_by7G4K>5qbx&7jv! z_Mllg>2!^kZNb7DsAC1=iqq8x2XY#cD{vEYr(hvNS9Vw&Rw?C??6?llc^l~*u*n`Y z8-~{{OB3|8jrV}pRbyAW1=5+F*N~*ZJ=UG4ZDc5A^FH}1rHmhU`c)uhbLkwIYP?pS zEJ){|97&n$it=z(fw^)}D4iz@SeD*{L}|OMbmqFqP|6{7Zk1Aw-DCPt=%=l84$d}~ z@>EeesXR$}&I2h?)!3E8Q_^{=h-HnG?IGzl&`h00(0fataP5KXh;*ZV^TWvd}Jskbz@Mal!4P<+6+41QaVQljio#X zeIA`4S6&Q2N?uouT{$`j4kR^2$Y9 z%FCfBUxx*_E8X+pKuSZB($SL6%ONt9(&ab5N-3YG60bw&?WA*TqOp`0v(o8dlavJs zkdo6?V^?|RN{AKM zS~%yp86~UYma-Ivce+bb-nT^g1{W)^wQ_n99LQ-%uIvHw-nWpUD<^EsRXIT~U)CFP zL!GV6ODdO6P-$h9qULoOH@QGBrW);XnHGy_rAB9$41<9_V}* z>GTa6ODQF#(+@1(18Pa<;{c@Ob=BCFeo(fQ)R3g?4QU?-$WThhH}h3WIoQ4tzI710 zLea3XlyXWs=UF6WRVd0gVFB*Sd3kUkrC}_kAe~hqGL&-cVYw=$^yyoN9};$x&iRSP zQa;W~=K`Cge3lU9TYRj*Cg=hv`*Bu7lF}(JozD=dW%~#G%CNc#x+%B56?DG4bpCEN zma-}*9oHo(Us$3%Dw>OUg4`lFkZUNVb4og2SdkQ&+$T|`loeg(&Ic)bNasSkv6L_` z9k_p1v{q_ukmBj8v6PD{(h2h#k}I7-UagG`CP=KgH@?d>hGO-&6r7VVxgyr=nR|GV zdn7d3Q#u!0cqRho=q)bLzetE)E$A!*(Xqkp;jDah;$2bn8) z+@A7S0ews!pD+c6c+{}D0+)6EzCUE{Bb}?0cr@N@u|-!^2$}*zePYcOc>C#}zJLN< zq;pLQkFir88-YH4j!&2Z!vbp9T!D38zJY($?JJ#Yvv}m3@>l_VR2-i$1%`*zu(<*s zJ#j_>GWUbS*Eu{MPI;`ruq;7S;Ce#MF4F_-YvGSgu|FJ?&f`(;IMFOqeFPhyFa<_h z)Ude`Jl3-xygP`laHzQ;;n+3hu@UIw()ffapg(;eYp%f0*ZnaCG7o?Q$VEINjX8RY zSJ8+9AyeQcmsoQJ?C-Ao5(;#KgQ68Y&P;i11o~qeK4A*n>`}w!3Vi)w$DJVaK?;N~PjQ{dKsSaSugIc?XkpuoY> z8I#2$y_Claj7kwS1#SYmZ-`0K8Y;nZx6^l*bC(nk8ro+)1d}RRouQ{Rs*j z0tZp^cvLn{H2cBrIfACZIEz?wBiP@+z=r~d!lBUu9&4pMHUfPd6`wE#@-{VWuE0IZ zF2eobFgV~@#3QJb#|n&%hh+-f?NY<$3M|iV+yDg*hl6+(3CBe#j}_3zJn;!r;9id! zHdmm}?>>IIIzl@4B_$l~q&!wYAIZcgOo0hLHEgcHhEfKX>5Fk?$6?p$v-Kek2>NLroiNo8a7v8!sPK+K<3eKcrb^@8!3;C zKp#uQCrp6{;&#myIQjSES3%}6aL_N0M-k&hvmZ>3hh+-rBZSzvxdLCUOJ55Gx=ZKb z0v;RulLAxXVVMFC+tjeR0>@w14M%V+9H1-W5kJaf7U`pT_=G7i&Be#KHCJH%Mcwe* zpB~bgQIT*QPiLu2`edh%(IGMgW_b7*xBq{EiMjL->)S!*angA#iAU#DlKLlpN1GZC z%M^Ijr-scHcxl8-HBjJq>C8;wF*nL%7R`u+k>;BhC+(OYbhKBk1w6wt?% zkTq9eOs{c=K&B&|7m|2133K!o7kH*Z$P}386Kk$OtII0Gp+JpvUP|FHBFbYH>El26 zgemZ1+_1R<7d$r^-_cHz&MR3w@`L^8Egr$Vcw$U}m&3RjWH;?3Y-aF?HBM^!Jia(H&4)vV0qlIxdQ!CAJsyEv)~K+ zA|3&tzStbRS0HE#m~XC{EAT*@)i*$av*AnV3VyFoeX#=iJ9~V>6ez_Fn=3G|gFhHD z&ymhYN&L1R`_WrG$@-gkgr-2**NvKArptd={0?OHmd+-dBzpub;7 z*4zlX=X$S%%s$fjG%MkobmDjv^7`Ywa3nX5;D()FRkq@LzuT3AphPC79kAP_Dj1x-~d=dlfLy3&C|EPNm?l+KrQHW30i zzsR`vaidC|Dz<8J5hR68Ra#ZVHn{0Z$4>O|f#@%tuX0T#edb{s+;q}Tqg;F-21sXJ zqN$`Wd~Ac8PTDr7N{fpjDc@95ZJ;vZq&6q1L5fSH^R?AfQhnGksmm=o=~754G?fHj zC`Ox>*L`9RAB)SRv)*nhX`L12=rM_}`?v_i<&actDrvoqZE#bS#K!9ad>{r&=Nq@F zq>77eaMSXR9uwjNaRnq*no9bwg>7)tNp0Wr@PW8eIu);}r0;EPgPTs;*YWXz7$lu< zlT9W4=wch(bW(@bHa-x8A<1tl>1Pky;HHx{pRQNLRnqw`)l||(UuDEet!~OA5b)yq zUC>n0ZvnQ!O;$y(?Q~L? zbF%nA43jl&nzG#4(!(~m>7-p;ZNxQ@)Rg5;J6~nQNe6b%ArQl5&E`#6?z9iE4Q{&9 z0d5f=h-)FKNy{yzMzvFK07sv#D_tjR+UA;?r%p*sz)h&6L#J8l!S%9ci$oJia4s>n z!A&Q%4OD3{0+RAgB*9s~DkDxhxL*o^7%6MEw3SG(+bfqn})DLceq(W0k-2&Ap zPTK3rJOXi}tl7$LD(RpQ+u)`vwV9Sv4?IXJHkEX^8iGk`w<@b1+$3w-xlJV8b~J$(oM2rjpK1VjJ9a(pJ8fmzOm=C7Md=ozf|kbi~rE>R~)2<(o?CtJ;`K z2mI=)2Y1Vwovo&l`sJ_QVJ&;srD(SpDw!uv&9d$}kJ-Aoa>|!^SbU{I7 z#7VmsES2*g{vDAZvDYn@YNCrd>_l)D5flA^qC zN=2<8@>SGYl=n-jXfudB6}5p#_qe$z@9!$s7NVkxwh-m6wu-idsGy>)MEL+qMeQKU zt7vOc?xuo7dx&x>+y;X|ug?mC2stC@N zIy|eQy&wuyw6`c9ky247hv{igI@qBo2Zor^16F3RRR6NN|cXxRdh5&MHL+*$|u+=>JCvsMaPP=ZKI+d(Mdyn0skVyxK~zxD-$eN| zOGW2FlvmODqI|jv5*I*}Q{mqs3RUEaayF}?3n2f7LspwLO@+!Jal>4Y4aXCae6%K?bRM8cp9KD!c2~nWfAW=RyrJ}(Q`6{|f zl=~%Bl!M4q(LW%nsAz~N|IJm=KOrirXs9TkXRGLHhzcqiCd%hqD!K-uyo!d4@&zhL zTnkZ7h1Wq8s_1%A{(DwMBOnS?G*Xn^l!|VE$XC&gqI_XeMIJ<+if)3aqN0C^@C@jU&+Dlw0K;gooReqfY%k$&Hkc!_>qlX#ha-I92Peq556 zPrvO*ETErOBm(+nLt-KQupqIB&g)OSN~hH)UZb2%t}2V(90w#xlbta&%UNc<-1A4sa`cTxYK>Q8o;=}sXsI42~X zvQ<5;nK44J+)r=ER8rf<{j zo|5VDy4}+DAJFaKW?hfyc5oA_M|HaoWcn%HZiP%gt=ok%J>OO} zK8M?TVUUnt%k*2miq^~Y5)Y$RU1esgyo!#HnH>TZ9Vs(A`YIYCGc`IIDKq*5cdHv@ zCR;5HT$buuEK*$h7J7ynbhaOTsJ9BVyjrw?lZZ!7V#Wu|p{XxqmCZ>KIHW^k|e5A+AT zy~N9T89aO0Yr6v8L0wwQ;I02!=?8d6b(t%Jr%u20dcYmjC8rF2=lBz50qzLrp(a`1 zr~GncZ@@cAycCmZ9qxkPl(gPiT^7mUKla|`4#2xeyhM?~*DT3R2fVAgT#&&BuIe!a z@NVkTJq9m3v+r2IyQ|CS7<~WY754((LtWCw;5VLL`6}Q&C0<^};A@5)wgj-HF7;yY zQ;)s42Jl|$vMUDn81mcmfcJ(o>-gaN_WH6X;7;mtB&PMk_b;9RxU;%6h{5G41BL_M zM_s1F;HBF=@-bjIOU_@F$1favWedC3v$U!^iyk@!5dkMBdFS z3_rN|sW$+_>9<=Z8SeGdJC6c}lV;nc7+!z$uDt-msjhJ8fmoo}=kPHCFr1)jF$cxB z;aBy5;k44deb&1FYnP*vgYn1m4Db2$j_{`0I)y)6XSj2Z^Kfr~6EC|mC1U%|BW?o> zr%QI@N@^}y{v%*G$?>2Z>*Vu2_G$$fPEAbZ89rw4lZOC?6AF(A8GdusCwBve(*Tc7 zFub_OjBNqK$$Q-`hA%A2V*tY`cRg%|Z@MHg2{4=}2OsKR&b#Xmz;N2u$q9xttA5`GFq~|4 zipB7ZftTYx52r}MCuAeA-{JG_0t_cMo$fMRJKet@Fr1EbhR5*O(Qo3u11Axk!yhnWEffoWk76m<>)A_k!YboWdzv7?DG zF^R3$eZfXigrKx2xSNh!)ELw9Om1#4rpk9Ax|4yB)=d_P`4)MNM{CfDd z`s%B%>QvRKuIjH?Dp!W9hU1l_eyTG?pl<2xB`)HJoFvAw0Ey>)WSwD!iy z5inj2lF{69dTUdQSw^2aicjyK{Zk)N4LkaX9Q0nc=(D6!_2X67<;bS$mUPTnwxnaY zQe6j>i6;baP%62XA^4m{%jN>RGb>mW!el-O48lZ5DV07Hh5%hMZ&AkrrBeGB9kgS? zqBtaukCN1htd9hR$*iN5%3Kn%WWie>wt4VkazoHYM*>}&wb2nk*BLf?9MIJcit40Z z3JZ76;@F~PtY5mW;vzs@s-x^8z?9_ec_Gj;7?mDuT4riMEmQAATp3VgdZ(O=0E1J1 ztGEcTG4*oUMS$Z{8-c@9rcxBp^-oQJmCW$VvdIU!Gi4dX**c|Spi#$B&uIqv`Mr- z1kzJp61ya~={+9Ut|O*!m}xJfsksyKlfI zp)#G@ih@f2pR-^#n&x+9TL1-hy-~4IXzco>qY>J=z8Q70!-lRYSqG<+#~Kbk2MI%re6gv!}4XK3^09yC>w6k3k50{TwAbBSe?ZiJd#UBYyq@Ja$W$ zK`I$=kryu3yaLdftUFkKt?Ix`P6p!1f_LnTtHvx1qf;FJ2dvJgZcq_a^Ah43v! zL7c~Sq2VI1tjmKg0;~2@w*<{k6du|ZC;nWH*wRBgpA3^czhlmY zQ5h=BoYhsfCEZ=LWMHXxzUa#voGuj@gMA4m?zbo4Tz^i%EVc{2SG%x zCG+MiKpUcO4G*!|e^GYGK&KRmTBWhm&d9qde9*TPNQur~vTVWZg&pU`7A}O?X&y0Z zZYjGn(q*k6ILg^f;h>wsX8FD$6zu@Y9A{FT5jQm|EHavc%B8L@3PtB)=_^2R90{{i zSLWRmR_)@jn?eiYAUL_$@xLc-#1yzVCbLlLyu4e4h0n>lDR6W0$A(bMOb|(46?73O zu?aW|I?wFnC_4FvvYP_)l7B3^DX3KPzp`!$pQD${ZVKF$EP7;E)^mni20bYGLfB1V zHJ>!x6qwxkTAmcMXTrYDYXc5GXF&&s*Un85heRcBN|rf`A)YxZO5WVr%VxuI4Jfqp zm>?;**LbRWGN8EsV~A{2d~18h~na-j6?)LG)?f%#7~W!S&5`0nx?hYu+Mr z8HHt1wQdOBQl{X%3!}@rn6YzWR&cS46+b`k;HXt+qTt|k#2GmUXT44kyUcn4%$PHr zt~p&{3TOfvHe)WOGy$!c87@U=!Wa-nM_Gm@pye|sRa^u#bLJovWlJ3WQMp24ODw*!L5bH9$ax#Ske)mT-38NWXUX8Bt;ip1e$WHTM2lXP{7K;-ayK+ z_~Y0Qzh_!K6c8ScS|SS;(Mt~$9Gp&i%*AJAo_6tBl_x5WJS*~I-ofdgXI=SOtrad@ zymP-xff&8cEkPBl3y#VLmU*W;3kzNA&cagGhg?}u@AQF^1y4=K2z1BHONNUN{N4U*kb&?spGqV=P2c(=gF~}v~E|@SZNZlzG%vn4_mViS7n$rPu(`Q#K z4DFa2oVPIONS&3lFly-=wlI{Hx-M^G!$oI*UBYnDIbD}9oNL0=K1EBCI@_}rMxAwr zh0*@s1WgRelD`MYda|s`!Ww2-sSk1%M*Am4EsSLiDq9%KI@QKdR&s0B;!$U(=7mJ( z=8DM+iTzEwgpk-D0E?D{WWH{)G3xx(uz1vYU|ulH?DOY!%q3;#pha0Yk8feAhfEQS zS#uU+&`RBB3YfTFXAM9e6bLoU)PbQfb#T}siDsb<3|ce{uF#zxYgPjJKtTPI??S*- z#Pv$%`zC+kx0H%44U;vaWgNz{N`dffs7REB>{_&hK-?<%Ttw*ZJRrbKE+U#H`Al_o zmfN{IBt4?=Tw+VUiiqNq=SWGj&+EVd?iT|{#(Ny2?zIxT3(RuMxWhI3U! zmerQ8BBEC5IR%FZ^j%LzWJ;BU57GFs0fIBzrVj5|Mu%Kl6jlh%?L(>E&!kWeP(6i! zw77CWEPgtfbu*^6wzo`fYi{X4Tg09U3T;UXY8O8v;uUCwfcXwFqiIU}tkGjbXAcb> z3F05~B4`tjBk@l~FL5M^ua&*T(IhT4C0nS!T=EKxqQI*KFY$O1H)p-X;UvCd*2%VH z1d0DyCD{OCGAWX$PijNY>}%`iBmG&$Qj9@9{c*s;I3=i(g>l;1J8EHQ(adfI3q!Zb z>|#rDm=5GD9$F&yhX}C#bmCAr5!Pe|lTt@Y2#y~T2G-SnMg-e4$<7j4r=QXaMr)Zc z_Ho)BC{%b3#uy%nUyX|ozev9ZKOH-t%=3MJsb4j72} z*0k*N9I#>2Lf9kvJS-sU6}!G7GSk}GL0*ptGM61B_BYDg+Q*g}ag(I)yd83rq?4Eff~CGe`t{$-Zm|Z@_8~})WesB5e+N7?G2|Z=4^4`Fzv!V! zb9_+p(8LyVM6_*Te~tawqfg9x!>f)`Z2-fWJ8a@5{B<#bLg-(C>_RzIa} z>g2{r5Z)XDdVHjHO2@eA(^{Kng7lwx7imi4WHexGU<9;2k!FB5h`P2Wj@$9M2I%!| z)7z)EOdziev<^JB@hIl0n>4-sI8F`meF{XUOla|u zB0jlga>t}dQ^)w$N$fZ$l5gq=0SyL#_Cr+wk1)t$wK%YaYzz-x{BQ`HJUn>urig{n zj`3LwgHXIxOSZOmG&k~bNR)Em4{Mz=v8AcKftDwp4Y(=Dka($JQd--oaV)X=)+w#+ zd@5mf;&(P5((!K-lb3P~7>VnP8pBu;dp!@Ne!RTS#%Gm)jGZuTDx|I=%sg0hCYD9PmNf^;;@>N3T_-5Z`QJBVb08R3dRy-ojvLVpPz=Xxc;@L*)}qd5cFwBZh_1 zv`1|oki})q;@1<2IYA49ml9{$JeZwWkhgd&{D(mcV{vK2!f15d=FzZ=^A?Y$JW;YR zAV0Pd+VxCUvw*~2uK=lU;p;S9wge<~G+Y)Dm&NajiYQ$;4layu$WdDOg4YMgclB^i zB=J7MDylh`QFd(0l*VzBS~&V`t5ivG@Q>Gl=+P*{|JHI9RVIL&&C=V(!5Q&>kt&e} zP6+Y-*=n+6{j^X;mf7R8VilG1M|?o8iYn7oeAj$6nWn~fiB^;O)W>%=s>w1p-53&b z+c-~oilb*P$TG@v73q-LHCQ@o->ig2DC=(R;{6t^}3~^ z<%D%h#KKtA%>@f%SvMORLl=(S0vHyyjpHUo?3TQRv9w!576xQ_&O-2v#FmFGj7pZ5 zEettgw`Mg)WJSS58fmah<|wR@M&+ZLL!0tJjj;atqDE-a3PU5b=(dVR_<+Z5i&z*{ z+y;a-X{1HBV^OQ} z8l!!yA{wEe%{dJdB)4c{cjc^HENo5C!l-|B*21V{HArv(@=?p`l7-P7YoZngWNqF= z8foxaz|7T1qkd*+g!TVfKqIXG&k7o$O=}|>p-Fd_H6nfR?y$ya(b|eeXwcm`OO7h; z30W9)Ec?6+Y*;fbU01Xikch1dS{U`+Q?f8BTo<)4<|`N)W41!TVqqAV9~J})u8tY4 z&F!b~y)%A+Dd7+sk?mdx;tT9)URDR@!5@RMVA)ob0)z24_A$Y_ zriF{=e2XO)1e-Pv_Qu--CZbhH5qXm&{rujD7KV!0y%o)2ZPtf1Le9nrk;Z0e*!Z4N z!7k)FuKj#`|8)K=dDr3?x@;Sn!u7KWR)CCY zI+a3YfE1#gg91QCHgVj$FC=s>!DIJjO&B7}wgmwXYl69n-;g}4scHIfz8EUz9X1Zx zmTfBv$SOUR2iSMqq_(D0W%)m4d>dS}R}R#=#%{Hd*POl)F#EB&_GV@uF_}*WW&N3) z#^U=Hg}Ly;#Y>l&OW({4Smss62jSyvHP!U1Oa*(;5})#A0u31cOqm+R!9F=TLuF2j zR_DZ1n3+f!fiHiq?wn9)N~j-0d>d ze8Y>~R}$ULoR8z*fCl(wN;5Wv;KcasoZ!=BhhXW2R8rSStV7<=@pn>7<21ar#P=^c zIZ=^#IPBmw;K;0l!}$0RYVOOD&{pR99Zv*u#_o@bWV1}g_Xjn?5$yh=MmV-@C};%Q zVjB#Nu;n)bhMCQLW@8VVHaE+Z_h8B7G;+v#Ag9IHn>SW0M6kStQN;sc3kv~z5Pzs$ z=J^N8nkAg9=FrxMq8ee99tvuNhCfu)2yOj+iO86-czPq#+sC@LbYervs&8y&{T_+v zJTe(RT(B_m9yT;aTOR=|*6|yx*drASBa*j}5U@wX8Y7|%Aj2A2^`r9uQL4ZZ6Q-)8 zsS0$8_ro8x8;2ED05q%-czjHb0-??k!$&|qzMw+1fv$KX3b3wWv{gxacOmvT^VE$V z+d74n_v@XXKtS@EBJNdQ;(wLE8ye~yIkFM9Bwot`9Ukf&Glpn8Wyddw6KMl|C1*3kBbbNJpOg2`|zZ%zVXIcAl9o`eaP#Y zKDsj{x3Dltbd5|D$*W3kS|4J`YYG-kioyGJy)I@$4ghvR;vInv1BNYx7?kf^@Rqj| zX2?8PsktFb56c@60aj&$4D^{xDJ_X|11Xo8S2ihZY`s^SlA%$^w2QBwmR(Ciwq37h zXDtP{uZryvtzp-i|0}y>cK5R@`8X|>B>uu$)UxZYsBPDY7j$vlfOGb(Y9E*?{z@*- zX*E*k9{DEyp`BNJ^Gw#ZVIA5?w^_?GAO8?V^i#3@D_-ANHn_T?v_%EtRqa#L59x|w z*)M(=pH)`(38RATsi$o{Y&=-BZTn^xuPG0RGUfq0E(B5w&Qv zi>I`7Rq;*0qvLM)F^K(D?AU_0s&%?ru7N?aCj)l&WX@O;I|kVYs2HaQdk{t8c6Mis z6R)(`+3{V{uCLy3BeL(RV#kHN*{yLPW#k|ri4`)(0jCe!&QI@aiD6X1a5JHzc8AZ0 zgjM_$_UqOVzw79uxMYb>%7Zd70-y*0?*W(_0JMW8!09>eY=d$}L<8n90!l}SYajr# z3*4^;FgL3KyoO+s1LXqfSy0*i-1@b!1Y`Y}u(xi@^t)2#wjcx~u^#fCm04NVBxyi- zi^{CZduUl;nKg!oRwC{0pqnPIR+)mA#;%ZAU-rlqN!yTj(|#5p?LossBbIq2=%E!z zd)z}~tyQL2=6_7A+u8_#%#(TIOhU^8$UI}<9vUU8>bsEwRWy0UtEQE^%iR|Ot!je3AxAlHzn6WN z>gOZeU0=b+zI!27ZQs3-?zHPGpjAB|w^7cOFz1<@s?{{+btTH(9(EA`3N7bDD|e@@ z4EfNq-D$U$y3_JOA6nFhR_sobt!WpTHm@*T1b{*-bf?|sC5hZhAN%eIdIhQPX6nlv zU~@>5J^9Q*WlLIU5y~Bs_t1!C4l_Ko3TZ=wZklY?XO8yLa+Eu^?2#ju3FX~1`R*h$ z!tl_tz%nC)9$JaCF&^4Y#4;z8@mCV-aZ?mv=H$FdF@1Vdk+ep`O}jZjT654tGf11@ zq1_rHt+kB5l32#AS%8^Ic_H8rXmTy7AJ%+N<+%f*fj6QW_(W;o@m!tt)j!r*Slr<{ z(^J>} zLByp&axHl44Cce)A-N8`^l;kTN5FdoyvOKf zzS<=pE9>*q$zOy374^C3G0B=y4 zyuEVx1oc_a)V@)b+85NpdGfv%0aVsk&{9Ld8v^Qq!aE4O1Hn5uOv!^Q_+<43fz-dONXl6)tDcM^EvAbH^uK88v)0m0t_K4E=%AvHdyQsaaA z;zFtwyjJi|EmLw5c$2`JQY6+E#RvbNmM1nf0;sG{x2C3t02TF3iquT-W`K8QmXfo; zI}^OKa>ULq;1kvt+xYU9GJ^Vk0k>FEb0B$Mo|5w;fXez7O6mge7J#?VAP+BTsfBrc z!zC4ke2w9ygrwkx(vL_z`H!ie3zH-G4utU)D@8YDI~AVlXq1FP+4EzNL>?EDU2WH zN#fM?;9Upajaf?G6arM#H-l2kA-NpX6=30S0iUow7oEb?lv-)%GtQ}15kO^q{V262 z1gNOY8*Zt)qbhZ`Qsy-#E{Ia=AbDSqI`1ptW9Yknsf`6dVSQMadl#vPAo+_jC7;OQ z6VzAaQcp)!>S<7aDZFRFdltOsir0NQmnwj=`m$l__eGWZ zZBV5)S19>P9-olD(3kpCR;B(F(3b#He>MOi@9WZg-UtIK>8o2@9;V)gD1rDfROK>QA&Oo1XNJwrNz|8pnQaUp9=3Y@ID1^Yk`v63_ulS z-pfqa=T#c}k>-`kbiXj5l1ldvK{DOHj89aVw;9s|LD?;*%sY_jJ;2)oyg>m<4l3dk zQRZbxZcC*1f#hJ(G8mGBAvr`Ohd^=&BoC}G-$8kNLi#*>`j8-?g1*(tm$LMsIemjQ zJ<G|OOyu^G(U`1f>=7_xq>^)#x3dAY~ zpo%{D#=Wof2iV1WUCR3~r_$&N=5gEf$3;L9eH1tSd0wSI59!0VnYygX)CKgR-ONq~ zpo%_jo9Pc;fADr=c^S+Gncct((3Z@eVL&B)Xg9M@5KuuMyv=+K{J}YWVTB9G%n2IRg2PiZb8Pz>WfTtY|s53@EBErf^x9 z35Aq-4<|Fi08~-tH61PmGsh#}XwfnT*l1wrGYWsp_(b*X94`DaCx`R}ATIeb=so5w z94_`UO~^N1w4nE7#sg~=Ev;ohQGIKMOS{aZkp7x8(`Epw=&wREQ<3j9#(9AM`HntSSc_B0N zUGTEtT_U_oz`F#z?^js&OY``I^sO0g#$_-Pn^%Ll4VPI7$vZ`I6(m|E));^) z`eF<>-7;&DZ(WqU03F3+QSl%bz6Ri7-Pk8lY~5~V!F#^@Z1y6GC^`qJ4jZcj)a##$$M8&0uIgdKT7Tr^^x3t?8d{dp@g;9Nl7f#y6YVHgTHQh z!jwc~AW^bk#7A55l9k@UVOC3gz@NbY*-aaU?dG$Ilu`-XfZ2fTTB?o&!wd#IG` z6ZDbn|D%7@Eifg~_(+tj5BNy#a`V?8I>eMj$Iz0H?q2tuf3Rfc*`_2qjVZ}vh216l zO}*!>H%&=&C@s0Q>?66;uYYvTPfSU4HZA#i$w#v9ce9({H6_szwd7|-AIUzmM~~mn zltd@hlAjiQBz=u`xnxSBb8E?u{5-Fo9Nl!KDT$7+B|i=c z1?_fe-l9Dfv}hPAkl=Ikf&vi^CP+dfz@+zO*phqaEgNyT!g_{w9)8Z}@0*fs2LGqz zUO6Aheit16@I+G*gQS)ml=YF^>Am$oKf;v60IDVTjQU9SJ$&QnznGFU%9don@R95j zxoWpRnvxiJk!a^0w%1)Xs(1H~y4}am+h$4{K`qHsIJ$4TbgHY@ zT=S4AImed#?|>zVO9+-f_k8@Ux6UvnF{vV~?dnoyS(MNK(e;%^y zi>4$dcqB?z3O~M{<`w`>qs0Yj25mz8m$C+_`h@k-Bs&HjpU!j^QKO@0GWo`=%(>`%skpYs5!# zr;%&!)Lz0OMeF=a*hjMO%4eG^rp`vgl6*VlBiU!S7sf0xC7Xkm$@)Ls^{XRI z$qBaP8$}<rKf?c}w!OvMu?Ch}GwJnQ{K(|0R-K z#v)N?x#T0c^T16v=P7~Zt^7~PKRI?fI{W3a_5Tn_E`O0I`D(#O=T3Jmx^s&uxszc@ z{xR<(+4qsif9p2F+>b<^ujG6r`)qmi+qY0cmeg8ubJj<){@(TvUN$AM+}4u+=_gsY z&+?;0P;n`)B_9j<=u|`UAN)W>xlGrRubX`ap8GIo)AEFn_-cWK`o#Aw5<=1^2tbpw zZr166A-TVYr%eKJcNPCy&b29t5fx)pzYtg%b8-R1VOD>s{lfxrCer$p0Pwv}Cn@Hj zob}Z^?k?TXe*G?B?xErb2ED~thZMBc)L^URmZ(mm@*5#r<&fO1$B z4V6_6l4j)QrQ6C;5k5|Gs={@o<>#aKD?(~t6+f;@_ZJ>%*|}utW)+Uk1FN#(=n84x zzA!Wbn(OqDRJAGM^4@~S=dXgyek$Hr_V->@F=mgw$~H$-ep|*usjBP1*5mgawg+?% zR`JDE9l$zB#(j64SgiqKuG840VX=fUz+7}vl(azs(&m+kGfCbO06j#JCU$j(?Iv*9 z)e*nvTafsgipQ$zY}@q|OOVt%cA0}L6^dQo$@u z`5Ja@Dp-1Y$1ZcKrcSZz%B-JV%Qp=h0Eq)s{HL}0*Kc#ZYnQp$uE%O%?`Eb@KY@tHxZ7eM476~C!gJH8sF4yImdN4?;qK5565VL!WG zop{|(AQ4pY6}8&&^$>M1^^RTUl9l?zu6we!U9Q8PL#DJ{3yFhOd~L|v@2$h0u2YP( zt4Cv~Yat{yYzWvkxDE;baMN4gfenYK`1*p^25YS1H*`ALzNwl^{OlSSK_by zvBOpT1$5xYtsHpF?EW=AXHk2{E^}{=I>oM+ecLhgVJr`cBUF4-t#)iJPzO`5*v0SG zbP~HZ`?lln&&@4_)KC>icl7sHTh!9iJ9gFQEq!9wYldI{I&{Edt^to!@jumS$EguZ zPw&_@xNPYYyWYzC*|lQi)2Bl0C>4JrT*F^8d)F@4;cT(%ec%4I|IAn51$DHFZ>iP4 z=6AQN>J7(lT&+ERMQwdz*GInn>yl$0J{oo%qvHRp)xVbJEWg|B_@(ShM=gC~*H+*D zHDJKiXF%*&75}VO|B4qZJ-uVsMYcZL#h;$=S<`$lwR9l3!&IWaR{y%V(z|wDUb6Iw zUAy|W<4I4HxR>;ADzQtg{&i`<($hQsy292ccJ1lgj`P=?IS9puR06|=|M+!Pq<8JQ zDP-vryY};K$KdeyzXP!bmDs0NJ6;pD^z@FumfQNot^>+`{i`uIn)_YDRbu~I?TGJZ zb?P0v)_DDOsBiyz`IJ++pD;ot4ldScM`s;p+qE6*!kXh3&k^b*{yNIH9slF>173yH zaVjyiR{z4psZ;ORwaV+SkZ(Kg{ozk1pw#14Vpy$qbk=dbW7nF1RZsEPDBpH`Db&LC z&`6ayu2wrb>$u*r>u#^VPBQ%ZeV;d1Uk$rPsl*Aj+R<6Z^^RQyufLjn``7Pg9`OO} z8m$tIVgG*bTF3Q{UF$2JcAV(jj)QMYTmi8$Dlwr(|Kdq5oqETv4JAvT^sk6-JHB_~ z2u#>&tV&F&(T-_n9oIW{Jy`JAb-HgmPRm8P7jc40wAW}y*E+6u?0UrOud~X2{r+!5 z&%POUov0FL)@Vo9C0g6AFSY(EL_GeQ?D;iivIncCsK9l9lKuiw&Mcd zc0Bgsao!UE2(g zT^IZIul~_l$3SeHN@T+|`n|Kh>>ay43wrGOp>I1L`C|DQh&8FirM3E(v%c&VyIdFD zq<`V7A?tm}wP)Ei;N+)ZS2IH8RP`@w&ypv!b)sE8dWYpnUY*2->x+IiEZ%J*77eOJ zC9bX7v$Sn^`b*f*Xn1T`?tCZa^27HEOa1||@hWk1-rH|%8=lQsdV21M2|+8b_+e$) z&kuipan=BcO;Cy3ay4xDQ`XYca~mdxt-NBx8sGkK<9?kpN@X?QlU57GGRf&gd^aq~d*Qw`zm{qj$iXWcv?GKkc^EumW zl1db_{(X`+2XyMW4YRXWUa{df__+>!CvN+Go@S3h_fo@Tm3ThtHSddlp2l@Nf+pjv z2)?05{d5A&{COI$+z$GAnvsUP+|TVn#-ze6wSS(5SKxFK_m#uj}+Ag66$?{N;b$$K?Vxo4C()dck%d z?+)rj+SV)(-V1bS^5gg0(feHP8~^$%3!$J*CEf}6_dZ^)(8+P1^CkLM?>@%`j{BbX zxaxD(Ao)VYs)ysg-xpjo#ef7obKjNAe*G*oL{#FFU=8;<-|IW>+sUws{p#K4xWI9r zbGE_hea^W8$9=Cwta^YZ@fdpMzK5^6@eO1=O?CFKG4^HZvQ`nc`&?hKfA#KjT;RCR zIqKlJ@71VP5669fDYkd*3m^?Rj`zKMa>zvtlc>PE(ynwVui{I3rpW4r1cnfG^J)gF;DAnskH zn7z+CL!0jN&d@u?eZ0x8lim9)0{;Kv-uGF+5*7DN%x+KbbIrW(j$ZX2&@f$fw$xa- zRJ+Q6cr}eicxPzsKFPvdg#8h*OvPu`{}B4I@Z6BYh4`X z?6I$EoHHQf>pss6t=;FDp?8q`92fN1ef%v2oy2|T=X>bB`tnCtLBR~wIk(2Tui8}x z#H(r2`#dwW9{bE&544a~+ZJWss_`(`owk*IqDt=ba(CL+5@;?L^xS=YE6^ZG+?Ocy z(0%VFf6Kk;nW}SXjdfqOs|<)&(*mS@T*O~V;;JG*uiOrDpW}j_yKg|jv{=SSiBPp2 zQ-^Ej&2BvAuh4LY>byAg?fV`@9Ph(|z6r ziQaKJ%(BEB=ZZkR2M%`7!|S-f?tQi;|A&Q3D&T#1?+67To$G3> z`@9Ph?LNMZ?Bx?Y3Y4WhI{C~&|f$5Yv8k0=i@cjef+TtonW4JpJzex z6}!)ILC@W{Z`7)rxNlRWhweM-(F7m=b5!TAi~eKZ_HG$eKd42ht)|h~ss+imV0T*2 z7A}qpdhWijMNqmVao=k_UH4t}@}t9%y+d`rQu247cR`}3j;f_}04iK}(pZgcMbN5e z;~nBY#|1ri-@z4FDM{Q{*{*e;Yvygae)9RqZm7<8gEib&UbpCn*CY2Ybf4n_ z+kLKIwT4@^f8l~Q@7cO<#=eVw41dg4ouBq(-B-1kVej+0RJ+e3w_|2r{^*lVw)-ps z{{KSv@yFwIV(;tPz0^bZH9WuR31mE1bqxsn_deH67MN!~ysld$LaXV%sva3dQN2F) zIWDl>=eo^fyN|!RrxR)NT?cIxY>bl+pi&z^*axvFcZQNw-jS|zx4 zoBM?=;#c4M92Yq5bME>$?rSYrHaqT{9&*uC*Egd*bl+#s?teHm%u`)s%l_`GIxdM> z20d+X8Gj{x_3m?A;JB|XYT4(wZ)(I%Gaufw-7ZyKQ?fmD-zzU{TLZ13y?878x|vb#|_Y?%V6Z=T1S!`Ks#-%nRGS zUFw-pzrcMSx&MJP?*(4>EzI}OeZM{Q>cw#1`Ks&ufWP~y&d5sdt2&=r^N>dMbP`2T zy*~3gE^vC^T(?`ybsv8ZRwt+Tb>&<%)s?FB(0v~cFFg+p3shGkSi^m3R$7vba|S^E zRIE-y3jnEhRndn=O}*|u#|4i2oNH;$*cZ2LcHDPa1r|w?hxc;TL-!3_f7<=Xeu3(` zG+M)b-V?ND@4JEyll0ZQ&vAj{zKh*%(Y^0d+h)go*GH^+i2H5~^w52gn|DxNl8&_jw=GFPJ(!GxUy`I{53&IyvrJQLt!qRqdH~WyvL{x*iN|hx-uK&OD$7 zU~J*7ouJ`D)pcLq-+kV(O7FOMW>oDy5AFYvcity*9{2sSv>on4#6bIe-$#{Se;*na zsjeq$EL^PI@KfJEEVC)65VdV{9vV(e`;{v<)S;paiyZ5%Qvk0R!UI@4*4mUUa)uyYE*~a3qOKiFteTmp>kv za$I1$k3WyDljA<;aJ%EazlU9Ns_TvITDZ7+-`D^4NgFgoRo81q4fj=T4;T>VZ=~x4 zlg)>hzoPEYwELWUDm%`7jtd<3IcM-4_x;(h?6cj+Ut8A+G}ZOb?OM3F+;{ihlXyhv z+p4QlW8qSDbx{^B)$hRpsNhe;>m;r!0`bN?+A$BW%LR`6oTK}W`#!eJb6(ogLujQW zx-Z#xyB01k_x(EX*m7iCqPn(a{d-^a_J9F#R|r0stf!7aMQ|k9?(@#jJIH;G3vBnf zPW;>MbDh8^O|}g9+xt3+`}Q)nXY6xr)~~qhN8D{*s*=0cSh#pyQ2VbW z*sNzf2c5)y4cYB+pX=ehWBql*pdhA_$5d*#@8qmiggmGQuyiB;N)j5!zRaAUNztdN ziliA{8t22_o_Sp^uzMehu@c-;yZ153g-+tWaIS~$TR!9=9@2=b%Pf%@xS;3mJ14KrGpCM4Jze)T5192+Xy{VO z1+~_Fv$Iwaj{B;n6ZXEZZ02=b&~x{_XV;CrPZGo5G1W=vJ3{sN+2bq${2Vny-ZAx6 z40p%0lZ3h>)K9|P5!zWo+!5MEF}xj9e+g|zXn=&ZBebi8v?H{egtH?wP(s-e+Fiof z5!ypS*bzd|wnq#C_%UdPu45V`Ve1I(B_Znw?JeQz2<@Yi&*cf==Z2FnWChv}*U=1t z2CL+zus~l^3{A)Mb;YoBO#3T_q+=SQ7>K!p9M6kkE02hD+EuLL($(9HHYRTpXd}B~%=tkrF13 z&?vqh8Q3F$^? zl7w?3G+9Eq5t<@l+z7Qv2sc6z3ExKOGzr~CXsU#5BQ#Aywh?NVaBYO9OQ<$Nr%RYN zLNg>p8=;vJo{i8M5}J+BnTlcAm}W^xHbQ4fI5t9OODHx%=SUbfLLDmgH2>#$ABJCJ znk}K%2%U=^kuU)qC}7AnrnxGmd;2^IwMN=`5@wCid|YK8?_~C3G60B@#A`&{7GRMrfIYOCuDM zP-%qXieb{25{eBlv zNmw&NmrF=9LO)gvXU6mstd0W${Sgszn^WrVJi5M_j}m+)kSZjjJqgl?3uWQ1;#kYt2zmT+W*Zjn%AgqBMf zGD5dX2r@!>2|q??g@hg>ben`7BXqlj93!++!i^ESLqd%ax>GUC7}F{VF-GVv2`@%y zwS*QUv_`^;5&D^g6eF}&!if>OTSAEux<|r@5n3l9#0VkORl8Zny%IW%wDl4;jL>}& zGK|pu5-yC;1_>2LXd`}-H%dS~AR)pCJt*P92t6dB!3aGpVZjJJA|b&DJu2bA2t6jD zzz97qVZaFeTta{mDoXe-LcfsEUxc2JuwR6pl#pM9o|151gr1gAUxc2KFkggzDIvZH YJuBh82t6mEy$C%oVZ8|biXpxJHyB7MZU6uP literal 0 HcmV?d00001 diff --git a/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.vhd b/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.vhd new file mode 100644 index 0000000..ba735fc --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.vhd @@ -0,0 +1,915 @@ +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.4.0.80 +-- Module Version: 5.0 +--/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n fifo_18x256_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 256 -width 18 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill -fdc /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg.fdc + +-- Wed Mar 18 14:42:55 2015 + +library IEEE; +use IEEE.std_logic_1164.all; +library ecp5um; +use ecp5um.components.all; + +entity fifo_18x256_oreg is + port ( + Data: in std_logic_vector(17 downto 0); + Clock: in std_logic; + WrEn: in std_logic; + RdEn: in std_logic; + Reset: in std_logic; + AmFullThresh: in std_logic_vector(7 downto 0); + Q: out std_logic_vector(17 downto 0); + WCNT: out std_logic_vector(8 downto 0); + Empty: out std_logic; + Full: out std_logic; + AlmostFull: out std_logic); +end fifo_18x256_oreg; + +architecture Structure of fifo_18x256_oreg is + + -- internal signal declarations + signal invout_2: std_logic; + signal invout_1: std_logic; + signal rden_i_inv: std_logic; + signal invout_0: std_logic; + signal r_nw: std_logic; + signal fcnt_en: std_logic; + signal empty_i: std_logic; + signal empty_d: std_logic; + signal full_i: std_logic; + signal full_d: std_logic; + signal wptr_0: std_logic; + signal wptr_1: std_logic; + signal wptr_2: std_logic; + signal wptr_3: std_logic; + signal wptr_4: std_logic; + signal wptr_5: std_logic; + signal wptr_6: std_logic; + signal wptr_7: std_logic; + signal wptr_8: std_logic; + signal rptr_8: std_logic; + signal ifcount_0: std_logic; + signal ifcount_1: std_logic; + signal bdcnt_bctr_ci: std_logic; + signal ifcount_2: std_logic; + signal ifcount_3: std_logic; + signal co0: std_logic; + signal ifcount_4: std_logic; + signal ifcount_5: std_logic; + signal co1: std_logic; + signal ifcount_6: std_logic; + signal ifcount_7: std_logic; + signal co2: std_logic; + signal ifcount_8: std_logic; + signal co4: std_logic; + signal co3: std_logic; + signal cmp_ci: std_logic; + signal rden_i: std_logic; + signal co0_1: std_logic; + signal co1_1: std_logic; + signal co2_1: std_logic; + signal co3_1: std_logic; + signal cmp_le_1: std_logic; + signal cmp_le_1_c: std_logic; + signal cmp_ci_1: std_logic; + signal fcount_0: std_logic; + signal fcount_1: std_logic; + signal co0_2: std_logic; + signal fcount_2: std_logic; + signal fcount_3: std_logic; + signal co1_2: std_logic; + signal fcount_4: std_logic; + signal fcount_5: std_logic; + signal co2_2: std_logic; + signal fcount_6: std_logic; + signal fcount_7: std_logic; + signal co3_2: std_logic; + signal wren_i_inv: std_logic; + signal fcount_8: std_logic; + signal cmp_ge_d1: std_logic; + signal cmp_ge_d1_c: std_logic; + signal iwcount_0: std_logic; + signal iwcount_1: std_logic; + signal w_ctr_ci: std_logic; + signal iwcount_2: std_logic; + signal iwcount_3: std_logic; + signal co0_3: std_logic; + signal iwcount_4: std_logic; + signal iwcount_5: std_logic; + signal co1_3: std_logic; + signal iwcount_6: std_logic; + signal iwcount_7: std_logic; + signal co2_3: std_logic; + signal iwcount_8: std_logic; + signal co4_1: std_logic; + signal co3_3: std_logic; + signal wcount_8: std_logic; + signal ircount_0: std_logic; + signal ircount_1: std_logic; + signal r_ctr_ci: std_logic; + signal rcount_0: std_logic; + signal rcount_1: std_logic; + signal ircount_2: std_logic; + signal ircount_3: std_logic; + signal co0_4: std_logic; + signal rcount_2: std_logic; + signal rcount_3: std_logic; + signal ircount_4: std_logic; + signal ircount_5: std_logic; + signal co1_4: std_logic; + signal rcount_4: std_logic; + signal rcount_5: std_logic; + signal ircount_6: std_logic; + signal ircount_7: std_logic; + signal co2_4: std_logic; + signal rcount_6: std_logic; + signal rcount_7: std_logic; + signal ircount_8: std_logic; + signal co4_2: std_logic; + signal co3_4: std_logic; + signal rcount_8: std_logic; + signal wcnt_sub_0: std_logic; + signal rptr_0: std_logic; + signal cnt_con_inv: std_logic; + signal wcount_0: std_logic; + signal cnt_con: std_logic; + signal precin: std_logic; + signal wcnt_sub_1: std_logic; + signal wcnt_sub_2: std_logic; + signal rptr_2: std_logic; + signal rptr_1: std_logic; + signal wcount_2: std_logic; + signal wcount_1: std_logic; + signal co0_5: std_logic; + signal wcnt_sub_3: std_logic; + signal wcnt_sub_4: std_logic; + signal rptr_4: std_logic; + signal rptr_3: std_logic; + signal wcount_4: std_logic; + signal wcount_3: std_logic; + signal co1_5: std_logic; + signal wcnt_sub_5: std_logic; + signal wcnt_sub_6: std_logic; + signal rptr_6: std_logic; + signal rptr_5: std_logic; + signal wcount_6: std_logic; + signal wcount_5: std_logic; + signal co2_5: std_logic; + signal wcnt_sub_7: std_logic; + signal wcnt_sub_8: std_logic; + signal rptr_7: std_logic; + signal wcnt_sub_msb: std_logic; + signal wcount_7: std_logic; + signal co3_5: std_logic; + signal co4_3d: std_logic; + signal co4_3: std_logic; + signal wren_i: std_logic; + signal cmp_ci_2: std_logic; + signal wcnt_reg_0: std_logic; + signal wcnt_reg_1: std_logic; + signal co0_6: std_logic; + signal wcnt_reg_2: std_logic; + signal wcnt_reg_3: std_logic; + signal co1_6: std_logic; + signal wcnt_reg_4: std_logic; + signal wcnt_reg_5: std_logic; + signal co2_6: std_logic; + signal wcnt_reg_6: std_logic; + signal wcnt_reg_7: std_logic; + signal co3_6: std_logic; + signal wcnt_reg_8: std_logic; + signal af_set: std_logic; + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + signal af_set_c: std_logic; + + attribute MEM_LPC_FILE : string; + attribute MEM_INIT_FILE : string; + attribute GSR : string; + attribute MEM_LPC_FILE of pdp_ram_0_0_0 : label is "fifo_18x256_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_0_0 : label is ""; + attribute GSR of FF_56 : label is "ENABLED"; + attribute GSR of FF_55 : label is "ENABLED"; + attribute GSR of FF_54 : label is "ENABLED"; + attribute GSR of FF_53 : label is "ENABLED"; + attribute GSR of FF_52 : label is "ENABLED"; + attribute GSR of FF_51 : label is "ENABLED"; + attribute GSR of FF_50 : label is "ENABLED"; + attribute GSR of FF_49 : label is "ENABLED"; + attribute GSR of FF_48 : label is "ENABLED"; + attribute GSR of FF_47 : label is "ENABLED"; + attribute GSR of FF_46 : label is "ENABLED"; + attribute GSR of FF_45 : label is "ENABLED"; + attribute GSR of FF_44 : label is "ENABLED"; + attribute GSR of FF_43 : label is "ENABLED"; + attribute GSR of FF_42 : label is "ENABLED"; + attribute GSR of FF_41 : label is "ENABLED"; + attribute GSR of FF_40 : label is "ENABLED"; + attribute GSR of FF_39 : label is "ENABLED"; + attribute GSR of FF_38 : label is "ENABLED"; + attribute GSR of FF_37 : label is "ENABLED"; + attribute GSR of FF_36 : label is "ENABLED"; + attribute GSR of FF_35 : label is "ENABLED"; + attribute GSR of FF_34 : label is "ENABLED"; + attribute GSR of FF_33 : label is "ENABLED"; + attribute GSR of FF_32 : label is "ENABLED"; + attribute GSR of FF_31 : label is "ENABLED"; + attribute GSR of FF_30 : label is "ENABLED"; + attribute GSR of FF_29 : label is "ENABLED"; + attribute GSR of FF_28 : label is "ENABLED"; + attribute GSR of FF_27 : label is "ENABLED"; + attribute GSR of FF_26 : label is "ENABLED"; + attribute GSR of FF_25 : label is "ENABLED"; + attribute GSR of FF_24 : label is "ENABLED"; + attribute GSR of FF_23 : label is "ENABLED"; + attribute GSR of FF_22 : label is "ENABLED"; + attribute GSR of FF_21 : label is "ENABLED"; + attribute GSR of FF_20 : label is "ENABLED"; + attribute GSR of FF_19 : label is "ENABLED"; + attribute GSR of FF_18 : label is "ENABLED"; + attribute GSR of FF_17 : label is "ENABLED"; + attribute GSR of FF_16 : label is "ENABLED"; + attribute GSR of FF_15 : label is "ENABLED"; + attribute GSR of FF_14 : label is "ENABLED"; + attribute GSR of FF_13 : label is "ENABLED"; + attribute GSR of FF_12 : label is "ENABLED"; + attribute GSR of FF_11 : label is "ENABLED"; + attribute GSR of FF_10 : label is "ENABLED"; + attribute GSR of FF_9 : label is "ENABLED"; + attribute GSR of FF_8 : label is "ENABLED"; + attribute GSR of FF_7 : label is "ENABLED"; + attribute GSR of FF_6 : label is "ENABLED"; + attribute GSR of FF_5 : label is "ENABLED"; + attribute GSR of FF_4 : label is "ENABLED"; + attribute GSR of FF_3 : label is "ENABLED"; + attribute GSR of FF_2 : label is "ENABLED"; + attribute GSR of FF_1 : label is "ENABLED"; + attribute GSR of FF_0 : label is "ENABLED"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of Structure : architecture is 1; + +begin + -- component instantiation statements + AND2_t5: AND2 + port map (A=>WrEn, B=>invout_2, Z=>wren_i); + + INV_5: INV + port map (A=>full_i, Z=>invout_2); + + AND2_t4: AND2 + port map (A=>RdEn, B=>invout_1, Z=>rden_i); + + INV_4: INV + port map (A=>empty_i, Z=>invout_1); + + AND2_t3: AND2 + port map (A=>wren_i, B=>rden_i_inv, Z=>cnt_con); + + XOR2_t2: XOR2 + port map (A=>wren_i, B=>rden_i, Z=>fcnt_en); + + INV_3: INV + port map (A=>rden_i, Z=>rden_i_inv); + + INV_2: INV + port map (A=>wren_i, Z=>wren_i_inv); + + LUT4_1: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_le_1, AD1=>wren_i, + AD0=>empty_i, DO0=>empty_d); + + LUT4_0: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_ge_d1, AD1=>rden_i, + AD0=>full_i, DO0=>full_d); + + AND2_t1: AND2 + port map (A=>rden_i, B=>invout_0, Z=>r_nw); + + INV_1: INV + port map (A=>wren_i, Z=>invout_0); + + XOR2_t0: XOR2 + port map (A=>wcount_8, B=>rptr_8, Z=>wcnt_sub_msb); + + INV_0: INV + port map (A=>cnt_con, Z=>cnt_con_inv); + + pdp_ram_0_0_0: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 18, + DATA_WIDTH_A=> 18) + port map (DIA17=>Data(17), DIA16=>Data(16), DIA15=>Data(15), + DIA14=>Data(14), DIA13=>Data(13), DIA12=>Data(12), + DIA11=>Data(11), DIA10=>Data(10), DIA9=>Data(9), + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>scuba_vlo, ADA12=>scuba_vlo, + ADA11=>wptr_7, ADA10=>wptr_6, ADA9=>wptr_5, ADA8=>wptr_4, + ADA7=>wptr_3, ADA6=>wptr_2, ADA5=>wptr_1, ADA4=>wptr_0, + ADA3=>scuba_vlo, ADA2=>scuba_vlo, ADA1=>scuba_vhi, + ADA0=>scuba_vhi, CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, + WEA=>scuba_vhi, CSA2=>scuba_vlo, CSA1=>scuba_vlo, + CSA0=>scuba_vlo, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>scuba_vlo, + ADB12=>scuba_vlo, ADB11=>rptr_7, ADB10=>rptr_6, ADB9=>rptr_5, + ADB8=>rptr_4, ADB7=>rptr_3, ADB6=>rptr_2, ADB5=>rptr_1, + ADB4=>rptr_0, ADB3=>scuba_vlo, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>scuba_vlo, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>Q(17), DOB16=>Q(16), DOB15=>Q(15), + DOB14=>Q(14), DOB13=>Q(13), DOB12=>Q(12), DOB11=>Q(11), + DOB10=>Q(10), DOB9=>Q(9), DOB8=>Q(8), DOB7=>Q(7), DOB6=>Q(6), + DOB5=>Q(5), DOB4=>Q(4), DOB3=>Q(3), DOB2=>Q(2), DOB1=>Q(1), + DOB0=>Q(0)); + + FF_56: FD1P3DX + port map (D=>ifcount_0, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_0); + + FF_55: FD1P3DX + port map (D=>ifcount_1, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_1); + + FF_54: FD1P3DX + port map (D=>ifcount_2, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_2); + + FF_53: FD1P3DX + port map (D=>ifcount_3, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_3); + + FF_52: FD1P3DX + port map (D=>ifcount_4, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_4); + + FF_51: FD1P3DX + port map (D=>ifcount_5, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_5); + + FF_50: FD1P3DX + port map (D=>ifcount_6, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_6); + + FF_49: FD1P3DX + port map (D=>ifcount_7, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_7); + + FF_48: FD1P3DX + port map (D=>ifcount_8, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_8); + + FF_47: FD1S3BX + port map (D=>empty_d, CK=>Clock, PD=>Reset, Q=>empty_i); + + FF_46: FD1S3DX + port map (D=>full_d, CK=>Clock, CD=>Reset, Q=>full_i); + + FF_45: FD1P3BX + port map (D=>iwcount_0, SP=>wren_i, CK=>Clock, PD=>Reset, + Q=>wcount_0); + + FF_44: FD1P3DX + port map (D=>iwcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_1); + + FF_43: FD1P3DX + port map (D=>iwcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_2); + + FF_42: FD1P3DX + port map (D=>iwcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_3); + + FF_41: FD1P3DX + port map (D=>iwcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_4); + + FF_40: FD1P3DX + port map (D=>iwcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_5); + + FF_39: FD1P3DX + port map (D=>iwcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_6); + + FF_38: FD1P3DX + port map (D=>iwcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_7); + + FF_37: FD1P3DX + port map (D=>iwcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_8); + + FF_36: FD1P3BX + port map (D=>ircount_0, SP=>rden_i, CK=>Clock, PD=>Reset, + Q=>rcount_0); + + FF_35: FD1P3DX + port map (D=>ircount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_1); + + FF_34: FD1P3DX + port map (D=>ircount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_2); + + FF_33: FD1P3DX + port map (D=>ircount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_3); + + FF_32: FD1P3DX + port map (D=>ircount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_4); + + FF_31: FD1P3DX + port map (D=>ircount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_5); + + FF_30: FD1P3DX + port map (D=>ircount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_6); + + FF_29: FD1P3DX + port map (D=>ircount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_7); + + FF_28: FD1P3DX + port map (D=>ircount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_8); + + FF_27: FD1P3DX + port map (D=>wcount_0, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_0); + + FF_26: FD1P3DX + port map (D=>wcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_1); + + FF_25: FD1P3DX + port map (D=>wcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_2); + + FF_24: FD1P3DX + port map (D=>wcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_3); + + FF_23: FD1P3DX + port map (D=>wcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_4); + + FF_22: FD1P3DX + port map (D=>wcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_5); + + FF_21: FD1P3DX + port map (D=>wcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_6); + + FF_20: FD1P3DX + port map (D=>wcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_7); + + FF_19: FD1P3DX + port map (D=>wcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_8); + + FF_18: FD1P3DX + port map (D=>rcount_0, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_0); + + FF_17: FD1P3DX + port map (D=>rcount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_1); + + FF_16: FD1P3DX + port map (D=>rcount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_2); + + FF_15: FD1P3DX + port map (D=>rcount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_3); + + FF_14: FD1P3DX + port map (D=>rcount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_4); + + FF_13: FD1P3DX + port map (D=>rcount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_5); + + FF_12: FD1P3DX + port map (D=>rcount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_6); + + FF_11: FD1P3DX + port map (D=>rcount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_7); + + FF_10: FD1P3DX + port map (D=>rcount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_8); + + FF_9: FD1S3DX + port map (D=>wcnt_sub_0, CK=>Clock, CD=>Reset, Q=>wcnt_reg_0); + + FF_8: FD1S3DX + port map (D=>wcnt_sub_1, CK=>Clock, CD=>Reset, Q=>wcnt_reg_1); + + FF_7: FD1S3DX + port map (D=>wcnt_sub_2, CK=>Clock, CD=>Reset, Q=>wcnt_reg_2); + + FF_6: FD1S3DX + port map (D=>wcnt_sub_3, CK=>Clock, CD=>Reset, Q=>wcnt_reg_3); + + FF_5: FD1S3DX + port map (D=>wcnt_sub_4, CK=>Clock, CD=>Reset, Q=>wcnt_reg_4); + + FF_4: FD1S3DX + port map (D=>wcnt_sub_5, CK=>Clock, CD=>Reset, Q=>wcnt_reg_5); + + FF_3: FD1S3DX + port map (D=>wcnt_sub_6, CK=>Clock, CD=>Reset, Q=>wcnt_reg_6); + + FF_2: FD1S3DX + port map (D=>wcnt_sub_7, CK=>Clock, CD=>Reset, Q=>wcnt_reg_7); + + FF_1: FD1S3DX + port map (D=>wcnt_sub_8, CK=>Clock, CD=>Reset, Q=>wcnt_reg_8); + + FF_0: FD1S3DX + port map (D=>af_set, CK=>Clock, CD=>Reset, Q=>AlmostFull); + + bdcnt_bctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>cnt_con, B0=>scuba_vlo, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>bdcnt_bctr_ci); + + bdcnt_bctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>bdcnt_bctr_ci, S0=>ifcount_0, S1=>ifcount_1, COUT=>co0); + + bdcnt_bctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0, S0=>ifcount_2, S1=>ifcount_3, COUT=>co1); + + bdcnt_bctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1, S0=>ifcount_4, S1=>ifcount_5, COUT=>co2); + + bdcnt_bctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2, S0=>ifcount_6, S1=>ifcount_7, COUT=>co3); + + bdcnt_bctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>scuba_vlo, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3, S0=>ifcount_8, S1=>open, COUT=>co4); + + e_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci); + + e_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>rden_i, A1=>scuba_vlo, B0=>fcount_0, B1=>fcount_1, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci, S0=>open, S1=>open, COUT=>co0_1); + + e_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_2, + B1=>fcount_3, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_1, S0=>open, S1=>open, COUT=>co1_1); + + e_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_4, + B1=>fcount_5, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_1, S0=>open, S1=>open, COUT=>co2_1); + + e_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_6, + B1=>fcount_7, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_1, S0=>open, S1=>open, COUT=>co3_1); + + e_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_8, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_1, S0=>open, S1=>open, + COUT=>cmp_le_1_c); + + a0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_le_1_c, S0=>cmp_le_1, S1=>open, + COUT=>open); + + g_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_1); + + g_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci_1, S0=>open, S1=>open, COUT=>co0_2); + + g_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_2, S0=>open, S1=>open, COUT=>co1_2); + + g_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_2, S0=>open, S1=>open, COUT=>co2_2); + + g_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_2, S0=>open, S1=>open, COUT=>co3_2); + + g_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>scuba_vlo, B0=>wren_i_inv, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_2, S0=>open, S1=>open, + COUT=>cmp_ge_d1_c); + + a1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_ge_d1_c, S0=>cmp_ge_d1, S1=>open, + COUT=>open); + + w_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>w_ctr_ci); + + w_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_0, A1=>wcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>w_ctr_ci, S0=>iwcount_0, S1=>iwcount_1, + COUT=>co0_3); + + w_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_2, A1=>wcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_3, S0=>iwcount_2, S1=>iwcount_3, + COUT=>co1_3); + + w_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_4, A1=>wcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_3, S0=>iwcount_4, S1=>iwcount_5, + COUT=>co2_3); + + w_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_6, A1=>wcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_3, S0=>iwcount_6, S1=>iwcount_7, + COUT=>co3_3); + + w_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_8, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_3, S0=>iwcount_8, S1=>open, + COUT=>co4_1); + + r_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>r_ctr_ci); + + r_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_0, A1=>rcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>r_ctr_ci, S0=>ircount_0, S1=>ircount_1, + COUT=>co0_4); + + r_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_2, A1=>rcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_4, S0=>ircount_2, S1=>ircount_3, + COUT=>co1_4); + + r_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_4, A1=>rcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_4, S0=>ircount_4, S1=>ircount_5, + COUT=>co2_4); + + r_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_6, A1=>rcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_4, S0=>ircount_6, S1=>ircount_7, + COUT=>co3_4); + + r_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_8, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_4, S0=>ircount_8, S1=>open, + COUT=>co4_2); + + precin_inst207: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"0000", + INIT0=> X"0000") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>precin); + + wcnt_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>cnt_con, A1=>wcount_0, B0=>cnt_con_inv, B1=>rptr_0, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>precin, S0=>open, S1=>wcnt_sub_0, COUT=>co0_5); + + wcnt_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_1, A1=>wcount_2, B0=>rptr_1, B1=>rptr_2, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_5, S0=>wcnt_sub_1, S1=>wcnt_sub_2, COUT=>co1_5); + + wcnt_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_3, A1=>wcount_4, B0=>rptr_3, B1=>rptr_4, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_5, S0=>wcnt_sub_3, S1=>wcnt_sub_4, COUT=>co2_5); + + wcnt_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_5, A1=>wcount_6, B0=>rptr_5, B1=>rptr_6, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_5, S0=>wcnt_sub_5, S1=>wcnt_sub_6, COUT=>co3_5); + + wcnt_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_7, A1=>wcnt_sub_msb, B0=>rptr_7, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_5, S0=>wcnt_sub_7, S1=>wcnt_sub_8, + COUT=>co4_3); + + wcntd: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_3, S0=>co4_3d, S1=>open, COUT=>open); + + af_set_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>wren_i, B0=>scuba_vlo, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_2); + + af_set_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_0, A1=>wcnt_reg_1, B0=>AmFullThresh(0), + B1=>AmFullThresh(1), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>cmp_ci_2, S0=>open, + S1=>open, COUT=>co0_6); + + af_set_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_2, A1=>wcnt_reg_3, B0=>AmFullThresh(2), + B1=>AmFullThresh(3), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co0_6, S0=>open, S1=>open, + COUT=>co1_6); + + af_set_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_4, A1=>wcnt_reg_5, B0=>AmFullThresh(4), + B1=>AmFullThresh(5), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co1_6, S0=>open, S1=>open, + COUT=>co2_6); + + af_set_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_6, A1=>wcnt_reg_7, B0=>AmFullThresh(6), + B1=>AmFullThresh(7), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co2_6, S0=>open, S1=>open, + COUT=>co3_6); + + af_set_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_8, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_6, S0=>open, S1=>open, + COUT=>af_set_c); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + a2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>af_set_c, S0=>af_set, S1=>open, + COUT=>open); + + WCNT(0) <= fcount_0; + WCNT(1) <= fcount_1; + WCNT(2) <= fcount_2; + WCNT(3) <= fcount_3; + WCNT(4) <= fcount_4; + WCNT(5) <= fcount_5; + WCNT(6) <= fcount_6; + WCNT(7) <= fcount_7; + WCNT(8) <= fcount_8; + Empty <= empty_i; + Full <= full_i; +end Structure; diff --git a/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg_ngd.asd b/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg_ngd.asd new file mode 100644 index 0000000..c265c78 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_18x256_oreg/fifo_18x256_oreg_ngd.asd @@ -0,0 +1 @@ +[ActiveSupport NGD] diff --git a/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.cst b/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.cst new file mode 100644 index 0000000..d7afd32 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.cst @@ -0,0 +1,3 @@ +Date=03/18/2015 +Time=14:43:13 + diff --git a/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.edn b/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.edn new file mode 100644 index 0000000..d8b4bab --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.edn @@ -0,0 +1,2742 @@ +(edif fifo_18x512_oreg + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timestamp 2015 3 18 14 43 18) + (program "SCUBA" (version "Diamond (64-bit) 3.4.0.80")))) + (comment "/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n fifo_18x512_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 512 -width 18 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill -fdc /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.fdc ") + (library ORCLIB + (edifLevel 0) + (technology + (numberDefinition)) + (cell CCU2C + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A0 + (direction INPUT)) + (port A1 + (direction INPUT)) + (port B0 + (direction INPUT)) + (port B1 + (direction INPUT)) + (port C0 + (direction INPUT)) + (port C1 + (direction INPUT)) + (port D0 + (direction INPUT)) + (port D1 + (direction INPUT)) + (port CIN + (direction INPUT)) + (port S0 + (direction OUTPUT)) + (port S1 + (direction OUTPUT)) + (port COUT + (direction OUTPUT))))) + (cell AND2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell FD1P3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1P3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell INV + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell ROM16X1A + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port AD3 + (direction INPUT)) + (port AD2 + (direction INPUT)) + (port AD1 + (direction INPUT)) + (port AD0 + (direction INPUT)) + (port DO0 + (direction OUTPUT))))) + (cell VHI + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell VLO + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell XOR2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell PDPW16KD + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port DI35 + (direction INPUT)) + (port DI34 + (direction INPUT)) + (port DI33 + (direction INPUT)) + (port DI32 + (direction INPUT)) + (port DI31 + (direction INPUT)) + (port DI30 + (direction INPUT)) + (port DI29 + (direction INPUT)) + (port DI28 + (direction INPUT)) + (port DI27 + (direction INPUT)) + (port DI26 + (direction INPUT)) + (port DI25 + (direction INPUT)) + (port DI24 + (direction INPUT)) + (port DI23 + (direction INPUT)) + (port DI22 + (direction INPUT)) + (port DI21 + (direction INPUT)) + (port DI20 + (direction INPUT)) + (port DI19 + (direction INPUT)) + (port DI18 + (direction INPUT)) + (port DI17 + (direction INPUT)) + (port DI16 + (direction INPUT)) + (port DI15 + (direction INPUT)) + (port DI14 + (direction INPUT)) + (port DI13 + (direction INPUT)) + (port DI12 + (direction INPUT)) + (port DI11 + (direction INPUT)) + (port DI10 + (direction INPUT)) + (port DI9 + (direction INPUT)) + (port DI8 + (direction INPUT)) + (port DI7 + (direction INPUT)) + (port DI6 + (direction INPUT)) + (port DI5 + (direction INPUT)) + (port DI4 + (direction INPUT)) + (port DI3 + (direction INPUT)) + (port DI2 + (direction INPUT)) + (port DI1 + (direction INPUT)) + (port DI0 + (direction INPUT)) + (port ADW8 + (direction INPUT)) + (port ADW7 + (direction INPUT)) + (port ADW6 + (direction INPUT)) + (port ADW5 + (direction INPUT)) + (port ADW4 + (direction INPUT)) + (port ADW3 + (direction INPUT)) + (port ADW2 + (direction INPUT)) + (port ADW1 + (direction INPUT)) + (port ADW0 + (direction INPUT)) + (port BE3 + (direction INPUT)) + (port BE2 + (direction INPUT)) + (port BE1 + (direction INPUT)) + (port BE0 + (direction INPUT)) + (port CEW + (direction INPUT)) + (port CLKW + (direction INPUT)) + (port CSW2 + (direction INPUT)) + (port CSW1 + (direction INPUT)) + (port CSW0 + (direction INPUT)) + (port ADR13 + (direction INPUT)) + (port ADR12 + (direction INPUT)) + (port ADR11 + (direction INPUT)) + (port ADR10 + (direction INPUT)) + (port ADR9 + (direction INPUT)) + (port ADR8 + (direction INPUT)) + (port ADR7 + (direction INPUT)) + (port ADR6 + (direction INPUT)) + (port ADR5 + (direction INPUT)) + (port ADR4 + (direction INPUT)) + (port ADR3 + (direction INPUT)) + (port ADR2 + (direction INPUT)) + (port ADR1 + (direction INPUT)) + (port ADR0 + (direction INPUT)) + (port CER + (direction INPUT)) + (port OCER + (direction INPUT)) + (port CLKR + (direction INPUT)) + (port CSR2 + (direction INPUT)) + (port CSR1 + (direction INPUT)) + (port CSR0 + (direction INPUT)) + (port RST + (direction INPUT)) + (port DO35 + (direction OUTPUT)) + (port DO34 + (direction OUTPUT)) + (port DO33 + (direction OUTPUT)) + (port DO32 + (direction OUTPUT)) + (port DO31 + (direction OUTPUT)) + (port DO30 + (direction OUTPUT)) + (port DO29 + (direction OUTPUT)) + (port DO28 + (direction OUTPUT)) + (port DO27 + (direction OUTPUT)) + (port DO26 + (direction OUTPUT)) + (port DO25 + (direction OUTPUT)) + (port DO24 + (direction OUTPUT)) + (port DO23 + (direction OUTPUT)) + (port DO22 + (direction OUTPUT)) + (port DO21 + (direction OUTPUT)) + (port DO20 + (direction OUTPUT)) + (port DO19 + (direction OUTPUT)) + (port DO18 + (direction OUTPUT)) + (port DO17 + (direction OUTPUT)) + (port DO16 + (direction OUTPUT)) + (port DO15 + (direction OUTPUT)) + (port DO14 + (direction OUTPUT)) + (port DO13 + (direction OUTPUT)) + (port DO12 + (direction OUTPUT)) + (port DO11 + (direction OUTPUT)) + (port DO10 + (direction OUTPUT)) + (port DO9 + (direction OUTPUT)) + (port DO8 + (direction OUTPUT)) + (port DO7 + (direction OUTPUT)) + (port DO6 + (direction OUTPUT)) + (port DO5 + (direction OUTPUT)) + (port DO4 + (direction OUTPUT)) + (port DO3 + (direction OUTPUT)) + (port DO2 + (direction OUTPUT)) + (port DO1 + (direction OUTPUT)) + (port DO0 + (direction OUTPUT))))) + (cell fifo_18x512_oreg + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port (array (rename Data "Data(17:0)") 18) + (direction INPUT)) + (port Clock + (direction INPUT)) + (port WrEn + (direction INPUT)) + (port RdEn + (direction INPUT)) + (port Reset + (direction INPUT)) + (port (array (rename AmFullThresh "AmFullThresh(8:0)") 9) + (direction INPUT)) + (port (array (rename Q "Q(17:0)") 18) + (direction OUTPUT)) + (port (array (rename WCNT "WCNT(9:0)") 10) + (direction OUTPUT)) + (port Empty + (direction OUTPUT)) + (port Full + (direction OUTPUT)) + (port AlmostFull + (direction OUTPUT))) + (property NGD_DRC_MASK (integer 1)) + (contents + (instance AND2_t5 + (viewRef view1 + (cellRef AND2))) + (instance INV_5 + (viewRef view1 + (cellRef INV))) + (instance AND2_t4 + (viewRef view1 + (cellRef AND2))) + (instance INV_4 + (viewRef view1 + (cellRef INV))) + (instance AND2_t3 + (viewRef view1 + (cellRef AND2))) + (instance XOR2_t2 + (viewRef view1 + (cellRef XOR2))) + (instance INV_3 + (viewRef view1 + (cellRef INV))) + (instance INV_2 + (viewRef view1 + (cellRef INV))) + (instance LUT4_1 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance LUT4_0 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance AND2_t1 + (viewRef view1 + (cellRef AND2))) + (instance INV_1 + (viewRef view1 + (cellRef INV))) + (instance XOR2_t0 + (viewRef view1 + (cellRef XOR2))) + (instance INV_0 + (viewRef view1 + (cellRef INV))) + (instance pdp_ram_0_0_0 + (viewRef view1 + (cellRef PDPW16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_18x512_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_R + (string "0b001")) + (property CSDECODE_W + (string "0b001")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE + (string "OUTREG")) + (property DATA_WIDTH_R + (string "36")) + (property DATA_WIDTH_W + (string "36"))) + (instance FF_62 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_61 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_60 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_59 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_58 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_57 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_56 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_55 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_54 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_53 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_52 + (viewRef view1 + (cellRef FD1S3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_51 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_50 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_49 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_48 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_47 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_46 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_45 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_44 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_43 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_42 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_41 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_40 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_39 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_38 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_37 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_36 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_35 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_34 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_33 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_32 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_31 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_30 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_29 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_28 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_27 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_26 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_25 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_24 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_23 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_22 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_21 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_20 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_19 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_18 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_17 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_16 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_15 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_14 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_13 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_12 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_11 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_10 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_9 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_8 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_7 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_6 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_5 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_4 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_3 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_2 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_1 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_0 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance bdcnt_bctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance bdcnt_bctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance e_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance precin_inst218 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x0000")) + (property INIT0 + (string "0x0000"))) + (instance wcnt_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance af_set_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance scuba_vhi_inst + (viewRef view1 + (cellRef VHI))) + (instance scuba_vlo_inst + (viewRef view1 + (cellRef VLO))) + (instance a2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (net invout_2 + (joined + (portRef Z (instanceRef INV_5)) + (portRef B (instanceRef AND2_t5)))) + (net invout_1 + (joined + (portRef Z (instanceRef INV_4)) + (portRef B (instanceRef AND2_t4)))) + (net rden_i_inv + (joined + (portRef Z (instanceRef INV_3)) + (portRef B (instanceRef AND2_t3)))) + (net invout_0 + (joined + (portRef Z (instanceRef INV_1)) + (portRef B (instanceRef AND2_t1)))) + (net r_nw + (joined + (portRef Z (instanceRef AND2_t1)))) + (net fcnt_en + (joined + (portRef SP (instanceRef FF_53)) + (portRef Z (instanceRef XOR2_t2)) + (portRef SP (instanceRef FF_62)) + (portRef SP (instanceRef FF_61)) + (portRef SP (instanceRef FF_60)) + (portRef SP (instanceRef FF_59)) + (portRef SP (instanceRef FF_58)) + (portRef SP (instanceRef FF_57)) + (portRef SP (instanceRef FF_56)) + (portRef SP (instanceRef FF_55)) + (portRef SP (instanceRef FF_54)))) + (net empty_d + (joined + (portRef D (instanceRef FF_52)) + (portRef DO0 (instanceRef LUT4_1)))) + (net full_d + (joined + (portRef D (instanceRef FF_51)) + (portRef DO0 (instanceRef LUT4_0)))) + (net wptr_0 + (joined + (portRef Q (instanceRef FF_30)) + (portRef ADW0 (instanceRef pdp_ram_0_0_0)))) + (net wptr_1 + (joined + (portRef Q (instanceRef FF_29)) + (portRef ADW1 (instanceRef pdp_ram_0_0_0)))) + (net wptr_2 + (joined + (portRef Q (instanceRef FF_28)) + (portRef ADW2 (instanceRef pdp_ram_0_0_0)))) + (net wptr_3 + (joined + (portRef Q (instanceRef FF_27)) + (portRef ADW3 (instanceRef pdp_ram_0_0_0)))) + (net wptr_4 + (joined + (portRef Q (instanceRef FF_26)) + (portRef ADW4 (instanceRef pdp_ram_0_0_0)))) + (net wptr_5 + (joined + (portRef Q (instanceRef FF_25)) + (portRef ADW5 (instanceRef pdp_ram_0_0_0)))) + (net wptr_6 + (joined + (portRef Q (instanceRef FF_24)) + (portRef ADW6 (instanceRef pdp_ram_0_0_0)))) + (net wptr_7 + (joined + (portRef Q (instanceRef FF_23)) + (portRef ADW7 (instanceRef pdp_ram_0_0_0)))) + (net wptr_8 + (joined + (portRef Q (instanceRef FF_22)) + (portRef ADW8 (instanceRef pdp_ram_0_0_0)))) + (net wptr_9 + (joined + (portRef Q (instanceRef FF_21)))) + (net rptr_9 + (joined + (portRef Q (instanceRef FF_11)) + (portRef B (instanceRef XOR2_t0)))) + (net ifcount_0 + (joined + (portRef S0 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_62)))) + (net ifcount_1 + (joined + (portRef S1 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_61)))) + (net bdcnt_bctr_ci + (joined + (portRef CIN (instanceRef bdcnt_bctr_0)) + (portRef COUT (instanceRef bdcnt_bctr_cia)))) + (net ifcount_2 + (joined + (portRef S0 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_60)))) + (net ifcount_3 + (joined + (portRef S1 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_59)))) + (net co0 + (joined + (portRef CIN (instanceRef bdcnt_bctr_1)) + (portRef COUT (instanceRef bdcnt_bctr_0)))) + (net ifcount_4 + (joined + (portRef S0 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_58)))) + (net ifcount_5 + (joined + (portRef S1 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_57)))) + (net co1 + (joined + (portRef CIN (instanceRef bdcnt_bctr_2)) + (portRef COUT (instanceRef bdcnt_bctr_1)))) + (net ifcount_6 + (joined + (portRef S0 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_56)))) + (net ifcount_7 + (joined + (portRef S1 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_55)))) + (net co2 + (joined + (portRef CIN (instanceRef bdcnt_bctr_3)) + (portRef COUT (instanceRef bdcnt_bctr_2)))) + (net ifcount_8 + (joined + (portRef S0 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_54)))) + (net ifcount_9 + (joined + (portRef S1 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_53)))) + (net co4 + (joined + (portRef COUT (instanceRef bdcnt_bctr_4)))) + (net co3 + (joined + (portRef CIN (instanceRef bdcnt_bctr_4)) + (portRef COUT (instanceRef bdcnt_bctr_3)))) + (net cmp_ci + (joined + (portRef CIN (instanceRef e_cmp_0)) + (portRef COUT (instanceRef e_cmp_ci_a)))) + (net rden_i + (joined + (portRef A0 (instanceRef e_cmp_0)) + (portRef Z (instanceRef AND2_t4)) + (portRef B (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_3)) + (portRef AD1 (instanceRef LUT4_0)) + (portRef A (instanceRef AND2_t1)) + (portRef CSR0 (instanceRef pdp_ram_0_0_0)) + (portRef SP (instanceRef FF_40)) + (portRef SP (instanceRef FF_39)) + (portRef SP (instanceRef FF_38)) + (portRef SP (instanceRef FF_37)) + (portRef SP (instanceRef FF_36)) + (portRef SP (instanceRef FF_35)) + (portRef SP (instanceRef FF_34)) + (portRef SP (instanceRef FF_33)) + (portRef SP (instanceRef FF_32)) + (portRef SP (instanceRef FF_31)) + (portRef SP (instanceRef FF_20)) + (portRef SP (instanceRef FF_19)) + (portRef SP (instanceRef FF_18)) + (portRef SP (instanceRef FF_17)) + (portRef SP (instanceRef FF_16)) + (portRef SP (instanceRef FF_15)) + (portRef SP (instanceRef FF_14)) + (portRef SP (instanceRef FF_13)) + (portRef SP (instanceRef FF_12)) + (portRef SP (instanceRef FF_11)))) + (net co0_1 + (joined + (portRef CIN (instanceRef e_cmp_1)) + (portRef COUT (instanceRef e_cmp_0)))) + (net co1_1 + (joined + (portRef CIN (instanceRef e_cmp_2)) + (portRef COUT (instanceRef e_cmp_1)))) + (net co2_1 + (joined + (portRef CIN (instanceRef e_cmp_3)) + (portRef COUT (instanceRef e_cmp_2)))) + (net co3_1 + (joined + (portRef CIN (instanceRef e_cmp_4)) + (portRef COUT (instanceRef e_cmp_3)))) + (net cmp_le_1 + (joined + (portRef S0 (instanceRef a0)) + (portRef AD2 (instanceRef LUT4_1)))) + (net cmp_le_1_c + (joined + (portRef CIN (instanceRef a0)) + (portRef COUT (instanceRef e_cmp_4)))) + (net cmp_ci_1 + (joined + (portRef CIN (instanceRef g_cmp_0)) + (portRef COUT (instanceRef g_cmp_ci_a)))) + (net co0_2 + (joined + (portRef CIN (instanceRef g_cmp_1)) + (portRef COUT (instanceRef g_cmp_0)))) + (net co1_2 + (joined + (portRef CIN (instanceRef g_cmp_2)) + (portRef COUT (instanceRef g_cmp_1)))) + (net co2_2 + (joined + (portRef CIN (instanceRef g_cmp_3)) + (portRef COUT (instanceRef g_cmp_2)))) + (net co3_2 + (joined + (portRef CIN (instanceRef g_cmp_4)) + (portRef COUT (instanceRef g_cmp_3)))) + (net wren_i_inv + (joined + (portRef B1 (instanceRef g_cmp_4)) + (portRef Z (instanceRef INV_2)))) + (net cmp_ge_d1 + (joined + (portRef S0 (instanceRef a1)) + (portRef AD2 (instanceRef LUT4_0)))) + (net cmp_ge_d1_c + (joined + (portRef CIN (instanceRef a1)) + (portRef COUT (instanceRef g_cmp_4)))) + (net iwcount_0 + (joined + (portRef S0 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_50)))) + (net iwcount_1 + (joined + (portRef S1 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_49)))) + (net w_ctr_ci + (joined + (portRef CIN (instanceRef w_ctr_0)) + (portRef COUT (instanceRef w_ctr_cia)))) + (net iwcount_2 + (joined + (portRef S0 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_48)))) + (net iwcount_3 + (joined + (portRef S1 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_47)))) + (net co0_3 + (joined + (portRef CIN (instanceRef w_ctr_1)) + (portRef COUT (instanceRef w_ctr_0)))) + (net iwcount_4 + (joined + (portRef S0 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_46)))) + (net iwcount_5 + (joined + (portRef S1 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_45)))) + (net co1_3 + (joined + (portRef CIN (instanceRef w_ctr_2)) + (portRef COUT (instanceRef w_ctr_1)))) + (net iwcount_6 + (joined + (portRef S0 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_44)))) + (net iwcount_7 + (joined + (portRef S1 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_43)))) + (net co2_3 + (joined + (portRef CIN (instanceRef w_ctr_3)) + (portRef COUT (instanceRef w_ctr_2)))) + (net iwcount_8 + (joined + (portRef S0 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_42)))) + (net iwcount_9 + (joined + (portRef S1 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_41)))) + (net co4_1 + (joined + (portRef COUT (instanceRef w_ctr_4)))) + (net co3_3 + (joined + (portRef CIN (instanceRef w_ctr_4)) + (portRef COUT (instanceRef w_ctr_3)))) + (net wcount_9 + (joined + (portRef A1 (instanceRef w_ctr_4)) + (portRef A (instanceRef XOR2_t0)) + (portRef Q (instanceRef FF_41)) + (portRef D (instanceRef FF_21)))) + (net ircount_0 + (joined + (portRef S0 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_40)))) + (net ircount_1 + (joined + (portRef S1 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_39)))) + (net r_ctr_ci + (joined + (portRef CIN (instanceRef r_ctr_0)) + (portRef COUT (instanceRef r_ctr_cia)))) + (net rcount_0 + (joined + (portRef A0 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_40)) + (portRef D (instanceRef FF_20)))) + (net rcount_1 + (joined + (portRef A1 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_39)) + (portRef D (instanceRef FF_19)))) + (net ircount_2 + (joined + (portRef S0 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_38)))) + (net ircount_3 + (joined + (portRef S1 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_37)))) + (net co0_4 + (joined + (portRef CIN (instanceRef r_ctr_1)) + (portRef COUT (instanceRef r_ctr_0)))) + (net rcount_2 + (joined + (portRef A0 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_38)) + (portRef D (instanceRef FF_18)))) + (net rcount_3 + (joined + (portRef A1 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_37)) + (portRef D (instanceRef FF_17)))) + (net ircount_4 + (joined + (portRef S0 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_36)))) + (net ircount_5 + (joined + (portRef S1 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_35)))) + (net co1_4 + (joined + (portRef CIN (instanceRef r_ctr_2)) + (portRef COUT (instanceRef r_ctr_1)))) + (net rcount_4 + (joined + (portRef A0 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_36)) + (portRef D (instanceRef FF_16)))) + (net rcount_5 + (joined + (portRef A1 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_35)) + (portRef D (instanceRef FF_15)))) + (net ircount_6 + (joined + (portRef S0 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_34)))) + (net ircount_7 + (joined + (portRef S1 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_33)))) + (net co2_4 + (joined + (portRef CIN (instanceRef r_ctr_3)) + (portRef COUT (instanceRef r_ctr_2)))) + (net rcount_6 + (joined + (portRef A0 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_34)) + (portRef D (instanceRef FF_14)))) + (net rcount_7 + (joined + (portRef A1 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_33)) + (portRef D (instanceRef FF_13)))) + (net ircount_8 + (joined + (portRef S0 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_32)))) + (net ircount_9 + (joined + (portRef S1 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_31)))) + (net co4_2 + (joined + (portRef COUT (instanceRef r_ctr_4)))) + (net co3_4 + (joined + (portRef CIN (instanceRef r_ctr_4)) + (portRef COUT (instanceRef r_ctr_3)))) + (net rcount_8 + (joined + (portRef A0 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_32)) + (portRef D (instanceRef FF_12)))) + (net rcount_9 + (joined + (portRef A1 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_31)) + (portRef D (instanceRef FF_11)))) + (net wcnt_sub_0 + (joined + (portRef S1 (instanceRef wcnt_0)) + (portRef D (instanceRef FF_10)))) + (net rptr_0 + (joined + (portRef B1 (instanceRef wcnt_0)) + (portRef ADR5 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_20)))) + (net cnt_con_inv + (joined + (portRef B0 (instanceRef wcnt_0)) + (portRef Z (instanceRef INV_0)))) + (net wcount_0 + (joined + (portRef A1 (instanceRef wcnt_0)) + (portRef Q (instanceRef FF_50)) + (portRef D (instanceRef FF_30)) + (portRef A0 (instanceRef w_ctr_0)))) + (net cnt_con + (joined + (portRef A0 (instanceRef wcnt_0)) + (portRef Z (instanceRef AND2_t3)) + (portRef A (instanceRef INV_0)) + (portRef B1 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_cia)) + (portRef B1 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef bdcnt_bctr_4)))) + (net precin + (joined + (portRef CIN (instanceRef wcnt_0)) + (portRef COUT (instanceRef precin_inst218)))) + (net wcnt_sub_1 + (joined + (portRef S0 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_9)))) + (net wcnt_sub_2 + (joined + (portRef S1 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_8)))) + (net rptr_2 + (joined + (portRef B1 (instanceRef wcnt_1)) + (portRef ADR7 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_18)))) + (net rptr_1 + (joined + (portRef B0 (instanceRef wcnt_1)) + (portRef ADR6 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_19)))) + (net wcount_2 + (joined + (portRef A1 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_48)) + (portRef D (instanceRef FF_28)) + (portRef A0 (instanceRef w_ctr_1)))) + (net wcount_1 + (joined + (portRef A0 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_49)) + (portRef D (instanceRef FF_29)) + (portRef A1 (instanceRef w_ctr_0)))) + (net co0_5 + (joined + (portRef CIN (instanceRef wcnt_1)) + (portRef COUT (instanceRef wcnt_0)))) + (net wcnt_sub_3 + (joined + (portRef S0 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_7)))) + (net wcnt_sub_4 + (joined + (portRef S1 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_6)))) + (net rptr_4 + (joined + (portRef B1 (instanceRef wcnt_2)) + (portRef ADR9 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_16)))) + (net rptr_3 + (joined + (portRef B0 (instanceRef wcnt_2)) + (portRef ADR8 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_17)))) + (net wcount_4 + (joined + (portRef A1 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_46)) + (portRef D (instanceRef FF_26)) + (portRef A0 (instanceRef w_ctr_2)))) + (net wcount_3 + (joined + (portRef A0 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_47)) + (portRef D (instanceRef FF_27)) + (portRef A1 (instanceRef w_ctr_1)))) + (net co1_5 + (joined + (portRef CIN (instanceRef wcnt_2)) + (portRef COUT (instanceRef wcnt_1)))) + (net wcnt_sub_5 + (joined + (portRef S0 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_5)))) + (net wcnt_sub_6 + (joined + (portRef S1 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_4)))) + (net rptr_6 + (joined + (portRef B1 (instanceRef wcnt_3)) + (portRef ADR11 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_14)))) + (net rptr_5 + (joined + (portRef B0 (instanceRef wcnt_3)) + (portRef ADR10 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_15)))) + (net wcount_6 + (joined + (portRef A1 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_44)) + (portRef D (instanceRef FF_24)) + (portRef A0 (instanceRef w_ctr_3)))) + (net wcount_5 + (joined + (portRef A0 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_45)) + (portRef D (instanceRef FF_25)) + (portRef A1 (instanceRef w_ctr_2)))) + (net co2_5 + (joined + (portRef CIN (instanceRef wcnt_3)) + (portRef COUT (instanceRef wcnt_2)))) + (net wcnt_sub_7 + (joined + (portRef S0 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_3)))) + (net wcnt_sub_8 + (joined + (portRef S1 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_2)))) + (net rptr_8 + (joined + (portRef B1 (instanceRef wcnt_4)) + (portRef ADR13 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_12)))) + (net rptr_7 + (joined + (portRef B0 (instanceRef wcnt_4)) + (portRef ADR12 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_13)))) + (net wcount_8 + (joined + (portRef A1 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_42)) + (portRef D (instanceRef FF_22)) + (portRef A0 (instanceRef w_ctr_4)))) + (net wcount_7 + (joined + (portRef A0 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_43)) + (portRef D (instanceRef FF_23)) + (portRef A1 (instanceRef w_ctr_3)))) + (net co3_5 + (joined + (portRef CIN (instanceRef wcnt_4)) + (portRef COUT (instanceRef wcnt_3)))) + (net wcnt_sub_9 + (joined + (portRef S0 (instanceRef wcnt_5)) + (portRef D (instanceRef FF_1)))) + (net wcnt_sub_msb + (joined + (portRef A0 (instanceRef wcnt_5)) + (portRef Z (instanceRef XOR2_t0)))) + (net co4_3 + (joined + (portRef CIN (instanceRef wcnt_5)) + (portRef COUT (instanceRef wcnt_4)))) + (net wren_i + (joined + (portRef A1 (instanceRef af_set_cmp_ci_a)) + (portRef Z (instanceRef AND2_t5)) + (portRef A (instanceRef AND2_t3)) + (portRef A (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_2)) + (portRef AD1 (instanceRef LUT4_1)) + (portRef A (instanceRef INV_1)) + (portRef CEW (instanceRef pdp_ram_0_0_0)) + (portRef SP (instanceRef FF_50)) + (portRef SP (instanceRef FF_49)) + (portRef SP (instanceRef FF_48)) + (portRef SP (instanceRef FF_47)) + (portRef SP (instanceRef FF_46)) + (portRef SP (instanceRef FF_45)) + (portRef SP (instanceRef FF_44)) + (portRef SP (instanceRef FF_43)) + (portRef SP (instanceRef FF_42)) + (portRef SP (instanceRef FF_41)) + (portRef SP (instanceRef FF_30)) + (portRef SP (instanceRef FF_29)) + (portRef SP (instanceRef FF_28)) + (portRef SP (instanceRef FF_27)) + (portRef SP (instanceRef FF_26)) + (portRef SP (instanceRef FF_25)) + (portRef SP (instanceRef FF_24)) + (portRef SP (instanceRef FF_23)) + (portRef SP (instanceRef FF_22)) + (portRef SP (instanceRef FF_21)) + (portRef B0 (instanceRef g_cmp_0)) + (portRef B1 (instanceRef g_cmp_0)) + (portRef B0 (instanceRef g_cmp_1)) + (portRef B1 (instanceRef g_cmp_1)) + (portRef B0 (instanceRef g_cmp_2)) + (portRef B1 (instanceRef g_cmp_2)) + (portRef B0 (instanceRef g_cmp_3)) + (portRef B1 (instanceRef g_cmp_3)) + (portRef B0 (instanceRef g_cmp_4)) + (portRef B1 (instanceRef af_set_cmp_ci_a)))) + (net x + (joined + (portRef CIN (instanceRef af_set_cmp_ci_a)) + (portRef CIN (instanceRef bdcnt_bctr_cia)) + (portRef CIN (instanceRef e_cmp_ci_a)) + (portRef CIN (instanceRef g_cmp_ci_a)) + (portRef CIN (instanceRef w_ctr_cia)) + (portRef CIN (instanceRef r_ctr_cia)) + (portRef CIN (instanceRef precin_inst218)))) + (net cmp_ci_2 + (joined + (portRef CIN (instanceRef af_set_cmp_0)) + (portRef COUT (instanceRef af_set_cmp_ci_a)))) + (net wcnt_reg_0 + (joined + (portRef A0 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_10)))) + (net wcnt_reg_1 + (joined + (portRef A1 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_9)))) + (net co0_6 + (joined + (portRef CIN (instanceRef af_set_cmp_1)) + (portRef COUT (instanceRef af_set_cmp_0)))) + (net wcnt_reg_2 + (joined + (portRef A0 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_8)))) + (net wcnt_reg_3 + (joined + (portRef A1 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_7)))) + (net co1_6 + (joined + (portRef CIN (instanceRef af_set_cmp_2)) + (portRef COUT (instanceRef af_set_cmp_1)))) + (net wcnt_reg_4 + (joined + (portRef A0 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_6)))) + (net wcnt_reg_5 + (joined + (portRef A1 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_5)))) + (net co2_6 + (joined + (portRef CIN (instanceRef af_set_cmp_3)) + (portRef COUT (instanceRef af_set_cmp_2)))) + (net wcnt_reg_6 + (joined + (portRef A0 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_4)))) + (net wcnt_reg_7 + (joined + (portRef A1 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_3)))) + (net co3_6 + (joined + (portRef CIN (instanceRef af_set_cmp_4)) + (portRef COUT (instanceRef af_set_cmp_3)))) + (net wcnt_reg_8 + (joined + (portRef A0 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_2)))) + (net wcnt_reg_9 + (joined + (portRef A1 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_1)))) + (net af_set + (joined + (portRef S0 (instanceRef a2)) + (portRef D (instanceRef FF_0)))) + (net scuba_vhi + (joined + (portRef Z (instanceRef scuba_vhi_inst)) + (portRef CSW0 (instanceRef pdp_ram_0_0_0)) + (portRef BE3 (instanceRef pdp_ram_0_0_0)) + (portRef BE2 (instanceRef pdp_ram_0_0_0)) + (portRef BE1 (instanceRef pdp_ram_0_0_0)) + (portRef BE0 (instanceRef pdp_ram_0_0_0)) + (portRef OCER (instanceRef pdp_ram_0_0_0)) + (portRef CER (instanceRef pdp_ram_0_0_0)) + (portRef C1 (instanceRef bdcnt_bctr_cia)) + (portRef C0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_cia)) + (portRef D0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_0)) + (portRef D0 (instanceRef bdcnt_bctr_0)) + (portRef C1 (instanceRef bdcnt_bctr_0)) + (portRef C0 (instanceRef bdcnt_bctr_0)) + (portRef D1 (instanceRef bdcnt_bctr_1)) + (portRef D0 (instanceRef bdcnt_bctr_1)) + (portRef C1 (instanceRef bdcnt_bctr_1)) + (portRef C0 (instanceRef bdcnt_bctr_1)) + (portRef D1 (instanceRef bdcnt_bctr_2)) + (portRef D0 (instanceRef bdcnt_bctr_2)) + (portRef C1 (instanceRef bdcnt_bctr_2)) + (portRef C0 (instanceRef bdcnt_bctr_2)) + (portRef D1 (instanceRef bdcnt_bctr_3)) + (portRef D0 (instanceRef bdcnt_bctr_3)) + (portRef C1 (instanceRef bdcnt_bctr_3)) + (portRef C0 (instanceRef bdcnt_bctr_3)) + (portRef D1 (instanceRef bdcnt_bctr_4)) + (portRef D0 (instanceRef bdcnt_bctr_4)) + (portRef C1 (instanceRef bdcnt_bctr_4)) + (portRef C0 (instanceRef bdcnt_bctr_4)) + (portRef C1 (instanceRef e_cmp_ci_a)) + (portRef C0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_ci_a)) + (portRef D0 (instanceRef e_cmp_ci_a)) + (portRef B1 (instanceRef e_cmp_ci_a)) + (portRef B0 (instanceRef e_cmp_ci_a)) + (portRef A1 (instanceRef e_cmp_ci_a)) + (portRef A0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_0)) + (portRef D0 (instanceRef e_cmp_0)) + (portRef C1 (instanceRef e_cmp_0)) + (portRef C0 (instanceRef e_cmp_0)) + (portRef D1 (instanceRef e_cmp_1)) + (portRef D0 (instanceRef e_cmp_1)) + (portRef C1 (instanceRef e_cmp_1)) + (portRef C0 (instanceRef e_cmp_1)) + (portRef D1 (instanceRef e_cmp_2)) + (portRef D0 (instanceRef e_cmp_2)) + (portRef C1 (instanceRef e_cmp_2)) + (portRef C0 (instanceRef e_cmp_2)) + (portRef D1 (instanceRef e_cmp_3)) + (portRef D0 (instanceRef e_cmp_3)) + (portRef C1 (instanceRef e_cmp_3)) + (portRef C0 (instanceRef e_cmp_3)) + (portRef D1 (instanceRef e_cmp_4)) + (portRef D0 (instanceRef e_cmp_4)) + (portRef C1 (instanceRef e_cmp_4)) + (portRef C0 (instanceRef e_cmp_4)) + (portRef C1 (instanceRef a0)) + (portRef C0 (instanceRef a0)) + (portRef D1 (instanceRef a0)) + (portRef D0 (instanceRef a0)) + (portRef C1 (instanceRef g_cmp_ci_a)) + (portRef C0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_ci_a)) + (portRef D0 (instanceRef g_cmp_ci_a)) + (portRef B1 (instanceRef g_cmp_ci_a)) + (portRef B0 (instanceRef g_cmp_ci_a)) + (portRef A1 (instanceRef g_cmp_ci_a)) + (portRef A0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_0)) + (portRef D0 (instanceRef g_cmp_0)) + (portRef C1 (instanceRef g_cmp_0)) + (portRef C0 (instanceRef g_cmp_0)) + (portRef D1 (instanceRef g_cmp_1)) + (portRef D0 (instanceRef g_cmp_1)) + (portRef C1 (instanceRef g_cmp_1)) + (portRef C0 (instanceRef g_cmp_1)) + (portRef D1 (instanceRef g_cmp_2)) + (portRef D0 (instanceRef g_cmp_2)) + (portRef C1 (instanceRef g_cmp_2)) + (portRef C0 (instanceRef g_cmp_2)) + (portRef D1 (instanceRef g_cmp_3)) + (portRef D0 (instanceRef g_cmp_3)) + (portRef C1 (instanceRef g_cmp_3)) + (portRef C0 (instanceRef g_cmp_3)) + (portRef D1 (instanceRef g_cmp_4)) + (portRef D0 (instanceRef g_cmp_4)) + (portRef C1 (instanceRef g_cmp_4)) + (portRef C0 (instanceRef g_cmp_4)) + (portRef C1 (instanceRef a1)) + (portRef C0 (instanceRef a1)) + (portRef D1 (instanceRef a1)) + (portRef D0 (instanceRef a1)) + (portRef C1 (instanceRef w_ctr_cia)) + (portRef C0 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_cia)) + (portRef D0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_cia)) + (portRef A1 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_0)) + (portRef D0 (instanceRef w_ctr_0)) + (portRef C1 (instanceRef w_ctr_0)) + (portRef C0 (instanceRef w_ctr_0)) + (portRef D1 (instanceRef w_ctr_1)) + (portRef D0 (instanceRef w_ctr_1)) + (portRef C1 (instanceRef w_ctr_1)) + (portRef C0 (instanceRef w_ctr_1)) + (portRef D1 (instanceRef w_ctr_2)) + (portRef D0 (instanceRef w_ctr_2)) + (portRef C1 (instanceRef w_ctr_2)) + (portRef C0 (instanceRef w_ctr_2)) + (portRef D1 (instanceRef w_ctr_3)) + (portRef D0 (instanceRef w_ctr_3)) + (portRef C1 (instanceRef w_ctr_3)) + (portRef C0 (instanceRef w_ctr_3)) + (portRef D1 (instanceRef w_ctr_4)) + (portRef D0 (instanceRef w_ctr_4)) + (portRef C1 (instanceRef w_ctr_4)) + (portRef C0 (instanceRef w_ctr_4)) + (portRef C1 (instanceRef r_ctr_cia)) + (portRef C0 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_cia)) + (portRef D0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_cia)) + (portRef A1 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_0)) + (portRef D0 (instanceRef r_ctr_0)) + (portRef C1 (instanceRef r_ctr_0)) + (portRef C0 (instanceRef r_ctr_0)) + (portRef D1 (instanceRef r_ctr_1)) + (portRef D0 (instanceRef r_ctr_1)) + (portRef C1 (instanceRef r_ctr_1)) + (portRef C0 (instanceRef r_ctr_1)) + (portRef D1 (instanceRef r_ctr_2)) + (portRef D0 (instanceRef r_ctr_2)) + (portRef C1 (instanceRef r_ctr_2)) + (portRef C0 (instanceRef r_ctr_2)) + (portRef D1 (instanceRef r_ctr_3)) + (portRef D0 (instanceRef r_ctr_3)) + (portRef C1 (instanceRef r_ctr_3)) + (portRef C0 (instanceRef r_ctr_3)) + (portRef D1 (instanceRef r_ctr_4)) + (portRef D0 (instanceRef r_ctr_4)) + (portRef C1 (instanceRef r_ctr_4)) + (portRef C0 (instanceRef r_ctr_4)) + (portRef C1 (instanceRef precin_inst218)) + (portRef C0 (instanceRef precin_inst218)) + (portRef D1 (instanceRef precin_inst218)) + (portRef D0 (instanceRef precin_inst218)) + (portRef B1 (instanceRef precin_inst218)) + (portRef B0 (instanceRef precin_inst218)) + (portRef A1 (instanceRef precin_inst218)) + (portRef A0 (instanceRef precin_inst218)) + (portRef C1 (instanceRef wcnt_0)) + (portRef C0 (instanceRef wcnt_0)) + (portRef D1 (instanceRef wcnt_0)) + (portRef D0 (instanceRef wcnt_0)) + (portRef C1 (instanceRef wcnt_1)) + (portRef C0 (instanceRef wcnt_1)) + (portRef D1 (instanceRef wcnt_1)) + (portRef D0 (instanceRef wcnt_1)) + (portRef C1 (instanceRef wcnt_2)) + (portRef C0 (instanceRef wcnt_2)) + (portRef D1 (instanceRef wcnt_2)) + (portRef D0 (instanceRef wcnt_2)) + (portRef C1 (instanceRef wcnt_3)) + (portRef C0 (instanceRef wcnt_3)) + (portRef D1 (instanceRef wcnt_3)) + (portRef D0 (instanceRef wcnt_3)) + (portRef C1 (instanceRef wcnt_4)) + (portRef C0 (instanceRef wcnt_4)) + (portRef D1 (instanceRef wcnt_4)) + (portRef D0 (instanceRef wcnt_4)) + (portRef C1 (instanceRef wcnt_5)) + (portRef C0 (instanceRef wcnt_5)) + (portRef D1 (instanceRef wcnt_5)) + (portRef D0 (instanceRef wcnt_5)) + (portRef C1 (instanceRef af_set_cmp_ci_a)) + (portRef C0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_ci_a)) + (portRef D0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_0)) + (portRef D0 (instanceRef af_set_cmp_0)) + (portRef C1 (instanceRef af_set_cmp_0)) + (portRef C0 (instanceRef af_set_cmp_0)) + (portRef D1 (instanceRef af_set_cmp_1)) + (portRef D0 (instanceRef af_set_cmp_1)) + (portRef C1 (instanceRef af_set_cmp_1)) + (portRef C0 (instanceRef af_set_cmp_1)) + (portRef D1 (instanceRef af_set_cmp_2)) + (portRef D0 (instanceRef af_set_cmp_2)) + (portRef C1 (instanceRef af_set_cmp_2)) + (portRef C0 (instanceRef af_set_cmp_2)) + (portRef D1 (instanceRef af_set_cmp_3)) + (portRef D0 (instanceRef af_set_cmp_3)) + (portRef C1 (instanceRef af_set_cmp_3)) + (portRef C0 (instanceRef af_set_cmp_3)) + (portRef D1 (instanceRef af_set_cmp_4)) + (portRef D0 (instanceRef af_set_cmp_4)) + (portRef C1 (instanceRef af_set_cmp_4)) + (portRef C0 (instanceRef af_set_cmp_4)) + (portRef C1 (instanceRef a2)) + (portRef C0 (instanceRef a2)) + (portRef D1 (instanceRef a2)) + (portRef D0 (instanceRef a2)))) + (net scuba_vlo + (joined + (portRef Z (instanceRef scuba_vlo_inst)) + (portRef AD3 (instanceRef LUT4_1)) + (portRef AD3 (instanceRef LUT4_0)) + (portRef CSR2 (instanceRef pdp_ram_0_0_0)) + (portRef CSW2 (instanceRef pdp_ram_0_0_0)) + (portRef CSR1 (instanceRef pdp_ram_0_0_0)) + (portRef CSW1 (instanceRef pdp_ram_0_0_0)) + (portRef ADR4 (instanceRef pdp_ram_0_0_0)) + (portRef ADR3 (instanceRef pdp_ram_0_0_0)) + (portRef ADR2 (instanceRef pdp_ram_0_0_0)) + (portRef ADR1 (instanceRef pdp_ram_0_0_0)) + (portRef ADR0 (instanceRef pdp_ram_0_0_0)) + (portRef DI35 (instanceRef pdp_ram_0_0_0)) + (portRef DI34 (instanceRef pdp_ram_0_0_0)) + (portRef DI33 (instanceRef pdp_ram_0_0_0)) + (portRef DI32 (instanceRef pdp_ram_0_0_0)) + (portRef DI31 (instanceRef pdp_ram_0_0_0)) + (portRef DI30 (instanceRef pdp_ram_0_0_0)) + (portRef DI29 (instanceRef pdp_ram_0_0_0)) + (portRef DI28 (instanceRef pdp_ram_0_0_0)) + (portRef DI27 (instanceRef pdp_ram_0_0_0)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)) + (portRef DI21 (instanceRef pdp_ram_0_0_0)) + (portRef DI20 (instanceRef pdp_ram_0_0_0)) + (portRef DI19 (instanceRef pdp_ram_0_0_0)) + (portRef DI18 (instanceRef pdp_ram_0_0_0)) + (portRef B0 (instanceRef bdcnt_bctr_cia)) + (portRef A0 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef e_cmp_0)) + (portRef A0 (instanceRef e_cmp_1)) + (portRef A1 (instanceRef e_cmp_1)) + (portRef A0 (instanceRef e_cmp_2)) + (portRef A1 (instanceRef e_cmp_2)) + (portRef A0 (instanceRef e_cmp_3)) + (portRef A1 (instanceRef e_cmp_3)) + (portRef A0 (instanceRef e_cmp_4)) + (portRef A1 (instanceRef e_cmp_4)) + (portRef B1 (instanceRef a0)) + (portRef B0 (instanceRef a0)) + (portRef A1 (instanceRef a0)) + (portRef A0 (instanceRef a0)) + (portRef B1 (instanceRef a1)) + (portRef B0 (instanceRef a1)) + (portRef A1 (instanceRef a1)) + (portRef A0 (instanceRef a1)) + (portRef B0 (instanceRef w_ctr_cia)) + (portRef A0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_0)) + (portRef B0 (instanceRef w_ctr_0)) + (portRef B1 (instanceRef w_ctr_1)) + (portRef B0 (instanceRef w_ctr_1)) + (portRef B1 (instanceRef w_ctr_2)) + (portRef B0 (instanceRef w_ctr_2)) + (portRef B1 (instanceRef w_ctr_3)) + (portRef B0 (instanceRef w_ctr_3)) + (portRef B1 (instanceRef w_ctr_4)) + (portRef B0 (instanceRef w_ctr_4)) + (portRef B0 (instanceRef r_ctr_cia)) + (portRef A0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_0)) + (portRef B0 (instanceRef r_ctr_0)) + (portRef B1 (instanceRef r_ctr_1)) + (portRef B0 (instanceRef r_ctr_1)) + (portRef B1 (instanceRef r_ctr_2)) + (portRef B0 (instanceRef r_ctr_2)) + (portRef B1 (instanceRef r_ctr_3)) + (portRef B0 (instanceRef r_ctr_3)) + (portRef B1 (instanceRef r_ctr_4)) + (portRef B0 (instanceRef r_ctr_4)) + (portRef B1 (instanceRef wcnt_5)) + (portRef B0 (instanceRef wcnt_5)) + (portRef A1 (instanceRef wcnt_5)) + (portRef B0 (instanceRef af_set_cmp_ci_a)) + (portRef A0 (instanceRef af_set_cmp_ci_a)) + (portRef B1 (instanceRef af_set_cmp_4)) + (portRef B1 (instanceRef a2)) + (portRef B0 (instanceRef a2)) + (portRef A1 (instanceRef a2)) + (portRef A0 (instanceRef a2)))) + (net af_set_c + (joined + (portRef CIN (instanceRef a2)) + (portRef COUT (instanceRef af_set_cmp_4)))) + (net partial_full + (joined + (portRef AlmostFull) + (portRef Q (instanceRef FF_0)))) + (net Full + (joined + (portRef Full) + (portRef Q (instanceRef FF_51)) + (portRef A (instanceRef INV_5)) + (portRef AD0 (instanceRef LUT4_0)))) + (net Empty + (joined + (portRef Empty) + (portRef Q (instanceRef FF_52)) + (portRef A (instanceRef INV_4)) + (portRef AD0 (instanceRef LUT4_1)))) + (net WCNT9 + (joined + (portRef (member WCNT 0)) + (portRef A1 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_53)) + (portRef A1 (instanceRef bdcnt_bctr_4)) + (portRef B1 (instanceRef e_cmp_4)))) + (net WCNT8 + (joined + (portRef (member WCNT 1)) + (portRef A0 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_54)) + (portRef A0 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef e_cmp_4)))) + (net WCNT7 + (joined + (portRef (member WCNT 2)) + (portRef A1 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_55)) + (portRef A1 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef e_cmp_3)))) + (net WCNT6 + (joined + (portRef (member WCNT 3)) + (portRef A0 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_56)) + (portRef A0 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef e_cmp_3)))) + (net WCNT5 + (joined + (portRef (member WCNT 4)) + (portRef A1 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_57)) + (portRef A1 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef e_cmp_2)))) + (net WCNT4 + (joined + (portRef (member WCNT 5)) + (portRef A0 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_58)) + (portRef A0 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef e_cmp_2)))) + (net WCNT3 + (joined + (portRef (member WCNT 6)) + (portRef A1 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_59)) + (portRef A1 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef e_cmp_1)))) + (net WCNT2 + (joined + (portRef (member WCNT 7)) + (portRef A0 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_60)) + (portRef A0 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef e_cmp_1)))) + (net WCNT1 + (joined + (portRef (member WCNT 8)) + (portRef A1 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_61)) + (portRef A1 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef e_cmp_0)))) + (net WCNT0 + (joined + (portRef (member WCNT 9)) + (portRef A0 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_62)) + (portRef A0 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef e_cmp_0)))) + (net dataout17 + (joined + (portRef (member Q 0)) + (portRef DO35 (instanceRef pdp_ram_0_0_0)))) + (net dataout16 + (joined + (portRef (member Q 1)) + (portRef DO34 (instanceRef pdp_ram_0_0_0)))) + (net dataout15 + (joined + (portRef (member Q 2)) + (portRef DO33 (instanceRef pdp_ram_0_0_0)))) + (net dataout14 + (joined + (portRef (member Q 3)) + (portRef DO32 (instanceRef pdp_ram_0_0_0)))) + (net dataout13 + (joined + (portRef (member Q 4)) + (portRef DO31 (instanceRef pdp_ram_0_0_0)))) + (net dataout12 + (joined + (portRef (member Q 5)) + (portRef DO30 (instanceRef pdp_ram_0_0_0)))) + (net dataout11 + (joined + (portRef (member Q 6)) + (portRef DO29 (instanceRef pdp_ram_0_0_0)))) + (net dataout10 + (joined + (portRef (member Q 7)) + (portRef DO28 (instanceRef pdp_ram_0_0_0)))) + (net dataout9 + (joined + (portRef (member Q 8)) + (portRef DO27 (instanceRef pdp_ram_0_0_0)))) + (net dataout8 + (joined + (portRef (member Q 9)) + (portRef DO26 (instanceRef pdp_ram_0_0_0)))) + (net dataout7 + (joined + (portRef (member Q 10)) + (portRef DO25 (instanceRef pdp_ram_0_0_0)))) + (net dataout6 + (joined + (portRef (member Q 11)) + (portRef DO24 (instanceRef pdp_ram_0_0_0)))) + (net dataout5 + (joined + (portRef (member Q 12)) + (portRef DO23 (instanceRef pdp_ram_0_0_0)))) + (net dataout4 + (joined + (portRef (member Q 13)) + (portRef DO22 (instanceRef pdp_ram_0_0_0)))) + (net dataout3 + (joined + (portRef (member Q 14)) + (portRef DO21 (instanceRef pdp_ram_0_0_0)))) + (net dataout2 + (joined + (portRef (member Q 15)) + (portRef DO20 (instanceRef pdp_ram_0_0_0)))) + (net dataout1 + (joined + (portRef (member Q 16)) + (portRef DO19 (instanceRef pdp_ram_0_0_0)))) + (net dataout0 + (joined + (portRef (member Q 17)) + (portRef DO18 (instanceRef pdp_ram_0_0_0)))) + (net AmFullThresh8 + (joined + (portRef (member AmFullThresh 0)) + (portRef B0 (instanceRef af_set_cmp_4)))) + (net AmFullThresh7 + (joined + (portRef (member AmFullThresh 1)) + (portRef B1 (instanceRef af_set_cmp_3)))) + (net AmFullThresh6 + (joined + (portRef (member AmFullThresh 2)) + (portRef B0 (instanceRef af_set_cmp_3)))) + (net AmFullThresh5 + (joined + (portRef (member AmFullThresh 3)) + (portRef B1 (instanceRef af_set_cmp_2)))) + (net AmFullThresh4 + (joined + (portRef (member AmFullThresh 4)) + (portRef B0 (instanceRef af_set_cmp_2)))) + (net AmFullThresh3 + (joined + (portRef (member AmFullThresh 5)) + (portRef B1 (instanceRef af_set_cmp_1)))) + (net AmFullThresh2 + (joined + (portRef (member AmFullThresh 6)) + (portRef B0 (instanceRef af_set_cmp_1)))) + (net AmFullThresh1 + (joined + (portRef (member AmFullThresh 7)) + (portRef B1 (instanceRef af_set_cmp_0)))) + (net AmFullThresh0 + (joined + (portRef (member AmFullThresh 8)) + (portRef B0 (instanceRef af_set_cmp_0)))) + (net reset + (joined + (portRef Reset) + (portRef RST (instanceRef pdp_ram_0_0_0)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_53)) + (portRef PD (instanceRef FF_52)) + (portRef CD (instanceRef FF_51)) + (portRef PD (instanceRef FF_50)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_41)) + (portRef PD (instanceRef FF_40)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_0)))) + (net rden + (joined + (portRef RdEn) + (portRef A (instanceRef AND2_t4)))) + (net wren + (joined + (portRef WrEn) + (portRef A (instanceRef AND2_t5)))) + (net clk + (joined + (portRef Clock) + (portRef CLKR (instanceRef pdp_ram_0_0_0)) + (portRef CLKW (instanceRef pdp_ram_0_0_0)) + (portRef CK (instanceRef FF_62)) + (portRef CK (instanceRef FF_61)) + (portRef CK (instanceRef FF_60)) + (portRef CK (instanceRef FF_59)) + (portRef CK (instanceRef FF_58)) + (portRef CK (instanceRef FF_57)) + (portRef CK (instanceRef FF_56)) + (portRef CK (instanceRef FF_55)) + (portRef CK (instanceRef FF_54)) + (portRef CK (instanceRef FF_53)) + (portRef CK (instanceRef FF_52)) + (portRef CK (instanceRef FF_51)) + (portRef CK (instanceRef FF_50)) + (portRef CK (instanceRef FF_49)) + (portRef CK (instanceRef FF_48)) + (portRef CK (instanceRef FF_47)) + (portRef CK (instanceRef FF_46)) + (portRef CK (instanceRef FF_45)) + (portRef CK (instanceRef FF_44)) + (portRef CK (instanceRef FF_43)) + (portRef CK (instanceRef FF_42)) + (portRef CK (instanceRef FF_41)) + (portRef CK (instanceRef FF_40)) + (portRef CK (instanceRef FF_39)) + (portRef CK (instanceRef FF_38)) + (portRef CK (instanceRef FF_37)) + (portRef CK (instanceRef FF_36)) + (portRef CK (instanceRef FF_35)) + (portRef CK (instanceRef FF_34)) + (portRef CK (instanceRef FF_33)) + (portRef CK (instanceRef FF_32)) + (portRef CK (instanceRef FF_31)) + (portRef CK (instanceRef FF_30)) + (portRef CK (instanceRef FF_29)) + (portRef CK (instanceRef FF_28)) + (portRef CK (instanceRef FF_27)) + (portRef CK (instanceRef FF_26)) + (portRef CK (instanceRef FF_25)) + (portRef CK (instanceRef FF_24)) + (portRef CK (instanceRef FF_23)) + (portRef CK (instanceRef FF_22)) + (portRef CK (instanceRef FF_21)) + (portRef CK (instanceRef FF_20)) + (portRef CK (instanceRef FF_19)) + (portRef CK (instanceRef FF_18)) + (portRef CK (instanceRef FF_17)) + (portRef CK (instanceRef FF_16)) + (portRef CK (instanceRef FF_15)) + (portRef CK (instanceRef FF_14)) + (portRef CK (instanceRef FF_13)) + (portRef CK (instanceRef FF_12)) + (portRef CK (instanceRef FF_11)) + (portRef CK (instanceRef FF_10)) + (portRef CK (instanceRef FF_9)) + (portRef CK (instanceRef FF_8)) + (portRef CK (instanceRef FF_7)) + (portRef CK (instanceRef FF_6)) + (portRef CK (instanceRef FF_5)) + (portRef CK (instanceRef FF_4)) + (portRef CK (instanceRef FF_3)) + (portRef CK (instanceRef FF_2)) + (portRef CK (instanceRef FF_1)) + (portRef CK (instanceRef FF_0)))) + (net datain17 + (joined + (portRef (member Data 0)) + (portRef DI17 (instanceRef pdp_ram_0_0_0)))) + (net datain16 + (joined + (portRef (member Data 1)) + (portRef DI16 (instanceRef pdp_ram_0_0_0)))) + (net datain15 + (joined + (portRef (member Data 2)) + (portRef DI15 (instanceRef pdp_ram_0_0_0)))) + (net datain14 + (joined + (portRef (member Data 3)) + (portRef DI14 (instanceRef pdp_ram_0_0_0)))) + (net datain13 + (joined + (portRef (member Data 4)) + (portRef DI13 (instanceRef pdp_ram_0_0_0)))) + (net datain12 + (joined + (portRef (member Data 5)) + (portRef DI12 (instanceRef pdp_ram_0_0_0)))) + (net datain11 + (joined + (portRef (member Data 6)) + (portRef DI11 (instanceRef pdp_ram_0_0_0)))) + (net datain10 + (joined + (portRef (member Data 7)) + (portRef DI10 (instanceRef pdp_ram_0_0_0)))) + (net datain9 + (joined + (portRef (member Data 8)) + (portRef DI9 (instanceRef pdp_ram_0_0_0)))) + (net datain8 + (joined + (portRef (member Data 9)) + (portRef DI8 (instanceRef pdp_ram_0_0_0)))) + (net datain7 + (joined + (portRef (member Data 10)) + (portRef DI7 (instanceRef pdp_ram_0_0_0)))) + (net datain6 + (joined + (portRef (member Data 11)) + (portRef DI6 (instanceRef pdp_ram_0_0_0)))) + (net datain5 + (joined + (portRef (member Data 12)) + (portRef DI5 (instanceRef pdp_ram_0_0_0)))) + (net datain4 + (joined + (portRef (member Data 13)) + (portRef DI4 (instanceRef pdp_ram_0_0_0)))) + (net datain3 + (joined + (portRef (member Data 14)) + (portRef DI3 (instanceRef pdp_ram_0_0_0)))) + (net datain2 + (joined + (portRef (member Data 15)) + (portRef DI2 (instanceRef pdp_ram_0_0_0)))) + (net datain1 + (joined + (portRef (member Data 16)) + (portRef DI1 (instanceRef pdp_ram_0_0_0)))) + (net datain0 + (joined + (portRef (member Data 17)) + (portRef DI0 (instanceRef pdp_ram_0_0_0)))))))) + (design fifo_18x512_oreg + (cellRef fifo_18x512_oreg + (libraryRef ORCLIB))) +) diff --git a/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.fdc b/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.fdc new file mode 100644 index 0000000..6fbcac9 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.fdc @@ -0,0 +1,2 @@ +###==== Start Configuration + diff --git a/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.lpc b/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.lpc new file mode 100644 index 0000000..a92d8d4 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.lpc @@ -0,0 +1,50 @@ +[Device] +Family=ecp5um +PartType=LFE5UM-85F +PartName=LFE5UM-85F-8MG285C +SpeedGrade=8 +Package=CSFBGA285 +OperatingCondition=COM +Status=C + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=FIFO +CoreRevision=5.0 +ModuleName=fifo_18x512_oreg +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=03/18/2015 +Time=14:43:13 + +[Parameters] +Verilog=0 +VHDL=1 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +FIFOImp=EBR Based +Depth=512 +Width=18 +regout=1 +CtrlByRdEn=0 +EmpFlg=0 +PeMode=Static - Dual Threshold +PeAssert=10 +PeDeassert=12 +FullFlg=1 +PfMode=Dynamic - Single Threshold +PfAssert=508 +PfDeassert=506 +Reset=Async +Reset1=Sync +RDataCount=1 +EnECC=0 +EnFWFT=0 + +[Command] +cmd_line= -w -n fifo_18x512_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 512 -width 18 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill diff --git a/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.ngd b/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg.ngd new file mode 100644 index 0000000000000000000000000000000000000000..ecd1e9a799e70c1158261bf43a5959a0c06fd15e GIT binary patch literal 113968 zcmbq+2bdI9_WdhRFk-7~Mr{=k6IKsV*U&^AB(yLD1)OHcBG3*&7ZF=UQA}+D%&o3D z^_q9a)z+z=R@cxlVAgHpx~^jTQ!%do&wck+)$5ub|MPv+eysJ*yYIex&v~y>SG~y= zilr(Q>8td%y=oX>W6zp7XXb+1$bhr^MyhHT)X$iu)N{v^MTdn``zCCAo>GlnLS#69 z*7Ul?bIzE4bU3_ZM*YG$3+9K1%$YiG!Tjmrp8fh9Q8#DNA>rP=`t-7Uk>iG=qSRJdX~YX*)vVJQA@@QG+KJwz>}!f()Uvw@EvI%aJ3=uxn~K4n;IH-kqG z0giAxTh#ryZIhcj>c~L>b`T%JM%DC?RKYRW=%_=|G{NarLpJ}aRL9d)!|w^|VA!zQ z-bb-pu-Ka3hB+lNtFN85sJ^y03}@@|Szh@R?dGg$^B2`tp}N*DLLLoG;1<&sEEb!Q z3?$&O51NePCR7|iMXs%%F~4>WilcS$Y}#WxT~Rw{{t`ejzbPdV^O~Y?vR~Jaid;v% z^ha@wUyCu;FotV6Mlv7k*V|O&dTLEs*7&u=NsV6{L2(?{vNK9)9M>~IfsQvVD4g-8 z1(7nrw4ewlngDfrssJE$;o>^Yj0iw z4pLr|6Erro_7no~Hl-Y*$ZP$EG$hFC6d$67HZ?4Vh~?7~W$r2I)5@(V*tl;+?kTRg zZ$%I#J+<75sF_-BMXcAATT%3NS=~wB$qRNV`@9o zueDK}#kG3QO`}OMi|YlL3S@?1LjFe>WgF$$MhoJ0j!~u_=jw7j4R8p=2i0f1gKEP( zUA=JH;<~A|OJ-9DXOu`BLC#nJKj0KpY3m1{03D2J6!t-={-62Uf*DsP>^K~O# zOH$Qytv{pYGccVF5^^k9;5VTJ7qA5-M0_OtT2dq7*Ao3_`n5I{{aWIto@-|;oI&|4 zt{@x18p&csvKbl(izplVvuf(gkHUMA7+W2$6C@Su3LL z!ZO4LauK(Zc!;J8%Mcwiw-Oub{h~62BD|Da&Gbjf#f;2fvS9I|S_FsiW{+s4d5~c#Dvnkw5$=Od081kXI-;fwh}>RfG$E%~8TI7y zYF$r5v35EYjcOa^E4eyw{o3n{#57dCN|oD97(A+`=TS%7hX8p-H?Nyc*Hv{qEh(t` zw3lfM`si!1YjtVHyu_lv=svI2jb>6CeRR5p>vfir)pgorhK4AsYm72wb-ht0dapBF zP?l?TdHU2vQ!%U}WO2P=VWWIQf@Z2%P!~6tO-R-oO$$=~M$>}E&rPNUjh35C3mWe? zn-&rBdb4Rlf!$)7P;R%F77=oLt7#IUU~et6p`LClvmrlgJ!H;&-Sur=qdD^_l(c66 zl57Gz17eMk+f9=QF?)NN4S+j5lR5K=r8_)>IrE96JB@lu=1!xYQu(Vf;_0pib^Jn@}(JnHH4y{U$(VqlJy~W4eCY zf`~qkKPV+~^`N$#wxGAJxm#)|t_P&1k3R4{;MVA={*aV<*HXX_iDhqnUHY(BN3lQb z)lqMccy$!@8mS|@N8EiKM@Hxx`>YQ zX{obe_oTb8`+ihPTKQDQ&MN^zMk~zDB`ER5_$Q% zS0XRZa624Oai}3+4Oas=V}=I)&K5X2Oow3j@Bt0KArNpyAOX~0WAV`rm z20Ts${Cha4BFTLn++Sy<%?w1 zVdCg9S(I(0Hmsg_@9PT@fKy)v?wUHU-4jHQvyigh66;SrPExS6K%>9tfttvJUAKE%!PA zJq-!;)K6JEZVdQ?82`O1;IFr`cK&O)++QC|fD01kSe3FmzG;_ZC~n>Zkijex;ra26 zl_{^l#Ip|I3ll$Wl5_ob$#P4Qa@YTbf}r+m_53AUUeRAV+}JHuUIF2)eby&~Act4X z70WC7vKwok1%VbcBzCz!U`@lJ+qy!zi$3CFucz(uir!MO!{R^-j1l$Ir?GNtj3~9a zUp5GG-2e9=aFg$d_}=M}aCrrWnRVbp@gT@<8a9;R<@B0UV)SfzBMQnIKVmfPC-nY_ z)k=NyL=^k|$Bi92rgq?n>VadGT6b{>(8D!D$Be8VHE;y%-_UKxo-%4M6?9`fnXaj( z;#*YgFlIWAsH)*jDy$wGBfNy&Rby@JzD?+=u@Pk*Hm87HYYaX1(8pX5p5fT74z^uY`ss z5j3TzKBB%uEv%CT(kGnv%B<-8(ePfGl|BQ!UuLC`|F4!o`au7FSwnq7_*WT3reHrsHmpbCW?BhamO+D(>b7fuT&7GgG7fOt(?$-aL0>VMMB4!E-PC(q0`9W&xV7(BM8O%(`$As zoMPE`ajQ-v6ioM*immJ^nvMsy_Cw)x_#kWA<2+xwzO`pMpMBmVV_NEwMUn3_x6A|K zWd6US%G}^=yzLWV(*Z6GzPcf0A~>J*IxS=(I1}#ldfG&AzU;cPU?MoX?YlfQr$$X!&cIf;;}a%KGj8MGOXUzdaGp+^uzT)3;BU4G(-7<$ zE}9TVv2vb?mqUCuIOMc4OF8GN&BMy9X;AL;XvlOxGbZ$KnN^j0F>qO#rF*VD;Nh~a zFl;!j?|&(aKSBxYd=2{YP7jkJG~ad}S}4QlO68EMWB?PYGU+nh@t4HB-ioE_u zw-`BeWbKI9;M!r;BPiCd2^?5Gs=9_Qq6pD%3OwV#DewjPv__1p=~HVXn6tv<#84at8aq>&ptto(6v{T9C3)G-D^XzEd6pE)_FkP$C9e*J z9o#DVEunD-w~8LhH}2@w5l1_Ebrfb7ua3gp$tzJ{T|7$)Y$vZoq3lAX4#S2-V!a1V zM0sawxdX~$d((lxF+^ppAQil(HkrC56gE7cf!*=LaENQ{oX}0kg?70sm2KSxTv|J} zgsFb0{*?ugs^%?X3(UL-6C3adX(%mAq(FZU*(e z)#@NhcSGxD6Z*{1A=SfbM+_ZEQU57uNB515yOjby>7J``*C0x_osGK%J~0$#9Cw5 zRxnZAxNj>bxNWp{>1Mm!8FzXST=%FiR(dUrD!QuM`}ItPMs$0)`65)5iB2~?^bELh z-;!G+!t1-%zlL4Z=z|`Csmm+%P8aY0>6Wh0hOQ!aTT`r1L-&4%w^WK)-~NAfUZFxG zx)|)jbKV%ilnh-GZvRQNQX696yM>kFrW;UIdZ%J5x+dOpe8nn#53o2D=nF@ZACCcx{fkPuyrT9*g?;qd$Mi*QsXChp*RoFBBrq3o-Rf*_84-%Kb4 zn&a^J>+m2wINJR-I~uUWE#E<}WXnN)gQ_+S3E1j~$32G!Nut}-y&77fxKr)*d7<2K zEq+$EcI5-5!3}<)wsyNM9<;@M<^!=Hh||C~{ad-EEGDLdwzyM0uqGH7-M%g?mYaHa zusfd^FsI*fENgSnp6+A!9uN(9q1n{oyKpO%57P(yGidML&|2AIppCw#?Hi1xpWL|Y zdY>Jzm%BCf+l*46Mi1=Y3IUX6>UQ&j{@n-5J^ceZA1fxgcXE4egd=mHPQqwcn_dl~ zbX40kB^|JJZ+E*~5{zH&=#=Bc18v-!UhAN-** z7gE(XRy0^e+HbdatToJn?s#5>uDNQ5*IPLwAv*0I4mxw0J?P_NuuAAsjr%1~wTnMt zl=^ygtElMUa?q$`&Yas!lft(?b_(^U|O%_rAGt4|X+L zU%>8D(tbzN#pV7|L6>R!3ywo;<9@P_qg7*AZ0K?=EI3`P9U%KvR5(zK=(_D7cV8F8 zs<*as3v|iY%{8N|yKZicjY4;+(HFAarAA-l_Hb(`_#SQzMSrkcgOD5gr#VHsm~R^9 z*4R{V+o02?6WkgE+H`_jgV>r*bZf9*E&KFbzo}ZR=oP`H6Ws#(Y?6ceXnH@VX}DX1 zted7%A&I+;rjrsnu4)SFB-aG{BN!h|m(oom+zJYDq+4TCfoirMGMe^JrhRKjwPt^J zDUiNRqY_-B_oaeDA1ydVK3Z^!H6}QPI!5r`6v$Yq=uPyDb!*71#;u_c$4Lzd)HKGe zAuG3ujly`TpnIC8ac+V7A1`Kfuhlfct)b{omIB?eHOZN@T5CGdHM3DOu_RPbG^e;V z)aDeohSE9Jt)YFXpu3f(Nm8K&p5)e0Hj~{NigU7CLkvuD3)nx+wW3~5b1NvATDQhV zAua{luPy12@q~jPAkO$U!1gTm&A!sKl584IS( z<>wbo)5x-eMsQB83wibW_<<2g_156A6SUis6e!~9QbR9dG);GFY!qg=HPmK?)C?Iq z7(bWQQlK-XULZH+dn$!)1`Zy9$6`&hxcP{aVD-k1MN~{4jAvv`bJ)j- zli=`;T|>Y-qQOu+)oGedP5v=hwqsz;BpM%c$+-!Gp(D;aAHyYGNq$q7tfRQu|edVbC-; zp?5*RC;Jt!+X2Q+%Tc4Y8Z=_`;FI)I$Wg;6lXEjb5e$vOReFEms3CYsg~r=5Dz6$u zJo0&J&`|hjS}slSOXxmtgsJ(!dGt)GW_JCIg|mAOz;BC_=#hNWO7>?|lB)|^p_9;L zN>94QXiBzGNq1aL=TYT0c!t@Ov~^_o|EBY4w=4p7M>mj77q(GJw`5HhW-7O&`^KhN zsd6RVfi|sZ!!6y?HC@z3CEeIHt!Sf9x^-;2s8uDYaB(Yt{RwSlOUHqgt!(Llz}HHq zNTl{9tvt~2;?huiOr|XS*EG zMmwmqS0Y)t9gYzD=SvmqaD+6DXE!2hyJVG z8aj$!?bgs?`Wm-DVO`^zQB2pm1^l;ZtrW&k&GlY^I=ad&QAgKiIOQ>v@^x+vMS7iE zLm^!67RbSB*Noy>?bc8{Yup-ro3O^MqHiLa)_NrvHQnG^QsGLff(GRLCaSGh4)muj$ zT|J80wHnT_xQ&c^*k||aH;~-iN<}MTyIpy21(KVB{ih|Kyl7+GNRO;=$e-A0=hp3`n zq`_wi>^R?+6!j&T?#($fqk9B~%=s-kGLTKPu|q<+Z0t%SzfCq4I?r!~hFhtor;-6S znjVR%{Gf!(#;UBr#^?K|H5+{ER-$3*vvCPZ2c#(+gN-XNYv53WCL3_en9s)JyYDzg zvq8N`<(v|BG#luPG3MSmGObGJ5nEeq(68Yx8=e156_@CeWU?1Q>pK! zhcYVPH7=v!^DwxncSpnL`=yNhoBmN!`M9L&4lUXRSfg z3(ClK-Ex#_6BLm}v}vkn3X=;B8o3^vUe#IWN*<-s)0O-(Cc5q#RpcF8Te&uTkx*Ol zoX5+;*!jA|XA#VKZ@ORj^jmfCv5jhK&iVuVrVOyA=OJ5d5eG(H`bUxn_)Rv|IR%wJ z(w6gaQxhaT4I_AD33cmlMP1hd_BiP)sW}}l$xMTC>UPE#v?IZEH*^SSLRYRGw}l;o z5lnaD9Guo20Ym=!Yv`RgSG*O4ov(24c>`(E1s-1N2J#;uS7L`A_u!m~otX*Vk?9Yc zcTsg2s^|r3ye9>lIFMV&xK{7raCC8~qrNoMZ(o^IzWBu_JrD}cE-kiDi6W7(0KZ35 z>O_KUG|IiT4#QmvMa(+q)q>nn2HTJV@Vkala?&pGuou=)6$&_K* z?$?-!>51?BO4fR#eoxYN|4W(JXpQ}5o7kulO3v>g6C2G~#>7S?P|B&8iNzQznb;VO zSt%1cRbw6K|Mf=kO(|hF*D)lJ<|VG}I%Z5v->Eo9WKHaRvTzPBn%LF0#-b*sZ(p3l z(k6DbZhM#u>3&nX?V)iOr1-AUcDU@2O=H@wXVJv2Wh`o9=jyilRH0#Nd#<)C$Cg*H zJFkM>=?MxGk9O_Xmj6{bPnOKiX1NzH>pD&NP9N5#mU^ut)4;5pnrMwp*LLO6U2l`! z!!dEEnOc+47+LF$`p`F6&fO^!TdVEvu}$nMjon&ir|<5Z+sf>&)pn~3X4~sDwkBa> zSJ@~zSCwI_HCBG~yFNp9<=E;9*xCwhZ>WHst_g=4OLv&?nZCxBs6Yn27|T)}{qnXa z2P)}7Jt}dXIqIW97YC)9o@gEBj0)Ja64~MGB^&kn4m-X$Gyjw6&*{rEzmk8(bl%Ol z4R9K3JV-lvFI9#mP;%a<|JNJYb<@}fArm{Wgp%_?#>Bd5>|Zex>yaS4qHSW`wOz4b zVm&nWVZy|^Q_1-#WMT(1mNBsdN@VwO%E0*dN#_&W#D;0RPYNb>g2p~gnAk8XIiH0L zjDHq&J|k*jU@O#`w+4fWHx~?f7TVfZfQ}{Wk8? zx-I{DUEY>|-40;k3jX%1;4fSOJFsnz-lVoA2K#S?x9u%my~Wc^7NN@;o#SJ%6zu>1;z>l~0(`Mqr{ za6}HAgK%}dcUsmUQ0eD50-wbvxW_(7g(;IYL*Gbh*lF zmN`A6D!*@37A13VQpxX|kp;{goObg2g=PIR2PdZdekm*nrhVenmET{<%4l?Q%kQ6% zRn(lrqbL<+5j8qN=EE^rxQ$Ms`EXX&Vsm=Mac^kLdQNojMt6$5kc(qSRsO(`EXU$R zk|-tdF}=yj$x27gsWwVUS)9z71WUUlYaTh1Gb$g6%i2l~F3Iwdf~+3l)WWhVDk~^CaadMm zWX&aKDlB`4!IF-1nvGIQR$p>(9?Rn)Y{T*r&72vq?30i+G@Mzm>{FEWo}AgR>>HEy zpqx1wl(MoWh=Y@CzMm~?dpKvnvR_&j=yT@5vVTYxL88Yy`Tj{+0Lj68&G#>a)#j%=~j`K{k8&Hr{x14&k!-Ga%Zpm4gLMbClEjfz{Dt~lXR_$<>giuP!dS1?1 zu>7-<#k`!eVfp8TEUe`$h2@`%vhI^}PE6&GiOI?+&N8$+CMzq8Im=;rtSyU%Ip@Lh z*fdtX(orX2d0a@=oN~@Dpp=x=OPmYQ?zoaHN9L@6ybmIG6=dYf}OEC(rBBh9%2mV*+q5}Pl^M)jt({ZkbWpzpxdUMt!RDQU^nv2@!TC^LUkW~?#8)7IGWsMZ)MzlLACMy#=knbYYwyospI8oO^7QkA-C+OXnW6i=|{0N#{PaJ6WlQjL!Z3 z7)lBF)Y(1&%ae<;x})HFTrwhK^CNR{t3$|QCX9P9-`^T`}1@xuaxx90=%pu-Bi%yC|;Sx!Bg~nt&&CW z96UFpCvyB%l=CWjs4dFJ4-TFm(vwMEX2f|dgHl#j25}0u%1^abLqTV>E`d^7)(LUe z!?G?UtD-n9C6tn~GKjMg?dnRhYKZd&ET_d~oe<|uSWYX*`XbKTu$&&1RYRP25-4S4 z)h)VB$j=DNx?RqDDU?#O+7{g!SwE-zW0*$nP#) z_hSqt9<#^iv9@lq?A5{A{cIA|-2m(W9pPqJ%63=KPXT`Ljc^?3eRv z45cKlrF0L!mQX6m!e-9@QY!zKxGa0-{AQz6kVVeuF;aeM6k|fS`#pnFMiw}uM@RW{ z!m|8XPL)tf$>L@?E2Z+wlq`Lg>ySVxAuEp2BclAWqO3QT>zGmbbHV%tHm>UGhBm`6#AWjKK{6t;vDaY^J@IzsrieS+F7(XY~RqTt!R6 zY};Xv&#cJ1F)Q+RN-ww~Rai-8!;e4w+lqV#_upm}t(PKISUhI_d;2f3BAe0b`yyVs z&bU=rljehM4y>^vKPK>xS3;3iST^R7hqu1Ziu@9`B0p#J$|+n$tIRw&x%Vt9^1rAR z`L&?eIFVLZSmuN67N1~6evey`-%1v(i6T`yZcOiSd6##rDl3V9A&ZtYkt!@b^X(U( zUu#u$Oyi$z(TDp|h4nXPzBcbCt7_{k{>Aji)l!8o@}o;{zrm{NT*SYG{uW!R@csT- z!>^iRRc)uNs%=w9z+(d+?SHc0XGdC9J7fWe6@Aq$t*{WlcU|!cQ&kr@?2^zQOLG-{ zvfr!MgfFeColzZ1S@dnSw897ezyGy=#H!jgY*p=&(ceN#6~5|UuztfWR#o?yRn@Ji z7atIZSR>)cJwJHFsyaA3u(yFQo zSyhp=Mc?R471mn#{o&mqN*~**>Ydf!)k_r?U3hc&%;i>9znE3kx2V6umntlPu;TJP z9II+T0{`%Z|2ZB}SkPdb-G6z*syaG_e_{P1q_n~dW%q=?>}ORSlfl2Jep897=%uZX zwz}z9=mmPW&sd$iq}>QRO$&GH7wT#rq}&yRSg4KLrWIDcqCPLnd`^1mn^fYPE6uoNWc0cRd@$%;Qe1-ZdDCWW@LVioOOKdi3|_TUE1SR@Ka+{sux?b$cLo--BOHwyNeN@Q=TmkScsF z^x>12J!)0WP2nGg_7JZx>+;u;a}LI4|XIBd|}n;nqRiDs+MQ)FKW^E9a4p_q^1`qV>TxX_!q}16c1jHQ}pp< z2Rsb=6fY<2m%!s8Tq!8E^ZDOhiH9mMf%5vlc<{Mbse)x_)qn-Kz$42^Y1eYkN zS7F%5XV*%W+mE<-$DwRFhq%y|`&R0GyJveX!7JL7(cF}4`KL;j+m^1p=_|IJmvJq3 zt`%T*m79hwY;#BWyj6- zx0kWyiAmRTMWx<5OkRKG^K3ah?OI+`$)|N}anHlqazxg(yr7a#)h|5bMz$PP6w8KU z$;}8$y12~m-1pXHx3J~bS^94W=vGWrunbxI-E|CGb}rI?$?}6rK6iTQ!8H$(1zqOR zMwTB|^4aAt4GYgD3%cr~|7y!hK6lLYdT$z8&_y8qSNC4Y=MMK=JYZ+Cpld?f$nv8~ zKDR$-hX*>61zjT2f64OWNc77}L;~s2zn4TTv^Sw$wI~}p?Z%dUY|pZi&kk30ed`Lg>=$z_KdNMD?UYT) zs2h-QEkCZ*yE^y9x973Xqf@Ts%f98SC3nnkd+_H!a7MV5^DO<|eame|eDM}Dk2^cp z@}){XJGXrHosN%g^jyn-RN)CLvY`7u&$3eQTi;gS=U2A;QTry{U#Vod)u{2? zI&Aq%*!8)(QtutFID9al-*A)YTE1S%vcr;BW*kcvbkFEnR_fjQ^Qyl#YD?Tgx|W4X zma6yigO6a#j%l&vkUGK!<@IVt?mK~h z{5EJTvwkib?5T3&-FE`Lm-?>G@~?AmCSXxoSP*^|b zLnGsDP&=v|IMkr~HfZ;xcFUOmhU7ti{nxAdodxPeD(C1dzbz^1i!pg?@STLB9CFZc@Qy<8fjm zO7R(NM3RaeYS3VV+%o2~k=Ul^^UMZ%kxHKp^u?I4@wTnjqepCQvhltDzUUv1jU6_u z`38>mR=LSBe=*{g`<5`4$s=X zLj$vMT9SW{)}gO|EMu|}NB;F58+9R*jTh4T**V-AW1}xJheHh-Y>->Vd^Se)N=BFs z^dgl$8|aHMVdIUAT8|#FwaLa0?HwC~yMA>C9CcN>x>S&jsmQ7u9)>U*4ak2V9K~i+ zgt_U+zuseGhHbL(Qc?e|05gM)0cZq=8Z_B}TgH4gp6GS;B4z`Jdy3P<~@+>A_+jpcu(qn`AVoca*!AwDq*xF>{oA!>4dsc_);b=dVn_UR7 zvHk^Q+{2yZ>t9NkY@8l5*vQRAU7{r4TdaSl05(DtpTP#M_Q;_I4K~OvV?GqpN+YzFB#2jpckq1*+5^62^;GYYCU?y z)+QT2ws&m&>5Z%C8a=FX3qnCQ=3{Og9CO)tCvLKlK>j`(XCi-pY9c{p7!x)MIBuXvY;CjA-m&q*9dC7qqXSf~-VU;H zCPu@-S(lBE%f`k+JVL;Pjr4XDL2orVPZ`fI|(MY``sJJ{zB3`TAMR26~Z7 zpAGcIn6U90W(s=5)+QU@_-y>~_bG|pw`qZ+166KuOxSS0#mOzgXy}SZ>iR6Q{!5hn z{FjvF|65G|{;i{QFW%^dIC7yuD#$HkzVh){bZ=H3y>O-fWfJuz*efOM(0e!3Beu5L@Rk4LE6o|5 zb~_J_x~bebxJ}rPyt>lm{*ne8NtcbKS(A-rDAjw%$a9M(8zGE?;YD~d=fSDAB8M7u z=K-++w~YC0th;jZJZ1yENTokE&=+GeHg1Ti7W9a%O*Ve@$HpJCG2yMdm%veXm0KPU zvT-gnj>IMOmd?s#<2>Z=vvEG^yrV+PUT8O*cs1FWoKZPA)S$rzxn;~}u*t@OXg9J%@fpX+DHsQEs6mqrxMj>|={Ykp-m(2G=h zY*1f}2^;r;4fKeuO*VdOFB?zo{{G=`bco8WDhAoOw8Zbq^4K`6V6t&JGWOZHB5AU5 zTvWd|2)D-An4VBMIMkrQMho0B=Cd)Y9#8S;JLepFkxHKp^u?I4aZf?Dphs+Nvhj0! z+4%Ig)V*+YsLJ8+5jdw@5#o1+nTteK96%{0(CRJz{H%4O(2geNVQw* zio>nJ#+;1G!J!6CHsF>qpN*H28#Xf==tU}hHqaMi!p6LeYC(_K+GeA@W8{{gSvC&dzn`}%&>8L2hXRt9JGX)Mc=&~^^iIZbk zFrSSLGskpfHqZ+;OUct!`bwVqVocbmkEs^)h^;L)Xd(Fajg2!i5C0dAda2xv$p9NI zu@Jwz&urisasH5`d%bb9GT6x70;c?P${DcyGlgfev5*FIh(VJLxYdTneM)rI)%PQwKn=}0F0<%$cu(q{vGF(z!BT~ICP5nG#V zbZ9RdtH(6%0Y|pVrL#dcZY%H?8O+AqGB)l&{yrOb#!WVsgNW$mDsD8z`$-B}E>aYu>2 zNMSY>70t167xJ(7#>U-AlZ}-L{e24D8e`*}n99MS22D2LmNB1=MXQ$&W;W1^RQhb7 zFUEw8^J1z6Jz{H%4f-Py?PKHAv(Nb$j;d7d9u;Kc?ht=xquI!87@Hd<0) zlZ~rUIzCSE8Ehm|DhG!eG}s`wjQMO#J+AH|W&^!QrN;*K#h9?M0>=&Xh^Y&_eQo?!W&^!QrN;*K#h9>h5gemOY;Cf!ReRYu`{x_>fulYu_fRyzM()8F ze?7%BC6zJRco_NDdo$&cqRGacQT_E4+!}0P43I+&8e^jcZW;5(M&IlHv^%qbUZm1z z1AQ?jY+R0+f*!H8$wtTa&XgmL+4g5R>Z@{@c#w@p68!ZTvvETi8-GLoJ{ylIlZ^+7 zjReJKuyF~F8*r#WlMT3K%x7cfp0|F*Y@ipZ^w~gPj0qc8V5Xo)Y;Cf!b$iFg)1Tda zCmi)txyO=0HXcp!w{6VE9R>3~##}av zss%k_Ym<#m?HwDB_I&3-I2xdGf6oTkXn6qn#SFHG?nY^)6_a;QOr4RXtv&&I%KhtFj;(2G=hY*1f}2^%=iQIFW#VuSveQ~S=a ze_i%u4ICY%a?cb4Y~&h|Kc46b8_%XqHl9WP_1;nRxunU)I$MA7u4DF|nct4F0f!ni z*??Qdd^TQu_%~h(C5K+5(q{vGF(zyr8dojo5nEeq&|isa9~)Hz4%q}pN2^>`1=*kx zKhitH=F8Z49{Kxhyns4?Y`hcGU;M+ZF*fkPF2@5JH(~O21|2uxRvQ{;*r`i*`#X;f z^dgl$8|aHMVdF5RTF@i5Hrd#wy<_9yA(36-=+7$G6b`cS0yI9^4ZWq1HOGd7{Cze+ zrNPF>#72VRGuXfbyBr*9&}0K{8S}@+l8FZ&&upLs_VCdqvKWX^>mPp*E0Hvo}5#@FJogJGWOY6A2rz6uxCuKcmlTu8}l+M2ZtIo z*??Qdd^T>Joj8P#8|%VurOyWX!sZ`0dc_s>h^qdK8FpjbWa9v^F<8fEuyH2XfJ1ElOc|C`EpW@2&ql*_f2v|O z(2G?1Y@jd3WNciOP#e%Awl>-5+}@dT&C&}8!_h#M+gJ>;v7w}&Z}Qm4{XJx|@h0-G zciGr*s505WIgeJBz-F*fA5%Fv)Sx>yzy`Tx%x7cQ9?oOT26~Z7j}7XJF=1m3*g%ii z+GJzL_OkJiy(kSwgH-Nq6<}k-9!33pliAo1M#&!=?<5U2a_^>1Hu@;N>IU2zY@C%+ z(u~<74FSv+=1i*%%$gRhy2_U;~dYb8v{Qd~Coi zV?G-POuy$6W&^!QrOyWXVocb$2V(<0Vr!F)o!UD#oYlvL;b@r3eVPoi@d-u)o^LW6 zxfjdW_&4(R*w`?kWU?_4rO}e4H&a%mR1OZYm9ar?8S~i~dGiG~FdOJaDm^x+FUEw8 zyD>JI6C-$vg&~*WAC6xu5H|sPjku zImmS)1!U%W9m0eQ4H~%~HE6{ZGUq)a{9NIe8%VB=&=uaXwVCU;?bUVI<&B%+=p>c< zE*Z>qX;H62Cb_v!$Vb*Y;4EF6tcxgT1+!~3nRS4WdvzX_SS{uDKHy$qJ8NaS9w zH-}UXF0kSFPEPP2tZ@7N8*j_|%J3+aey;G#nCSW(bcJ_pZRWbUy}6z;eUD?|Xr#*h z91iNbDy!FilU%E(J0!h{PA8o8dY_LcXC;8805T;Z27$@Tey z+5qp^+RXLW_RiOFlV7_Tjz+26ucctF7b?9bo#gsc#?`l89;MRH6@D3$T$^IrJFvELZM52(>yvj}O9z$FD))P~JXiDqwMOH} ztB=FE9}7mV%~sUR^{1F#6HZ6z>3Ua4yWr6MF+6wnQuzV_9;MRDmHaX$x#kMik?@YK z&0IURH`nOHFPsBMG1c55Rh}!xP6*FU-E&UvcjQy=o^zVF0uSzS*gEom8NK2i92vSk zK*KKttbD$%TXN=bJ`Tg9RQkDYl@v^J&4Vy_$JS=9+qZYV9{%{3>*45R)x34QJXiDq z?Z)8aUe@)ugqdrnqLHiJ0jx|eaFm{|4^fy=28}U1diU++oCA;8=)mRY3crj=t}hiV z@{X;|Ttn^E^`jdvIR%c!sOD|#V6HzRpBndzyymS+X0F?X&0H~z@>8M|k)i8jDeFkM z(4diPYVSjOYF){rRQkEXFJqGHKSP!c@7UVPwc(k>7X4ADA){7Y2KQrC^Y%E$?MHpd zUr9pGia*d!+CZDPf}*FT_%DfC_O>aM!u&^_EPFdJK&2aDY1!My^y;GeFN9k54mOwO z!nbAb7-GvQTq^03{-aKo-KBshsTuyGPL{n>24526>iw+}wZlqeb|}n$_sFt$PT(Jc zBF2qB>ZC)~AcvxyYiu2kU^)@{sGO1!Y*_|7+75vVHWOXaTJbtf!6%Zh>*?Ze6-!1BjzE22sAy@Q$N+>0X14Hz8 zFcJ{523^tLwskmyC6T-coW`C$y7;0aP{Alg77l3&=8KLL1glG6M-L7VAeb*Yk`s(Y zC*hDHWAc~0?5JfUHEf>fSk)U?NiY$;Use;scB3YHBL3}o(+n=H>8|~ z@5YEL%ia?*lX%1k#0&ePtLAMpzUY`*S^Z_Uh`v+Q6#W25>5C2-sU$NU^O|H9(GM!% z+uxKcdbfZ&Y*9?>hi;iRj^F>FXg3T;pN6%oSDVQ%hQV^^TDX|?05X=`H$qB}ylW<6pF%cch zLfS|Tn=krNNy|opjEU&S0Rth7VWzk1oZjZ){Vz-R%)`|L)eIg2=kz0r`U`Op{qV3U z`k`@C^xdPT=m(_rx70GzA&zl)?*l1)(fdM5U-W*EvPaPsy?=<;{b$kpm-s99w~@JJ z4=Cubyb-t|`g+W2gseeV^mPf#MmU1`q9Yl>e9_%e#0N7L6KRT_FFH~X%oiO52I4}R(FbQ?7ZN+HCA?)beZu{(y$xRzRddgHQ1t#u z{pq)e-ZyKCPCqV@c$7CT&tOTV8Ri7=^1-RCBLrQ1pRe7Kpe)ym2lOBbI$^noE>7u1_GWFM6LMmxxac zT4~3kp8zR+(NBbwzUbAEa=dr-K0Jme(YSQunSK%!9iDX$?;}E%eNq~6o1)XzHbT~* zD>`8aM=(l+MM5%yvFK!nKn0@|*$!z6=8KLL1f%5H4g?71i;m<3W6|mA9Vs%#qSL;8 zl1-Q=y2eI?1QXGR!VZM6oocwgU81*nc>f`O>(+2}s%q{N4vJo__y+*u%Cb+0^0hoM zf~yLVlJdsiC<`3O`nN|D7xnu7VFBL%@IdA0)qg88B& zIl)+T5>7wWq$7tR`so?6D;IrkN-z<`tTJ{AA_ndxV*0L{*5eI>pj*vBIijHsuOWN4M-__B{ z2*#qnma%LED%i{tcJ$Rd(iF@W9VrM_hm_b31PJDfj^qSm(Mh=T!-5&2Ur;2h17<&6 zu+WC&vh-hIBKpVz(kF;8)sSzO=xtuTzuEQj`{1TlHJ_Xeik_rd>7CP;m$*cXSoW+m zi${55lEk^h=k$d|wq(&Sg?QwJMZc8lJkeKWbxB0OEUjf0(JxP0_T}Ey`xS8vh>R=x zl~K#SqCgx3L`TRPG(|@^f+cP2;MqF_F1Z+sP8b5kMi+sUB8!PM1@lEm3W8DcYzG1a z^F>E;g0bi%98zSAMaM$2NF+`e#56>|GAbC0jsnO?3WmQdw`HPFx%lr-!54mmm=F(& zewobz5m(?O!6jnEvg^ZKqP)Rjh)XQ``6({3=+`E>#G+pZ@qE#*kLr?$z8XHqd$(+B z6#rR7p6P3fmc7QC>1(r=y*5T17^1&Y0;vdM_r6Xr(3qwVZn@$^*hCs!RQe-iareMD4NI@`4p6x(@V7}-`PB0doghPsq8KU12 zC#+obJEMY$=&=~mPYR|QuG%uuKlDrg4K~1Z3hAb^F>E;g0bi%98zS= z5dFa-VdbJflod=wKRJc;3F4WaDs9m%Tm9jqhr!h})jS9B??+j9zo*}y;hQw#%Che* znWA4;Fh#!wGSfkuMZZ17H)+JDWj_Y-e9<2(nxa3RHAR0Stz{O`pG=}ubg$l@id*)R z-b{ZwYS~W}hyz1(x)npn8gxacTQP(q7$w4@BN@Sb(GjR%GpSaKi8KZCMMnyP)g`c_ z?LdHFzUW9!FczJJdm=5EA^KBs!pcQ|Ix3imJ~o8(lY(KUZ<*+Oq{l3Vuj#6JelaNe z6E@$Z5myi|VTyiR%oP1z$n1;$K+62V2 z{K4fPB~$eK3a03fLS|p|Cqw2BE-#XJS@)d&V$l@+rK~CX%V{mMi2lzc|Mh7W{gt?7 z|I?f4uSPBVl>%{Kh)%a+2w8)!=&xlkLp(uz(UFYUvFPJcmW@CK^F>FRg88B&1;KpL zL4aVs=txd57M+Acii{bezY-^`T=Z9?f{Ex85=cKOm}+p^HPhR?WxM>q!^qW4)qHLt zDEiAbB=K(9UQBR_7_scfV=Nx!ZQ1{T%)aQ^6k8IXmc1V0kr!gkvfrROoCt^;%YHMV zOLF!8R!qw*qSH0lTUqz;{!R#WwmZ|`DOvVAngc_0y6r;9*evCWP8h-wEJ?NcmJP`W z#-fuQ0u_u>L!77%0jQ|s-T>1~SsVy};1g{xVr`NB+4^f!wv7jXqnN?amFEc@94i${4|c0R== zKBxZ^0+FyRdeP<*i~gb1vFIO`be)L)Q31yi@0RW33|9Tc+~%47Ny@T63A>_y3jRJN z3e1^K!Xac0x}wvq7{U=OX=6u=j${O5(a8>h3O18!^|=~p3g(NB6a=eFU`N}50Kt6G zk(^*GI^D7%MaGPo{)ui|F8ZelvhzfDCLv)E!kB6pv1K#;r?(!t2CinS=1bjIe!UC1 zkK*_R-FAO)DW)M1W-Kvc*)PRdO3E7pBE%&Y{cTtdFS??C0r99Ei~ipfTe9e1CUi+e z|0 zQhI%71a64FHUwhQL=1^Zp(y9}m}Mgz!IB!IBLb2U%oiPj3Pvfim`GDFUv#7(7$whk zAV4r*bR;Jji%!BJMaD$*$r;N=YS?_yr<5!k2{I<<^l7$bgAm44!|W{+edO9%cfr-^ zs`>g@Q1l-m*T|$R`sO5yM~qnZcMz7uqrCB!lHwAJ{v}Gd`4`c@mUde7NS7j8>X{zd zDXU8?dL)$AGPCHBozbq3d&?HtCC&?1YtbXSM)ktY2;2}o9Rh(-V20@T0Yf-~C2j2J znT})x^F>FXf>DYrCejql6P;2JjFM+N5FnT*IwdC!FDEdA) zh>lIWqVH8;@rV&CvS*0Jqr5Hq!z7oq=#iabdifI;J+h0mW6=+Ul-}VzauB5S4)2kJ zASGlL(Ys|)!u7hokc)Ir^HS3+dXJ=DY8inWqCb)XF)3h%==gim6pmm?8#^@9BS=Ot zPjm`YFiMd{N1B59q9X;tD0vng1PJDfj^qSm(MdR@$e4&e8(8-=VZP{d5Hk{FOhiAu zWJN#-W2)ioEjzr&o;>qBxSFS$?=A&J?`}g9Z>ArV;1V%nMGnZYc$7D;Pau#t(<6J9 zxI}zn&{8`b1z7aM#FA(F;gHf7{Rl|uUA;$o#j#dQ+!g)Es1@l|kPEqp9kwDz!aM0} ze&G^9$QpFd=`>prj$lcu)uJOA!B}*%L!g3DiYz+P6wDVLDF{Z%v*;i|Fkf^eC)g}) zhpYDpQe=!pr~Sy0QNldYHD-qe6VVek(vJ(K8kTLD=>Lo!+yY!c(0FP)_9mNI+77Pf2Jc)!939^ zO~HK8k%C~J=tO{EzUW9!FczJJLyC;aOrMYVkQz2mbZ36aiXcJ8M0C705*bhwOf{Ul zWupJqvu87WEl|ymW`d$01)2Y>+{1f+64n(x(zn3kvFP1kc^ZZQi@tY8uTmq2_ajQ& zjzvEnQj#T$9)*-BiRc3%C1e)S2PLu2bJm^dgX6qD`r8Sb97CddeQ*SBh<;WI1bSjp zD9Smzfb(budwL-Fq9Yl>e9;l8V4modreMD4NI@`PbPynzFFKMFj72BmkRoFu`a;A! zI8K-^`Xa=P1Q`?2m%$E%Fov1FW#{w{SM7QzTqRWV)2X27gCN(jwk!HTo5dqWtjO^R z7LW4A^;3vTEPB6;UVcVIKU&(c=+%(Y7kxOS^hG}jQbJ}CeMA@wIG0?}M=A`YqC3+^ z7WKm42;2~TIY>pw8gviu=f$iD!VxTKV@Ds}k&Ixz=m=CWN|6umNK-Ihbfh2{CC_#s zKrml)Bqtb)PQoEY#zgd`h#9G2^F==gF(X07MDz<`2SOObefpM(zWYpP4qTn7nx9Ps zMIVvUtL4byeRu%^c{9B_#Nx5&eM($n(W5cFu8fF2SlY4ZVE8g88B&P{DlBk)~k2 z=tw~@Uvv;4m@hh#6O2VC;gBL@B6<=rBQVEei_=1%L=9%{<39<_cNAW zaxHw-t7az_6n(s{m%$OyYZ4I16MamE#bePAhb2kLqF0yng4iPZNNLBSPllAf=u;r2 zFZyYa5;BYEwQ-cf?o5wId8u+1eQH=Q)s4Un(JzmIKpU7olv60mxdNmj9Kn3ik&Ixz z=m=CWUv#7?m@hg~5X=`H1PJDfj^qSm(MdR@$e4(}5*Sj$=8Jv_@|YSHOhmsFd4LdX zu){gMT{Hc5_fzHD5uN#|@~#iBp!>vys`+I*D0*#y1tP8xZ-`68h!vR};}YeKYYY3R6xj}G3g(NB6a=H>*$xB zMqF7DJP^na&dRg*$RLrD^0p#VY*X|&wZpXliw*-?Zd0z_6Gc<>Gqa}X^=U1$%=Cpx zEY1^iMPC%RA`45d=!>Iz@hJpuh<=T2MG&$EUD5G8K7w!rqeSp`B4auk!C3UG5>^C( z3O2KZ9sO_yX$t0xo+!E)CC_#s02|#k_@bYgbukv5gsV>rW{AEhPM9aUbER!X7DojW z(QicCg-O9w0|M-f1qU{iKr&6|O_&b^Ds2*#qX z2B`>CFmNhjB2B@3(UF2+lstx(jRuDt-mgP^NDZ4W`t>P`5@bw7 zzXKQuVN5lgvSl;9RQ1baaJ57=zgq~3zFhGSC&U%v#q1gxa%cKd%tMly^2Rj=MDRsV zK%kLHccw2Y=mj!G^c5*n^owBWi+*uT%PgX=wDANVBlxWn^>9f@FUlpNUsBS`Rv~ah zbo{syLC6|(MaT2_2*MGJ5>d`=woXPc7X4O`ia-UMi7r`8q$!v$I#Ljfl4m;*Aeb*Y zk`s(YC*hDHV=_|sf=%+yhU-Wb0{LG&CgbrvoI^!PRuY{CjN!(bGt01K>`c?1( znML$e0Z;IguIN{1^om^~`ZX!NrWFD=M8}US5rnKkS9E$Fk8lKI(eJT!GJ>(_cc*lq zg88B&O~HK8k%C~p=paBaUvwlV7>iEAAw|YS^g9tBQp4tpepkqfAVJ1N^hePagfONW zrf-?(4-7lF6I`9Gn*W^&ihgyJe>h>$uS)8LIz{v=vZm;>A%ZXZMT(!aOa!J!Ur=-HB&`Yx2`sesill{npxZ=FHkNTOje2 zD*p^&i>F0kzK2YR1O}#pP&h<8GwCo)&CI035HvHB4hCpuCLIQ+nVNJlaWF*1^rXL5 z$s9IK*jW=&&=>77@`F}ELxo>T;s_XVJgj}zXVfhCVf2g0@JL|^a(Kb z@j0CI2@xy^c$M;z>}4W&H8CwbAd@}=AV`)FCtYl=fE>-l>Q!&fz+f~}lP=nUP|eJw z!!R{7lMX}B%uG5MpqZI;7@THm(#6EV5Eavt{zjH3VQ6r~lP-RqoLJOMO}b!k4~UIO)^DvFQva-A}=zPRs?5K%)~&{q{Cp~5NEZTbkPokY9@xLepkaVH8YbAL(uH13pykEdPoA6!lb3-PfNIibW$2{8nWB?E z+m_R;&-6J7=p}w3HwR{Aj)#*z7d)7o2HkYh=O(~ZS3#Wgw^DE>dC1hH|KQ8P05p^S zy)A`mW+okmshOE{7=mVI(!l`D%%sEMG*goV4616A&%|Lz;ub%W-FwM8-aME84>7-B2(Mg|X$uG6lf2Rj9 zdAHg)>F{M(Z7F&rk3qNR|*M zeL)J&5DS@_^zR~`gu%dJCVjKdlOR+xGwCo)&CI035HvHB4hCpuCLIQ+nVNJlaWF*1 z$fPgM2v$1jOFhl>qz74^tjuc0=2rf1XL|eQ{i>j^dsv}yRD04Fx$;|j^`r+LE8G&` zq)$oHNuLSRES|L0)BEh4{Ki{7>B|DhOW~w{06#NN@5?

BY31W@$jKB^*y73*oLxC&YSO>Wzyy292Kf*z-emJ62!%r| z1T*O{OwG)s!w@txlMV)GW+oj5rx?N#mJ;rS%Q^LdbL1;=}BJfgoCw*2(Cw)PVPI{#!zYbSV`f8ZGn=?4+ zAHmPeq_1Ig($|D?n)Rfw4d9Dr(>Uqtay+@t#!3Ge&h(EH!UHnt`65q(WC`(1FC^d$ zv5=`r|JvtC7>sVGCjH+To&=$qnMsFXYGx)KhM<|5bTB|OGwCom&D5leiGv|3Mkak- zPO#ER|Jc(^Px{gnPl6$EKsz|o|F=mWJ9aYh)hlTMjIyBN2VrSya)uLaFm|u zFig$Nq{9$2Gm{PmXl5pTgNIB_x|lc^A{cDG_R^2aE3n)e{ z-B~rMgk2ZG4_9SMm>l)W%|+E2aeL)=K4sF`m7(ZC9-@YHapmU;%A{kf9Qc6I2#;1hoJJ-b6N`hbTpLm*{=kDlyM{Glm5=#s8~w)haJzK->7FJ3`U2WEVjG*J z^(i1zc_X$Bs~iihnYfR#K426uj(dIhcX3NsJP?PZa|;oKi(7_*h@+9@D>uQ{a+BGD z$7;4}7D6wTkNTkNyNE@T+~b~l;&w>8k3~a@tny!>=tCXw_1e<*=rJU65q+3}htXpp zLSgX@LPYeSP1wo-Il%@M2~*!b9Sw1jft{>OsT&WmIyBmAEF|2|D(9qF<(nS%!eFRM zYUT74LXf3yY!eL&2vbrw9+)FcOD&msE%jj++ciKcxO(BF-VZ_M5Vqn@kU9@Lf&arj zMWm~hQ+=edgBvX>U&-2dK$f&qvMS$>kTpOSIC#&}ZtEcP0k&drmQ||PmZ~R|6^+VZ z*Rib1xh`Q!R^=i@a#6f51g%hSQ;Q!og8$~idoz0d&;1&HzJh#H^tGLqE`(-H*oyn@ zlJzfA_4*%ai=M;*N?sGWN!V2Mr%&RWEp~ za!CNOK48JfldN)K7Lge4y*@mueA^>z!vRIX7K?x7A=+ITL0DNS{*?zXC9i5++)i3> zld)iBrqqJ(mh99Hl6PQL<4Y~r0miEbD{Yk(#Aywd-bng`>eSL5dJXOeO`EdH_pK63 zo0|TXrDbREkM8ds)8Eos{T+Ab4U?eZj;!*7(*7Eor>L8K><=6ON2Gs?+vQ5A9?Og( zQdPThn2*b&vi8&Ci92oB5n4B6mBpxJEad5N3wEcLhn~BoE@G)j*PaDqQ->b+h6ro_ zZD}^2bbCu^y9>*ofUizuD)bjG&1LaeNR>)c8>+xFdF5j^R{7fqFZv`J1l__-RZdFP zHNu|)Ss4DI?jBT1^$5Fp*jA5llbPAtC*LdA{B#Gj-j(G~hF={AWH6JC@B^1nT-}RJ zZ9T%_bvw4 zp!M!7->q0uo2=b`u5EmzwOe_$YJU^OwQIXOZpM1CcK2ZU?xo`<>*t@Vm~kt2=YC^G zSi8!cJ!-_;1$(mm*>1@QNtIe7)GOtJEEx+7@;^O+{xWHo`Ox|ymOl+{;gyOoQ~t)4 zPoOLAiMxZo^hvnF!-l}r6KK`AG-2uqbn!zu!j!!H*>Ms11PZTXaFT0V(53So8OYxo zgf-9t^BPJR-H?|c7mgV}0BR0pRq*ROe_;ako0lMqp9l~_&-x|E&>~^_E+;+WWT8O5 zTFS$_lKK$2^4Y&?s)ei(1m(Y4a_ylHK*GbU3Z8lMm)O{gk-B0?gfNzxzt|^COTCmb zE%mY@X{V*mu#h!O>Kj|yZH3H7Sk*juLcBbMy%?$a%hCvqRCw=KAHcNKo>Z2W+AAXM zwA8oK$QmZ~$=BcR0hz;CRVADeS7fjkBDI%?FqT^NhEJH5nx?X})Ta{j@D00L>FuJ)nrw5w~1*sftxuNk}JUXVG0Rc!!Q`$X7_ zk(%!lv)I+D6)r*}72ZM92QV%5mMmdf>Utkp!=$#ec7l%C@8z%Ly;j4dz%q*+g60m$<8+##AZ*vhEsp~1zQu|R^ zT5A7TmXZ2%g#9&4>ek{b@FoCzf>r%xvHa~R?8W>Qn(v=R2<4Qls!fz>Sp)t-)}L{Q z4Uh$Y9J4W_#BZjNta^KwwdC>P?-7 z%!bUTSal0p8(^5}OBWsw{1s(Shf31yS)#s*I{s{|vAyTt8LL>F~B4JwU$Q)r>>XQLsT58He z)-b6}Z~3AI=uZd zWR7Lk*D#hJmBwC()MqjXjnsoJ!nD-UAz?~t^;I@uTI$s?YnaqSR^MC=y*txhEn8mZ=QLtt9!SZqd~SD&{Kid^|Bs`{q?QtHxq=ZRNQ<5=|oi{+osVb4Zt zeyoqsNWCf`OiO*iB1|uUoJ*LNYF_^|%+r1CQM5moI=(xsjYwNEB-jivFe9imLDHtFGT7~5ke!if85YWotP%=wA7b9 z!nD+(8DtHUdh6M39*4{qS@jbU%fEu1!2jdt)t3`UW2yO}l<5U9$s_IbX_d7I(^8*^ zS;M3@J^Yt6^!5^~9s^P*$GsS-)uk`w)XSBjkfRRc>T5Z|w5wAB!nD*e7P5v({j$q| zt08kdtDcZx`Kd1UVx;D$q!7lgR%d;}w5!ts!nD-sAz@nTco$j2q~6nf`ZUO#z^bQ$ zR6mWq5UJBM2#wTd|3T`%Lefr4eFG7By*kB3*f6Qq>N`(_%!#aemd)~Sc-RY(`g#_j zk@`}RFzxD0MC5sOmW5E{s^`_2X=DwPx_s6xOCa-QR=pr(`B^#ag-D%A9aJx^^UwZ+ z)Y%p$gDibo%})@fr7nnB!=%13=8jXKw^vy8Qjj_)z@ClN>er|&{a3(@2pfVd?dn@D zVR`|~OB1H0F8wd1W+or733{8vs^Jo~{Jao*Hd6C%QCV8*{2VqkQs<`$(^40BglVZO zY-9~{_4S9^eGZwgvg)-K%LmvA{6BtPH4`S*n|iV;c*sftx zJ5HYW6J$o^ssHd+T6HnNhDPe*9AR3j8N3*#I<40Kms0oK>%`BX!fUMh z+ak*^aj_banvc5{<)o|mS2NfUm|m-efG{PsS}ZPgh+HN0TSTz!2!66jr?jq`s4myNMS-^+zGXSZej>lxeB&Ql?#fA5+Qm>Xw)_OzQL*CtU=oQ(5(2 z5zD{t#ofeG^Y3L58mXHo(^5acX5@KwxrNZU`d7>vCiT&;k9Y)no5pJPfwj6khdq-8 z@PUueNd5UAq^__?JMC(fOPE>!HO*7V8YXqem)`soGN-c|_!}f&6<{w!>WU&lBlS<8 zFzsrMOPH3rGEJD4deDCdFv%CQ@r6gt658oCIN7>W68Cd z5t+4G(>i7ib9KWlFN?MMFILkYq<$3lVx-pW9U_gT)>xEjscSsaPP@7`N0@fCJ)#Ca z1N2Y5D_&W>&T5W_(`ua+cVkYgwKhT{^~fw?TI$C+!nD*+0>ZS^<1J(jb9KMD-`@zC zZ?GEp(?0*Hi@g|E^Pi*;8mSfkATED zi`Wn(Xji|s2-B{9;}WK&Uin{2U3l^SjiI+USxuji<-ZBAXCpQLHI=2MUhH8*BlTOC zFfDa+nlLT3PXbxPTlYs(Fzwc#Az@M$Z}(H&VZ&rS{_C&TLvQnW zyI%s9|0}?rV_DF(htSB{S|m)#TEbnzw5(rJ$QmZ=lUZ%Vi=zd+-Jcd)(kP3)#Ijh; zGYN!7)?abC*sUdvJTzM#D2HbAsu_fE4v6omDZ}*3b(8&5TLzs4J zyO1y?%Wf18sbR9Jynj!I-WGBjdR?-;jXe`tTWLA<3u#H?2pbx=np%WuS=+_(g{-nZ zXz^Ihcb%c_B5v;rigv_4;s5c?1h$KzFv=66mo!cw6zn?C$L$@mlwFk(tSS7f4$*Fm zE!d7J$_Dv@?F2tD?fPT{+c`m5PsJj_)F*H3W<|<&4n^)RJ~D7ZeG11;WGVY7Biii> z|Ei;G$JXw#eG*bCxxHVSElGqrAO06R)+~(>9fJ|(C{vC#4JlKO@sf7JF}9>>tX%Y` z9NWvMxyrGs*%({Q>y80s%CTKs%9LXVL2Gr0y2`PG6NG8U z4vr{OjvZ1&W*lqjQ>Gkil_jh^$Id(Xqaz_H&+T?Owq(B?c0e3!=^`|a9qdu29J2z- zgkx}4A`*Wh=(DnU2~&>k>md~Flw(K6qc)DUjzUO2S_S#yKBgn9G=Dw zh-1fi2#sS$2b3wt%=-(XopNmFl3e9jYnSFK$BqgpQ;v0sM=knOXJwao)WV$#$l~@1 z@u&q;Ys~erKjYYmS;~}ST{DE0=h)CeC+!JIOSyff$Ce!BVh6;r6D@>7xo1n2Y08vi z$LA@F`P{U6>`TJjW*P_KxWMU2enQ&`UaD zKKws^b#cCjR4DgsvBu5~C{vEPE@jHG4mrw{W2c9dDaS4oBIF@zD90}MDN~O1%o3&@ z>y@EQId(+~nQ<&_Q>GldGC^2*j$J+Pgufu^J#OCwYwUy&J0OnrikWfjau=as%CXZd z%9LZNkTT_1k2GbZ}y2Kpn=h z`$Nij)^{@ltnCBxjjt!0|Q;uDqqf9xLNmHgA>lad{ z9D4+j{B58dd!(eDa;)TyChgdxIZPJoPB}K*LuMR%EKQkmY=lc#d5&$mVNq8|TF&if zVU1ms#tw*M=AAa<*drNiXx3O?i!$Zd;CR)GcFM8)vb3FY>`9L@<=9hc%9LYIyM$@S zp0OxXj*UVj_MY@#;-f-@f+@#F2ZWX9*!DB#{~MBu+#U~Wtfz||5XYYO5gNyyj3`rU z?A{_}%CU#DlqtuCm*gtP#$hwE#>RyRMP23C3jtx;v0RQa<=BfJGUM1wY08vi<6Xka zbL_QE&pZrCE4V!k*4Wh+c0e4<*$9ne<1&;f$A+aSQ;t2EpiDXTOqMd`*d&iKwZ>je zQ>Gl7>=LFOd(EOuIW`3mSz}W|gl3IR4G1gGv1u)bikvEL&xSSD8}s4+@$0e4K2qb@ zq=+)**i%Kylw;4AxT73%nR0BFhs><8H`A1< zH8$HNtUSlY&0g~}Bvo^}64ux)A$C9?0eQacoV3GUeEsh_Lb;Ydm)HIgqppZn+%F z+eBjz#<34WWX7?oxRPMXvH3;XPB~V{Ql=bxFF~1dEVL<8j(t|rPC52jMA~V`J}*+H z99!=rGmdS@Ql=dHB12etj=lHm@O>cZLvBZ~#vX|~C1>U5Y3$WF7Un2Zj=htjOgUDS zpiDXTQAw_H>}#L4Q;vNTixG8|W1BLhop$Wo6lKb>%{DUQ*mnualw;pTgq7#mx7U2S zGbF9%$)*W;gJSH#tg+2GWX7>gA!W+3Z!F4`V;>@t&riy+PXmOaKjqkZmonwp&+({@ zV_V`;1JjOeiAOD%a_s+#*q?E1t52D7?3XNIq>tccvhd9RbP78lYiz5F zP$>6o@t61(k22-hPXT4hv9^eaW1C8ta_l<~p{OAp(|6DnTrj!D^xbkbQ;zAoATg8rthGuS$U34S~ux1NLa&@`={isaYeQ~@b#F!)vRE#WBRtT$fRTX z7P82cV_&=Cu{cDoT4O)wB+yJb_KQWCa;&*WnR0BOG-2AY7A|GVv3)IM#HE1NQ;r>MiO1p)?UZAOD4>{j?2r&4v{PrLzW=J=#<5m8@mL&!DaQ`=Bv7n8 z$9|o3>{-x$9Z%X>c~e&G!8q2+MkbVd@CfcEo1siOc92h*a_rlHGUZtFh%)8aekJ`W z$6A-d$!l9PeRhiJb7F~-q93$FpjlN zATy4&PEjTu(|7(9T(pyp>AQR)Q;r>4(w}nd=(K1f4w0)I>)=YDnR4tHi!kk2M?@l5 zud$9HLgU!60cFauPC3HLb8PUM2bVz7Cvf*kU)~NBdoYe2TjGvqi#2vk7TbvtO2_nV zGX*z}wJgb1jUqL9Xl~cnOb9AJ!HnQlhTwa$4+($E6=eV zYKA@zNuToM*(rH1P3*xq*0p3b%CQq|Y-b!hAw$_!@JQZZQ$x{CIo83a?UZAkO8Qfd zo$k?g%CYWg!n9*&xRfc!&a{vj$Ie1Tp2yA#5kkB296Nd1%!SZ4ggdiE@Dj7pNabi#z*`L_Ie+gaqQZN zGUZs;I9aq)j`g%@JLOoqq(9|Y2Ah%Jm6;Hs=ubIzTR@n0tZ$Ao0doXLPUx^bQcz`#q6K%z4lw-GMG1oYDhEJJt?7AXl z%CUh7%G4Sg7*VDiyPM`}$3ze6fV%p5?4B$#T);}&Rm~!laEbUJ@ z_8`sGjy;qj?X+V#o5 z!H_bw#vZUJQ;zkD6&c6wPSbYEvB4o_%CQmgs70=F?D2Tiz_eqJ(;C{bY!Ul2jy>U1 zrW_lYC9FKhx;(Ux*!lVjZqb#K_e{ecjAKu@$c$r;dz2~19t$W_j@=L|GLAin&E%R@ zjy>!l6z!B_qvBBurW_j`j~bYE>{(hvJ2obR{TaueOHrmA8*39*o@1YNzyCW(+Q^eH zyYhx(*n@FwOo&V<_n-#cDb1oxIW{UynR2XetVralvvNd^wo{Icv?x=Ky_lg)IrdVD zFzwiQT0=WFA%X3TV-q6Elw%W%gq7#mls$$W4oUy!Nk5Qx>%tz4V=o2BjAJh%BG3Hy zSO`Ts<=AMKGUeEF0cFau*WwY1T;LL`%)f#)vqfD)_F^Hs#%CT%3p~zK^P4FmF zj?IimDB3B8Q z94pDaqLaeKpsN5a%>hNvc_Hv5sG%ovB^2glw;E@%9LY4Tv+5P$AWl-f+@!q z#v=r#9b4p+{XyhBmkMf{q7IryOtbRj`%CUuM+DIre!#nR0A>jxy!g29Gf9*cWNa zlw)7I$c$rOS(GWqHX;&hjPJk3XWOb9z^oVvBm8kUam$?BEw=Iw$ffsgn16C%Ur7Cq z+uH~F+uR$mm7@aa#JSIhdlF^X%Dpq<(Srfp&_|9~+z&!FVT*TnWi#jj>{AVs8zRvd zkd$%&OP497&Bk??LsG<(-+F(OB5scqqLiT_+)ODUDiA5liXg>R5T&fQxid5rOt`Xh zwN<8+IZ^M)kn}xIZvLAq_gk!xPN9@x@SOB{N>m_HJ^)vsnS>~1d4fB`1QJr%Urwq_ zDbKbU`W7Voz>^VtBI_AV@P*~~d0HqAK;C9#n{Jcbp4-SYVGC?1LQ^PI6gp^-9 z+ht1Wdt|piAmK-z{NAlC1-82jSK26Lgv*m3Wkm(zN)aYQ?TVYVvNpw?5w2(`CaCH3 zY?)Gam@w&PNcxEP4ncBfv7;FtjKT&nn{Qg^b^R-rUjEy{9>6> ze!21JPa)}Np8P3S+m*+PtZ-EVrHu4=^5;-gAX2J)?m#mMQOXu@WuzyVkh0&(piC)~ zCm$0*(iWcF64aLRcmz^Bl=4)LC%1|MR7!Qk9cU&YO4*v>&Qm$Tgp`)2lsiG^40`lu zNcul06V{e8G67N|l=4i#lfU|+0&!)f4Gts}L@B>n+<7JtOis`n_`76zx=LC{c*hQH#r3~Efu4^IbSDyR} zZ&VUX8J%H;Yh0A_JowHNq5_ff5lj#?lMownk2}v>g2}aVda6t*JI+c9SAK&sQSAvD zlLaY0R(Jt?-^Ladh?F%M?m#mMvEep3?!4d%CMRfqxljB9n%=boB>m2v#);Ze#`>&q zt%Vg{Omk=3l&C)W1Tkn8`Ke)41UQgT5F73kaOagkFd=1|gY7b1*{1tlLqzA?+4*l1G|>hrAy#-b~>t^V@5qEa|+XTIu0Vx4im}YTjH(yjB zQbHRX$S8;ncZY`RFJK`hdb-@TvVK6*4bb^E+&ScLznNdlvce5ER`6Z!vsx4(k0;EJ(VRpcswrNp;xUyb2kWdgCwk>jJb|9FT zphkz5>&l~5oA-f)?YVQ5TU*L3n-y+MV}-dPciMTP0+F)81_x{fv0=N2J99(9gp^iC zWy@SEGbd~dPyBob?%3(tQf8+>N)ao(&A5}yi3(JTa3G~1Hgpo)d0QYUW#@;=J%g?v zyznyUyeW4aueOxA8CK}yVub}3cPau=fk^ox0}f;q#D?u{?kunb6Kmz$N$E0OIp)ic z;V(J9BX`>8YD<}y1t~sOSm<)+=ulK3QoaNSpqYf&utSPF3thp)1T`C4?gVwZCies+ z?8Kc8L2W7XeO9>1!U~mX?sOCdN~A>Gfo2k7!;Ts5RHg+JQugf=l_B5UgcN4SuGAyt)M@X&2MN1y z=Xk5Olzf6aJH~6}oj~3$Tvm-=iSGn!IiC<^jTE?Lw*!sg5K^oaR++Bs()@#dkN`#9 zzx`$|!0^Cc{Z`L=AxH^D1>#CqkW#P}R1Mi;xTClOjo}bdcKfZ|3A(;(;vz`cl{;Ot zwOx59#hsIiDCGmj3b&+G)!3DjU2s4{lmd6Jcc8J7!oKNfm+49&-F=SG&YhF}+EU)j zaOac=r4%iY5{L@Km2PQpAfq5k`4-ZkF&x5`L)JuPO4+y54e-p!cjr#GVr?nQvfMc> zfl{hmR=CwxRby99^SHAts~}3*>~jYi!y%<~FL&7h%4Q5+*$4`h*G|bxC4#h5K>wUN|ou#j76P$L&BciIU`Y9%8DX)&P<_{RURv3 z5~^zK%9#OoRumOPDc{@NfyQtMDSM>KT`QZq3|{~VdvWJ1yS5bZ=E>O^l(ISpQe*|< z%Gn`zsv}X>oXkJ?+=0e$2q~?57t3^Ir{;IUNcrB}IVV+H%Blo+QdyL;CIBhAYV69n z3><(CsFWXJ1ke}`A!XmS=`y7ZT)l8UBsAyFxtZEhR@>Y;&qpcn8n|#pDA1E#rUO1bCH&o73AeYkUewziZtDem+rqLfb=NGYnSu`3t2;6O@2 zl(Ge!g2r%w6t-n*xzDykTFm?cq_p791%7QQ>oVNAFhVJxS*+04h0duf7p1|0jDjfT z|3KbnmSDn_9XFKg%7V||9t;Wla_6FAZ7H8*xpPSZrL1>BiZ3dV3A)7N&L>#~QOZ^@ z6B@%Iq_nB_%bcJK2RtqQB-;;)Mzy7cK6fs&QOXx-R_JGm3Pj3fIqrm7Uo0i!4m5^C zOi;9@Tvs;ku|K@)#P{dU<%!x-J}+{oX9}f!<$)A!K)hCZ2Hg3)D9W0X`IjtrpfMam z%1`|=WxCS(&UTg1&jH-&W!IJ>c2mqI%)fK2&|egwu3QmvXG5eQy7H^d9cT=Pn4snt zmhDQ=X(S{Z$Q{_@sP+EJR|)Q16QC>K1Ry1&s>ZHd3uV7bC>TpAat9j2A*AfqvfO9e zKRcwhg#?Q`*XC+V`8U+LE<`EchO8i-4xu&F(3>OIr@#SQL6q{F&mCwChmg|ruX3ey z-RYA_h`CQGrP5odE|@3Zj(XAq^VC0aDmjcy*}X>Z#`i z<(T=`wS>+OhN59@DdL4k9}A`YV1X1_f=KC;1qU*stXa0dN8EwNa0n?|;G(JWq#V^_ zk$AQ}ggZB}+ETuQIybv0+(k6Ir}`76O4XbgvRrDd*6DYvd}3On=sQ10|i z*Ou}#)ajQ)DZl1GiZ&oVgZe|+pCeJ$td+lf?m%NWgez=#xleJ2X1@LxBpk+_{$6b< zTcOVF0ZREjV1>IaQGvKJzy=2r3TjvKEX5sY42O`i=cuquSKc2z;Z{gEoI3+@wWWxs z%{xLZrJ`8`QnIRQ?8==`_E%fMSW3i2Vff;Zhr65Y-SzZB+;SjDg+c7HBl}mdJ-vkLqLea36l!|5^cLrG~Wp^8- zWK`AIl|fLpnWrF1X_Vm=&=?M}Y`?v?Sf-SnZD0J&+?qT0u-Z}*IqnR0QOcevkP?at zWUUPLc|{_pAWGRL!7HFK9Kw~Os>^*|Ik@=qDUi^HJNH_(rR)}P$4jG>y)&$EkE}qX zctu{ZTTn|1$b-g83S0SDfVY_!AzSfK09g4T*&O^4mK0_qQBewlM z9}%9Sc6=i!vR>H<51O#NH*J{OrOFf>F~UxA0$9pXZPc5vxK zMqW#SiKf8)7BW*{7@~Sd(B$FjJQRSlc6e4^Awe?DMG_jC0>dqASKkOWu72rMD3FB9 z6nuHz116dgJd#Cb3XE`()mPxyje8#j1su5epeV0qz(iBv(SMlaY#Q6uSKyj)zwZbI zDqurBlGi9;qABoL5t$jmNDo(i{F!{zC z4}=0ob7zz-uP4AnQ($BQnJMs0fULd(w{$A5g#sP8^K439C4h;hfVu)ea8qD(i0$et z(4*L?1~QLjgIj zp0}`FeFcU+wEP9gJQlXqeYsDMiDm?2vdBz<7hGiZ6_~bU)NClw2{y}%a`zn*O@XmK zGE?BiG_v{%csH&X1qF_S4d6)bsbiukFs_Kq6j1x+g4b7I_@C#rg#w+q^KwG&lw)V6 zfZ7EY+!UCY6OYAFUx5R|*PB4*@!XkY%l&VaCNuRUk53>o1zrh|)mLCUf707fpbK{< zr{u0SCYljUw2_$tuZGC#E3mR#vtyya39z-Ck$cscXbQZNLS_m~K~%3rgYKU%o+@0} zFwV*yXp*TdQoGB7n*!4;Y**h1d~a4C$UG6Yfql8JjEQChYR_13Q^0qzU3~>sp1G$O zL08z^Ey~?uOf&_i`p8Uy*VD-AEAaY&(Q($h0tiA#VjTkTX;7^6E(2U$$#Y9s;?VAd23c$&! zkNOJuy{7C5nWw=9XIAc*VxlQ9H-pR+SYRQmuR!0IuQ(J6oDSQTzT5}JL{ng17MUrq z&_z~Xfs;P?aaSnN9X2D2a(5FGO#!uYDYz+6nHG=5QC|UjUh#d%JOeh^BDrU&(qyK7 zs!;opf|~+)PdpaKe=hKZ+qFw-9Auu!ouvu66RFZ(i{aDUZlo1ws2 z+r$=ayJeWO#!vjCb%h}cG?81 zufSU^p6&*j=fj3jB=^`vl04!+0#_6fnF6akWc3v|Zro4Hp+FDre3XzoXP9V4P#qyN z1y<*f)mLB;>wOs%xPUurZMh$YiKc+s>k`})SQB8o`U(u`@%-bEc_DW`PRU&^Of&^n z+sI6Tbs@6)3hb1wm;nVYf~}^E+`Ga=Q(#RBnJMroB53xX{yuoZJ$=Hx;-%xouz{47 zJ5nT5p9kwQ$V`FHEM)Z+7`f)LzEI#2*cS5TJ`*OI5vV;R!A*hnF1D+$K;Pz-;^q6L zu-Q|TyGNL43WPo~Q$Xzu30_};UR`Ef2n8;K4U9u$y&1tx0ktVIwtCpEz5-vb8g>w5Uc;T=5^`q(6HNiN>max(@M{j+)mNb6 zrdwJ==C$1U!;fxkKo0Z7?CDjcb_m1| z;sSbyK(P7>y!gp&Js|UXcq`wNdjld#9&rKnzQ16mfO_9wu=)zjU)5e*^>hQgL7$WF z_=_ZYU;+JZzF?++dN*IN`U?EH#1^kjd&ArAfqWldB*`N#kjQC53aIz!1+TBb)>O}J zp}>vsW_l>!oyX1~Q_X>X=Us3!g1s}?t`Td*o3u#CANS!E`{4Wg^5=Ye$XPMfhE(`G zqe*E3Ne4Y$lu0*1lCL)f{+6^JQq|FjiKND#X2nB(Gp}gj)|Iq8p>tpiki@p^Eg$n+ zAgNea(uY!wXs9af{)g;^-^wfYP1lvQHdRuIAO2e)0M9^DR9DhZc4^YSRh~?`jaTgF z)s?iRv=R&Rp-k$_EA~&+m9#Y@+K5Aqsik@a%KJf5uCAotESV7}?K4~I<^6fZ0d`$U zf4C({Y)gkg0De0p1$8C;l@@Kpq2}p_De?gyz$*?+)sf_IPd36Ne(=<^0Q?R}3hPSR zCMVj6Lm6?O2_frxA@Oya0 zA#Pns@N!EZs?zSZB_8v^kW{QIX?NL1v{OktEtP=Z%PU%@>q=^s5((l^Ni9d`!~^(5 zTt&;MuB5{ci)ZI#(gVEW$U2Q^Cp#ud^U^ZuK}f382qz)hh(oz|M6Xah z;1BVN)^!@u-WF}dp^_5Q(&7Oh$}8H`X+(#VXd@1lwC|&`G=CV9>NKKbMx|iV4p*t9 zM|eeByDs-SWlNI|EJOnEVUQHmmDJhCBrbmeEM64Kq(^zhQK`C;cCt#7wsdx7(r`!$ z>q_ccn#5ZT4P??|yrNyEuB4M)(MB9f-k)8iUOs|X*sQLkZfThjCoR_J)8mkott;s? zuQVxot|)-GxFKoPmDD{~lEjbd70IM0Ajz*Q>CB)ciT!wTD3eC=3dgN0>1^3Xwv)fz zTMbr~o`j@gT}kJP_VSSK@#ZsB(o?*mB3)O~`IgR6mh%If7X{!?LsC>%(gkj5QcJyH zpWzkly}FVvN|z+D#fv52qj<&9iMo<5@k)|-;!ydRkA|dNT}hYaN|TzlND087 zi8kU;liRkFCm%e|E8yuvT}UYae6A~gW$EZezIgzj#qTD>Pot`SekUud#+4+5t976l5UGilGw_lrC$CLuQ<-F zE2)2?B#H0-sx2#xhooX%NdsgX*-pCG^k!9Q0@lF^P3Wo5JzD;y{TwlhQ-88(9`l+iA%V5MXPSF>4xjCN&(gKQb? z22oB%yR*W<2^sAHktd@)A&O+Q7b_f+meJl26=l?%6qEJQ$vch308CehoGCGJA4!31=Fhn^S9l{DnBxKYQB2PxGAc|yk zC@UP9meFAl6=ifdE3|fHbOc1cjE-c5HkOQ9LzI8lp%>9atfmmeDZ~6=l?s6&zPa$3o=G zs1qwxSTZ^eqO6QMvqF0ru;U@h$gm4Up^Q#og`-n4av=(2bRsKsuw~R0qMVFQVufQ8 zGCCO|Pe!Le6v?O?D|AfD=v0V`GCGYFj&)^pIz+yVy0Zd2cZuT+h_W&|lNFAW0Xqw# zj113)D3sATtk5|nBe-s`b0DL0S>bqFM(074lhOIC0H>%pdO+mK=mLl$8C}QE1YP_=rV}1GP;}O35ea?`Mpr?UlhM_za7sc(*FfaS=vr1d-ICFD5M^a_Ju7sV0lNXBj0}526w2sERyZRi zqdpJ?GP;Qs&a`E8GekKV-NFiIC1i9fM4pV`V#l*08QsPTXQyS<7owt!`mw?}u8jIa zbBMf+&>HKvp;}C8N6`3S=~h70$P1bPq&184YHI z9tj!U3y~)y528p$_p!nSX&K!QQBg)iSm8ofMh`&b%jiK?xX6;xLl9+UG?W!CmH~Sh zqKph5fhd&GFjlxEC8I|n3S=~#6)v@9^cX}r8I53t%Mvnr93oFfS%@MTJ;4f>r)4w} zqN0qRWQCrtjGltXm(kO#(94q1GZ1BEGzz{HO9pJT{H87uKP$f|OGIPj_f(1KIr%kF zA{r~drAb83%P&b1(Kz`XMIw4ZenpUoa`GE`MD(Kkf*cXOB)^|VMC0Yx!-!~t{B{-* zO_X1bBBGb&cawnL|Xc$}h$c(Pa6(6e4;}el3KErpRw)5Ybfmr3fOL zCcl$FMAPM00*J_$pU4-{zvKtvMfAG-Ji3V9kRJyZ(G2-%Y!S_rABGmuEcsbv5xt2Y z{$;cAv$t#xe)N^i#ZR8HxA23XY#x5@lD&-|dt~$RQ-^E;e&~+{_?bJl5I^$97U3t( zSS5boi!H{_Td_QT+=(s0PaClUe%OaC#n0NXckrVw>|Ok%340Ge=)vB{&snf#_%R3e z0e;GWEys)eSrMWPsxqYK6 zqbIoC$CA-lZubvl^gOq3&&g;Kx9`u$=v8hHNy+F9ZVyk$XePHGE6OO~_9)eEA-6}X zc5pl67bE#oxJ~a%s@-aCPf_hY;`UV4ZUeVxsdis*`%Tp@;`Tz-?t5-8Qtf`{_Is+` zAKZRlw%eu&w^s+E#x^@}dyOZfJ-EHWlF^=Ub2SmLZ7%1@qf|yuo^0n!Hi0L5XJj;y zCvQy2$mhv^k&NK$N5|)61YZ(4F)btbimT}&VB5eqOid3()VMKE&hcclEl_A}m2WSwCS9FrzVC$F-YJ`W`dfUI!SizG@i~yw~uTZvzb1OdUoY z-07ia@VGHt>2!oeS_cDOKM62gp9HUcjlgL1HO~WvtBTsD34ZjZ6FUHgYk}H%1l#>5 zTmv{Izh1<2Qt|rDF93$?ZYl!OdXRJJ*MQ+_nWIC3U(G)79bmY|r6VJFV5aNCfZ@s% zcp(}0&HF|#i@AjBNjkd(@AJ$_g8;)-AUhJP_@;5!Zv+h2Zk$MQ_dkm#1BNRuPAZa4 z28CaUvjMJ?I3*&OTTjBydSke{;j{$7kN&>%%YfmUgzh%MFOEF3A7HqW;LH@k3pS2y z0~q%I&(0A1uf!P_0)}1tRF>fCt3G=GFzmIT=M((h+$MVgPRkEV8&A$TxX-tMVPE^g zh_t?nZJhxacB3yz5FD*rC)Nq4Pe->y&^;KE2rH$ z0`PV6L#IZ`ym>!#1AM*w0I0zyR2@4O@D1|Am%LA{f+;yM{=IXhe}3+4Ko7{0@Dtwf=;uEpg;!@cWt9_!L6f- zOE9D*D2rQ%>F$|cVp^jSO@ggKA&be2CdMR2J70|^|9Il>^SQ6y*7Mrq9ERgL|Kdz@ z@8{mSb>FReuj;*i)wfhOD#KOXNF}A8>X>MN>a}|Q$XF<}T&ZkBh5Q9eFId@DH|DaD zb;H|Mu3EeVf?L8AOlh9bI==NB@H1n=Piz`FbLuH$Mov_!eO;MQLu=Fc8BJ|7nx{5R zpD})F1cIAO6f`!?YHnyU+vwAa>N5w#KjmjBWU{_zVK)R-*;(^%kpJN_~?*Av`fp`EP1w7F5 z)e8XbOn5+qG5@+3;-UUL<(nKwSRg2n)wmYzX6>M_CvZ^FVN- zFwQYgEgVu|Ldc_qLn@5*YQfdQDG84j!cz#PJP^DuoKW^aaJ4Wf;DJJbj;(kg_RsSv z8-nT1Jtdok+0J~_W?{1PP(xTbtEhcDjOu8B>Ui;-U?4nUq8C;aM#mn@jyw)WzcI5$_XK|9NmqGq2-`Ip4b zDxRY}v2KirT%WSJGeoMbT)0$QDQpxi+c@Gksls};B3gROvBXjO_k?YjC-@9Q*hRdI znAeT`rjV_{A(k)OECQO}Rk2x)$tMFgi=)kd%dlBk$#0I?EMilfSQd8i>ctGfRdM0$ z^S`(VRS-J(0>=tLi{bSxe{D%v=i0E`Z~;7FPLI0)Ha<7(0_ghrZVftrmf_Oiv9<(V z0FP%}$px@`hg1ZR?v)XfJ2z<}D=vk2Mcfgy$+1y~Ux?%Am>VOoq;28ySlhy-Z5(o~ zqO)|Bd2Fn81awrdrTR(;Pt)&;PHa)EZPoncZ6W?cYs16TGshbFh-jHS$LjcqBd7CM zm&wOgEnc`3-Hm}bypBWSzMunxJ=P#=wa(C<5O*VEG0a{_5sA%TwPxx3i`y=^8= zc3je}M$2C)xe;FJ`o`S|oXQ^TfLHQoe+>U0B|5$ z2X5!*m)r>bS(J1mJlL6GH-g~jS^>b$inS{*S<^bXaon9+XMiI zpb;E+?yk5SVdLwAZUkY^eK+bxa8$Y5;%(ZR zNA(V~QIkgl9owX`$-{+?o5j4z!^w_iaT+&ysOGSGV`CVAZHpitChTH4y< zZ=P!L8X(2%;zV{0xK+h+tETXgMUQ!Z`sP|T^zY>Td{vZD`I z)0@KN8|SZ?Keul5X`%CmjyMtMbrqts8>Y>eJC{a{+YVu8Ip_ zi|Y*+fEeWeCmLw6jp7cs7<@kIsE44vR^-%1`}vcil#=5ZO{Yu_cJh})nUZ?my5v8I zSR9AV>9!0Vm-}=6?(cPZgRuL<{x4fN1OEti=)lgOBP3)U$Qyc z+!?fF^!Y)}(f%BZ7W+HOre0ke?VqIms*}apACi`g_Kz|wj`n{Zwm91VUCHD^60b9D zj#1ejvSe&wNWkK71o=SN;%M_eivztQYDwtxorZ9@mMvU~i&_2_Q)=?&dM>vcDu|-K zn6%+4oZGKLX#NFlSczHJuk1rff(sV$%D6z^m1e&atpd$O&#w)_dJ)3|{k!N9ShBcn z5s`PomWm*3w{Wz1$mZGyvCDNr$FhUrwlpQ|#TVha{CbSE%N@ilcW1H+FlqfRUjgn&4jB!dU~8xcSQr5oqs7)(*^43qdr=N)2+k9RUjNl?}`(g)6zP;Z4LO2 zoT-Es3rzt>A8mbLIIMI>2s+P|-5?qn9}|G4rbLB=TKnTf4>}j^T__c1uWG_TNWTJ9 z^=9K>-j0LOo}f)2?#3LH*w zeibODe`^~X4b!_TmN8uV3x7yi9L~prdDld@Fg6N*iCHpqe&M}{#j$JOwPlEW;lq$6 z1Cjn|3f#3b&WYebQSR#^nssI~=y4UQ&6?5zrGG|9pyFSy$5OLF_sV$B0H`6O%^3$7Um`M7&0GvuPG`?MSLox>LGTe|1wM5Ac z$?&-^sv<^NMUmR-oJ1>LF(jSVHPivbn zb9!^*96;An!GflZpNj5imuFIaB8?EgYe3v9(ioXtH|i_^nf-$VT4v5@ZJGr67ewCD z(9{a%_a(}v%$y;UcKXM0vePCtwl%gkv`rm9{VZH1?@0ojH%&%kiSnsUQ`@FQ8rmi{ zPeI%1H&O(}MhNV4aj^a1Kj`0-DdMs4!n51vaN4AQnY3g$ZPI@$SsaJvKPnbSiA97^VP1%w$j@Lyjj*fLCG*?f}4VJ8)&3(t>MzA;T2w3&#^nGBY@ev`o zvNmXO5Itfk*7FE9CA6rXj{PWNadf3zwm3HMj9rg$_^~acn=d3RO*Z~oM03OG=5D)Q z2(DaZa}b%c7DpEwpJqt4#=7bC6Xrm5kY%P0X0m;(io@Cb2MMbQ#-(Cu4yW56l`R=u z%N}fV94!OvdT=y*M8MJvf%|6I;=oL?7~TVAM_Sc*qm@0;s>ZvK>=0XqgUFs5(MEWy zmz`j1!s+bS%9f0dooUyjD>sKM8JJePaiE!*6b+onxbQ-kz17gFz|*%z!Srt8{WnzS zmdHUhA|cEi5(FO-UapZ_;^5tP*Rp=uny5lKOJ!6AXt-(G_z6>*cmaJlTBRiu#^zWR zDpSTokt$TCr70%b%(0vvZ<=cn=Fw7>zB#u&K^NTa)`^{s!75m0xF3e9P??l|1kh_j z+}<9jhQ;s4jcQnCyT{7au-G0K7q|t(Go36|t8mhKBvp;d6u2!}4a*d_AyEYjnlykO z(uf;87B`45y?a zY%&x~NNO6RKRp37V%Sno$@r9JSY<*)GZ@0@2^GzRz%<59hG%5D!O#pHYe;B@VKXno zjV+vB(v4*;;c;P3mPUEf>xn7NfIc&9(mZ|BlaiWY zo0B4%p=*;WnxWI?`PtaQr!8r7@@QOhq!~KaT-FT0_Lph6Ph$({ z^jRqpG}D!{0wzsQCYMZ_j+_-WY5Fk*B%VdyX=+$AJeH}3W?(1X5;Ga;*=c3X(CcY& z%`i$WLCx^kT1uMXL7%M~m7YC2q9q|nA}P(V(MVJ?^zCfW48m}FeRfhapr@lA1=6#v z3C*z0*05&iTC1TMMs#{yGdR$8xg-v2q}`bTjf0*M(=-J$f|{XUGfJ8X;UYP}WU%~d zo88*4aSsH)+qVS( z4QoK{_N_4?o2RkCglJAVi#l6_BEYg4OeZDd8n7Bp&o)5zYM62sY_}IvU`}t~tYI$k z8k!iFIdRe93cG!43G}cAIG6p7A!NhM;SCUMi;|l_ug?jB>@}f@F_=>}X%2>^5zu`n zOlfI2OP2rBCbm#JW@<>yl1YbP@bL=hez=CUZ%ct1HUVI|5T!!b6G>))V$5GCljXT) z&BocIVdlw%XkNU0QWPDXfYJ2u7ZOto|33Wab^|kyI8;}Ry1cE_pzW`ieKwu0o_>~Q?9m) z^RoE$NHxk~kf!bcx80$*0P;v01J(K==>HTS2-~zqJYK?)Z66pDemb5Mw6!+jE*+m! zn#NDZolmAu$(Et!GhkF-)&x_oh)B$E1ip}TcsQH>OUmIn{>}{u-#8l)YX246>^;7b z70uh9@r|u;COywEwOV<-oSQV6*;Jbg8g4hvrrNot@y6K*YDseQ6&8eYlB83 z?XM1k|wc0MiS@AbX8Ht$7-4`wX4TfFv|}*E0rx1f_b1E~_72 z&m*`72H;u9^33(fEuD;sY>YPSUZ7>~XT7h4E*mv!JiN(1Y=9jRx@_!NvT6Bxr*~*U zD1?LQyfy$Knhc>pxF7|V5cG0l%&j2?+9OfH_)Sky<4hf_E+iBBoCCl~uF zOeVW(ERHHwn9M|l9h69+y)6h7o)4Ey1knSw*|0eCe_}FOxnN*&uJ$s+hjaY!-+i9v zT5r|B=4@NF0XQsexhIin-&1P^{1_BR3a7*>;V?GW* z3gg=&-uCvxbQ*>zKO%xpDQquY0a@ikX98GpSyYtpLA?&@6(_~rSSAK8e@4i{=ofEn zEx!&4+J3Em=Bd7r_EqiqKn=g*v?irHeic)w;^$ZHCflCG3lCi^zor?sU+E(bY=r>? zr&QHHa8=@!TU0i^GUL##*c4uhSal^fxDZ)B%*5%V`|O=R&H4ap{Z#wU65iNYKDdS= zW8>#T%{UZ(9(DV`XMEQ1d@erunm30)y1#1wMagL|IZL>YODpw6pxgr;K1}z_` zCpSR3B`FJ|UwmInA1a>npO9R)KfnR1eNV)DsJL#)VYx00Qhi*^u0F1EednG9sD6NI z|825Hb>|utzjQ50jzj)5}#5q zD9k(0;;m5)@nUV>v=;9OdayXSV#4rXWn%a6Qwr<1Ay zHd*vG20WPPZ7RDlc`Q-f?8TzcC~ix5^isqgi@32VWQwVP8=Dp)w!Q4e&Q21`crdvE zFUsXpRCydTqtq)z1i%L|SzhP98Sr3HaJg$L9xO%dDvzElt#g-$+DQshI z5@c?5%tXv~=T?ZtJbLrO#Fl&X<|T+NqIY3nK1rxLw`Q?MH5MV}S+|*Y1o^*GTMFBdC4Tu2Ux*8!vGYP8@=umLSe)1D;{n^CRQePWXS?qw<$>M7%vth_Qg0prHEb$WHA^P03XEUS#a^o z7Gz>ku*Ks`7DzpL&RiVo#Uj)@IquO*TAca6)U9;I&J6ot2_MYpipc|H7tA)A<1PTC zVCAmZI3K-nK6>F`*Sg`D4_4}m$x|H{OkWzpE&wF4iK(vGH~W29IMB8Jc~!qZoNI}w+*v98nsTm{ zR9L^*pPQLbxtT%z(lGDsa&vI%otuO$-qq#KHI(^6Z0@|W%AFTg=3B44^T;g%w*-p# zOyMuAcl+?-pIa8x+j%%WHSBX$Z}UE_4Xr9b-8Qeddm|h!`yX{-4JHI8z8#@vKu4huu9F{g#T|zl3N!6W$3q* zbGL^;mGnDfxddc)LUvc2ns-BX7i8a!lDj7WDyiSI%iUK271!@W=hl}&MfI!MxebtQ zfb78_>pckBgOF_kgU=NH!usv&+~$PJZ4T-;cXQifDz^kIkAO1t+mtyhB669ieorpfnF1Bodn0%O%@rWq5oNvS1E7-n{lnbPE1=@~ZO7bB zXzqmOOHjmT3V&h!l5uVqWV;~SEwbH^?S|~v1~q?^z+X_m#h807rgE#6BLd3M z?^@>Gfb0#Re-_!Bko_65x8l_NYY0?Hzaq$+tlZy|`t`uvI~7oI{qlakcLbE7HwonT z3xO&rb4yOXe*jccZw1I7PyvN{2L{nX{=hQ+qWZ1Ld;qclWQU6EP{Gc=o_d6uE@IR&yQkhKKKwUqG} z)w@sf(*vNAdh+EP;ctUf1?a4s<&_C*Q7v&^_$1}OQCrw zG-Z*Szaj$4&^w9pSBF5A^j4vKJf`w-rSjLK0eq(L7uNfexU9fy}KZ%4gMfL1ak{6$p0qe8ATiNBEEkj15Dz7WtG zu(-&~KMBpJ64ZP;463a6KIWe_K*dykN13wc0ppQp(EA_}Ua_ax?D41+>FTp=KP z8M2ok+f^a=N)mq|y#+MC#{d;m`QMf)`&}G=0hRwljGPe!mC~CU^KXPfmGy?n{F{*d z8M3z`)ch;{-b&~_X-0NDh{ zngZmSO8AQ?b4wtX?}al%%G@%@n~1_j)O)T>%^h+41@x{iKD8^nP|+{C@nKitmw3W+ zYm}NV!Cu+Wui+MU#Z_S!>g}#jwkL_dkSfTSC}2z!Fec3RXbVOZR7JncTliBLR9U}A zTX-u7Dy84CEnpNBFbd4~XbTm{-i7SF2wQj`fA1yqTeXD`4Nx& zFQ9kI6b}i5Dl2m%4Hx{y!xC8JOT8mYpiu7^;f?`!47fpJ<%@AF@dJ8`4j1;t!DYQq zhD-Y5kOY?TQtx=wJ0A5go`4SpHx%4SV&&vG7V!c7#bpsAtB8?hez{q!gLWvPzrHNi zqh3AgjS?%Pz>NYoMy!mDtK!&z{_?VTdKgq$e?3_or$8n2*PX@js5c(<8pTQ@xJGaj z#mb~Oo`MIIxqY*^$N&}7o6L(#lB&2Qq+jgk?P76hMQ?lI!=&QPkgZGc_%ObT>ma*5 zM(&OvsFdE}!beBNL`?5y;X|Y1-66dlhL4Mi_oME5gY_Or;16{-B+30C0?N?ac#0bX zpptr%PjM6KZHg*$OAj9i6*q(1Dps~7@Hi-_xAX8JQ1MYiZ}#EipW+iqy_JU#eu~>s zFB4>Y8E_eJ9b%<3p^BYBy@!U6d5U@BcwF#xmEInZ2iMo)mLh3jy&q3N^7$(U&VG|B z)|0kg5dR1_9=H$iY6ebwVZhm@rkt4a4C0B3kLJPCUY~HIsfnPX(B|WYkLE!u{

P zrX~WeH6JVcXdXD-nDnu!iO$fPkCuEi2QJ+C+(V`&I!bFkVm`F@9?|Cp^gdD_J33Ko zZcF;uJYd(qKl}?dtY?5cqOA!Z%>gTZH+Ze7iOxr%=9ajRX8+&!A2P$##K6#+n`1tj z`#vNZaMVY$-=p*DmzbIuP+Ie$h>zxezdzuTNv0+So7UVE_R;Kn)S5pG`Dpfe?{9D2ZE9kWYR!#7AI;tu>|FjIQxgMNYbHxRn!UnTJb1sUiNUQk zH~2+fT{`*B^Gr<)e69I|q#)=wTnJFu8y8B8V0fv}*t!xa6kbeFsJAXg3PnF7B35+z zCtbGAq5gk5_RUjGO~#9a(d@hOj_Br*a-#j6s6f4`c}UpOyu0F~+5f$v zU;eGBdAR&XKkqVpH20shXu(IO=8+}-Pt9+aeKh<1`?9rHnVML@Xw5{)M{~dN+upv- z)cj)H(!4X}quKZR2PWu|91L5UcO-o@`y{5_qpzJ`rlR!#BW=`c01m_niEEm0p$HS4Q4>ht~NxTSe>#MZnvWsUg(Cr&=aY`w6{*1Rd~ zquKu-%N{ew5*NxSZ2d;ZPsir|O{e|qI%;469p``4{8rG%X1}eEzCDi`iVJKMYTgj= z(cJHk*;}_$LvitqLe1;(pB{Bi>wRzf-hTg}hT_5;g__q@d^G#4|I-yerH1079fg{4 z!$-6Ci96nyObx{aJqk6i@zd<}+LJ>jP(v2`T64airaI?mgD)~QKQ#D1ZJKK#F#7ce z!2*<`=bc=^MgUqIasBiO?aEJ-h!xl+u(7UhK^Rc!9fsGW?fD|Zs_uB+n(|=MOrdOH(#$WNW}b`pyX(LGA^p5c|03jV#`YXH zi@Mms;Nxjs#AMldCFB{k$e8yOgomi~i&Z8q&t0)}JfE4cOxn%3ULBEUcE#-gy`rvr z|BjPU<4~1;IqvoR6Ps~@VK>8v=DJ8TyUTVn&&Ah`S=k2RVJiJ<)Z2^|AU?s>#WCp| zjhOt6+vMr$#WkpKxJv)JhRNyx#h}gyu)2uJ-XR_sQ&||D&IK2VHu=Hsm#I3YukELEw?UWT2XQyr^Q{Tv)Nm+ z0QPwU|d>DRF_zj!@4Y;6nlj!~IGff|0{eq5KH@ynbhXj0;JT*}X{${p)DQ++{Y@HozM zjkfy{OE6t}#xHZCqe=1WBy(P@>c{&MlV?I>kje~A)bMM4S-aIk{b)|rwqJF={aBc@ znOCGQs?4xj<7-2uXZk8W;o-^^_J__@=@P}#t@ZhO?v&Z-g0%l zzDd8jcWvJp^!PA0Z1aXtEmp&?{X&+V?)X(qp_Z>-Pgv&6KD#jB=U1Pr-sZi< z@hY=8Rl~0X?BnYhzaEKNj!C>$B>eokVb)KkLhUOmb5W&+Uk8>gJ3Zsqvxa3){95DN zkM-yNi}$uCsLZNb{di~(`}K6#@$22VWl#M2j&DDnVI1{UsC`vs zzFn&yhlVUWJ>yp>W!V$IHu(BAD!BN2P&-9s*4OfDbXUKs?g;&^_qE4YIOg$dlkfN% zx#6nsLv5JKY^*iD8tmh<EpK&(#`V-zZyldd9D-LzX@9tL)p4$L;!<&p$`1%+6Z< zxF%)U=^4M`G0UF#wcEEJmqq5B3bj!xv#VA=I_qBBuf3VKU7H=muh$}ec^+UJ?qz6Z@fP6?Z@+apLji* z{hG?WU#lOTb#Kqa>++CwoHFh|D*KK5jqluj9Mn!%ng6d=KRWB)p7HCdfZH$rdX~>K z-a7~FxgBa}sH{rW829f*EjvBq7j7hUVZ8WzTt0psTuN}A^L3T&S8IGZ>)xL6>zm$q z9qikWSMT`sa`-h)We=>?kIuTcXZ*Us8?PgL`|*UgKIFI$tL&k*`tghq6r|XG?bUkq z#)M^0`Vp^z`t;+JzwP05b-cQk%z4<pf# ztjBxCuT6%>uM>UyF)(!6dr)go*{{?ZUoBPKfi-ZOp`;vT<7`u5|rSp%MdT9eAw*Xl=SJ>D~Zy^{3!^|i9!xWE0Y4SZHVQDw*0 z>PKfi-ZOr^9QF7$!FPPE{l(%lpf*Wm$E9kFduKh~Gk$r$3*wI+`dlZzwr*1aex0eZ zO|{0CvmWmmzy4~4OP;T0Tb<|8u4m%)*PL()^qN&RQZ>G;XX4y5p$q-$On~{+-**lw zS#{;yn-j8Q|Pv>Pg<45heZ)TAHKZl55I)k6qQ|2^?kG*hfK+`)BQLMjazlahh@Iw zVcWm!FM!%qmA$amc<4-8cDnDwu&`BEe2DpuhgkoiPeE;(%C4w29)1zE>~!A;?6}ZH zd|2x{9tQ5Z_n**eQQ0-M#>0zY%TD)w7#+0giVs%>{KiAD@th~27E#$NYK@0C!j_%x z`*507)x17@(|0_?`oGV&h|X5oYb!O*i#LOoq3-(-wyK&w-0V9ZrVWeNL9bP1Z>%*Q z{$p5%y6?jTyRP)bccR_=?Wu-A|JaDur>pFpWp9W+>9?m`uO`vuZM?`9erO$qTw=CG z!B*=9sCQ-(pHf(NYYAi(wx#TY#k*o#W5fZCVUTLx3m0o*TY6;*thvI(+rh;uT)$POQOBI`oT4Bvef7bb}*KE*Gexx)A11ryGNg_$awiq#l>m7vuG z-7~}IELuMM@HruH!gsePRGSSP0k>!C;)L(PvI~<8Al(b!t~XcI!@?|;{Yj}t_}&Uy zO*r9m-e>vj!{>y+37_*`ku&->xJQ~EecNKzu|ZFArF1WRo9_v%LdDrCyR+7{&xl%0 zIN|d?qLOQ0^@++_)foG~$4_1-1Wx!ir7WMF@NG`GG4tfjlwFvls_9<%4teLdd{KXn z%D!gQ7=3$^RufM6cE#P;XB$2z1Wx!K^Mq=%0dM*12D&&WZ+parNs_it5kA+iK91fn zfs6KYRQBykjqrJAO7q(1#mt$v3X8&Q)kxbG=!)(2)Uj7HZ)XY~N#V)MJaeBSe6FeE zqI+&`LB(@b7LPtW?@d}WZ`JcNGkjHx6gpA((7>k@jsjV@RL{`+B78X~1or5&9Krwg z$yiAs5KYUf|x!U3L&d_G~s%GeY5{0zbZ6GX?v1_+2mNFxEX-3K$3*useBK$_3}4j@y-&jDgrNK3!+fcWjJ{#`1yFN0 z$S3{ct?T4{dgGqsVcCpt8G4_D&j~^I!}n6k6TUM7-3;Gb zcf5EhES#@8#>Dq>^m%7!Gkm+^mU}$3eKK|M_j`2de)x9Vb!GHT>Fzym?1=uqLgQ_! zV^U%-!{;5PX85YG0Gg_rjrV%y%{d{k!^a;%(&ZDU4oeCDOZet?_vfll9AOMb<*4eI zRcqbnU6B0$YvJ;ngcU&v-?Df&Pu`C{x|A=a%vT+YYpwe}G^{4ryJq-&9vM`<5MqwL z-X(Y4eRJ|UA+SfE)hPb|#L>qe;L=6HcX^_l;fqGX$H2e>)p1FUb)Vjz$yS{3RV_$T z5PoLib3)Ml@EH*v7mI}N=43a+x47To*P`-5)p0|h#x114-%EGQTaLgiQXO{(YlP3cATdwgss+hs8a^ik-4EZJ-qE)$)XnhC-t+KU zgm1CxcqmyTeBK3#8NOX{RFXoM&3oRe>s%CK*B(*P3jpi!nb!$H_rv#2MGgWVa`NKG zH$KI(+4acah2+OERK7rUq*FD*=Usf5;qzi<_^Pld%vRm-?VG8?34t9x*H6}e;?w~b zts>#u5$R_5vTwb12P`a69X~B~BYfV)OzrSh>FtZrw>M8*_~VnhNceU~yBWUU-0;p# zFmR#jc)9E!KG$w7_O6UR{x+a4a#E(iRFAZ%54JDD=L&&6`dmBK?9sSp-XzB=MXSXiby{u!zfzNf=h6HfU2X5Ok$MNzAIMDCODIU#Vu z=j>;5!goZUwk6|_8gzvqm8~bcWpA!Nn ze9rbZCw$JO3~;AHJ#+&|J7w&8R7usM0g< zd8_p3Y)`NI_G;!m%^SYq-Cg%BStaketx%n(#QekO$|B{AKJUA`pY7;#Lg0+P5fRs@ zGGEf*FG%a+oV?937p6MLcX!?Q=l>prBcG+$5yarL10AA*I|s`GN?AHJ%Yvi8hdHOod} zwyN&7Kv!(9zw6kmnfE?-m{ljn>byDWAHJ&Be9U{^+bXCe z#U6dGq3d zsCbF$yf;-NeBQ?cX864K!E*BEw*)O$obYWjJlMV%eR(GYcKDbyK^G@{8!bpeYM#8$ z$1PC8_sFMOxVXZ%>w?xku&`EjK3r?z@~mMsLHEq?JsoyqX84{gd9WxrZ=$b#H2Rzn zIN{6KzBu9QOu{26#LVy+K@G{{($4&+TDZ8v_l?x!e}{!jRcEHw!o~Y|zzm=FKG+Q3 zD@n^09){d?Ftvm(g6)&h=Y)W5Yzd)3rn}I^3EzuhH)e+KLklv)_k60G*S_$&Z}V4` zE>oS))LOW_7q!};duI6Fjk_^3d{y7Rv6eS&-98$9P6(Xvy%DzjbHeBB0&qs($4Qr- z>fBT6X88Wqzat6@m#fZQp&Hk|k4jb(cKE9AZ&T2y#-cvhJ{f&Z2%PXan-%QvSqFjt z?eKXq)%llCvv6@I#s1l4FU*ILD^w>s%=i7is<6oDtNu>6X85YUKH3-I+na?8Gr8!3 zkgCoPjBbv;ds+)eqT-dR^Zz0>!Z)a-TX22PJ2>ga}Dk_627r<%N4<>Q5;qBVEZI|P6)amz7b)|KMCJ)-Cg&sx#yY&Shz;z2G>~k zF}sp3PWVoTpSeN$Lnm zfl2B}NqS#%ON$MC$c}eOElJ1h!AW3ye>Wh-*lGL%1;*!*0NpDFiD5)(; zeM!<n2Nf}A% zEJ+thYKo+aBsEpiM3R~&DI!U=NP0+8NVGR6N&-8DnHG|zRZ>Edny#1*l4XXZf+RIl z(m;}$B`F|D&6f0!q~=KKM^fiV+DB67O3Fu4b0ys)sdk<@vT=8@F-iYXph+9bUr zsi>rOBsE{sI+9u-DIG~Ilyr`y7D*~cQi~;xBdH4{g(ImYlD?7Dg_63F)KW>?NNSm+ zY$SD&q-!K~v7~AwwOrCPl3F1t8cD5`^o*pCw(!{)2|VOxT1J*tl9G|sYDvdPYK^2~ zBz1|TVI;LyQZSObRMIb!x=d0plDb^dE|R)JQZACZQZd~k%Tlpfk<@jPR*}><6;mp*T#pw)BSPIEsT4_mOVTKkx=~UnlDbLKCz85ZQYVtS zMbajcS|=$JN!=>x5=q@AsS-)uE@=`;-61IwN!=;w5lJB#;8@esZ%bN4Vs}YOL{fK4 zIz&?6kyMDJzKbWXruX+q3PfV}s=|%{33Z>OJ|uO&q&+0{JxO^;YQ3a8B=vx#IwbXd zNpnbQgQPel^#e(7NGd6*4M{yHX$?tjl$3^~ekkb-No~Sbsu&6Nkfbpr^{}KcB(+)6 z7n0f{sS8PMm9&MVwn@rDQjbWwLQ;=PszOqaNt!}Zk4uU|QcozRCuB)UYC=*!lC*@R zwo6JvQtgtCkW^Yy5t7PC8bVT8NkK@eL(&hD>Xg)jq;isWkW^k$4w5QJxSvNdkkoUM zK9JN7NgYV)c}W{c>IF#|Nb2X3E|AnOBvl}(UrL%lQadF@AgLE6Js>G0{Cm_OpWrEn, B=>invout_2, Z=>wren_i); + + INV_5: INV + port map (A=>full_i, Z=>invout_2); + + AND2_t4: AND2 + port map (A=>RdEn, B=>invout_1, Z=>rden_i); + + INV_4: INV + port map (A=>empty_i, Z=>invout_1); + + AND2_t3: AND2 + port map (A=>wren_i, B=>rden_i_inv, Z=>cnt_con); + + XOR2_t2: XOR2 + port map (A=>wren_i, B=>rden_i, Z=>fcnt_en); + + INV_3: INV + port map (A=>rden_i, Z=>rden_i_inv); + + INV_2: INV + port map (A=>wren_i, Z=>wren_i_inv); + + LUT4_1: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_le_1, AD1=>wren_i, + AD0=>empty_i, DO0=>empty_d); + + LUT4_0: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_ge_d1, AD1=>rden_i, + AD0=>full_i, DO0=>full_d); + + AND2_t1: AND2 + port map (A=>rden_i, B=>invout_0, Z=>r_nw); + + INV_1: INV + port map (A=>wren_i, Z=>invout_0); + + XOR2_t0: XOR2 + port map (A=>wcount_9, B=>rptr_9, Z=>wcnt_sub_msb); + + INV_0: INV + port map (A=>cnt_con, Z=>cnt_con_inv); + + pdp_ram_0_0_0: PDPW16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_R=> "0b001", CSDECODE_W=> "0b001", GSR=> "ENABLED", + RESETMODE=> "ASYNC", REGMODE=> "OUTREG", DATA_WIDTH_R=> 36, + DATA_WIDTH_W=> 36) + port map (DI35=>scuba_vlo, DI34=>scuba_vlo, DI33=>scuba_vlo, + DI32=>scuba_vlo, DI31=>scuba_vlo, DI30=>scuba_vlo, + DI29=>scuba_vlo, DI28=>scuba_vlo, DI27=>scuba_vlo, + DI26=>scuba_vlo, DI25=>scuba_vlo, DI24=>scuba_vlo, + DI23=>scuba_vlo, DI22=>scuba_vlo, DI21=>scuba_vlo, + DI20=>scuba_vlo, DI19=>scuba_vlo, DI18=>scuba_vlo, + DI17=>Data(17), DI16=>Data(16), DI15=>Data(15), + DI14=>Data(14), DI13=>Data(13), DI12=>Data(12), + DI11=>Data(11), DI10=>Data(10), DI9=>Data(9), DI8=>Data(8), + DI7=>Data(7), DI6=>Data(6), DI5=>Data(5), DI4=>Data(4), + DI3=>Data(3), DI2=>Data(2), DI1=>Data(1), DI0=>Data(0), + ADW8=>wptr_8, ADW7=>wptr_7, ADW6=>wptr_6, ADW5=>wptr_5, + ADW4=>wptr_4, ADW3=>wptr_3, ADW2=>wptr_2, ADW1=>wptr_1, + ADW0=>wptr_0, BE3=>scuba_vhi, BE2=>scuba_vhi, BE1=>scuba_vhi, + BE0=>scuba_vhi, CEW=>wren_i, CLKW=>Clock, CSW2=>scuba_vlo, + CSW1=>scuba_vlo, CSW0=>scuba_vhi, ADR13=>rptr_8, + ADR12=>rptr_7, ADR11=>rptr_6, ADR10=>rptr_5, ADR9=>rptr_4, + ADR8=>rptr_3, ADR7=>rptr_2, ADR6=>rptr_1, ADR5=>rptr_0, + ADR4=>scuba_vlo, ADR3=>scuba_vlo, ADR2=>scuba_vlo, + ADR1=>scuba_vlo, ADR0=>scuba_vlo, CER=>scuba_vhi, + OCER=>scuba_vhi, CLKR=>Clock, CSR2=>scuba_vlo, + CSR1=>scuba_vlo, CSR0=>rden_i, RST=>Reset, DO35=>Q(17), + DO34=>Q(16), DO33=>Q(15), DO32=>Q(14), DO31=>Q(13), + DO30=>Q(12), DO29=>Q(11), DO28=>Q(10), DO27=>Q(9), + DO26=>Q(8), DO25=>Q(7), DO24=>Q(6), DO23=>Q(5), DO22=>Q(4), + DO21=>Q(3), DO20=>Q(2), DO19=>Q(1), DO18=>Q(0), DO17=>open, + DO16=>open, DO15=>open, DO14=>open, DO13=>open, DO12=>open, + DO11=>open, DO10=>open, DO9=>open, DO8=>open, DO7=>open, + DO6=>open, DO5=>open, DO4=>open, DO3=>open, DO2=>open, + DO1=>open, DO0=>open); + + FF_62: FD1P3DX + port map (D=>ifcount_0, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_0); + + FF_61: FD1P3DX + port map (D=>ifcount_1, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_1); + + FF_60: FD1P3DX + port map (D=>ifcount_2, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_2); + + FF_59: FD1P3DX + port map (D=>ifcount_3, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_3); + + FF_58: FD1P3DX + port map (D=>ifcount_4, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_4); + + FF_57: FD1P3DX + port map (D=>ifcount_5, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_5); + + FF_56: FD1P3DX + port map (D=>ifcount_6, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_6); + + FF_55: FD1P3DX + port map (D=>ifcount_7, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_7); + + FF_54: FD1P3DX + port map (D=>ifcount_8, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_8); + + FF_53: FD1P3DX + port map (D=>ifcount_9, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_9); + + FF_52: FD1S3BX + port map (D=>empty_d, CK=>Clock, PD=>Reset, Q=>empty_i); + + FF_51: FD1S3DX + port map (D=>full_d, CK=>Clock, CD=>Reset, Q=>full_i); + + FF_50: FD1P3BX + port map (D=>iwcount_0, SP=>wren_i, CK=>Clock, PD=>Reset, + Q=>wcount_0); + + FF_49: FD1P3DX + port map (D=>iwcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_1); + + FF_48: FD1P3DX + port map (D=>iwcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_2); + + FF_47: FD1P3DX + port map (D=>iwcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_3); + + FF_46: FD1P3DX + port map (D=>iwcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_4); + + FF_45: FD1P3DX + port map (D=>iwcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_5); + + FF_44: FD1P3DX + port map (D=>iwcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_6); + + FF_43: FD1P3DX + port map (D=>iwcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_7); + + FF_42: FD1P3DX + port map (D=>iwcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_8); + + FF_41: FD1P3DX + port map (D=>iwcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_9); + + FF_40: FD1P3BX + port map (D=>ircount_0, SP=>rden_i, CK=>Clock, PD=>Reset, + Q=>rcount_0); + + FF_39: FD1P3DX + port map (D=>ircount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_1); + + FF_38: FD1P3DX + port map (D=>ircount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_2); + + FF_37: FD1P3DX + port map (D=>ircount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_3); + + FF_36: FD1P3DX + port map (D=>ircount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_4); + + FF_35: FD1P3DX + port map (D=>ircount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_5); + + FF_34: FD1P3DX + port map (D=>ircount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_6); + + FF_33: FD1P3DX + port map (D=>ircount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_7); + + FF_32: FD1P3DX + port map (D=>ircount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_8); + + FF_31: FD1P3DX + port map (D=>ircount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_9); + + FF_30: FD1P3DX + port map (D=>wcount_0, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_0); + + FF_29: FD1P3DX + port map (D=>wcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_1); + + FF_28: FD1P3DX + port map (D=>wcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_2); + + FF_27: FD1P3DX + port map (D=>wcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_3); + + FF_26: FD1P3DX + port map (D=>wcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_4); + + FF_25: FD1P3DX + port map (D=>wcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_5); + + FF_24: FD1P3DX + port map (D=>wcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_6); + + FF_23: FD1P3DX + port map (D=>wcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_7); + + FF_22: FD1P3DX + port map (D=>wcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_8); + + FF_21: FD1P3DX + port map (D=>wcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_9); + + FF_20: FD1P3DX + port map (D=>rcount_0, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_0); + + FF_19: FD1P3DX + port map (D=>rcount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_1); + + FF_18: FD1P3DX + port map (D=>rcount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_2); + + FF_17: FD1P3DX + port map (D=>rcount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_3); + + FF_16: FD1P3DX + port map (D=>rcount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_4); + + FF_15: FD1P3DX + port map (D=>rcount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_5); + + FF_14: FD1P3DX + port map (D=>rcount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_6); + + FF_13: FD1P3DX + port map (D=>rcount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_7); + + FF_12: FD1P3DX + port map (D=>rcount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_8); + + FF_11: FD1P3DX + port map (D=>rcount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_9); + + FF_10: FD1S3DX + port map (D=>wcnt_sub_0, CK=>Clock, CD=>Reset, Q=>wcnt_reg_0); + + FF_9: FD1S3DX + port map (D=>wcnt_sub_1, CK=>Clock, CD=>Reset, Q=>wcnt_reg_1); + + FF_8: FD1S3DX + port map (D=>wcnt_sub_2, CK=>Clock, CD=>Reset, Q=>wcnt_reg_2); + + FF_7: FD1S3DX + port map (D=>wcnt_sub_3, CK=>Clock, CD=>Reset, Q=>wcnt_reg_3); + + FF_6: FD1S3DX + port map (D=>wcnt_sub_4, CK=>Clock, CD=>Reset, Q=>wcnt_reg_4); + + FF_5: FD1S3DX + port map (D=>wcnt_sub_5, CK=>Clock, CD=>Reset, Q=>wcnt_reg_5); + + FF_4: FD1S3DX + port map (D=>wcnt_sub_6, CK=>Clock, CD=>Reset, Q=>wcnt_reg_6); + + FF_3: FD1S3DX + port map (D=>wcnt_sub_7, CK=>Clock, CD=>Reset, Q=>wcnt_reg_7); + + FF_2: FD1S3DX + port map (D=>wcnt_sub_8, CK=>Clock, CD=>Reset, Q=>wcnt_reg_8); + + FF_1: FD1S3DX + port map (D=>wcnt_sub_9, CK=>Clock, CD=>Reset, Q=>wcnt_reg_9); + + FF_0: FD1S3DX + port map (D=>af_set, CK=>Clock, CD=>Reset, Q=>AlmostFull); + + bdcnt_bctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>cnt_con, B0=>scuba_vlo, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>bdcnt_bctr_ci); + + bdcnt_bctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>bdcnt_bctr_ci, S0=>ifcount_0, S1=>ifcount_1, COUT=>co0); + + bdcnt_bctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0, S0=>ifcount_2, S1=>ifcount_3, COUT=>co1); + + bdcnt_bctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1, S0=>ifcount_4, S1=>ifcount_5, COUT=>co2); + + bdcnt_bctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2, S0=>ifcount_6, S1=>ifcount_7, COUT=>co3); + + bdcnt_bctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3, S0=>ifcount_8, S1=>ifcount_9, COUT=>co4); + + e_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci); + + e_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>rden_i, A1=>scuba_vlo, B0=>fcount_0, B1=>fcount_1, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci, S0=>open, S1=>open, COUT=>co0_1); + + e_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_2, + B1=>fcount_3, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_1, S0=>open, S1=>open, COUT=>co1_1); + + e_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_4, + B1=>fcount_5, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_1, S0=>open, S1=>open, COUT=>co2_1); + + e_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_6, + B1=>fcount_7, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_1, S0=>open, S1=>open, COUT=>co3_1); + + e_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_8, + B1=>fcount_9, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_1, S0=>open, S1=>open, + COUT=>cmp_le_1_c); + + a0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_le_1_c, S0=>cmp_le_1, S1=>open, + COUT=>open); + + g_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_1); + + g_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci_1, S0=>open, S1=>open, COUT=>co0_2); + + g_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_2, S0=>open, S1=>open, COUT=>co1_2); + + g_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_2, S0=>open, S1=>open, COUT=>co2_2); + + g_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_2, S0=>open, S1=>open, COUT=>co3_2); + + g_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>wren_i, B1=>wren_i_inv, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_2, S0=>open, S1=>open, COUT=>cmp_ge_d1_c); + + a1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_ge_d1_c, S0=>cmp_ge_d1, S1=>open, + COUT=>open); + + w_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>w_ctr_ci); + + w_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_0, A1=>wcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>w_ctr_ci, S0=>iwcount_0, S1=>iwcount_1, + COUT=>co0_3); + + w_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_2, A1=>wcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_3, S0=>iwcount_2, S1=>iwcount_3, + COUT=>co1_3); + + w_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_4, A1=>wcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_3, S0=>iwcount_4, S1=>iwcount_5, + COUT=>co2_3); + + w_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_6, A1=>wcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_3, S0=>iwcount_6, S1=>iwcount_7, + COUT=>co3_3); + + w_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_8, A1=>wcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_3, S0=>iwcount_8, S1=>iwcount_9, + COUT=>co4_1); + + r_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>r_ctr_ci); + + r_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_0, A1=>rcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>r_ctr_ci, S0=>ircount_0, S1=>ircount_1, + COUT=>co0_4); + + r_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_2, A1=>rcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_4, S0=>ircount_2, S1=>ircount_3, + COUT=>co1_4); + + r_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_4, A1=>rcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_4, S0=>ircount_4, S1=>ircount_5, + COUT=>co2_4); + + r_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_6, A1=>rcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_4, S0=>ircount_6, S1=>ircount_7, + COUT=>co3_4); + + r_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_8, A1=>rcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_4, S0=>ircount_8, S1=>ircount_9, + COUT=>co4_2); + + precin_inst218: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"0000", + INIT0=> X"0000") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>precin); + + wcnt_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>cnt_con, A1=>wcount_0, B0=>cnt_con_inv, B1=>rptr_0, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>precin, S0=>open, S1=>wcnt_sub_0, COUT=>co0_5); + + wcnt_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_1, A1=>wcount_2, B0=>rptr_1, B1=>rptr_2, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_5, S0=>wcnt_sub_1, S1=>wcnt_sub_2, COUT=>co1_5); + + wcnt_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_3, A1=>wcount_4, B0=>rptr_3, B1=>rptr_4, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_5, S0=>wcnt_sub_3, S1=>wcnt_sub_4, COUT=>co2_5); + + wcnt_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_5, A1=>wcount_6, B0=>rptr_5, B1=>rptr_6, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_5, S0=>wcnt_sub_5, S1=>wcnt_sub_6, COUT=>co3_5); + + wcnt_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_7, A1=>wcount_8, B0=>rptr_7, B1=>rptr_8, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_5, S0=>wcnt_sub_7, S1=>wcnt_sub_8, COUT=>co4_3); + + wcnt_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_sub_msb, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_3, S0=>wcnt_sub_9, S1=>open, + COUT=>open); + + af_set_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>wren_i, B0=>scuba_vlo, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_2); + + af_set_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_0, A1=>wcnt_reg_1, B0=>AmFullThresh(0), + B1=>AmFullThresh(1), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>cmp_ci_2, S0=>open, + S1=>open, COUT=>co0_6); + + af_set_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_2, A1=>wcnt_reg_3, B0=>AmFullThresh(2), + B1=>AmFullThresh(3), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co0_6, S0=>open, S1=>open, + COUT=>co1_6); + + af_set_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_4, A1=>wcnt_reg_5, B0=>AmFullThresh(4), + B1=>AmFullThresh(5), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co1_6, S0=>open, S1=>open, + COUT=>co2_6); + + af_set_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_6, A1=>wcnt_reg_7, B0=>AmFullThresh(6), + B1=>AmFullThresh(7), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co2_6, S0=>open, S1=>open, + COUT=>co3_6); + + af_set_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_8, A1=>wcnt_reg_9, B0=>AmFullThresh(8), + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_6, S0=>open, S1=>open, + COUT=>af_set_c); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + a2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>af_set_c, S0=>af_set, S1=>open, + COUT=>open); + + WCNT(0) <= fcount_0; + WCNT(1) <= fcount_1; + WCNT(2) <= fcount_2; + WCNT(3) <= fcount_3; + WCNT(4) <= fcount_4; + WCNT(5) <= fcount_5; + WCNT(6) <= fcount_6; + WCNT(7) <= fcount_7; + WCNT(8) <= fcount_8; + WCNT(9) <= fcount_9; + Empty <= empty_i; + Full <= full_i; +end Structure; diff --git a/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg_ngd.asd b/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg_ngd.asd new file mode 100644 index 0000000..c265c78 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_18x512_oreg/fifo_18x512_oreg_ngd.asd @@ -0,0 +1 @@ +[ActiveSupport NGD] diff --git a/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.cst b/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.cst new file mode 100644 index 0000000..0fafcfe --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.cst @@ -0,0 +1,3 @@ +Date=03/18/2015 +Time=14:42:06 + diff --git a/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.edn b/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.edn new file mode 100644 index 0000000..f9adae0 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.edn @@ -0,0 +1,8720 @@ +(edif fifo_36x16k_oreg + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timestamp 2015 3 18 14 42 8) + (program "SCUBA" (version "Diamond (64-bit) 3.4.0.80")))) + (comment "/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n fifo_36x16k_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 16384 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill -fdc /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.fdc ") + (library ORCLIB + (edifLevel 0) + (technology + (numberDefinition)) + (cell CCU2C + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A0 + (direction INPUT)) + (port A1 + (direction INPUT)) + (port B0 + (direction INPUT)) + (port B1 + (direction INPUT)) + (port C0 + (direction INPUT)) + (port C1 + (direction INPUT)) + (port D0 + (direction INPUT)) + (port D1 + (direction INPUT)) + (port CIN + (direction INPUT)) + (port S0 + (direction OUTPUT)) + (port S1 + (direction OUTPUT)) + (port COUT + (direction OUTPUT))))) + (cell AND2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell FD1P3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1P3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell INV + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell MUX81 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D0 + (direction INPUT)) + (port D1 + (direction INPUT)) + (port D2 + (direction INPUT)) + (port D3 + (direction INPUT)) + (port D4 + (direction INPUT)) + (port D5 + (direction INPUT)) + (port D6 + (direction INPUT)) + (port D7 + (direction INPUT)) + (port SD1 + (direction INPUT)) + (port SD2 + (direction INPUT)) + (port SD3 + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell ROM16X1A + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port AD3 + (direction INPUT)) + (port AD2 + (direction INPUT)) + (port AD1 + (direction INPUT)) + (port AD0 + (direction INPUT)) + (port DO0 + (direction OUTPUT))))) + (cell VHI + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell VLO + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell XOR2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell DP16KD + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port DIA17 + (direction INPUT)) + (port DIA16 + (direction INPUT)) + (port DIA15 + (direction INPUT)) + (port DIA14 + (direction INPUT)) + (port DIA13 + (direction INPUT)) + (port DIA12 + (direction INPUT)) + (port DIA11 + (direction INPUT)) + (port DIA10 + (direction INPUT)) + (port DIA9 + (direction INPUT)) + (port DIA8 + (direction INPUT)) + (port DIA7 + (direction INPUT)) + (port DIA6 + (direction INPUT)) + (port DIA5 + (direction INPUT)) + (port DIA4 + (direction INPUT)) + (port DIA3 + (direction INPUT)) + (port DIA2 + (direction INPUT)) + (port DIA1 + (direction INPUT)) + (port DIA0 + (direction INPUT)) + (port ADA13 + (direction INPUT)) + (port ADA12 + (direction INPUT)) + (port ADA11 + (direction INPUT)) + (port ADA10 + (direction INPUT)) + (port ADA9 + (direction INPUT)) + (port ADA8 + (direction INPUT)) + (port ADA7 + (direction INPUT)) + (port ADA6 + (direction INPUT)) + (port ADA5 + (direction INPUT)) + (port ADA4 + (direction INPUT)) + (port ADA3 + (direction INPUT)) + (port ADA2 + (direction INPUT)) + (port ADA1 + (direction INPUT)) + (port ADA0 + (direction INPUT)) + (port CEA + (direction INPUT)) + (port OCEA + (direction INPUT)) + (port CLKA + (direction INPUT)) + (port WEA + (direction INPUT)) + (port CSA2 + (direction INPUT)) + (port CSA1 + (direction INPUT)) + (port CSA0 + (direction INPUT)) + (port RSTA + (direction INPUT)) + (port DIB17 + (direction INPUT)) + (port DIB16 + (direction INPUT)) + (port DIB15 + (direction INPUT)) + (port DIB14 + (direction INPUT)) + (port DIB13 + (direction INPUT)) + (port DIB12 + (direction INPUT)) + (port DIB11 + (direction INPUT)) + (port DIB10 + (direction INPUT)) + (port DIB9 + (direction INPUT)) + (port DIB8 + (direction INPUT)) + (port DIB7 + (direction INPUT)) + (port DIB6 + (direction INPUT)) + (port DIB5 + (direction INPUT)) + (port DIB4 + (direction INPUT)) + (port DIB3 + (direction INPUT)) + (port DIB2 + (direction INPUT)) + (port DIB1 + (direction INPUT)) + (port DIB0 + (direction INPUT)) + (port ADB13 + (direction INPUT)) + (port ADB12 + (direction INPUT)) + (port ADB11 + (direction INPUT)) + (port ADB10 + (direction INPUT)) + (port ADB9 + (direction INPUT)) + (port ADB8 + (direction INPUT)) + (port ADB7 + (direction INPUT)) + (port ADB6 + (direction INPUT)) + (port ADB5 + (direction INPUT)) + (port ADB4 + (direction INPUT)) + (port ADB3 + (direction INPUT)) + (port ADB2 + (direction INPUT)) + (port ADB1 + (direction INPUT)) + (port ADB0 + (direction INPUT)) + (port CEB + (direction INPUT)) + (port OCEB + (direction INPUT)) + (port CLKB + (direction INPUT)) + (port WEB + (direction INPUT)) + (port CSB2 + (direction INPUT)) + (port CSB1 + (direction INPUT)) + (port CSB0 + (direction INPUT)) + (port RSTB + (direction INPUT)) + (port DOA17 + (direction OUTPUT)) + (port DOA16 + (direction OUTPUT)) + (port DOA15 + (direction OUTPUT)) + (port DOA14 + (direction OUTPUT)) + (port DOA13 + (direction OUTPUT)) + (port DOA12 + (direction OUTPUT)) + (port DOA11 + (direction OUTPUT)) + (port DOA10 + (direction OUTPUT)) + (port DOA9 + (direction OUTPUT)) + (port DOA8 + (direction OUTPUT)) + (port DOA7 + (direction OUTPUT)) + (port DOA6 + (direction OUTPUT)) + (port DOA5 + (direction OUTPUT)) + (port DOA4 + (direction OUTPUT)) + (port DOA3 + (direction OUTPUT)) + (port DOA2 + (direction OUTPUT)) + (port DOA1 + (direction OUTPUT)) + (port DOA0 + (direction OUTPUT)) + (port DOB17 + (direction OUTPUT)) + (port DOB16 + (direction OUTPUT)) + (port DOB15 + (direction OUTPUT)) + (port DOB14 + (direction OUTPUT)) + (port DOB13 + (direction OUTPUT)) + (port DOB12 + (direction OUTPUT)) + (port DOB11 + (direction OUTPUT)) + (port DOB10 + (direction OUTPUT)) + (port DOB9 + (direction OUTPUT)) + (port DOB8 + (direction OUTPUT)) + (port DOB7 + (direction OUTPUT)) + (port DOB6 + (direction OUTPUT)) + (port DOB5 + (direction OUTPUT)) + (port DOB4 + (direction OUTPUT)) + (port DOB3 + (direction OUTPUT)) + (port DOB2 + (direction OUTPUT)) + (port DOB1 + (direction OUTPUT)) + (port DOB0 + (direction OUTPUT))))) + (cell fifo_36x16k_oreg + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port (array (rename Data "Data(35:0)") 36) + (direction INPUT)) + (port Clock + (direction INPUT)) + (port WrEn + (direction INPUT)) + (port RdEn + (direction INPUT)) + (port Reset + (direction INPUT)) + (port (array (rename AmFullThresh "AmFullThresh(13:0)") 14) + (direction INPUT)) + (port (array (rename Q "Q(35:0)") 36) + (direction OUTPUT)) + (port (array (rename WCNT "WCNT(14:0)") 15) + (direction OUTPUT)) + (port Empty + (direction OUTPUT)) + (port Full + (direction OUTPUT)) + (port AlmostFull + (direction OUTPUT))) + (property NGD_DRC_MASK (integer 1)) + (contents + (instance AND2_t5 + (viewRef view1 + (cellRef AND2))) + (instance INV_5 + (viewRef view1 + (cellRef INV))) + (instance AND2_t4 + (viewRef view1 + (cellRef AND2))) + (instance INV_4 + (viewRef view1 + (cellRef INV))) + (instance AND2_t3 + (viewRef view1 + (cellRef AND2))) + (instance XOR2_t2 + (viewRef view1 + (cellRef XOR2))) + (instance INV_3 + (viewRef view1 + (cellRef INV))) + (instance INV_2 + (viewRef view1 + (cellRef INV))) + (instance LUT4_1 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance LUT4_0 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance AND2_t1 + (viewRef view1 + (cellRef AND2))) + (instance INV_1 + (viewRef view1 + (cellRef INV))) + (instance XOR2_t0 + (viewRef view1 + (cellRef XOR2))) + (instance INV_0 + (viewRef view1 + (cellRef INV))) + (instance pdp_ram_0_0_31 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_1_30 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_2_29 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_3_28 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_0_27 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_1_26 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_2_25 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_3_24 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_2_0_23 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b010")) + (property CSDECODE_A + (string "0b010")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_2_1_22 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b010")) + (property CSDECODE_A + (string "0b010")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_2_2_21 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b010")) + (property CSDECODE_A + (string "0b010")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_2_3_20 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b010")) + (property CSDECODE_A + (string "0b010")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_3_0_19 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b011")) + (property CSDECODE_A + (string "0b011")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_3_1_18 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b011")) + (property CSDECODE_A + (string "0b011")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_3_2_17 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b011")) + (property CSDECODE_A + (string "0b011")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_3_3_16 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b011")) + (property CSDECODE_A + (string "0b011")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_4_0_15 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b100")) + (property CSDECODE_A + (string "0b100")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_4_1_14 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b100")) + (property CSDECODE_A + (string "0b100")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_4_2_13 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b100")) + (property CSDECODE_A + (string "0b100")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_4_3_12 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b100")) + (property CSDECODE_A + (string "0b100")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_5_0_11 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b101")) + (property CSDECODE_A + (string "0b101")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_5_1_10 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b101")) + (property CSDECODE_A + (string "0b101")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_5_2_9 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b101")) + (property CSDECODE_A + (string "0b101")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_5_3_8 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b101")) + (property CSDECODE_A + (string "0b101")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_6_0_7 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b110")) + (property CSDECODE_A + (string "0b110")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_6_1_6 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b110")) + (property CSDECODE_A + (string "0b110")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_6_2_5 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b110")) + (property CSDECODE_A + (string "0b110")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_6_3_4 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b110")) + (property CSDECODE_A + (string "0b110")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_7_0_3 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b111")) + (property CSDECODE_A + (string "0b111")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_7_1_2 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b111")) + (property CSDECODE_A + (string "0b111")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_7_2_1 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b111")) + (property CSDECODE_A + (string "0b111")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_7_3_0 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x16k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b111")) + (property CSDECODE_A + (string "0b111")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance FF_98 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_97 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_96 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_95 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_94 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_93 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_92 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_91 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_90 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_89 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_88 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_87 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_86 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_85 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_84 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_83 + (viewRef view1 + (cellRef FD1S3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_82 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_81 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_80 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_79 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_78 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_77 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_76 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_75 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_74 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_73 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_72 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_71 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_70 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_69 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_68 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_67 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_66 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_65 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_64 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_63 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_62 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_61 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_60 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_59 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_58 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_57 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_56 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_55 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_54 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_53 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_52 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_51 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_50 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_49 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_48 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_47 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_46 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_45 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_44 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_43 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_42 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_41 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_40 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_39 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_38 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_37 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_36 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_35 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_34 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_33 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_32 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_31 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_30 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_29 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_28 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_27 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_26 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_25 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_24 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_23 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_22 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_21 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_20 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_19 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_18 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_17 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_16 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_15 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_14 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_13 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_12 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_11 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_10 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_9 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_8 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_7 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_6 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_5 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_4 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_3 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_2 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_1 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_0 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance bdcnt_bctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance bdcnt_bctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance e_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance mux_35 + (viewRef view1 + (cellRef MUX81))) + (instance mux_34 + (viewRef view1 + (cellRef MUX81))) + (instance mux_33 + (viewRef view1 + (cellRef MUX81))) + (instance mux_32 + (viewRef view1 + (cellRef MUX81))) + (instance mux_31 + (viewRef view1 + (cellRef MUX81))) + (instance mux_30 + (viewRef view1 + (cellRef MUX81))) + (instance mux_29 + (viewRef view1 + (cellRef MUX81))) + (instance mux_28 + (viewRef view1 + (cellRef MUX81))) + (instance mux_27 + (viewRef view1 + (cellRef MUX81))) + (instance mux_26 + (viewRef view1 + (cellRef MUX81))) + (instance mux_25 + (viewRef view1 + (cellRef MUX81))) + (instance mux_24 + (viewRef view1 + (cellRef MUX81))) + (instance mux_23 + (viewRef view1 + (cellRef MUX81))) + (instance mux_22 + (viewRef view1 + (cellRef MUX81))) + (instance mux_21 + (viewRef view1 + (cellRef MUX81))) + (instance mux_20 + (viewRef view1 + (cellRef MUX81))) + (instance mux_19 + (viewRef view1 + (cellRef MUX81))) + (instance mux_18 + (viewRef view1 + (cellRef MUX81))) + (instance mux_17 + (viewRef view1 + (cellRef MUX81))) + (instance mux_16 + (viewRef view1 + (cellRef MUX81))) + (instance mux_15 + (viewRef view1 + (cellRef MUX81))) + (instance mux_14 + (viewRef view1 + (cellRef MUX81))) + (instance mux_13 + (viewRef view1 + (cellRef MUX81))) + (instance mux_12 + (viewRef view1 + (cellRef MUX81))) + (instance mux_11 + (viewRef view1 + (cellRef MUX81))) + (instance mux_10 + (viewRef view1 + (cellRef MUX81))) + (instance mux_9 + (viewRef view1 + (cellRef MUX81))) + (instance mux_8 + (viewRef view1 + (cellRef MUX81))) + (instance mux_7 + (viewRef view1 + (cellRef MUX81))) + (instance mux_6 + (viewRef view1 + (cellRef MUX81))) + (instance mux_5 + (viewRef view1 + (cellRef MUX81))) + (instance mux_4 + (viewRef view1 + (cellRef MUX81))) + (instance mux_3 + (viewRef view1 + (cellRef MUX81))) + (instance mux_2 + (viewRef view1 + (cellRef MUX81))) + (instance mux_1 + (viewRef view1 + (cellRef MUX81))) + (instance mux_0 + (viewRef view1 + (cellRef MUX81))) + (instance precin_inst636 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x0000")) + (property INIT0 + (string "0x0000"))) + (instance wcnt_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcntd + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance af_set_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance af_set_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance scuba_vhi_inst + (viewRef view1 + (cellRef VHI))) + (instance scuba_vlo_inst + (viewRef view1 + (cellRef VLO))) + (instance a2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (net invout_2 + (joined + (portRef Z (instanceRef INV_5)) + (portRef B (instanceRef AND2_t5)))) + (net invout_1 + (joined + (portRef Z (instanceRef INV_4)) + (portRef B (instanceRef AND2_t4)))) + (net rden_i_inv + (joined + (portRef Z (instanceRef INV_3)) + (portRef B (instanceRef AND2_t3)))) + (net invout_0 + (joined + (portRef Z (instanceRef INV_1)) + (portRef B (instanceRef AND2_t1)))) + (net r_nw + (joined + (portRef Z (instanceRef AND2_t1)))) + (net fcnt_en + (joined + (portRef SP (instanceRef FF_84)) + (portRef Z (instanceRef XOR2_t2)) + (portRef SP (instanceRef FF_98)) + (portRef SP (instanceRef FF_97)) + (portRef SP (instanceRef FF_96)) + (portRef SP (instanceRef FF_95)) + (portRef SP (instanceRef FF_94)) + (portRef SP (instanceRef FF_93)) + (portRef SP (instanceRef FF_92)) + (portRef SP (instanceRef FF_91)) + (portRef SP (instanceRef FF_90)) + (portRef SP (instanceRef FF_89)) + (portRef SP (instanceRef FF_88)) + (portRef SP (instanceRef FF_87)) + (portRef SP (instanceRef FF_86)) + (portRef SP (instanceRef FF_85)))) + (net empty_d + (joined + (portRef D (instanceRef FF_83)) + (portRef DO0 (instanceRef LUT4_1)))) + (net full_d + (joined + (portRef D (instanceRef FF_82)) + (portRef DO0 (instanceRef LUT4_0)))) + (net wptr_0 + (joined + (portRef Q (instanceRef FF_51)) + (portRef ADA3 (instanceRef pdp_ram_0_0_31)) + (portRef ADA3 (instanceRef pdp_ram_0_1_30)) + (portRef ADA3 (instanceRef pdp_ram_0_2_29)) + (portRef ADA3 (instanceRef pdp_ram_0_3_28)) + (portRef ADA3 (instanceRef pdp_ram_1_0_27)) + (portRef ADA3 (instanceRef pdp_ram_1_1_26)) + (portRef ADA3 (instanceRef pdp_ram_1_2_25)) + (portRef ADA3 (instanceRef pdp_ram_1_3_24)) + (portRef ADA3 (instanceRef pdp_ram_2_0_23)) + (portRef ADA3 (instanceRef pdp_ram_2_1_22)) + (portRef ADA3 (instanceRef pdp_ram_2_2_21)) + (portRef ADA3 (instanceRef pdp_ram_2_3_20)) + (portRef ADA3 (instanceRef pdp_ram_3_0_19)) + (portRef ADA3 (instanceRef pdp_ram_3_1_18)) + (portRef ADA3 (instanceRef pdp_ram_3_2_17)) + (portRef ADA3 (instanceRef pdp_ram_3_3_16)) + (portRef ADA3 (instanceRef pdp_ram_4_0_15)) + (portRef ADA3 (instanceRef pdp_ram_4_1_14)) + (portRef ADA3 (instanceRef pdp_ram_4_2_13)) + (portRef ADA3 (instanceRef pdp_ram_4_3_12)) + (portRef ADA3 (instanceRef pdp_ram_5_0_11)) + (portRef ADA3 (instanceRef pdp_ram_5_1_10)) + (portRef ADA3 (instanceRef pdp_ram_5_2_9)) + (portRef ADA3 (instanceRef pdp_ram_5_3_8)) + (portRef ADA3 (instanceRef pdp_ram_6_0_7)) + (portRef ADA3 (instanceRef pdp_ram_6_1_6)) + (portRef ADA3 (instanceRef pdp_ram_6_2_5)) + (portRef ADA3 (instanceRef pdp_ram_6_3_4)) + (portRef ADA3 (instanceRef pdp_ram_7_0_3)) + (portRef ADA3 (instanceRef pdp_ram_7_1_2)) + (portRef ADA3 (instanceRef pdp_ram_7_2_1)) + (portRef ADA3 (instanceRef pdp_ram_7_3_0)))) + (net wptr_1 + (joined + (portRef Q (instanceRef FF_50)) + (portRef ADA4 (instanceRef pdp_ram_0_0_31)) + (portRef ADA4 (instanceRef pdp_ram_0_1_30)) + (portRef ADA4 (instanceRef pdp_ram_0_2_29)) + (portRef ADA4 (instanceRef pdp_ram_0_3_28)) + (portRef ADA4 (instanceRef pdp_ram_1_0_27)) + (portRef ADA4 (instanceRef pdp_ram_1_1_26)) + (portRef ADA4 (instanceRef pdp_ram_1_2_25)) + (portRef ADA4 (instanceRef pdp_ram_1_3_24)) + (portRef ADA4 (instanceRef pdp_ram_2_0_23)) + (portRef ADA4 (instanceRef pdp_ram_2_1_22)) + (portRef ADA4 (instanceRef pdp_ram_2_2_21)) + (portRef ADA4 (instanceRef pdp_ram_2_3_20)) + (portRef ADA4 (instanceRef pdp_ram_3_0_19)) + (portRef ADA4 (instanceRef pdp_ram_3_1_18)) + (portRef ADA4 (instanceRef pdp_ram_3_2_17)) + (portRef ADA4 (instanceRef pdp_ram_3_3_16)) + (portRef ADA4 (instanceRef pdp_ram_4_0_15)) + (portRef ADA4 (instanceRef pdp_ram_4_1_14)) + (portRef ADA4 (instanceRef pdp_ram_4_2_13)) + (portRef ADA4 (instanceRef pdp_ram_4_3_12)) + (portRef ADA4 (instanceRef pdp_ram_5_0_11)) + (portRef ADA4 (instanceRef pdp_ram_5_1_10)) + (portRef ADA4 (instanceRef pdp_ram_5_2_9)) + (portRef ADA4 (instanceRef pdp_ram_5_3_8)) + (portRef ADA4 (instanceRef pdp_ram_6_0_7)) + (portRef ADA4 (instanceRef pdp_ram_6_1_6)) + (portRef ADA4 (instanceRef pdp_ram_6_2_5)) + (portRef ADA4 (instanceRef pdp_ram_6_3_4)) + (portRef ADA4 (instanceRef pdp_ram_7_0_3)) + (portRef ADA4 (instanceRef pdp_ram_7_1_2)) + (portRef ADA4 (instanceRef pdp_ram_7_2_1)) + (portRef ADA4 (instanceRef pdp_ram_7_3_0)))) + (net wptr_2 + (joined + (portRef Q (instanceRef FF_49)) + (portRef ADA5 (instanceRef pdp_ram_0_0_31)) + (portRef ADA5 (instanceRef pdp_ram_0_1_30)) + (portRef ADA5 (instanceRef pdp_ram_0_2_29)) + (portRef ADA5 (instanceRef pdp_ram_0_3_28)) + (portRef ADA5 (instanceRef pdp_ram_1_0_27)) + (portRef ADA5 (instanceRef pdp_ram_1_1_26)) + (portRef ADA5 (instanceRef pdp_ram_1_2_25)) + (portRef ADA5 (instanceRef pdp_ram_1_3_24)) + (portRef ADA5 (instanceRef pdp_ram_2_0_23)) + (portRef ADA5 (instanceRef pdp_ram_2_1_22)) + (portRef ADA5 (instanceRef pdp_ram_2_2_21)) + (portRef ADA5 (instanceRef pdp_ram_2_3_20)) + (portRef ADA5 (instanceRef pdp_ram_3_0_19)) + (portRef ADA5 (instanceRef pdp_ram_3_1_18)) + (portRef ADA5 (instanceRef pdp_ram_3_2_17)) + (portRef ADA5 (instanceRef pdp_ram_3_3_16)) + (portRef ADA5 (instanceRef pdp_ram_4_0_15)) + (portRef ADA5 (instanceRef pdp_ram_4_1_14)) + (portRef ADA5 (instanceRef pdp_ram_4_2_13)) + (portRef ADA5 (instanceRef pdp_ram_4_3_12)) + (portRef ADA5 (instanceRef pdp_ram_5_0_11)) + (portRef ADA5 (instanceRef pdp_ram_5_1_10)) + (portRef ADA5 (instanceRef pdp_ram_5_2_9)) + (portRef ADA5 (instanceRef pdp_ram_5_3_8)) + (portRef ADA5 (instanceRef pdp_ram_6_0_7)) + (portRef ADA5 (instanceRef pdp_ram_6_1_6)) + (portRef ADA5 (instanceRef pdp_ram_6_2_5)) + (portRef ADA5 (instanceRef pdp_ram_6_3_4)) + (portRef ADA5 (instanceRef pdp_ram_7_0_3)) + (portRef ADA5 (instanceRef pdp_ram_7_1_2)) + (portRef ADA5 (instanceRef pdp_ram_7_2_1)) + (portRef ADA5 (instanceRef pdp_ram_7_3_0)))) + (net wptr_3 + (joined + (portRef Q (instanceRef FF_48)) + (portRef ADA6 (instanceRef pdp_ram_0_0_31)) + (portRef ADA6 (instanceRef pdp_ram_0_1_30)) + (portRef ADA6 (instanceRef pdp_ram_0_2_29)) + (portRef ADA6 (instanceRef pdp_ram_0_3_28)) + (portRef ADA6 (instanceRef pdp_ram_1_0_27)) + (portRef ADA6 (instanceRef pdp_ram_1_1_26)) + (portRef ADA6 (instanceRef pdp_ram_1_2_25)) + (portRef ADA6 (instanceRef pdp_ram_1_3_24)) + (portRef ADA6 (instanceRef pdp_ram_2_0_23)) + (portRef ADA6 (instanceRef pdp_ram_2_1_22)) + (portRef ADA6 (instanceRef pdp_ram_2_2_21)) + (portRef ADA6 (instanceRef pdp_ram_2_3_20)) + (portRef ADA6 (instanceRef pdp_ram_3_0_19)) + (portRef ADA6 (instanceRef pdp_ram_3_1_18)) + (portRef ADA6 (instanceRef pdp_ram_3_2_17)) + (portRef ADA6 (instanceRef pdp_ram_3_3_16)) + (portRef ADA6 (instanceRef pdp_ram_4_0_15)) + (portRef ADA6 (instanceRef pdp_ram_4_1_14)) + (portRef ADA6 (instanceRef pdp_ram_4_2_13)) + (portRef ADA6 (instanceRef pdp_ram_4_3_12)) + (portRef ADA6 (instanceRef pdp_ram_5_0_11)) + (portRef ADA6 (instanceRef pdp_ram_5_1_10)) + (portRef ADA6 (instanceRef pdp_ram_5_2_9)) + (portRef ADA6 (instanceRef pdp_ram_5_3_8)) + (portRef ADA6 (instanceRef pdp_ram_6_0_7)) + (portRef ADA6 (instanceRef pdp_ram_6_1_6)) + (portRef ADA6 (instanceRef pdp_ram_6_2_5)) + (portRef ADA6 (instanceRef pdp_ram_6_3_4)) + (portRef ADA6 (instanceRef pdp_ram_7_0_3)) + (portRef ADA6 (instanceRef pdp_ram_7_1_2)) + (portRef ADA6 (instanceRef pdp_ram_7_2_1)) + (portRef ADA6 (instanceRef pdp_ram_7_3_0)))) + (net wptr_4 + (joined + (portRef Q (instanceRef FF_47)) + (portRef ADA7 (instanceRef pdp_ram_0_0_31)) + (portRef ADA7 (instanceRef pdp_ram_0_1_30)) + (portRef ADA7 (instanceRef pdp_ram_0_2_29)) + (portRef ADA7 (instanceRef pdp_ram_0_3_28)) + (portRef ADA7 (instanceRef pdp_ram_1_0_27)) + (portRef ADA7 (instanceRef pdp_ram_1_1_26)) + (portRef ADA7 (instanceRef pdp_ram_1_2_25)) + (portRef ADA7 (instanceRef pdp_ram_1_3_24)) + (portRef ADA7 (instanceRef pdp_ram_2_0_23)) + (portRef ADA7 (instanceRef pdp_ram_2_1_22)) + (portRef ADA7 (instanceRef pdp_ram_2_2_21)) + (portRef ADA7 (instanceRef pdp_ram_2_3_20)) + (portRef ADA7 (instanceRef pdp_ram_3_0_19)) + (portRef ADA7 (instanceRef pdp_ram_3_1_18)) + (portRef ADA7 (instanceRef pdp_ram_3_2_17)) + (portRef ADA7 (instanceRef pdp_ram_3_3_16)) + (portRef ADA7 (instanceRef pdp_ram_4_0_15)) + (portRef ADA7 (instanceRef pdp_ram_4_1_14)) + (portRef ADA7 (instanceRef pdp_ram_4_2_13)) + (portRef ADA7 (instanceRef pdp_ram_4_3_12)) + (portRef ADA7 (instanceRef pdp_ram_5_0_11)) + (portRef ADA7 (instanceRef pdp_ram_5_1_10)) + (portRef ADA7 (instanceRef pdp_ram_5_2_9)) + (portRef ADA7 (instanceRef pdp_ram_5_3_8)) + (portRef ADA7 (instanceRef pdp_ram_6_0_7)) + (portRef ADA7 (instanceRef pdp_ram_6_1_6)) + (portRef ADA7 (instanceRef pdp_ram_6_2_5)) + (portRef ADA7 (instanceRef pdp_ram_6_3_4)) + (portRef ADA7 (instanceRef pdp_ram_7_0_3)) + (portRef ADA7 (instanceRef pdp_ram_7_1_2)) + (portRef ADA7 (instanceRef pdp_ram_7_2_1)) + (portRef ADA7 (instanceRef pdp_ram_7_3_0)))) + (net wptr_5 + (joined + (portRef Q (instanceRef FF_46)) + (portRef ADA8 (instanceRef pdp_ram_0_0_31)) + (portRef ADA8 (instanceRef pdp_ram_0_1_30)) + (portRef ADA8 (instanceRef pdp_ram_0_2_29)) + (portRef ADA8 (instanceRef pdp_ram_0_3_28)) + (portRef ADA8 (instanceRef pdp_ram_1_0_27)) + (portRef ADA8 (instanceRef pdp_ram_1_1_26)) + (portRef ADA8 (instanceRef pdp_ram_1_2_25)) + (portRef ADA8 (instanceRef pdp_ram_1_3_24)) + (portRef ADA8 (instanceRef pdp_ram_2_0_23)) + (portRef ADA8 (instanceRef pdp_ram_2_1_22)) + (portRef ADA8 (instanceRef pdp_ram_2_2_21)) + (portRef ADA8 (instanceRef pdp_ram_2_3_20)) + (portRef ADA8 (instanceRef pdp_ram_3_0_19)) + (portRef ADA8 (instanceRef pdp_ram_3_1_18)) + (portRef ADA8 (instanceRef pdp_ram_3_2_17)) + (portRef ADA8 (instanceRef pdp_ram_3_3_16)) + (portRef ADA8 (instanceRef pdp_ram_4_0_15)) + (portRef ADA8 (instanceRef pdp_ram_4_1_14)) + (portRef ADA8 (instanceRef pdp_ram_4_2_13)) + (portRef ADA8 (instanceRef pdp_ram_4_3_12)) + (portRef ADA8 (instanceRef pdp_ram_5_0_11)) + (portRef ADA8 (instanceRef pdp_ram_5_1_10)) + (portRef ADA8 (instanceRef pdp_ram_5_2_9)) + (portRef ADA8 (instanceRef pdp_ram_5_3_8)) + (portRef ADA8 (instanceRef pdp_ram_6_0_7)) + (portRef ADA8 (instanceRef pdp_ram_6_1_6)) + (portRef ADA8 (instanceRef pdp_ram_6_2_5)) + (portRef ADA8 (instanceRef pdp_ram_6_3_4)) + (portRef ADA8 (instanceRef pdp_ram_7_0_3)) + (portRef ADA8 (instanceRef pdp_ram_7_1_2)) + (portRef ADA8 (instanceRef pdp_ram_7_2_1)) + (portRef ADA8 (instanceRef pdp_ram_7_3_0)))) + (net wptr_6 + (joined + (portRef Q (instanceRef FF_45)) + (portRef ADA9 (instanceRef pdp_ram_0_0_31)) + (portRef ADA9 (instanceRef pdp_ram_0_1_30)) + (portRef ADA9 (instanceRef pdp_ram_0_2_29)) + (portRef ADA9 (instanceRef pdp_ram_0_3_28)) + (portRef ADA9 (instanceRef pdp_ram_1_0_27)) + (portRef ADA9 (instanceRef pdp_ram_1_1_26)) + (portRef ADA9 (instanceRef pdp_ram_1_2_25)) + (portRef ADA9 (instanceRef pdp_ram_1_3_24)) + (portRef ADA9 (instanceRef pdp_ram_2_0_23)) + (portRef ADA9 (instanceRef pdp_ram_2_1_22)) + (portRef ADA9 (instanceRef pdp_ram_2_2_21)) + (portRef ADA9 (instanceRef pdp_ram_2_3_20)) + (portRef ADA9 (instanceRef pdp_ram_3_0_19)) + (portRef ADA9 (instanceRef pdp_ram_3_1_18)) + (portRef ADA9 (instanceRef pdp_ram_3_2_17)) + (portRef ADA9 (instanceRef pdp_ram_3_3_16)) + (portRef ADA9 (instanceRef pdp_ram_4_0_15)) + (portRef ADA9 (instanceRef pdp_ram_4_1_14)) + (portRef ADA9 (instanceRef pdp_ram_4_2_13)) + (portRef ADA9 (instanceRef pdp_ram_4_3_12)) + (portRef ADA9 (instanceRef pdp_ram_5_0_11)) + (portRef ADA9 (instanceRef pdp_ram_5_1_10)) + (portRef ADA9 (instanceRef pdp_ram_5_2_9)) + (portRef ADA9 (instanceRef pdp_ram_5_3_8)) + (portRef ADA9 (instanceRef pdp_ram_6_0_7)) + (portRef ADA9 (instanceRef pdp_ram_6_1_6)) + (portRef ADA9 (instanceRef pdp_ram_6_2_5)) + (portRef ADA9 (instanceRef pdp_ram_6_3_4)) + (portRef ADA9 (instanceRef pdp_ram_7_0_3)) + (portRef ADA9 (instanceRef pdp_ram_7_1_2)) + (portRef ADA9 (instanceRef pdp_ram_7_2_1)) + (portRef ADA9 (instanceRef pdp_ram_7_3_0)))) + (net wptr_7 + (joined + (portRef Q (instanceRef FF_44)) + (portRef ADA10 (instanceRef pdp_ram_0_0_31)) + (portRef ADA10 (instanceRef pdp_ram_0_1_30)) + (portRef ADA10 (instanceRef pdp_ram_0_2_29)) + (portRef ADA10 (instanceRef pdp_ram_0_3_28)) + (portRef ADA10 (instanceRef pdp_ram_1_0_27)) + (portRef ADA10 (instanceRef pdp_ram_1_1_26)) + (portRef ADA10 (instanceRef pdp_ram_1_2_25)) + (portRef ADA10 (instanceRef pdp_ram_1_3_24)) + (portRef ADA10 (instanceRef pdp_ram_2_0_23)) + (portRef ADA10 (instanceRef pdp_ram_2_1_22)) + (portRef ADA10 (instanceRef pdp_ram_2_2_21)) + (portRef ADA10 (instanceRef pdp_ram_2_3_20)) + (portRef ADA10 (instanceRef pdp_ram_3_0_19)) + (portRef ADA10 (instanceRef pdp_ram_3_1_18)) + (portRef ADA10 (instanceRef pdp_ram_3_2_17)) + (portRef ADA10 (instanceRef pdp_ram_3_3_16)) + (portRef ADA10 (instanceRef pdp_ram_4_0_15)) + (portRef ADA10 (instanceRef pdp_ram_4_1_14)) + (portRef ADA10 (instanceRef pdp_ram_4_2_13)) + (portRef ADA10 (instanceRef pdp_ram_4_3_12)) + (portRef ADA10 (instanceRef pdp_ram_5_0_11)) + (portRef ADA10 (instanceRef pdp_ram_5_1_10)) + (portRef ADA10 (instanceRef pdp_ram_5_2_9)) + (portRef ADA10 (instanceRef pdp_ram_5_3_8)) + (portRef ADA10 (instanceRef pdp_ram_6_0_7)) + (portRef ADA10 (instanceRef pdp_ram_6_1_6)) + (portRef ADA10 (instanceRef pdp_ram_6_2_5)) + (portRef ADA10 (instanceRef pdp_ram_6_3_4)) + (portRef ADA10 (instanceRef pdp_ram_7_0_3)) + (portRef ADA10 (instanceRef pdp_ram_7_1_2)) + (portRef ADA10 (instanceRef pdp_ram_7_2_1)) + (portRef ADA10 (instanceRef pdp_ram_7_3_0)))) + (net wptr_8 + (joined + (portRef Q (instanceRef FF_43)) + (portRef ADA11 (instanceRef pdp_ram_0_0_31)) + (portRef ADA11 (instanceRef pdp_ram_0_1_30)) + (portRef ADA11 (instanceRef pdp_ram_0_2_29)) + (portRef ADA11 (instanceRef pdp_ram_0_3_28)) + (portRef ADA11 (instanceRef pdp_ram_1_0_27)) + (portRef ADA11 (instanceRef pdp_ram_1_1_26)) + (portRef ADA11 (instanceRef pdp_ram_1_2_25)) + (portRef ADA11 (instanceRef pdp_ram_1_3_24)) + (portRef ADA11 (instanceRef pdp_ram_2_0_23)) + (portRef ADA11 (instanceRef pdp_ram_2_1_22)) + (portRef ADA11 (instanceRef pdp_ram_2_2_21)) + (portRef ADA11 (instanceRef pdp_ram_2_3_20)) + (portRef ADA11 (instanceRef pdp_ram_3_0_19)) + (portRef ADA11 (instanceRef pdp_ram_3_1_18)) + (portRef ADA11 (instanceRef pdp_ram_3_2_17)) + (portRef ADA11 (instanceRef pdp_ram_3_3_16)) + (portRef ADA11 (instanceRef pdp_ram_4_0_15)) + (portRef ADA11 (instanceRef pdp_ram_4_1_14)) + (portRef ADA11 (instanceRef pdp_ram_4_2_13)) + (portRef ADA11 (instanceRef pdp_ram_4_3_12)) + (portRef ADA11 (instanceRef pdp_ram_5_0_11)) + (portRef ADA11 (instanceRef pdp_ram_5_1_10)) + (portRef ADA11 (instanceRef pdp_ram_5_2_9)) + (portRef ADA11 (instanceRef pdp_ram_5_3_8)) + (portRef ADA11 (instanceRef pdp_ram_6_0_7)) + (portRef ADA11 (instanceRef pdp_ram_6_1_6)) + (portRef ADA11 (instanceRef pdp_ram_6_2_5)) + (portRef ADA11 (instanceRef pdp_ram_6_3_4)) + (portRef ADA11 (instanceRef pdp_ram_7_0_3)) + (portRef ADA11 (instanceRef pdp_ram_7_1_2)) + (portRef ADA11 (instanceRef pdp_ram_7_2_1)) + (portRef ADA11 (instanceRef pdp_ram_7_3_0)))) + (net wptr_9 + (joined + (portRef Q (instanceRef FF_42)) + (portRef ADA12 (instanceRef pdp_ram_0_0_31)) + (portRef ADA12 (instanceRef pdp_ram_0_1_30)) + (portRef ADA12 (instanceRef pdp_ram_0_2_29)) + (portRef ADA12 (instanceRef pdp_ram_0_3_28)) + (portRef ADA12 (instanceRef pdp_ram_1_0_27)) + (portRef ADA12 (instanceRef pdp_ram_1_1_26)) + (portRef ADA12 (instanceRef pdp_ram_1_2_25)) + (portRef ADA12 (instanceRef pdp_ram_1_3_24)) + (portRef ADA12 (instanceRef pdp_ram_2_0_23)) + (portRef ADA12 (instanceRef pdp_ram_2_1_22)) + (portRef ADA12 (instanceRef pdp_ram_2_2_21)) + (portRef ADA12 (instanceRef pdp_ram_2_3_20)) + (portRef ADA12 (instanceRef pdp_ram_3_0_19)) + (portRef ADA12 (instanceRef pdp_ram_3_1_18)) + (portRef ADA12 (instanceRef pdp_ram_3_2_17)) + (portRef ADA12 (instanceRef pdp_ram_3_3_16)) + (portRef ADA12 (instanceRef pdp_ram_4_0_15)) + (portRef ADA12 (instanceRef pdp_ram_4_1_14)) + (portRef ADA12 (instanceRef pdp_ram_4_2_13)) + (portRef ADA12 (instanceRef pdp_ram_4_3_12)) + (portRef ADA12 (instanceRef pdp_ram_5_0_11)) + (portRef ADA12 (instanceRef pdp_ram_5_1_10)) + (portRef ADA12 (instanceRef pdp_ram_5_2_9)) + (portRef ADA12 (instanceRef pdp_ram_5_3_8)) + (portRef ADA12 (instanceRef pdp_ram_6_0_7)) + (portRef ADA12 (instanceRef pdp_ram_6_1_6)) + (portRef ADA12 (instanceRef pdp_ram_6_2_5)) + (portRef ADA12 (instanceRef pdp_ram_6_3_4)) + (portRef ADA12 (instanceRef pdp_ram_7_0_3)) + (portRef ADA12 (instanceRef pdp_ram_7_1_2)) + (portRef ADA12 (instanceRef pdp_ram_7_2_1)) + (portRef ADA12 (instanceRef pdp_ram_7_3_0)))) + (net wptr_10 + (joined + (portRef Q (instanceRef FF_41)) + (portRef ADA13 (instanceRef pdp_ram_0_0_31)) + (portRef ADA13 (instanceRef pdp_ram_0_1_30)) + (portRef ADA13 (instanceRef pdp_ram_0_2_29)) + (portRef ADA13 (instanceRef pdp_ram_0_3_28)) + (portRef ADA13 (instanceRef pdp_ram_1_0_27)) + (portRef ADA13 (instanceRef pdp_ram_1_1_26)) + (portRef ADA13 (instanceRef pdp_ram_1_2_25)) + (portRef ADA13 (instanceRef pdp_ram_1_3_24)) + (portRef ADA13 (instanceRef pdp_ram_2_0_23)) + (portRef ADA13 (instanceRef pdp_ram_2_1_22)) + (portRef ADA13 (instanceRef pdp_ram_2_2_21)) + (portRef ADA13 (instanceRef pdp_ram_2_3_20)) + (portRef ADA13 (instanceRef pdp_ram_3_0_19)) + (portRef ADA13 (instanceRef pdp_ram_3_1_18)) + (portRef ADA13 (instanceRef pdp_ram_3_2_17)) + (portRef ADA13 (instanceRef pdp_ram_3_3_16)) + (portRef ADA13 (instanceRef pdp_ram_4_0_15)) + (portRef ADA13 (instanceRef pdp_ram_4_1_14)) + (portRef ADA13 (instanceRef pdp_ram_4_2_13)) + (portRef ADA13 (instanceRef pdp_ram_4_3_12)) + (portRef ADA13 (instanceRef pdp_ram_5_0_11)) + (portRef ADA13 (instanceRef pdp_ram_5_1_10)) + (portRef ADA13 (instanceRef pdp_ram_5_2_9)) + (portRef ADA13 (instanceRef pdp_ram_5_3_8)) + (portRef ADA13 (instanceRef pdp_ram_6_0_7)) + (portRef ADA13 (instanceRef pdp_ram_6_1_6)) + (portRef ADA13 (instanceRef pdp_ram_6_2_5)) + (portRef ADA13 (instanceRef pdp_ram_6_3_4)) + (portRef ADA13 (instanceRef pdp_ram_7_0_3)) + (portRef ADA13 (instanceRef pdp_ram_7_1_2)) + (portRef ADA13 (instanceRef pdp_ram_7_2_1)) + (portRef ADA13 (instanceRef pdp_ram_7_3_0)))) + (net wptr_11 + (joined + (portRef Q (instanceRef FF_40)) + (portRef CSA0 (instanceRef pdp_ram_0_0_31)) + (portRef CSA0 (instanceRef pdp_ram_0_1_30)) + (portRef CSA0 (instanceRef pdp_ram_0_2_29)) + (portRef CSA0 (instanceRef pdp_ram_0_3_28)) + (portRef CSA0 (instanceRef pdp_ram_1_0_27)) + (portRef CSA0 (instanceRef pdp_ram_1_1_26)) + (portRef CSA0 (instanceRef pdp_ram_1_2_25)) + (portRef CSA0 (instanceRef pdp_ram_1_3_24)) + (portRef CSA0 (instanceRef pdp_ram_2_0_23)) + (portRef CSA0 (instanceRef pdp_ram_2_1_22)) + (portRef CSA0 (instanceRef pdp_ram_2_2_21)) + (portRef CSA0 (instanceRef pdp_ram_2_3_20)) + (portRef CSA0 (instanceRef pdp_ram_3_0_19)) + (portRef CSA0 (instanceRef pdp_ram_3_1_18)) + (portRef CSA0 (instanceRef pdp_ram_3_2_17)) + (portRef CSA0 (instanceRef pdp_ram_3_3_16)) + (portRef CSA0 (instanceRef pdp_ram_4_0_15)) + (portRef CSA0 (instanceRef pdp_ram_4_1_14)) + (portRef CSA0 (instanceRef pdp_ram_4_2_13)) + (portRef CSA0 (instanceRef pdp_ram_4_3_12)) + (portRef CSA0 (instanceRef pdp_ram_5_0_11)) + (portRef CSA0 (instanceRef pdp_ram_5_1_10)) + (portRef CSA0 (instanceRef pdp_ram_5_2_9)) + (portRef CSA0 (instanceRef pdp_ram_5_3_8)) + (portRef CSA0 (instanceRef pdp_ram_6_0_7)) + (portRef CSA0 (instanceRef pdp_ram_6_1_6)) + (portRef CSA0 (instanceRef pdp_ram_6_2_5)) + (portRef CSA0 (instanceRef pdp_ram_6_3_4)) + (portRef CSA0 (instanceRef pdp_ram_7_0_3)) + (portRef CSA0 (instanceRef pdp_ram_7_1_2)) + (portRef CSA0 (instanceRef pdp_ram_7_2_1)) + (portRef CSA0 (instanceRef pdp_ram_7_3_0)))) + (net wptr_12 + (joined + (portRef Q (instanceRef FF_39)) + (portRef CSA1 (instanceRef pdp_ram_0_0_31)) + (portRef CSA1 (instanceRef pdp_ram_0_1_30)) + (portRef CSA1 (instanceRef pdp_ram_0_2_29)) + (portRef CSA1 (instanceRef pdp_ram_0_3_28)) + (portRef CSA1 (instanceRef pdp_ram_1_0_27)) + (portRef CSA1 (instanceRef pdp_ram_1_1_26)) + (portRef CSA1 (instanceRef pdp_ram_1_2_25)) + (portRef CSA1 (instanceRef pdp_ram_1_3_24)) + (portRef CSA1 (instanceRef pdp_ram_2_0_23)) + (portRef CSA1 (instanceRef pdp_ram_2_1_22)) + (portRef CSA1 (instanceRef pdp_ram_2_2_21)) + (portRef CSA1 (instanceRef pdp_ram_2_3_20)) + (portRef CSA1 (instanceRef pdp_ram_3_0_19)) + (portRef CSA1 (instanceRef pdp_ram_3_1_18)) + (portRef CSA1 (instanceRef pdp_ram_3_2_17)) + (portRef CSA1 (instanceRef pdp_ram_3_3_16)) + (portRef CSA1 (instanceRef pdp_ram_4_0_15)) + (portRef CSA1 (instanceRef pdp_ram_4_1_14)) + (portRef CSA1 (instanceRef pdp_ram_4_2_13)) + (portRef CSA1 (instanceRef pdp_ram_4_3_12)) + (portRef CSA1 (instanceRef pdp_ram_5_0_11)) + (portRef CSA1 (instanceRef pdp_ram_5_1_10)) + (portRef CSA1 (instanceRef pdp_ram_5_2_9)) + (portRef CSA1 (instanceRef pdp_ram_5_3_8)) + (portRef CSA1 (instanceRef pdp_ram_6_0_7)) + (portRef CSA1 (instanceRef pdp_ram_6_1_6)) + (portRef CSA1 (instanceRef pdp_ram_6_2_5)) + (portRef CSA1 (instanceRef pdp_ram_6_3_4)) + (portRef CSA1 (instanceRef pdp_ram_7_0_3)) + (portRef CSA1 (instanceRef pdp_ram_7_1_2)) + (portRef CSA1 (instanceRef pdp_ram_7_2_1)) + (portRef CSA1 (instanceRef pdp_ram_7_3_0)))) + (net wptr_13 + (joined + (portRef Q (instanceRef FF_38)) + (portRef CSA2 (instanceRef pdp_ram_0_0_31)) + (portRef CSA2 (instanceRef pdp_ram_0_1_30)) + (portRef CSA2 (instanceRef pdp_ram_0_2_29)) + (portRef CSA2 (instanceRef pdp_ram_0_3_28)) + (portRef CSA2 (instanceRef pdp_ram_1_0_27)) + (portRef CSA2 (instanceRef pdp_ram_1_1_26)) + (portRef CSA2 (instanceRef pdp_ram_1_2_25)) + (portRef CSA2 (instanceRef pdp_ram_1_3_24)) + (portRef CSA2 (instanceRef pdp_ram_2_0_23)) + (portRef CSA2 (instanceRef pdp_ram_2_1_22)) + (portRef CSA2 (instanceRef pdp_ram_2_2_21)) + (portRef CSA2 (instanceRef pdp_ram_2_3_20)) + (portRef CSA2 (instanceRef pdp_ram_3_0_19)) + (portRef CSA2 (instanceRef pdp_ram_3_1_18)) + (portRef CSA2 (instanceRef pdp_ram_3_2_17)) + (portRef CSA2 (instanceRef pdp_ram_3_3_16)) + (portRef CSA2 (instanceRef pdp_ram_4_0_15)) + (portRef CSA2 (instanceRef pdp_ram_4_1_14)) + (portRef CSA2 (instanceRef pdp_ram_4_2_13)) + (portRef CSA2 (instanceRef pdp_ram_4_3_12)) + (portRef CSA2 (instanceRef pdp_ram_5_0_11)) + (portRef CSA2 (instanceRef pdp_ram_5_1_10)) + (portRef CSA2 (instanceRef pdp_ram_5_2_9)) + (portRef CSA2 (instanceRef pdp_ram_5_3_8)) + (portRef CSA2 (instanceRef pdp_ram_6_0_7)) + (portRef CSA2 (instanceRef pdp_ram_6_1_6)) + (portRef CSA2 (instanceRef pdp_ram_6_2_5)) + (portRef CSA2 (instanceRef pdp_ram_6_3_4)) + (portRef CSA2 (instanceRef pdp_ram_7_0_3)) + (portRef CSA2 (instanceRef pdp_ram_7_1_2)) + (portRef CSA2 (instanceRef pdp_ram_7_2_1)) + (portRef CSA2 (instanceRef pdp_ram_7_3_0)))) + (net wptr_14 + (joined + (portRef Q (instanceRef FF_37)))) + (net rptr_14 + (joined + (portRef Q (instanceRef FF_22)) + (portRef B (instanceRef XOR2_t0)))) + (net rptr_11_ff + (joined + (portRef D (instanceRef FF_18)) + (portRef Q (instanceRef FF_21)))) + (net rptr_12_ff + (joined + (portRef D (instanceRef FF_17)) + (portRef Q (instanceRef FF_20)))) + (net rptr_13_ff + (joined + (portRef D (instanceRef FF_16)) + (portRef Q (instanceRef FF_19)))) + (net ifcount_0 + (joined + (portRef S0 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_98)))) + (net ifcount_1 + (joined + (portRef S1 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_97)))) + (net bdcnt_bctr_ci + (joined + (portRef CIN (instanceRef bdcnt_bctr_0)) + (portRef COUT (instanceRef bdcnt_bctr_cia)))) + (net ifcount_2 + (joined + (portRef S0 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_96)))) + (net ifcount_3 + (joined + (portRef S1 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_95)))) + (net co0 + (joined + (portRef CIN (instanceRef bdcnt_bctr_1)) + (portRef COUT (instanceRef bdcnt_bctr_0)))) + (net ifcount_4 + (joined + (portRef S0 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_94)))) + (net ifcount_5 + (joined + (portRef S1 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_93)))) + (net co1 + (joined + (portRef CIN (instanceRef bdcnt_bctr_2)) + (portRef COUT (instanceRef bdcnt_bctr_1)))) + (net ifcount_6 + (joined + (portRef S0 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_92)))) + (net ifcount_7 + (joined + (portRef S1 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_91)))) + (net co2 + (joined + (portRef CIN (instanceRef bdcnt_bctr_3)) + (portRef COUT (instanceRef bdcnt_bctr_2)))) + (net ifcount_8 + (joined + (portRef S0 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_90)))) + (net ifcount_9 + (joined + (portRef S1 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_89)))) + (net co3 + (joined + (portRef CIN (instanceRef bdcnt_bctr_4)) + (portRef COUT (instanceRef bdcnt_bctr_3)))) + (net ifcount_10 + (joined + (portRef S0 (instanceRef bdcnt_bctr_5)) + (portRef D (instanceRef FF_88)))) + (net ifcount_11 + (joined + (portRef S1 (instanceRef bdcnt_bctr_5)) + (portRef D (instanceRef FF_87)))) + (net co4 + (joined + (portRef CIN (instanceRef bdcnt_bctr_5)) + (portRef COUT (instanceRef bdcnt_bctr_4)))) + (net ifcount_12 + (joined + (portRef S0 (instanceRef bdcnt_bctr_6)) + (portRef D (instanceRef FF_86)))) + (net ifcount_13 + (joined + (portRef S1 (instanceRef bdcnt_bctr_6)) + (portRef D (instanceRef FF_85)))) + (net co5 + (joined + (portRef CIN (instanceRef bdcnt_bctr_6)) + (portRef COUT (instanceRef bdcnt_bctr_5)))) + (net ifcount_14 + (joined + (portRef S0 (instanceRef bdcnt_bctr_7)) + (portRef D (instanceRef FF_84)))) + (net co7 + (joined + (portRef COUT (instanceRef bdcnt_bctr_7)))) + (net co6 + (joined + (portRef CIN (instanceRef bdcnt_bctr_7)) + (portRef COUT (instanceRef bdcnt_bctr_6)))) + (net cmp_ci + (joined + (portRef CIN (instanceRef e_cmp_0)) + (portRef COUT (instanceRef e_cmp_ci_a)))) + (net rden_i + (joined + (portRef A0 (instanceRef e_cmp_0)) + (portRef Z (instanceRef AND2_t4)) + (portRef B (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_3)) + (portRef AD1 (instanceRef LUT4_0)) + (portRef A (instanceRef AND2_t1)) + (portRef CEB (instanceRef pdp_ram_0_0_31)) + (portRef CEB (instanceRef pdp_ram_0_1_30)) + (portRef CEB (instanceRef pdp_ram_0_2_29)) + (portRef CEB (instanceRef pdp_ram_0_3_28)) + (portRef CEB (instanceRef pdp_ram_1_0_27)) + (portRef CEB (instanceRef pdp_ram_1_1_26)) + (portRef CEB (instanceRef pdp_ram_1_2_25)) + (portRef CEB (instanceRef pdp_ram_1_3_24)) + (portRef CEB (instanceRef pdp_ram_2_0_23)) + (portRef CEB (instanceRef pdp_ram_2_1_22)) + (portRef CEB (instanceRef pdp_ram_2_2_21)) + (portRef CEB (instanceRef pdp_ram_2_3_20)) + (portRef CEB (instanceRef pdp_ram_3_0_19)) + (portRef CEB (instanceRef pdp_ram_3_1_18)) + (portRef CEB (instanceRef pdp_ram_3_2_17)) + (portRef CEB (instanceRef pdp_ram_3_3_16)) + (portRef CEB (instanceRef pdp_ram_4_0_15)) + (portRef CEB (instanceRef pdp_ram_4_1_14)) + (portRef CEB (instanceRef pdp_ram_4_2_13)) + (portRef CEB (instanceRef pdp_ram_4_3_12)) + (portRef CEB (instanceRef pdp_ram_5_0_11)) + (portRef CEB (instanceRef pdp_ram_5_1_10)) + (portRef CEB (instanceRef pdp_ram_5_2_9)) + (portRef CEB (instanceRef pdp_ram_5_3_8)) + (portRef CEB (instanceRef pdp_ram_6_0_7)) + (portRef CEB (instanceRef pdp_ram_6_1_6)) + (portRef CEB (instanceRef pdp_ram_6_2_5)) + (portRef CEB (instanceRef pdp_ram_6_3_4)) + (portRef CEB (instanceRef pdp_ram_7_0_3)) + (portRef CEB (instanceRef pdp_ram_7_1_2)) + (portRef CEB (instanceRef pdp_ram_7_2_1)) + (portRef CEB (instanceRef pdp_ram_7_3_0)) + (portRef SP (instanceRef FF_66)) + (portRef SP (instanceRef FF_65)) + (portRef SP (instanceRef FF_64)) + (portRef SP (instanceRef FF_63)) + (portRef SP (instanceRef FF_62)) + (portRef SP (instanceRef FF_61)) + (portRef SP (instanceRef FF_60)) + (portRef SP (instanceRef FF_59)) + (portRef SP (instanceRef FF_58)) + (portRef SP (instanceRef FF_57)) + (portRef SP (instanceRef FF_56)) + (portRef SP (instanceRef FF_55)) + (portRef SP (instanceRef FF_54)) + (portRef SP (instanceRef FF_53)) + (portRef SP (instanceRef FF_52)) + (portRef SP (instanceRef FF_36)) + (portRef SP (instanceRef FF_35)) + (portRef SP (instanceRef FF_34)) + (portRef SP (instanceRef FF_33)) + (portRef SP (instanceRef FF_32)) + (portRef SP (instanceRef FF_31)) + (portRef SP (instanceRef FF_30)) + (portRef SP (instanceRef FF_29)) + (portRef SP (instanceRef FF_28)) + (portRef SP (instanceRef FF_27)) + (portRef SP (instanceRef FF_26)) + (portRef SP (instanceRef FF_25)) + (portRef SP (instanceRef FF_24)) + (portRef SP (instanceRef FF_23)) + (portRef SP (instanceRef FF_22)) + (portRef SP (instanceRef FF_21)) + (portRef SP (instanceRef FF_20)) + (portRef SP (instanceRef FF_19)) + (portRef SP (instanceRef FF_18)) + (portRef SP (instanceRef FF_17)) + (portRef SP (instanceRef FF_16)))) + (net co0_1 + (joined + (portRef CIN (instanceRef e_cmp_1)) + (portRef COUT (instanceRef e_cmp_0)))) + (net co1_1 + (joined + (portRef CIN (instanceRef e_cmp_2)) + (portRef COUT (instanceRef e_cmp_1)))) + (net co2_1 + (joined + (portRef CIN (instanceRef e_cmp_3)) + (portRef COUT (instanceRef e_cmp_2)))) + (net co3_1 + (joined + (portRef CIN (instanceRef e_cmp_4)) + (portRef COUT (instanceRef e_cmp_3)))) + (net co4_1 + (joined + (portRef CIN (instanceRef e_cmp_5)) + (portRef COUT (instanceRef e_cmp_4)))) + (net co5_1 + (joined + (portRef CIN (instanceRef e_cmp_6)) + (portRef COUT (instanceRef e_cmp_5)))) + (net co6_1 + (joined + (portRef CIN (instanceRef e_cmp_7)) + (portRef COUT (instanceRef e_cmp_6)))) + (net cmp_le_1 + (joined + (portRef S0 (instanceRef a0)) + (portRef AD2 (instanceRef LUT4_1)))) + (net cmp_le_1_c + (joined + (portRef CIN (instanceRef a0)) + (portRef COUT (instanceRef e_cmp_7)))) + (net cmp_ci_1 + (joined + (portRef CIN (instanceRef g_cmp_0)) + (portRef COUT (instanceRef g_cmp_ci_a)))) + (net co0_2 + (joined + (portRef CIN (instanceRef g_cmp_1)) + (portRef COUT (instanceRef g_cmp_0)))) + (net co1_2 + (joined + (portRef CIN (instanceRef g_cmp_2)) + (portRef COUT (instanceRef g_cmp_1)))) + (net co2_2 + (joined + (portRef CIN (instanceRef g_cmp_3)) + (portRef COUT (instanceRef g_cmp_2)))) + (net co3_2 + (joined + (portRef CIN (instanceRef g_cmp_4)) + (portRef COUT (instanceRef g_cmp_3)))) + (net co4_2 + (joined + (portRef CIN (instanceRef g_cmp_5)) + (portRef COUT (instanceRef g_cmp_4)))) + (net co5_2 + (joined + (portRef CIN (instanceRef g_cmp_6)) + (portRef COUT (instanceRef g_cmp_5)))) + (net co6_2 + (joined + (portRef CIN (instanceRef g_cmp_7)) + (portRef COUT (instanceRef g_cmp_6)))) + (net wren_i_inv + (joined + (portRef B0 (instanceRef g_cmp_7)) + (portRef Z (instanceRef INV_2)))) + (net cmp_ge_d1 + (joined + (portRef S0 (instanceRef a1)) + (portRef AD2 (instanceRef LUT4_0)))) + (net cmp_ge_d1_c + (joined + (portRef CIN (instanceRef a1)) + (portRef COUT (instanceRef g_cmp_7)))) + (net iwcount_0 + (joined + (portRef S0 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_81)))) + (net iwcount_1 + (joined + (portRef S1 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_80)))) + (net w_ctr_ci + (joined + (portRef CIN (instanceRef w_ctr_0)) + (portRef COUT (instanceRef w_ctr_cia)))) + (net iwcount_2 + (joined + (portRef S0 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_79)))) + (net iwcount_3 + (joined + (portRef S1 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_78)))) + (net co0_3 + (joined + (portRef CIN (instanceRef w_ctr_1)) + (portRef COUT (instanceRef w_ctr_0)))) + (net iwcount_4 + (joined + (portRef S0 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_77)))) + (net iwcount_5 + (joined + (portRef S1 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_76)))) + (net co1_3 + (joined + (portRef CIN (instanceRef w_ctr_2)) + (portRef COUT (instanceRef w_ctr_1)))) + (net iwcount_6 + (joined + (portRef S0 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_75)))) + (net iwcount_7 + (joined + (portRef S1 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_74)))) + (net co2_3 + (joined + (portRef CIN (instanceRef w_ctr_3)) + (portRef COUT (instanceRef w_ctr_2)))) + (net iwcount_8 + (joined + (portRef S0 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_73)))) + (net iwcount_9 + (joined + (portRef S1 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_72)))) + (net co3_3 + (joined + (portRef CIN (instanceRef w_ctr_4)) + (portRef COUT (instanceRef w_ctr_3)))) + (net iwcount_10 + (joined + (portRef S0 (instanceRef w_ctr_5)) + (portRef D (instanceRef FF_71)))) + (net iwcount_11 + (joined + (portRef S1 (instanceRef w_ctr_5)) + (portRef D (instanceRef FF_70)))) + (net co4_3 + (joined + (portRef CIN (instanceRef w_ctr_5)) + (portRef COUT (instanceRef w_ctr_4)))) + (net iwcount_12 + (joined + (portRef S0 (instanceRef w_ctr_6)) + (portRef D (instanceRef FF_69)))) + (net iwcount_13 + (joined + (portRef S1 (instanceRef w_ctr_6)) + (portRef D (instanceRef FF_68)))) + (net co5_3 + (joined + (portRef CIN (instanceRef w_ctr_6)) + (portRef COUT (instanceRef w_ctr_5)))) + (net iwcount_14 + (joined + (portRef S0 (instanceRef w_ctr_7)) + (portRef D (instanceRef FF_67)))) + (net co7_1 + (joined + (portRef COUT (instanceRef w_ctr_7)))) + (net co6_3 + (joined + (portRef CIN (instanceRef w_ctr_7)) + (portRef COUT (instanceRef w_ctr_6)))) + (net wcount_14 + (joined + (portRef A0 (instanceRef w_ctr_7)) + (portRef A (instanceRef XOR2_t0)) + (portRef Q (instanceRef FF_67)) + (portRef D (instanceRef FF_37)))) + (net ircount_0 + (joined + (portRef S0 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_66)))) + (net ircount_1 + (joined + (portRef S1 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_65)))) + (net r_ctr_ci + (joined + (portRef CIN (instanceRef r_ctr_0)) + (portRef COUT (instanceRef r_ctr_cia)))) + (net rcount_0 + (joined + (portRef A0 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_66)) + (portRef D (instanceRef FF_36)))) + (net rcount_1 + (joined + (portRef A1 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_65)) + (portRef D (instanceRef FF_35)))) + (net ircount_2 + (joined + (portRef S0 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_64)))) + (net ircount_3 + (joined + (portRef S1 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_63)))) + (net co0_4 + (joined + (portRef CIN (instanceRef r_ctr_1)) + (portRef COUT (instanceRef r_ctr_0)))) + (net rcount_2 + (joined + (portRef A0 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_64)) + (portRef D (instanceRef FF_34)))) + (net rcount_3 + (joined + (portRef A1 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_63)) + (portRef D (instanceRef FF_33)))) + (net ircount_4 + (joined + (portRef S0 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_62)))) + (net ircount_5 + (joined + (portRef S1 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_61)))) + (net co1_4 + (joined + (portRef CIN (instanceRef r_ctr_2)) + (portRef COUT (instanceRef r_ctr_1)))) + (net rcount_4 + (joined + (portRef A0 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_62)) + (portRef D (instanceRef FF_32)))) + (net rcount_5 + (joined + (portRef A1 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_61)) + (portRef D (instanceRef FF_31)))) + (net ircount_6 + (joined + (portRef S0 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_60)))) + (net ircount_7 + (joined + (portRef S1 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_59)))) + (net co2_4 + (joined + (portRef CIN (instanceRef r_ctr_3)) + (portRef COUT (instanceRef r_ctr_2)))) + (net rcount_6 + (joined + (portRef A0 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_60)) + (portRef D (instanceRef FF_30)))) + (net rcount_7 + (joined + (portRef A1 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_59)) + (portRef D (instanceRef FF_29)))) + (net ircount_8 + (joined + (portRef S0 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_58)))) + (net ircount_9 + (joined + (portRef S1 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_57)))) + (net co3_4 + (joined + (portRef CIN (instanceRef r_ctr_4)) + (portRef COUT (instanceRef r_ctr_3)))) + (net rcount_8 + (joined + (portRef A0 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_58)) + (portRef D (instanceRef FF_28)))) + (net rcount_9 + (joined + (portRef A1 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_57)) + (portRef D (instanceRef FF_27)))) + (net ircount_10 + (joined + (portRef S0 (instanceRef r_ctr_5)) + (portRef D (instanceRef FF_56)))) + (net ircount_11 + (joined + (portRef S1 (instanceRef r_ctr_5)) + (portRef D (instanceRef FF_55)))) + (net co4_4 + (joined + (portRef CIN (instanceRef r_ctr_5)) + (portRef COUT (instanceRef r_ctr_4)))) + (net rcount_10 + (joined + (portRef A0 (instanceRef r_ctr_5)) + (portRef Q (instanceRef FF_56)) + (portRef D (instanceRef FF_26)))) + (net rcount_11 + (joined + (portRef A1 (instanceRef r_ctr_5)) + (portRef Q (instanceRef FF_55)) + (portRef D (instanceRef FF_25)))) + (net ircount_12 + (joined + (portRef S0 (instanceRef r_ctr_6)) + (portRef D (instanceRef FF_54)))) + (net ircount_13 + (joined + (portRef S1 (instanceRef r_ctr_6)) + (portRef D (instanceRef FF_53)))) + (net co5_4 + (joined + (portRef CIN (instanceRef r_ctr_6)) + (portRef COUT (instanceRef r_ctr_5)))) + (net rcount_12 + (joined + (portRef A0 (instanceRef r_ctr_6)) + (portRef Q (instanceRef FF_54)) + (portRef D (instanceRef FF_24)))) + (net rcount_13 + (joined + (portRef A1 (instanceRef r_ctr_6)) + (portRef Q (instanceRef FF_53)) + (portRef D (instanceRef FF_23)))) + (net ircount_14 + (joined + (portRef S0 (instanceRef r_ctr_7)) + (portRef D (instanceRef FF_52)))) + (net co7_2 + (joined + (portRef COUT (instanceRef r_ctr_7)))) + (net co6_4 + (joined + (portRef CIN (instanceRef r_ctr_7)) + (portRef COUT (instanceRef r_ctr_6)))) + (net rcount_14 + (joined + (portRef A0 (instanceRef r_ctr_7)) + (portRef Q (instanceRef FF_52)) + (portRef D (instanceRef FF_22)))) + (net mdout1_7_0 + (joined + (portRef D7 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_7_0_3)))) + (net mdout1_6_0 + (joined + (portRef D6 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_6_0_7)))) + (net mdout1_5_0 + (joined + (portRef D5 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_5_0_11)))) + (net mdout1_4_0 + (joined + (portRef D4 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_4_0_15)))) + (net mdout1_3_0 + (joined + (portRef D3 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_3_0_19)))) + (net mdout1_2_0 + (joined + (portRef D2 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_2_0_23)))) + (net mdout1_1_0 + (joined + (portRef D1 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_1_0_27)))) + (net mdout1_0_0 + (joined + (portRef D0 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_0_0_31)))) + (net mdout1_7_1 + (joined + (portRef D7 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_7_0_3)))) + (net mdout1_6_1 + (joined + (portRef D6 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_6_0_7)))) + (net mdout1_5_1 + (joined + (portRef D5 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_5_0_11)))) + (net mdout1_4_1 + (joined + (portRef D4 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_4_0_15)))) + (net mdout1_3_1 + (joined + (portRef D3 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_3_0_19)))) + (net mdout1_2_1 + (joined + (portRef D2 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_2_0_23)))) + (net mdout1_1_1 + (joined + (portRef D1 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_1_0_27)))) + (net mdout1_0_1 + (joined + (portRef D0 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_0_0_31)))) + (net mdout1_7_2 + (joined + (portRef D7 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_7_0_3)))) + (net mdout1_6_2 + (joined + (portRef D6 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_6_0_7)))) + (net mdout1_5_2 + (joined + (portRef D5 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_5_0_11)))) + (net mdout1_4_2 + (joined + (portRef D4 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_4_0_15)))) + (net mdout1_3_2 + (joined + (portRef D3 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_3_0_19)))) + (net mdout1_2_2 + (joined + (portRef D2 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_2_0_23)))) + (net mdout1_1_2 + (joined + (portRef D1 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_1_0_27)))) + (net mdout1_0_2 + (joined + (portRef D0 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_0_0_31)))) + (net mdout1_7_3 + (joined + (portRef D7 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_7_0_3)))) + (net mdout1_6_3 + (joined + (portRef D6 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_6_0_7)))) + (net mdout1_5_3 + (joined + (portRef D5 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_5_0_11)))) + (net mdout1_4_3 + (joined + (portRef D4 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_4_0_15)))) + (net mdout1_3_3 + (joined + (portRef D3 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_3_0_19)))) + (net mdout1_2_3 + (joined + (portRef D2 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_2_0_23)))) + (net mdout1_1_3 + (joined + (portRef D1 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_1_0_27)))) + (net mdout1_0_3 + (joined + (portRef D0 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_0_0_31)))) + (net mdout1_7_4 + (joined + (portRef D7 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_7_0_3)))) + (net mdout1_6_4 + (joined + (portRef D6 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_6_0_7)))) + (net mdout1_5_4 + (joined + (portRef D5 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_5_0_11)))) + (net mdout1_4_4 + (joined + (portRef D4 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_4_0_15)))) + (net mdout1_3_4 + (joined + (portRef D3 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_3_0_19)))) + (net mdout1_2_4 + (joined + (portRef D2 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_2_0_23)))) + (net mdout1_1_4 + (joined + (portRef D1 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_1_0_27)))) + (net mdout1_0_4 + (joined + (portRef D0 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_0_0_31)))) + (net mdout1_7_5 + (joined + (portRef D7 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_7_0_3)))) + (net mdout1_6_5 + (joined + (portRef D6 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_6_0_7)))) + (net mdout1_5_5 + (joined + (portRef D5 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_5_0_11)))) + (net mdout1_4_5 + (joined + (portRef D4 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_4_0_15)))) + (net mdout1_3_5 + (joined + (portRef D3 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_3_0_19)))) + (net mdout1_2_5 + (joined + (portRef D2 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_2_0_23)))) + (net mdout1_1_5 + (joined + (portRef D1 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_1_0_27)))) + (net mdout1_0_5 + (joined + (portRef D0 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_0_0_31)))) + (net mdout1_7_6 + (joined + (portRef D7 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_7_0_3)))) + (net mdout1_6_6 + (joined + (portRef D6 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_6_0_7)))) + (net mdout1_5_6 + (joined + (portRef D5 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_5_0_11)))) + (net mdout1_4_6 + (joined + (portRef D4 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_4_0_15)))) + (net mdout1_3_6 + (joined + (portRef D3 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_3_0_19)))) + (net mdout1_2_6 + (joined + (portRef D2 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_2_0_23)))) + (net mdout1_1_6 + (joined + (portRef D1 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_1_0_27)))) + (net mdout1_0_6 + (joined + (portRef D0 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_0_0_31)))) + (net mdout1_7_7 + (joined + (portRef D7 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_7_0_3)))) + (net mdout1_6_7 + (joined + (portRef D6 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_6_0_7)))) + (net mdout1_5_7 + (joined + (portRef D5 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_5_0_11)))) + (net mdout1_4_7 + (joined + (portRef D4 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_4_0_15)))) + (net mdout1_3_7 + (joined + (portRef D3 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_3_0_19)))) + (net mdout1_2_7 + (joined + (portRef D2 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_2_0_23)))) + (net mdout1_1_7 + (joined + (portRef D1 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_1_0_27)))) + (net mdout1_0_7 + (joined + (portRef D0 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_0_0_31)))) + (net mdout1_7_8 + (joined + (portRef D7 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_7_0_3)))) + (net mdout1_6_8 + (joined + (portRef D6 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_6_0_7)))) + (net mdout1_5_8 + (joined + (portRef D5 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_5_0_11)))) + (net mdout1_4_8 + (joined + (portRef D4 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_4_0_15)))) + (net mdout1_3_8 + (joined + (portRef D3 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_3_0_19)))) + (net mdout1_2_8 + (joined + (portRef D2 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_2_0_23)))) + (net mdout1_1_8 + (joined + (portRef D1 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_1_0_27)))) + (net mdout1_0_8 + (joined + (portRef D0 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_0_0_31)))) + (net mdout1_7_9 + (joined + (portRef D7 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_7_1_2)))) + (net mdout1_6_9 + (joined + (portRef D6 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_6_1_6)))) + (net mdout1_5_9 + (joined + (portRef D5 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_5_1_10)))) + (net mdout1_4_9 + (joined + (portRef D4 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_4_1_14)))) + (net mdout1_3_9 + (joined + (portRef D3 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_3_1_18)))) + (net mdout1_2_9 + (joined + (portRef D2 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_2_1_22)))) + (net mdout1_1_9 + (joined + (portRef D1 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_1_1_26)))) + (net mdout1_0_9 + (joined + (portRef D0 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_0_1_30)))) + (net mdout1_7_10 + (joined + (portRef D7 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_7_1_2)))) + (net mdout1_6_10 + (joined + (portRef D6 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_6_1_6)))) + (net mdout1_5_10 + (joined + (portRef D5 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_5_1_10)))) + (net mdout1_4_10 + (joined + (portRef D4 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_4_1_14)))) + (net mdout1_3_10 + (joined + (portRef D3 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_3_1_18)))) + (net mdout1_2_10 + (joined + (portRef D2 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_2_1_22)))) + (net mdout1_1_10 + (joined + (portRef D1 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_1_1_26)))) + (net mdout1_0_10 + (joined + (portRef D0 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_0_1_30)))) + (net mdout1_7_11 + (joined + (portRef D7 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_7_1_2)))) + (net mdout1_6_11 + (joined + (portRef D6 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_6_1_6)))) + (net mdout1_5_11 + (joined + (portRef D5 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_5_1_10)))) + (net mdout1_4_11 + (joined + (portRef D4 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_4_1_14)))) + (net mdout1_3_11 + (joined + (portRef D3 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_3_1_18)))) + (net mdout1_2_11 + (joined + (portRef D2 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_2_1_22)))) + (net mdout1_1_11 + (joined + (portRef D1 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_1_1_26)))) + (net mdout1_0_11 + (joined + (portRef D0 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_0_1_30)))) + (net mdout1_7_12 + (joined + (portRef D7 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_7_1_2)))) + (net mdout1_6_12 + (joined + (portRef D6 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_6_1_6)))) + (net mdout1_5_12 + (joined + (portRef D5 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_5_1_10)))) + (net mdout1_4_12 + (joined + (portRef D4 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_4_1_14)))) + (net mdout1_3_12 + (joined + (portRef D3 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_3_1_18)))) + (net mdout1_2_12 + (joined + (portRef D2 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_2_1_22)))) + (net mdout1_1_12 + (joined + (portRef D1 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_1_1_26)))) + (net mdout1_0_12 + (joined + (portRef D0 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_0_1_30)))) + (net mdout1_7_13 + (joined + (portRef D7 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_7_1_2)))) + (net mdout1_6_13 + (joined + (portRef D6 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_6_1_6)))) + (net mdout1_5_13 + (joined + (portRef D5 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_5_1_10)))) + (net mdout1_4_13 + (joined + (portRef D4 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_4_1_14)))) + (net mdout1_3_13 + (joined + (portRef D3 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_3_1_18)))) + (net mdout1_2_13 + (joined + (portRef D2 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_2_1_22)))) + (net mdout1_1_13 + (joined + (portRef D1 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_1_1_26)))) + (net mdout1_0_13 + (joined + (portRef D0 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_0_1_30)))) + (net mdout1_7_14 + (joined + (portRef D7 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_7_1_2)))) + (net mdout1_6_14 + (joined + (portRef D6 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_6_1_6)))) + (net mdout1_5_14 + (joined + (portRef D5 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_5_1_10)))) + (net mdout1_4_14 + (joined + (portRef D4 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_4_1_14)))) + (net mdout1_3_14 + (joined + (portRef D3 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_3_1_18)))) + (net mdout1_2_14 + (joined + (portRef D2 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_2_1_22)))) + (net mdout1_1_14 + (joined + (portRef D1 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_1_1_26)))) + (net mdout1_0_14 + (joined + (portRef D0 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_0_1_30)))) + (net mdout1_7_15 + (joined + (portRef D7 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_7_1_2)))) + (net mdout1_6_15 + (joined + (portRef D6 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_6_1_6)))) + (net mdout1_5_15 + (joined + (portRef D5 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_5_1_10)))) + (net mdout1_4_15 + (joined + (portRef D4 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_4_1_14)))) + (net mdout1_3_15 + (joined + (portRef D3 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_3_1_18)))) + (net mdout1_2_15 + (joined + (portRef D2 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_2_1_22)))) + (net mdout1_1_15 + (joined + (portRef D1 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_1_1_26)))) + (net mdout1_0_15 + (joined + (portRef D0 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_0_1_30)))) + (net mdout1_7_16 + (joined + (portRef D7 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_7_1_2)))) + (net mdout1_6_16 + (joined + (portRef D6 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_6_1_6)))) + (net mdout1_5_16 + (joined + (portRef D5 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_5_1_10)))) + (net mdout1_4_16 + (joined + (portRef D4 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_4_1_14)))) + (net mdout1_3_16 + (joined + (portRef D3 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_3_1_18)))) + (net mdout1_2_16 + (joined + (portRef D2 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_2_1_22)))) + (net mdout1_1_16 + (joined + (portRef D1 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_1_1_26)))) + (net mdout1_0_16 + (joined + (portRef D0 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_0_1_30)))) + (net mdout1_7_17 + (joined + (portRef D7 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_7_1_2)))) + (net mdout1_6_17 + (joined + (portRef D6 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_6_1_6)))) + (net mdout1_5_17 + (joined + (portRef D5 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_5_1_10)))) + (net mdout1_4_17 + (joined + (portRef D4 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_4_1_14)))) + (net mdout1_3_17 + (joined + (portRef D3 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_3_1_18)))) + (net mdout1_2_17 + (joined + (portRef D2 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_2_1_22)))) + (net mdout1_1_17 + (joined + (portRef D1 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_1_1_26)))) + (net mdout1_0_17 + (joined + (portRef D0 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_0_1_30)))) + (net mdout1_7_18 + (joined + (portRef D7 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_7_2_1)))) + (net mdout1_6_18 + (joined + (portRef D6 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_6_2_5)))) + (net mdout1_5_18 + (joined + (portRef D5 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_5_2_9)))) + (net mdout1_4_18 + (joined + (portRef D4 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_4_2_13)))) + (net mdout1_3_18 + (joined + (portRef D3 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_3_2_17)))) + (net mdout1_2_18 + (joined + (portRef D2 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_2_2_21)))) + (net mdout1_1_18 + (joined + (portRef D1 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_1_2_25)))) + (net mdout1_0_18 + (joined + (portRef D0 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_0_2_29)))) + (net mdout1_7_19 + (joined + (portRef D7 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_7_2_1)))) + (net mdout1_6_19 + (joined + (portRef D6 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_6_2_5)))) + (net mdout1_5_19 + (joined + (portRef D5 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_5_2_9)))) + (net mdout1_4_19 + (joined + (portRef D4 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_4_2_13)))) + (net mdout1_3_19 + (joined + (portRef D3 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_3_2_17)))) + (net mdout1_2_19 + (joined + (portRef D2 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_2_2_21)))) + (net mdout1_1_19 + (joined + (portRef D1 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_1_2_25)))) + (net mdout1_0_19 + (joined + (portRef D0 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_0_2_29)))) + (net mdout1_7_20 + (joined + (portRef D7 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_7_2_1)))) + (net mdout1_6_20 + (joined + (portRef D6 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_6_2_5)))) + (net mdout1_5_20 + (joined + (portRef D5 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_5_2_9)))) + (net mdout1_4_20 + (joined + (portRef D4 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_4_2_13)))) + (net mdout1_3_20 + (joined + (portRef D3 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_3_2_17)))) + (net mdout1_2_20 + (joined + (portRef D2 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_2_2_21)))) + (net mdout1_1_20 + (joined + (portRef D1 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_1_2_25)))) + (net mdout1_0_20 + (joined + (portRef D0 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_0_2_29)))) + (net mdout1_7_21 + (joined + (portRef D7 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_7_2_1)))) + (net mdout1_6_21 + (joined + (portRef D6 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_6_2_5)))) + (net mdout1_5_21 + (joined + (portRef D5 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_5_2_9)))) + (net mdout1_4_21 + (joined + (portRef D4 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_4_2_13)))) + (net mdout1_3_21 + (joined + (portRef D3 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_3_2_17)))) + (net mdout1_2_21 + (joined + (portRef D2 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_2_2_21)))) + (net mdout1_1_21 + (joined + (portRef D1 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_1_2_25)))) + (net mdout1_0_21 + (joined + (portRef D0 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_0_2_29)))) + (net mdout1_7_22 + (joined + (portRef D7 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_7_2_1)))) + (net mdout1_6_22 + (joined + (portRef D6 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_6_2_5)))) + (net mdout1_5_22 + (joined + (portRef D5 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_5_2_9)))) + (net mdout1_4_22 + (joined + (portRef D4 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_4_2_13)))) + (net mdout1_3_22 + (joined + (portRef D3 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_3_2_17)))) + (net mdout1_2_22 + (joined + (portRef D2 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_2_2_21)))) + (net mdout1_1_22 + (joined + (portRef D1 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_1_2_25)))) + (net mdout1_0_22 + (joined + (portRef D0 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_0_2_29)))) + (net mdout1_7_23 + (joined + (portRef D7 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_7_2_1)))) + (net mdout1_6_23 + (joined + (portRef D6 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_6_2_5)))) + (net mdout1_5_23 + (joined + (portRef D5 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_5_2_9)))) + (net mdout1_4_23 + (joined + (portRef D4 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_4_2_13)))) + (net mdout1_3_23 + (joined + (portRef D3 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_3_2_17)))) + (net mdout1_2_23 + (joined + (portRef D2 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_2_2_21)))) + (net mdout1_1_23 + (joined + (portRef D1 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_1_2_25)))) + (net mdout1_0_23 + (joined + (portRef D0 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_0_2_29)))) + (net mdout1_7_24 + (joined + (portRef D7 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_7_2_1)))) + (net mdout1_6_24 + (joined + (portRef D6 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_6_2_5)))) + (net mdout1_5_24 + (joined + (portRef D5 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_5_2_9)))) + (net mdout1_4_24 + (joined + (portRef D4 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_4_2_13)))) + (net mdout1_3_24 + (joined + (portRef D3 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_3_2_17)))) + (net mdout1_2_24 + (joined + (portRef D2 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_2_2_21)))) + (net mdout1_1_24 + (joined + (portRef D1 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_1_2_25)))) + (net mdout1_0_24 + (joined + (portRef D0 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_0_2_29)))) + (net mdout1_7_25 + (joined + (portRef D7 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_7_2_1)))) + (net mdout1_6_25 + (joined + (portRef D6 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_6_2_5)))) + (net mdout1_5_25 + (joined + (portRef D5 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_5_2_9)))) + (net mdout1_4_25 + (joined + (portRef D4 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_4_2_13)))) + (net mdout1_3_25 + (joined + (portRef D3 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_3_2_17)))) + (net mdout1_2_25 + (joined + (portRef D2 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_2_2_21)))) + (net mdout1_1_25 + (joined + (portRef D1 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_1_2_25)))) + (net mdout1_0_25 + (joined + (portRef D0 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_0_2_29)))) + (net mdout1_7_26 + (joined + (portRef D7 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_7_2_1)))) + (net mdout1_6_26 + (joined + (portRef D6 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_6_2_5)))) + (net mdout1_5_26 + (joined + (portRef D5 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_5_2_9)))) + (net mdout1_4_26 + (joined + (portRef D4 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_4_2_13)))) + (net mdout1_3_26 + (joined + (portRef D3 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_3_2_17)))) + (net mdout1_2_26 + (joined + (portRef D2 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_2_2_21)))) + (net mdout1_1_26 + (joined + (portRef D1 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_1_2_25)))) + (net mdout1_0_26 + (joined + (portRef D0 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_0_2_29)))) + (net mdout1_7_27 + (joined + (portRef D7 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_7_3_0)))) + (net mdout1_6_27 + (joined + (portRef D6 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_6_3_4)))) + (net mdout1_5_27 + (joined + (portRef D5 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_5_3_8)))) + (net mdout1_4_27 + (joined + (portRef D4 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_4_3_12)))) + (net mdout1_3_27 + (joined + (portRef D3 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_3_3_16)))) + (net mdout1_2_27 + (joined + (portRef D2 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_2_3_20)))) + (net mdout1_1_27 + (joined + (portRef D1 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_1_3_24)))) + (net mdout1_0_27 + (joined + (portRef D0 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_0_3_28)))) + (net mdout1_7_28 + (joined + (portRef D7 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_7_3_0)))) + (net mdout1_6_28 + (joined + (portRef D6 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_6_3_4)))) + (net mdout1_5_28 + (joined + (portRef D5 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_5_3_8)))) + (net mdout1_4_28 + (joined + (portRef D4 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_4_3_12)))) + (net mdout1_3_28 + (joined + (portRef D3 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_3_3_16)))) + (net mdout1_2_28 + (joined + (portRef D2 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_2_3_20)))) + (net mdout1_1_28 + (joined + (portRef D1 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_1_3_24)))) + (net mdout1_0_28 + (joined + (portRef D0 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_0_3_28)))) + (net mdout1_7_29 + (joined + (portRef D7 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_7_3_0)))) + (net mdout1_6_29 + (joined + (portRef D6 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_6_3_4)))) + (net mdout1_5_29 + (joined + (portRef D5 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_5_3_8)))) + (net mdout1_4_29 + (joined + (portRef D4 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_4_3_12)))) + (net mdout1_3_29 + (joined + (portRef D3 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_3_3_16)))) + (net mdout1_2_29 + (joined + (portRef D2 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_2_3_20)))) + (net mdout1_1_29 + (joined + (portRef D1 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_1_3_24)))) + (net mdout1_0_29 + (joined + (portRef D0 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_0_3_28)))) + (net mdout1_7_30 + (joined + (portRef D7 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_7_3_0)))) + (net mdout1_6_30 + (joined + (portRef D6 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_6_3_4)))) + (net mdout1_5_30 + (joined + (portRef D5 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_5_3_8)))) + (net mdout1_4_30 + (joined + (portRef D4 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_4_3_12)))) + (net mdout1_3_30 + (joined + (portRef D3 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_3_3_16)))) + (net mdout1_2_30 + (joined + (portRef D2 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_2_3_20)))) + (net mdout1_1_30 + (joined + (portRef D1 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_1_3_24)))) + (net mdout1_0_30 + (joined + (portRef D0 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_0_3_28)))) + (net mdout1_7_31 + (joined + (portRef D7 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_7_3_0)))) + (net mdout1_6_31 + (joined + (portRef D6 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_6_3_4)))) + (net mdout1_5_31 + (joined + (portRef D5 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_5_3_8)))) + (net mdout1_4_31 + (joined + (portRef D4 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_4_3_12)))) + (net mdout1_3_31 + (joined + (portRef D3 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_3_3_16)))) + (net mdout1_2_31 + (joined + (portRef D2 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_2_3_20)))) + (net mdout1_1_31 + (joined + (portRef D1 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_1_3_24)))) + (net mdout1_0_31 + (joined + (portRef D0 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_0_3_28)))) + (net mdout1_7_32 + (joined + (portRef D7 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_7_3_0)))) + (net mdout1_6_32 + (joined + (portRef D6 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_6_3_4)))) + (net mdout1_5_32 + (joined + (portRef D5 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_5_3_8)))) + (net mdout1_4_32 + (joined + (portRef D4 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_4_3_12)))) + (net mdout1_3_32 + (joined + (portRef D3 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_3_3_16)))) + (net mdout1_2_32 + (joined + (portRef D2 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_2_3_20)))) + (net mdout1_1_32 + (joined + (portRef D1 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_1_3_24)))) + (net mdout1_0_32 + (joined + (portRef D0 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_0_3_28)))) + (net mdout1_7_33 + (joined + (portRef D7 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_7_3_0)))) + (net mdout1_6_33 + (joined + (portRef D6 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_6_3_4)))) + (net mdout1_5_33 + (joined + (portRef D5 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_5_3_8)))) + (net mdout1_4_33 + (joined + (portRef D4 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_4_3_12)))) + (net mdout1_3_33 + (joined + (portRef D3 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_3_3_16)))) + (net mdout1_2_33 + (joined + (portRef D2 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_2_3_20)))) + (net mdout1_1_33 + (joined + (portRef D1 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_1_3_24)))) + (net mdout1_0_33 + (joined + (portRef D0 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_0_3_28)))) + (net mdout1_7_34 + (joined + (portRef D7 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_7_3_0)))) + (net mdout1_6_34 + (joined + (portRef D6 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_6_3_4)))) + (net mdout1_5_34 + (joined + (portRef D5 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_5_3_8)))) + (net mdout1_4_34 + (joined + (portRef D4 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_4_3_12)))) + (net mdout1_3_34 + (joined + (portRef D3 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_3_3_16)))) + (net mdout1_2_34 + (joined + (portRef D2 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_2_3_20)))) + (net mdout1_1_34 + (joined + (portRef D1 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_1_3_24)))) + (net mdout1_0_34 + (joined + (portRef D0 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_0_3_28)))) + (net rptr_13_ff2 + (joined + (portRef SD3 (instanceRef mux_0)) + (portRef Q (instanceRef FF_16)) + (portRef SD3 (instanceRef mux_35)) + (portRef SD3 (instanceRef mux_34)) + (portRef SD3 (instanceRef mux_33)) + (portRef SD3 (instanceRef mux_32)) + (portRef SD3 (instanceRef mux_31)) + (portRef SD3 (instanceRef mux_30)) + (portRef SD3 (instanceRef mux_29)) + (portRef SD3 (instanceRef mux_28)) + (portRef SD3 (instanceRef mux_27)) + (portRef SD3 (instanceRef mux_26)) + (portRef SD3 (instanceRef mux_25)) + (portRef SD3 (instanceRef mux_24)) + (portRef SD3 (instanceRef mux_23)) + (portRef SD3 (instanceRef mux_22)) + (portRef SD3 (instanceRef mux_21)) + (portRef SD3 (instanceRef mux_20)) + (portRef SD3 (instanceRef mux_19)) + (portRef SD3 (instanceRef mux_18)) + (portRef SD3 (instanceRef mux_17)) + (portRef SD3 (instanceRef mux_16)) + (portRef SD3 (instanceRef mux_15)) + (portRef SD3 (instanceRef mux_14)) + (portRef SD3 (instanceRef mux_13)) + (portRef SD3 (instanceRef mux_12)) + (portRef SD3 (instanceRef mux_11)) + (portRef SD3 (instanceRef mux_10)) + (portRef SD3 (instanceRef mux_9)) + (portRef SD3 (instanceRef mux_8)) + (portRef SD3 (instanceRef mux_7)) + (portRef SD3 (instanceRef mux_6)) + (portRef SD3 (instanceRef mux_5)) + (portRef SD3 (instanceRef mux_4)) + (portRef SD3 (instanceRef mux_3)) + (portRef SD3 (instanceRef mux_2)) + (portRef SD3 (instanceRef mux_1)))) + (net rptr_12_ff2 + (joined + (portRef SD2 (instanceRef mux_0)) + (portRef Q (instanceRef FF_17)) + (portRef SD2 (instanceRef mux_35)) + (portRef SD2 (instanceRef mux_34)) + (portRef SD2 (instanceRef mux_33)) + (portRef SD2 (instanceRef mux_32)) + (portRef SD2 (instanceRef mux_31)) + (portRef SD2 (instanceRef mux_30)) + (portRef SD2 (instanceRef mux_29)) + (portRef SD2 (instanceRef mux_28)) + (portRef SD2 (instanceRef mux_27)) + (portRef SD2 (instanceRef mux_26)) + (portRef SD2 (instanceRef mux_25)) + (portRef SD2 (instanceRef mux_24)) + (portRef SD2 (instanceRef mux_23)) + (portRef SD2 (instanceRef mux_22)) + (portRef SD2 (instanceRef mux_21)) + (portRef SD2 (instanceRef mux_20)) + (portRef SD2 (instanceRef mux_19)) + (portRef SD2 (instanceRef mux_18)) + (portRef SD2 (instanceRef mux_17)) + (portRef SD2 (instanceRef mux_16)) + (portRef SD2 (instanceRef mux_15)) + (portRef SD2 (instanceRef mux_14)) + (portRef SD2 (instanceRef mux_13)) + (portRef SD2 (instanceRef mux_12)) + (portRef SD2 (instanceRef mux_11)) + (portRef SD2 (instanceRef mux_10)) + (portRef SD2 (instanceRef mux_9)) + (portRef SD2 (instanceRef mux_8)) + (portRef SD2 (instanceRef mux_7)) + (portRef SD2 (instanceRef mux_6)) + (portRef SD2 (instanceRef mux_5)) + (portRef SD2 (instanceRef mux_4)) + (portRef SD2 (instanceRef mux_3)) + (portRef SD2 (instanceRef mux_2)) + (portRef SD2 (instanceRef mux_1)))) + (net rptr_11_ff2 + (joined + (portRef SD1 (instanceRef mux_0)) + (portRef Q (instanceRef FF_18)) + (portRef SD1 (instanceRef mux_35)) + (portRef SD1 (instanceRef mux_34)) + (portRef SD1 (instanceRef mux_33)) + (portRef SD1 (instanceRef mux_32)) + (portRef SD1 (instanceRef mux_31)) + (portRef SD1 (instanceRef mux_30)) + (portRef SD1 (instanceRef mux_29)) + (portRef SD1 (instanceRef mux_28)) + (portRef SD1 (instanceRef mux_27)) + (portRef SD1 (instanceRef mux_26)) + (portRef SD1 (instanceRef mux_25)) + (portRef SD1 (instanceRef mux_24)) + (portRef SD1 (instanceRef mux_23)) + (portRef SD1 (instanceRef mux_22)) + (portRef SD1 (instanceRef mux_21)) + (portRef SD1 (instanceRef mux_20)) + (portRef SD1 (instanceRef mux_19)) + (portRef SD1 (instanceRef mux_18)) + (portRef SD1 (instanceRef mux_17)) + (portRef SD1 (instanceRef mux_16)) + (portRef SD1 (instanceRef mux_15)) + (portRef SD1 (instanceRef mux_14)) + (portRef SD1 (instanceRef mux_13)) + (portRef SD1 (instanceRef mux_12)) + (portRef SD1 (instanceRef mux_11)) + (portRef SD1 (instanceRef mux_10)) + (portRef SD1 (instanceRef mux_9)) + (portRef SD1 (instanceRef mux_8)) + (portRef SD1 (instanceRef mux_7)) + (portRef SD1 (instanceRef mux_6)) + (portRef SD1 (instanceRef mux_5)) + (portRef SD1 (instanceRef mux_4)) + (portRef SD1 (instanceRef mux_3)) + (portRef SD1 (instanceRef mux_2)) + (portRef SD1 (instanceRef mux_1)))) + (net mdout1_7_35 + (joined + (portRef D7 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_7_3_0)))) + (net mdout1_6_35 + (joined + (portRef D6 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_6_3_4)))) + (net mdout1_5_35 + (joined + (portRef D5 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_5_3_8)))) + (net mdout1_4_35 + (joined + (portRef D4 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_4_3_12)))) + (net mdout1_3_35 + (joined + (portRef D3 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_3_3_16)))) + (net mdout1_2_35 + (joined + (portRef D2 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_2_3_20)))) + (net mdout1_1_35 + (joined + (portRef D1 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_1_3_24)))) + (net mdout1_0_35 + (joined + (portRef D0 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_0_3_28)))) + (net wcnt_sub_0 + (joined + (portRef S1 (instanceRef wcnt_0)) + (portRef D (instanceRef FF_15)))) + (net rptr_0 + (joined + (portRef B1 (instanceRef wcnt_0)) + (portRef ADB3 (instanceRef pdp_ram_0_0_31)) + (portRef ADB3 (instanceRef pdp_ram_0_1_30)) + (portRef ADB3 (instanceRef pdp_ram_0_2_29)) + (portRef ADB3 (instanceRef pdp_ram_0_3_28)) + (portRef ADB3 (instanceRef pdp_ram_1_0_27)) + (portRef ADB3 (instanceRef pdp_ram_1_1_26)) + (portRef ADB3 (instanceRef pdp_ram_1_2_25)) + (portRef ADB3 (instanceRef pdp_ram_1_3_24)) + (portRef ADB3 (instanceRef pdp_ram_2_0_23)) + (portRef ADB3 (instanceRef pdp_ram_2_1_22)) + (portRef ADB3 (instanceRef pdp_ram_2_2_21)) + (portRef ADB3 (instanceRef pdp_ram_2_3_20)) + (portRef ADB3 (instanceRef pdp_ram_3_0_19)) + (portRef ADB3 (instanceRef pdp_ram_3_1_18)) + (portRef ADB3 (instanceRef pdp_ram_3_2_17)) + (portRef ADB3 (instanceRef pdp_ram_3_3_16)) + (portRef ADB3 (instanceRef pdp_ram_4_0_15)) + (portRef ADB3 (instanceRef pdp_ram_4_1_14)) + (portRef ADB3 (instanceRef pdp_ram_4_2_13)) + (portRef ADB3 (instanceRef pdp_ram_4_3_12)) + (portRef ADB3 (instanceRef pdp_ram_5_0_11)) + (portRef ADB3 (instanceRef pdp_ram_5_1_10)) + (portRef ADB3 (instanceRef pdp_ram_5_2_9)) + (portRef ADB3 (instanceRef pdp_ram_5_3_8)) + (portRef ADB3 (instanceRef pdp_ram_6_0_7)) + (portRef ADB3 (instanceRef pdp_ram_6_1_6)) + (portRef ADB3 (instanceRef pdp_ram_6_2_5)) + (portRef ADB3 (instanceRef pdp_ram_6_3_4)) + (portRef ADB3 (instanceRef pdp_ram_7_0_3)) + (portRef ADB3 (instanceRef pdp_ram_7_1_2)) + (portRef ADB3 (instanceRef pdp_ram_7_2_1)) + (portRef ADB3 (instanceRef pdp_ram_7_3_0)) + (portRef Q (instanceRef FF_36)))) + (net cnt_con_inv + (joined + (portRef B0 (instanceRef wcnt_0)) + (portRef Z (instanceRef INV_0)))) + (net wcount_0 + (joined + (portRef A1 (instanceRef wcnt_0)) + (portRef Q (instanceRef FF_81)) + (portRef D (instanceRef FF_51)) + (portRef A0 (instanceRef w_ctr_0)))) + (net cnt_con + (joined + (portRef A0 (instanceRef wcnt_0)) + (portRef Z (instanceRef AND2_t3)) + (portRef A (instanceRef INV_0)) + (portRef B1 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_cia)) + (portRef B1 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef bdcnt_bctr_4)) + (portRef B1 (instanceRef bdcnt_bctr_5)) + (portRef B0 (instanceRef bdcnt_bctr_5)) + (portRef B1 (instanceRef bdcnt_bctr_6)) + (portRef B0 (instanceRef bdcnt_bctr_6)) + (portRef B1 (instanceRef bdcnt_bctr_7)) + (portRef B0 (instanceRef bdcnt_bctr_7)))) + (net precin + (joined + (portRef CIN (instanceRef wcnt_0)) + (portRef COUT (instanceRef precin_inst636)))) + (net wcnt_sub_1 + (joined + (portRef S0 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_14)))) + (net wcnt_sub_2 + (joined + (portRef S1 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_13)))) + (net rptr_2 + (joined + (portRef B1 (instanceRef wcnt_1)) + (portRef ADB5 (instanceRef pdp_ram_0_0_31)) + (portRef ADB5 (instanceRef pdp_ram_0_1_30)) + (portRef ADB5 (instanceRef pdp_ram_0_2_29)) + (portRef ADB5 (instanceRef pdp_ram_0_3_28)) + (portRef ADB5 (instanceRef pdp_ram_1_0_27)) + (portRef ADB5 (instanceRef pdp_ram_1_1_26)) + (portRef ADB5 (instanceRef pdp_ram_1_2_25)) + (portRef ADB5 (instanceRef pdp_ram_1_3_24)) + (portRef ADB5 (instanceRef pdp_ram_2_0_23)) + (portRef ADB5 (instanceRef pdp_ram_2_1_22)) + (portRef ADB5 (instanceRef pdp_ram_2_2_21)) + (portRef ADB5 (instanceRef pdp_ram_2_3_20)) + (portRef ADB5 (instanceRef pdp_ram_3_0_19)) + (portRef ADB5 (instanceRef pdp_ram_3_1_18)) + (portRef ADB5 (instanceRef pdp_ram_3_2_17)) + (portRef ADB5 (instanceRef pdp_ram_3_3_16)) + (portRef ADB5 (instanceRef pdp_ram_4_0_15)) + (portRef ADB5 (instanceRef pdp_ram_4_1_14)) + (portRef ADB5 (instanceRef pdp_ram_4_2_13)) + (portRef ADB5 (instanceRef pdp_ram_4_3_12)) + (portRef ADB5 (instanceRef pdp_ram_5_0_11)) + (portRef ADB5 (instanceRef pdp_ram_5_1_10)) + (portRef ADB5 (instanceRef pdp_ram_5_2_9)) + (portRef ADB5 (instanceRef pdp_ram_5_3_8)) + (portRef ADB5 (instanceRef pdp_ram_6_0_7)) + (portRef ADB5 (instanceRef pdp_ram_6_1_6)) + (portRef ADB5 (instanceRef pdp_ram_6_2_5)) + (portRef ADB5 (instanceRef pdp_ram_6_3_4)) + (portRef ADB5 (instanceRef pdp_ram_7_0_3)) + (portRef ADB5 (instanceRef pdp_ram_7_1_2)) + (portRef ADB5 (instanceRef pdp_ram_7_2_1)) + (portRef ADB5 (instanceRef pdp_ram_7_3_0)) + (portRef Q (instanceRef FF_34)))) + (net rptr_1 + (joined + (portRef B0 (instanceRef wcnt_1)) + (portRef ADB4 (instanceRef pdp_ram_0_0_31)) + (portRef ADB4 (instanceRef pdp_ram_0_1_30)) + (portRef ADB4 (instanceRef pdp_ram_0_2_29)) + (portRef ADB4 (instanceRef pdp_ram_0_3_28)) + (portRef ADB4 (instanceRef pdp_ram_1_0_27)) + (portRef ADB4 (instanceRef pdp_ram_1_1_26)) + (portRef ADB4 (instanceRef pdp_ram_1_2_25)) + (portRef ADB4 (instanceRef pdp_ram_1_3_24)) + (portRef ADB4 (instanceRef pdp_ram_2_0_23)) + (portRef ADB4 (instanceRef pdp_ram_2_1_22)) + (portRef ADB4 (instanceRef pdp_ram_2_2_21)) + (portRef ADB4 (instanceRef pdp_ram_2_3_20)) + (portRef ADB4 (instanceRef pdp_ram_3_0_19)) + (portRef ADB4 (instanceRef pdp_ram_3_1_18)) + (portRef ADB4 (instanceRef pdp_ram_3_2_17)) + (portRef ADB4 (instanceRef pdp_ram_3_3_16)) + (portRef ADB4 (instanceRef pdp_ram_4_0_15)) + (portRef ADB4 (instanceRef pdp_ram_4_1_14)) + (portRef ADB4 (instanceRef pdp_ram_4_2_13)) + (portRef ADB4 (instanceRef pdp_ram_4_3_12)) + (portRef ADB4 (instanceRef pdp_ram_5_0_11)) + (portRef ADB4 (instanceRef pdp_ram_5_1_10)) + (portRef ADB4 (instanceRef pdp_ram_5_2_9)) + (portRef ADB4 (instanceRef pdp_ram_5_3_8)) + (portRef ADB4 (instanceRef pdp_ram_6_0_7)) + (portRef ADB4 (instanceRef pdp_ram_6_1_6)) + (portRef ADB4 (instanceRef pdp_ram_6_2_5)) + (portRef ADB4 (instanceRef pdp_ram_6_3_4)) + (portRef ADB4 (instanceRef pdp_ram_7_0_3)) + (portRef ADB4 (instanceRef pdp_ram_7_1_2)) + (portRef ADB4 (instanceRef pdp_ram_7_2_1)) + (portRef ADB4 (instanceRef pdp_ram_7_3_0)) + (portRef Q (instanceRef FF_35)))) + (net wcount_2 + (joined + (portRef A1 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_79)) + (portRef D (instanceRef FF_49)) + (portRef A0 (instanceRef w_ctr_1)))) + (net wcount_1 + (joined + (portRef A0 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_80)) + (portRef D (instanceRef FF_50)) + (portRef A1 (instanceRef w_ctr_0)))) + (net co0_5 + (joined + (portRef CIN (instanceRef wcnt_1)) + (portRef COUT (instanceRef wcnt_0)))) + (net wcnt_sub_3 + (joined + (portRef S0 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_12)))) + (net wcnt_sub_4 + (joined + (portRef S1 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_11)))) + (net rptr_4 + (joined + (portRef B1 (instanceRef wcnt_2)) + (portRef ADB7 (instanceRef pdp_ram_0_0_31)) + (portRef ADB7 (instanceRef pdp_ram_0_1_30)) + (portRef ADB7 (instanceRef pdp_ram_0_2_29)) + (portRef ADB7 (instanceRef pdp_ram_0_3_28)) + (portRef ADB7 (instanceRef pdp_ram_1_0_27)) + (portRef ADB7 (instanceRef pdp_ram_1_1_26)) + (portRef ADB7 (instanceRef pdp_ram_1_2_25)) + (portRef ADB7 (instanceRef pdp_ram_1_3_24)) + (portRef ADB7 (instanceRef pdp_ram_2_0_23)) + (portRef ADB7 (instanceRef pdp_ram_2_1_22)) + (portRef ADB7 (instanceRef pdp_ram_2_2_21)) + (portRef ADB7 (instanceRef pdp_ram_2_3_20)) + (portRef ADB7 (instanceRef pdp_ram_3_0_19)) + (portRef ADB7 (instanceRef pdp_ram_3_1_18)) + (portRef ADB7 (instanceRef pdp_ram_3_2_17)) + (portRef ADB7 (instanceRef pdp_ram_3_3_16)) + (portRef ADB7 (instanceRef pdp_ram_4_0_15)) + (portRef ADB7 (instanceRef pdp_ram_4_1_14)) + (portRef ADB7 (instanceRef pdp_ram_4_2_13)) + (portRef ADB7 (instanceRef pdp_ram_4_3_12)) + (portRef ADB7 (instanceRef pdp_ram_5_0_11)) + (portRef ADB7 (instanceRef pdp_ram_5_1_10)) + (portRef ADB7 (instanceRef pdp_ram_5_2_9)) + (portRef ADB7 (instanceRef pdp_ram_5_3_8)) + (portRef ADB7 (instanceRef pdp_ram_6_0_7)) + (portRef ADB7 (instanceRef pdp_ram_6_1_6)) + (portRef ADB7 (instanceRef pdp_ram_6_2_5)) + (portRef ADB7 (instanceRef pdp_ram_6_3_4)) + (portRef ADB7 (instanceRef pdp_ram_7_0_3)) + (portRef ADB7 (instanceRef pdp_ram_7_1_2)) + (portRef ADB7 (instanceRef pdp_ram_7_2_1)) + (portRef ADB7 (instanceRef pdp_ram_7_3_0)) + (portRef Q (instanceRef FF_32)))) + (net rptr_3 + (joined + (portRef B0 (instanceRef wcnt_2)) + (portRef ADB6 (instanceRef pdp_ram_0_0_31)) + (portRef ADB6 (instanceRef pdp_ram_0_1_30)) + (portRef ADB6 (instanceRef pdp_ram_0_2_29)) + (portRef ADB6 (instanceRef pdp_ram_0_3_28)) + (portRef ADB6 (instanceRef pdp_ram_1_0_27)) + (portRef ADB6 (instanceRef pdp_ram_1_1_26)) + (portRef ADB6 (instanceRef pdp_ram_1_2_25)) + (portRef ADB6 (instanceRef pdp_ram_1_3_24)) + (portRef ADB6 (instanceRef pdp_ram_2_0_23)) + (portRef ADB6 (instanceRef pdp_ram_2_1_22)) + (portRef ADB6 (instanceRef pdp_ram_2_2_21)) + (portRef ADB6 (instanceRef pdp_ram_2_3_20)) + (portRef ADB6 (instanceRef pdp_ram_3_0_19)) + (portRef ADB6 (instanceRef pdp_ram_3_1_18)) + (portRef ADB6 (instanceRef pdp_ram_3_2_17)) + (portRef ADB6 (instanceRef pdp_ram_3_3_16)) + (portRef ADB6 (instanceRef pdp_ram_4_0_15)) + (portRef ADB6 (instanceRef pdp_ram_4_1_14)) + (portRef ADB6 (instanceRef pdp_ram_4_2_13)) + (portRef ADB6 (instanceRef pdp_ram_4_3_12)) + (portRef ADB6 (instanceRef pdp_ram_5_0_11)) + (portRef ADB6 (instanceRef pdp_ram_5_1_10)) + (portRef ADB6 (instanceRef pdp_ram_5_2_9)) + (portRef ADB6 (instanceRef pdp_ram_5_3_8)) + (portRef ADB6 (instanceRef pdp_ram_6_0_7)) + (portRef ADB6 (instanceRef pdp_ram_6_1_6)) + (portRef ADB6 (instanceRef pdp_ram_6_2_5)) + (portRef ADB6 (instanceRef pdp_ram_6_3_4)) + (portRef ADB6 (instanceRef pdp_ram_7_0_3)) + (portRef ADB6 (instanceRef pdp_ram_7_1_2)) + (portRef ADB6 (instanceRef pdp_ram_7_2_1)) + (portRef ADB6 (instanceRef pdp_ram_7_3_0)) + (portRef Q (instanceRef FF_33)))) + (net wcount_4 + (joined + (portRef A1 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_77)) + (portRef D (instanceRef FF_47)) + (portRef A0 (instanceRef w_ctr_2)))) + (net wcount_3 + (joined + (portRef A0 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_78)) + (portRef D (instanceRef FF_48)) + (portRef A1 (instanceRef w_ctr_1)))) + (net co1_5 + (joined + (portRef CIN (instanceRef wcnt_2)) + (portRef COUT (instanceRef wcnt_1)))) + (net wcnt_sub_5 + (joined + (portRef S0 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_10)))) + (net wcnt_sub_6 + (joined + (portRef S1 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_9)))) + (net rptr_6 + (joined + (portRef B1 (instanceRef wcnt_3)) + (portRef ADB9 (instanceRef pdp_ram_0_0_31)) + (portRef ADB9 (instanceRef pdp_ram_0_1_30)) + (portRef ADB9 (instanceRef pdp_ram_0_2_29)) + (portRef ADB9 (instanceRef pdp_ram_0_3_28)) + (portRef ADB9 (instanceRef pdp_ram_1_0_27)) + (portRef ADB9 (instanceRef pdp_ram_1_1_26)) + (portRef ADB9 (instanceRef pdp_ram_1_2_25)) + (portRef ADB9 (instanceRef pdp_ram_1_3_24)) + (portRef ADB9 (instanceRef pdp_ram_2_0_23)) + (portRef ADB9 (instanceRef pdp_ram_2_1_22)) + (portRef ADB9 (instanceRef pdp_ram_2_2_21)) + (portRef ADB9 (instanceRef pdp_ram_2_3_20)) + (portRef ADB9 (instanceRef pdp_ram_3_0_19)) + (portRef ADB9 (instanceRef pdp_ram_3_1_18)) + (portRef ADB9 (instanceRef pdp_ram_3_2_17)) + (portRef ADB9 (instanceRef pdp_ram_3_3_16)) + (portRef ADB9 (instanceRef pdp_ram_4_0_15)) + (portRef ADB9 (instanceRef pdp_ram_4_1_14)) + (portRef ADB9 (instanceRef pdp_ram_4_2_13)) + (portRef ADB9 (instanceRef pdp_ram_4_3_12)) + (portRef ADB9 (instanceRef pdp_ram_5_0_11)) + (portRef ADB9 (instanceRef pdp_ram_5_1_10)) + (portRef ADB9 (instanceRef pdp_ram_5_2_9)) + (portRef ADB9 (instanceRef pdp_ram_5_3_8)) + (portRef ADB9 (instanceRef pdp_ram_6_0_7)) + (portRef ADB9 (instanceRef pdp_ram_6_1_6)) + (portRef ADB9 (instanceRef pdp_ram_6_2_5)) + (portRef ADB9 (instanceRef pdp_ram_6_3_4)) + (portRef ADB9 (instanceRef pdp_ram_7_0_3)) + (portRef ADB9 (instanceRef pdp_ram_7_1_2)) + (portRef ADB9 (instanceRef pdp_ram_7_2_1)) + (portRef ADB9 (instanceRef pdp_ram_7_3_0)) + (portRef Q (instanceRef FF_30)))) + (net rptr_5 + (joined + (portRef B0 (instanceRef wcnt_3)) + (portRef ADB8 (instanceRef pdp_ram_0_0_31)) + (portRef ADB8 (instanceRef pdp_ram_0_1_30)) + (portRef ADB8 (instanceRef pdp_ram_0_2_29)) + (portRef ADB8 (instanceRef pdp_ram_0_3_28)) + (portRef ADB8 (instanceRef pdp_ram_1_0_27)) + (portRef ADB8 (instanceRef pdp_ram_1_1_26)) + (portRef ADB8 (instanceRef pdp_ram_1_2_25)) + (portRef ADB8 (instanceRef pdp_ram_1_3_24)) + (portRef ADB8 (instanceRef pdp_ram_2_0_23)) + (portRef ADB8 (instanceRef pdp_ram_2_1_22)) + (portRef ADB8 (instanceRef pdp_ram_2_2_21)) + (portRef ADB8 (instanceRef pdp_ram_2_3_20)) + (portRef ADB8 (instanceRef pdp_ram_3_0_19)) + (portRef ADB8 (instanceRef pdp_ram_3_1_18)) + (portRef ADB8 (instanceRef pdp_ram_3_2_17)) + (portRef ADB8 (instanceRef pdp_ram_3_3_16)) + (portRef ADB8 (instanceRef pdp_ram_4_0_15)) + (portRef ADB8 (instanceRef pdp_ram_4_1_14)) + (portRef ADB8 (instanceRef pdp_ram_4_2_13)) + (portRef ADB8 (instanceRef pdp_ram_4_3_12)) + (portRef ADB8 (instanceRef pdp_ram_5_0_11)) + (portRef ADB8 (instanceRef pdp_ram_5_1_10)) + (portRef ADB8 (instanceRef pdp_ram_5_2_9)) + (portRef ADB8 (instanceRef pdp_ram_5_3_8)) + (portRef ADB8 (instanceRef pdp_ram_6_0_7)) + (portRef ADB8 (instanceRef pdp_ram_6_1_6)) + (portRef ADB8 (instanceRef pdp_ram_6_2_5)) + (portRef ADB8 (instanceRef pdp_ram_6_3_4)) + (portRef ADB8 (instanceRef pdp_ram_7_0_3)) + (portRef ADB8 (instanceRef pdp_ram_7_1_2)) + (portRef ADB8 (instanceRef pdp_ram_7_2_1)) + (portRef ADB8 (instanceRef pdp_ram_7_3_0)) + (portRef Q (instanceRef FF_31)))) + (net wcount_6 + (joined + (portRef A1 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_75)) + (portRef D (instanceRef FF_45)) + (portRef A0 (instanceRef w_ctr_3)))) + (net wcount_5 + (joined + (portRef A0 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_76)) + (portRef D (instanceRef FF_46)) + (portRef A1 (instanceRef w_ctr_2)))) + (net co2_5 + (joined + (portRef CIN (instanceRef wcnt_3)) + (portRef COUT (instanceRef wcnt_2)))) + (net wcnt_sub_7 + (joined + (portRef S0 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_8)))) + (net wcnt_sub_8 + (joined + (portRef S1 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_7)))) + (net rptr_8 + (joined + (portRef B1 (instanceRef wcnt_4)) + (portRef ADB11 (instanceRef pdp_ram_0_0_31)) + (portRef ADB11 (instanceRef pdp_ram_0_1_30)) + (portRef ADB11 (instanceRef pdp_ram_0_2_29)) + (portRef ADB11 (instanceRef pdp_ram_0_3_28)) + (portRef ADB11 (instanceRef pdp_ram_1_0_27)) + (portRef ADB11 (instanceRef pdp_ram_1_1_26)) + (portRef ADB11 (instanceRef pdp_ram_1_2_25)) + (portRef ADB11 (instanceRef pdp_ram_1_3_24)) + (portRef ADB11 (instanceRef pdp_ram_2_0_23)) + (portRef ADB11 (instanceRef pdp_ram_2_1_22)) + (portRef ADB11 (instanceRef pdp_ram_2_2_21)) + (portRef ADB11 (instanceRef pdp_ram_2_3_20)) + (portRef ADB11 (instanceRef pdp_ram_3_0_19)) + (portRef ADB11 (instanceRef pdp_ram_3_1_18)) + (portRef ADB11 (instanceRef pdp_ram_3_2_17)) + (portRef ADB11 (instanceRef pdp_ram_3_3_16)) + (portRef ADB11 (instanceRef pdp_ram_4_0_15)) + (portRef ADB11 (instanceRef pdp_ram_4_1_14)) + (portRef ADB11 (instanceRef pdp_ram_4_2_13)) + (portRef ADB11 (instanceRef pdp_ram_4_3_12)) + (portRef ADB11 (instanceRef pdp_ram_5_0_11)) + (portRef ADB11 (instanceRef pdp_ram_5_1_10)) + (portRef ADB11 (instanceRef pdp_ram_5_2_9)) + (portRef ADB11 (instanceRef pdp_ram_5_3_8)) + (portRef ADB11 (instanceRef pdp_ram_6_0_7)) + (portRef ADB11 (instanceRef pdp_ram_6_1_6)) + (portRef ADB11 (instanceRef pdp_ram_6_2_5)) + (portRef ADB11 (instanceRef pdp_ram_6_3_4)) + (portRef ADB11 (instanceRef pdp_ram_7_0_3)) + (portRef ADB11 (instanceRef pdp_ram_7_1_2)) + (portRef ADB11 (instanceRef pdp_ram_7_2_1)) + (portRef ADB11 (instanceRef pdp_ram_7_3_0)) + (portRef Q (instanceRef FF_28)))) + (net rptr_7 + (joined + (portRef B0 (instanceRef wcnt_4)) + (portRef ADB10 (instanceRef pdp_ram_0_0_31)) + (portRef ADB10 (instanceRef pdp_ram_0_1_30)) + (portRef ADB10 (instanceRef pdp_ram_0_2_29)) + (portRef ADB10 (instanceRef pdp_ram_0_3_28)) + (portRef ADB10 (instanceRef pdp_ram_1_0_27)) + (portRef ADB10 (instanceRef pdp_ram_1_1_26)) + (portRef ADB10 (instanceRef pdp_ram_1_2_25)) + (portRef ADB10 (instanceRef pdp_ram_1_3_24)) + (portRef ADB10 (instanceRef pdp_ram_2_0_23)) + (portRef ADB10 (instanceRef pdp_ram_2_1_22)) + (portRef ADB10 (instanceRef pdp_ram_2_2_21)) + (portRef ADB10 (instanceRef pdp_ram_2_3_20)) + (portRef ADB10 (instanceRef pdp_ram_3_0_19)) + (portRef ADB10 (instanceRef pdp_ram_3_1_18)) + (portRef ADB10 (instanceRef pdp_ram_3_2_17)) + (portRef ADB10 (instanceRef pdp_ram_3_3_16)) + (portRef ADB10 (instanceRef pdp_ram_4_0_15)) + (portRef ADB10 (instanceRef pdp_ram_4_1_14)) + (portRef ADB10 (instanceRef pdp_ram_4_2_13)) + (portRef ADB10 (instanceRef pdp_ram_4_3_12)) + (portRef ADB10 (instanceRef pdp_ram_5_0_11)) + (portRef ADB10 (instanceRef pdp_ram_5_1_10)) + (portRef ADB10 (instanceRef pdp_ram_5_2_9)) + (portRef ADB10 (instanceRef pdp_ram_5_3_8)) + (portRef ADB10 (instanceRef pdp_ram_6_0_7)) + (portRef ADB10 (instanceRef pdp_ram_6_1_6)) + (portRef ADB10 (instanceRef pdp_ram_6_2_5)) + (portRef ADB10 (instanceRef pdp_ram_6_3_4)) + (portRef ADB10 (instanceRef pdp_ram_7_0_3)) + (portRef ADB10 (instanceRef pdp_ram_7_1_2)) + (portRef ADB10 (instanceRef pdp_ram_7_2_1)) + (portRef ADB10 (instanceRef pdp_ram_7_3_0)) + (portRef Q (instanceRef FF_29)))) + (net wcount_8 + (joined + (portRef A1 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_73)) + (portRef D (instanceRef FF_43)) + (portRef A0 (instanceRef w_ctr_4)))) + (net wcount_7 + (joined + (portRef A0 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_74)) + (portRef D (instanceRef FF_44)) + (portRef A1 (instanceRef w_ctr_3)))) + (net co3_5 + (joined + (portRef CIN (instanceRef wcnt_4)) + (portRef COUT (instanceRef wcnt_3)))) + (net wcnt_sub_9 + (joined + (portRef S0 (instanceRef wcnt_5)) + (portRef D (instanceRef FF_6)))) + (net wcnt_sub_10 + (joined + (portRef S1 (instanceRef wcnt_5)) + (portRef D (instanceRef FF_5)))) + (net rptr_10 + (joined + (portRef B1 (instanceRef wcnt_5)) + (portRef ADB13 (instanceRef pdp_ram_0_0_31)) + (portRef ADB13 (instanceRef pdp_ram_0_1_30)) + (portRef ADB13 (instanceRef pdp_ram_0_2_29)) + (portRef ADB13 (instanceRef pdp_ram_0_3_28)) + (portRef ADB13 (instanceRef pdp_ram_1_0_27)) + (portRef ADB13 (instanceRef pdp_ram_1_1_26)) + (portRef ADB13 (instanceRef pdp_ram_1_2_25)) + (portRef ADB13 (instanceRef pdp_ram_1_3_24)) + (portRef ADB13 (instanceRef pdp_ram_2_0_23)) + (portRef ADB13 (instanceRef pdp_ram_2_1_22)) + (portRef ADB13 (instanceRef pdp_ram_2_2_21)) + (portRef ADB13 (instanceRef pdp_ram_2_3_20)) + (portRef ADB13 (instanceRef pdp_ram_3_0_19)) + (portRef ADB13 (instanceRef pdp_ram_3_1_18)) + (portRef ADB13 (instanceRef pdp_ram_3_2_17)) + (portRef ADB13 (instanceRef pdp_ram_3_3_16)) + (portRef ADB13 (instanceRef pdp_ram_4_0_15)) + (portRef ADB13 (instanceRef pdp_ram_4_1_14)) + (portRef ADB13 (instanceRef pdp_ram_4_2_13)) + (portRef ADB13 (instanceRef pdp_ram_4_3_12)) + (portRef ADB13 (instanceRef pdp_ram_5_0_11)) + (portRef ADB13 (instanceRef pdp_ram_5_1_10)) + (portRef ADB13 (instanceRef pdp_ram_5_2_9)) + (portRef ADB13 (instanceRef pdp_ram_5_3_8)) + (portRef ADB13 (instanceRef pdp_ram_6_0_7)) + (portRef ADB13 (instanceRef pdp_ram_6_1_6)) + (portRef ADB13 (instanceRef pdp_ram_6_2_5)) + (portRef ADB13 (instanceRef pdp_ram_6_3_4)) + (portRef ADB13 (instanceRef pdp_ram_7_0_3)) + (portRef ADB13 (instanceRef pdp_ram_7_1_2)) + (portRef ADB13 (instanceRef pdp_ram_7_2_1)) + (portRef ADB13 (instanceRef pdp_ram_7_3_0)) + (portRef Q (instanceRef FF_26)))) + (net rptr_9 + (joined + (portRef B0 (instanceRef wcnt_5)) + (portRef ADB12 (instanceRef pdp_ram_0_0_31)) + (portRef ADB12 (instanceRef pdp_ram_0_1_30)) + (portRef ADB12 (instanceRef pdp_ram_0_2_29)) + (portRef ADB12 (instanceRef pdp_ram_0_3_28)) + (portRef ADB12 (instanceRef pdp_ram_1_0_27)) + (portRef ADB12 (instanceRef pdp_ram_1_1_26)) + (portRef ADB12 (instanceRef pdp_ram_1_2_25)) + (portRef ADB12 (instanceRef pdp_ram_1_3_24)) + (portRef ADB12 (instanceRef pdp_ram_2_0_23)) + (portRef ADB12 (instanceRef pdp_ram_2_1_22)) + (portRef ADB12 (instanceRef pdp_ram_2_2_21)) + (portRef ADB12 (instanceRef pdp_ram_2_3_20)) + (portRef ADB12 (instanceRef pdp_ram_3_0_19)) + (portRef ADB12 (instanceRef pdp_ram_3_1_18)) + (portRef ADB12 (instanceRef pdp_ram_3_2_17)) + (portRef ADB12 (instanceRef pdp_ram_3_3_16)) + (portRef ADB12 (instanceRef pdp_ram_4_0_15)) + (portRef ADB12 (instanceRef pdp_ram_4_1_14)) + (portRef ADB12 (instanceRef pdp_ram_4_2_13)) + (portRef ADB12 (instanceRef pdp_ram_4_3_12)) + (portRef ADB12 (instanceRef pdp_ram_5_0_11)) + (portRef ADB12 (instanceRef pdp_ram_5_1_10)) + (portRef ADB12 (instanceRef pdp_ram_5_2_9)) + (portRef ADB12 (instanceRef pdp_ram_5_3_8)) + (portRef ADB12 (instanceRef pdp_ram_6_0_7)) + (portRef ADB12 (instanceRef pdp_ram_6_1_6)) + (portRef ADB12 (instanceRef pdp_ram_6_2_5)) + (portRef ADB12 (instanceRef pdp_ram_6_3_4)) + (portRef ADB12 (instanceRef pdp_ram_7_0_3)) + (portRef ADB12 (instanceRef pdp_ram_7_1_2)) + (portRef ADB12 (instanceRef pdp_ram_7_2_1)) + (portRef ADB12 (instanceRef pdp_ram_7_3_0)) + (portRef Q (instanceRef FF_27)))) + (net wcount_10 + (joined + (portRef A1 (instanceRef wcnt_5)) + (portRef Q (instanceRef FF_71)) + (portRef D (instanceRef FF_41)) + (portRef A0 (instanceRef w_ctr_5)))) + (net wcount_9 + (joined + (portRef A0 (instanceRef wcnt_5)) + (portRef Q (instanceRef FF_72)) + (portRef D (instanceRef FF_42)) + (portRef A1 (instanceRef w_ctr_4)))) + (net co4_5 + (joined + (portRef CIN (instanceRef wcnt_5)) + (portRef COUT (instanceRef wcnt_4)))) + (net wcnt_sub_11 + (joined + (portRef S0 (instanceRef wcnt_6)) + (portRef D (instanceRef FF_4)))) + (net wcnt_sub_12 + (joined + (portRef S1 (instanceRef wcnt_6)) + (portRef D (instanceRef FF_3)))) + (net rptr_12 + (joined + (portRef B1 (instanceRef wcnt_6)) + (portRef CSB1 (instanceRef pdp_ram_0_0_31)) + (portRef CSB1 (instanceRef pdp_ram_0_1_30)) + (portRef CSB1 (instanceRef pdp_ram_0_2_29)) + (portRef CSB1 (instanceRef pdp_ram_0_3_28)) + (portRef CSB1 (instanceRef pdp_ram_1_0_27)) + (portRef CSB1 (instanceRef pdp_ram_1_1_26)) + (portRef CSB1 (instanceRef pdp_ram_1_2_25)) + (portRef CSB1 (instanceRef pdp_ram_1_3_24)) + (portRef CSB1 (instanceRef pdp_ram_2_0_23)) + (portRef CSB1 (instanceRef pdp_ram_2_1_22)) + (portRef CSB1 (instanceRef pdp_ram_2_2_21)) + (portRef CSB1 (instanceRef pdp_ram_2_3_20)) + (portRef CSB1 (instanceRef pdp_ram_3_0_19)) + (portRef CSB1 (instanceRef pdp_ram_3_1_18)) + (portRef CSB1 (instanceRef pdp_ram_3_2_17)) + (portRef CSB1 (instanceRef pdp_ram_3_3_16)) + (portRef CSB1 (instanceRef pdp_ram_4_0_15)) + (portRef CSB1 (instanceRef pdp_ram_4_1_14)) + (portRef CSB1 (instanceRef pdp_ram_4_2_13)) + (portRef CSB1 (instanceRef pdp_ram_4_3_12)) + (portRef CSB1 (instanceRef pdp_ram_5_0_11)) + (portRef CSB1 (instanceRef pdp_ram_5_1_10)) + (portRef CSB1 (instanceRef pdp_ram_5_2_9)) + (portRef CSB1 (instanceRef pdp_ram_5_3_8)) + (portRef CSB1 (instanceRef pdp_ram_6_0_7)) + (portRef CSB1 (instanceRef pdp_ram_6_1_6)) + (portRef CSB1 (instanceRef pdp_ram_6_2_5)) + (portRef CSB1 (instanceRef pdp_ram_6_3_4)) + (portRef CSB1 (instanceRef pdp_ram_7_0_3)) + (portRef CSB1 (instanceRef pdp_ram_7_1_2)) + (portRef CSB1 (instanceRef pdp_ram_7_2_1)) + (portRef CSB1 (instanceRef pdp_ram_7_3_0)) + (portRef Q (instanceRef FF_24)) + (portRef D (instanceRef FF_20)))) + (net rptr_11 + (joined + (portRef B0 (instanceRef wcnt_6)) + (portRef CSB0 (instanceRef pdp_ram_0_0_31)) + (portRef CSB0 (instanceRef pdp_ram_0_1_30)) + (portRef CSB0 (instanceRef pdp_ram_0_2_29)) + (portRef CSB0 (instanceRef pdp_ram_0_3_28)) + (portRef CSB0 (instanceRef pdp_ram_1_0_27)) + (portRef CSB0 (instanceRef pdp_ram_1_1_26)) + (portRef CSB0 (instanceRef pdp_ram_1_2_25)) + (portRef CSB0 (instanceRef pdp_ram_1_3_24)) + (portRef CSB0 (instanceRef pdp_ram_2_0_23)) + (portRef CSB0 (instanceRef pdp_ram_2_1_22)) + (portRef CSB0 (instanceRef pdp_ram_2_2_21)) + (portRef CSB0 (instanceRef pdp_ram_2_3_20)) + (portRef CSB0 (instanceRef pdp_ram_3_0_19)) + (portRef CSB0 (instanceRef pdp_ram_3_1_18)) + (portRef CSB0 (instanceRef pdp_ram_3_2_17)) + (portRef CSB0 (instanceRef pdp_ram_3_3_16)) + (portRef CSB0 (instanceRef pdp_ram_4_0_15)) + (portRef CSB0 (instanceRef pdp_ram_4_1_14)) + (portRef CSB0 (instanceRef pdp_ram_4_2_13)) + (portRef CSB0 (instanceRef pdp_ram_4_3_12)) + (portRef CSB0 (instanceRef pdp_ram_5_0_11)) + (portRef CSB0 (instanceRef pdp_ram_5_1_10)) + (portRef CSB0 (instanceRef pdp_ram_5_2_9)) + (portRef CSB0 (instanceRef pdp_ram_5_3_8)) + (portRef CSB0 (instanceRef pdp_ram_6_0_7)) + (portRef CSB0 (instanceRef pdp_ram_6_1_6)) + (portRef CSB0 (instanceRef pdp_ram_6_2_5)) + (portRef CSB0 (instanceRef pdp_ram_6_3_4)) + (portRef CSB0 (instanceRef pdp_ram_7_0_3)) + (portRef CSB0 (instanceRef pdp_ram_7_1_2)) + (portRef CSB0 (instanceRef pdp_ram_7_2_1)) + (portRef CSB0 (instanceRef pdp_ram_7_3_0)) + (portRef Q (instanceRef FF_25)) + (portRef D (instanceRef FF_21)))) + (net wcount_12 + (joined + (portRef A1 (instanceRef wcnt_6)) + (portRef Q (instanceRef FF_69)) + (portRef D (instanceRef FF_39)) + (portRef A0 (instanceRef w_ctr_6)))) + (net wcount_11 + (joined + (portRef A0 (instanceRef wcnt_6)) + (portRef Q (instanceRef FF_70)) + (portRef D (instanceRef FF_40)) + (portRef A1 (instanceRef w_ctr_5)))) + (net co5_5 + (joined + (portRef CIN (instanceRef wcnt_6)) + (portRef COUT (instanceRef wcnt_5)))) + (net wcnt_sub_13 + (joined + (portRef S0 (instanceRef wcnt_7)) + (portRef D (instanceRef FF_2)))) + (net wcnt_sub_14 + (joined + (portRef S1 (instanceRef wcnt_7)) + (portRef D (instanceRef FF_1)))) + (net rptr_13 + (joined + (portRef B0 (instanceRef wcnt_7)) + (portRef CSB2 (instanceRef pdp_ram_0_0_31)) + (portRef CSB2 (instanceRef pdp_ram_0_1_30)) + (portRef CSB2 (instanceRef pdp_ram_0_2_29)) + (portRef CSB2 (instanceRef pdp_ram_0_3_28)) + (portRef CSB2 (instanceRef pdp_ram_1_0_27)) + (portRef CSB2 (instanceRef pdp_ram_1_1_26)) + (portRef CSB2 (instanceRef pdp_ram_1_2_25)) + (portRef CSB2 (instanceRef pdp_ram_1_3_24)) + (portRef CSB2 (instanceRef pdp_ram_2_0_23)) + (portRef CSB2 (instanceRef pdp_ram_2_1_22)) + (portRef CSB2 (instanceRef pdp_ram_2_2_21)) + (portRef CSB2 (instanceRef pdp_ram_2_3_20)) + (portRef CSB2 (instanceRef pdp_ram_3_0_19)) + (portRef CSB2 (instanceRef pdp_ram_3_1_18)) + (portRef CSB2 (instanceRef pdp_ram_3_2_17)) + (portRef CSB2 (instanceRef pdp_ram_3_3_16)) + (portRef CSB2 (instanceRef pdp_ram_4_0_15)) + (portRef CSB2 (instanceRef pdp_ram_4_1_14)) + (portRef CSB2 (instanceRef pdp_ram_4_2_13)) + (portRef CSB2 (instanceRef pdp_ram_4_3_12)) + (portRef CSB2 (instanceRef pdp_ram_5_0_11)) + (portRef CSB2 (instanceRef pdp_ram_5_1_10)) + (portRef CSB2 (instanceRef pdp_ram_5_2_9)) + (portRef CSB2 (instanceRef pdp_ram_5_3_8)) + (portRef CSB2 (instanceRef pdp_ram_6_0_7)) + (portRef CSB2 (instanceRef pdp_ram_6_1_6)) + (portRef CSB2 (instanceRef pdp_ram_6_2_5)) + (portRef CSB2 (instanceRef pdp_ram_6_3_4)) + (portRef CSB2 (instanceRef pdp_ram_7_0_3)) + (portRef CSB2 (instanceRef pdp_ram_7_1_2)) + (portRef CSB2 (instanceRef pdp_ram_7_2_1)) + (portRef CSB2 (instanceRef pdp_ram_7_3_0)) + (portRef Q (instanceRef FF_23)) + (portRef D (instanceRef FF_19)))) + (net wcnt_sub_msb + (joined + (portRef A1 (instanceRef wcnt_7)) + (portRef Z (instanceRef XOR2_t0)))) + (net wcount_13 + (joined + (portRef A0 (instanceRef wcnt_7)) + (portRef Q (instanceRef FF_68)) + (portRef D (instanceRef FF_38)) + (portRef A1 (instanceRef w_ctr_6)))) + (net co6_5 + (joined + (portRef CIN (instanceRef wcnt_7)) + (portRef COUT (instanceRef wcnt_6)))) + (net co7_3d + (joined + (portRef S0 (instanceRef wcntd)))) + (net co7_3 + (joined + (portRef CIN (instanceRef wcntd)) + (portRef COUT (instanceRef wcnt_7)))) + (net wren_i + (joined + (portRef A1 (instanceRef af_set_cmp_ci_a)) + (portRef Z (instanceRef AND2_t5)) + (portRef A (instanceRef AND2_t3)) + (portRef A (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_2)) + (portRef AD1 (instanceRef LUT4_1)) + (portRef A (instanceRef INV_1)) + (portRef OCEA (instanceRef pdp_ram_0_0_31)) + (portRef CEA (instanceRef pdp_ram_0_0_31)) + (portRef OCEA (instanceRef pdp_ram_0_1_30)) + (portRef CEA (instanceRef pdp_ram_0_1_30)) + (portRef OCEA (instanceRef pdp_ram_0_2_29)) + (portRef CEA (instanceRef pdp_ram_0_2_29)) + (portRef OCEA (instanceRef pdp_ram_0_3_28)) + (portRef CEA (instanceRef pdp_ram_0_3_28)) + (portRef OCEA (instanceRef pdp_ram_1_0_27)) + (portRef CEA (instanceRef pdp_ram_1_0_27)) + (portRef OCEA (instanceRef pdp_ram_1_1_26)) + (portRef CEA (instanceRef pdp_ram_1_1_26)) + (portRef OCEA (instanceRef pdp_ram_1_2_25)) + (portRef CEA (instanceRef pdp_ram_1_2_25)) + (portRef OCEA (instanceRef pdp_ram_1_3_24)) + (portRef CEA (instanceRef pdp_ram_1_3_24)) + (portRef OCEA (instanceRef pdp_ram_2_0_23)) + (portRef CEA (instanceRef pdp_ram_2_0_23)) + (portRef OCEA (instanceRef pdp_ram_2_1_22)) + (portRef CEA (instanceRef pdp_ram_2_1_22)) + (portRef OCEA (instanceRef pdp_ram_2_2_21)) + (portRef CEA (instanceRef pdp_ram_2_2_21)) + (portRef OCEA (instanceRef pdp_ram_2_3_20)) + (portRef CEA (instanceRef pdp_ram_2_3_20)) + (portRef OCEA (instanceRef pdp_ram_3_0_19)) + (portRef CEA (instanceRef pdp_ram_3_0_19)) + (portRef OCEA (instanceRef pdp_ram_3_1_18)) + (portRef CEA (instanceRef pdp_ram_3_1_18)) + (portRef OCEA (instanceRef pdp_ram_3_2_17)) + (portRef CEA (instanceRef pdp_ram_3_2_17)) + (portRef OCEA (instanceRef pdp_ram_3_3_16)) + (portRef CEA (instanceRef pdp_ram_3_3_16)) + (portRef OCEA (instanceRef pdp_ram_4_0_15)) + (portRef CEA (instanceRef pdp_ram_4_0_15)) + (portRef OCEA (instanceRef pdp_ram_4_1_14)) + (portRef CEA (instanceRef pdp_ram_4_1_14)) + (portRef OCEA (instanceRef pdp_ram_4_2_13)) + (portRef CEA (instanceRef pdp_ram_4_2_13)) + (portRef OCEA (instanceRef pdp_ram_4_3_12)) + (portRef CEA (instanceRef pdp_ram_4_3_12)) + (portRef OCEA (instanceRef pdp_ram_5_0_11)) + (portRef CEA (instanceRef pdp_ram_5_0_11)) + (portRef OCEA (instanceRef pdp_ram_5_1_10)) + (portRef CEA (instanceRef pdp_ram_5_1_10)) + (portRef OCEA (instanceRef pdp_ram_5_2_9)) + (portRef CEA (instanceRef pdp_ram_5_2_9)) + (portRef OCEA (instanceRef pdp_ram_5_3_8)) + (portRef CEA (instanceRef pdp_ram_5_3_8)) + (portRef OCEA (instanceRef pdp_ram_6_0_7)) + (portRef CEA (instanceRef pdp_ram_6_0_7)) + (portRef OCEA (instanceRef pdp_ram_6_1_6)) + (portRef CEA (instanceRef pdp_ram_6_1_6)) + (portRef OCEA (instanceRef pdp_ram_6_2_5)) + (portRef CEA (instanceRef pdp_ram_6_2_5)) + (portRef OCEA (instanceRef pdp_ram_6_3_4)) + (portRef CEA (instanceRef pdp_ram_6_3_4)) + (portRef OCEA (instanceRef pdp_ram_7_0_3)) + (portRef CEA (instanceRef pdp_ram_7_0_3)) + (portRef OCEA (instanceRef pdp_ram_7_1_2)) + (portRef CEA (instanceRef pdp_ram_7_1_2)) + (portRef OCEA (instanceRef pdp_ram_7_2_1)) + (portRef CEA (instanceRef pdp_ram_7_2_1)) + (portRef OCEA (instanceRef pdp_ram_7_3_0)) + (portRef CEA (instanceRef pdp_ram_7_3_0)) + (portRef SP (instanceRef FF_81)) + (portRef SP (instanceRef FF_80)) + (portRef SP (instanceRef FF_79)) + (portRef SP (instanceRef FF_78)) + (portRef SP (instanceRef FF_77)) + (portRef SP (instanceRef FF_76)) + (portRef SP (instanceRef FF_75)) + (portRef SP (instanceRef FF_74)) + (portRef SP (instanceRef FF_73)) + (portRef SP (instanceRef FF_72)) + (portRef SP (instanceRef FF_71)) + (portRef SP (instanceRef FF_70)) + (portRef SP (instanceRef FF_69)) + (portRef SP (instanceRef FF_68)) + (portRef SP (instanceRef FF_67)) + (portRef SP (instanceRef FF_51)) + (portRef SP (instanceRef FF_50)) + (portRef SP (instanceRef FF_49)) + (portRef SP (instanceRef FF_48)) + (portRef SP (instanceRef FF_47)) + (portRef SP (instanceRef FF_46)) + (portRef SP (instanceRef FF_45)) + (portRef SP (instanceRef FF_44)) + (portRef SP (instanceRef FF_43)) + (portRef SP (instanceRef FF_42)) + (portRef SP (instanceRef FF_41)) + (portRef SP (instanceRef FF_40)) + (portRef SP (instanceRef FF_39)) + (portRef SP (instanceRef FF_38)) + (portRef SP (instanceRef FF_37)) + (portRef B0 (instanceRef g_cmp_0)) + (portRef B1 (instanceRef g_cmp_0)) + (portRef B0 (instanceRef g_cmp_1)) + (portRef B1 (instanceRef g_cmp_1)) + (portRef B0 (instanceRef g_cmp_2)) + (portRef B1 (instanceRef g_cmp_2)) + (portRef B0 (instanceRef g_cmp_3)) + (portRef B1 (instanceRef g_cmp_3)) + (portRef B0 (instanceRef g_cmp_4)) + (portRef B1 (instanceRef g_cmp_4)) + (portRef B0 (instanceRef g_cmp_5)) + (portRef B1 (instanceRef g_cmp_5)) + (portRef B0 (instanceRef g_cmp_6)) + (portRef B1 (instanceRef g_cmp_6)) + (portRef B1 (instanceRef af_set_cmp_ci_a)))) + (net x + (joined + (portRef CIN (instanceRef af_set_cmp_ci_a)) + (portRef CIN (instanceRef bdcnt_bctr_cia)) + (portRef CIN (instanceRef e_cmp_ci_a)) + (portRef CIN (instanceRef g_cmp_ci_a)) + (portRef CIN (instanceRef w_ctr_cia)) + (portRef CIN (instanceRef r_ctr_cia)) + (portRef CIN (instanceRef precin_inst636)))) + (net cmp_ci_2 + (joined + (portRef CIN (instanceRef af_set_cmp_0)) + (portRef COUT (instanceRef af_set_cmp_ci_a)))) + (net wcnt_reg_0 + (joined + (portRef A0 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_15)))) + (net wcnt_reg_1 + (joined + (portRef A1 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_14)))) + (net co0_6 + (joined + (portRef CIN (instanceRef af_set_cmp_1)) + (portRef COUT (instanceRef af_set_cmp_0)))) + (net wcnt_reg_2 + (joined + (portRef A0 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_13)))) + (net wcnt_reg_3 + (joined + (portRef A1 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_12)))) + (net co1_6 + (joined + (portRef CIN (instanceRef af_set_cmp_2)) + (portRef COUT (instanceRef af_set_cmp_1)))) + (net wcnt_reg_4 + (joined + (portRef A0 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_11)))) + (net wcnt_reg_5 + (joined + (portRef A1 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_10)))) + (net co2_6 + (joined + (portRef CIN (instanceRef af_set_cmp_3)) + (portRef COUT (instanceRef af_set_cmp_2)))) + (net wcnt_reg_6 + (joined + (portRef A0 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_9)))) + (net wcnt_reg_7 + (joined + (portRef A1 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_8)))) + (net co3_6 + (joined + (portRef CIN (instanceRef af_set_cmp_4)) + (portRef COUT (instanceRef af_set_cmp_3)))) + (net wcnt_reg_8 + (joined + (portRef A0 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_7)))) + (net wcnt_reg_9 + (joined + (portRef A1 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_6)))) + (net co4_6 + (joined + (portRef CIN (instanceRef af_set_cmp_5)) + (portRef COUT (instanceRef af_set_cmp_4)))) + (net wcnt_reg_10 + (joined + (portRef A0 (instanceRef af_set_cmp_5)) + (portRef Q (instanceRef FF_5)))) + (net wcnt_reg_11 + (joined + (portRef A1 (instanceRef af_set_cmp_5)) + (portRef Q (instanceRef FF_4)))) + (net co5_6 + (joined + (portRef CIN (instanceRef af_set_cmp_6)) + (portRef COUT (instanceRef af_set_cmp_5)))) + (net wcnt_reg_12 + (joined + (portRef A0 (instanceRef af_set_cmp_6)) + (portRef Q (instanceRef FF_3)))) + (net wcnt_reg_13 + (joined + (portRef A1 (instanceRef af_set_cmp_6)) + (portRef Q (instanceRef FF_2)))) + (net co6_6 + (joined + (portRef CIN (instanceRef af_set_cmp_7)) + (portRef COUT (instanceRef af_set_cmp_6)))) + (net wcnt_reg_14 + (joined + (portRef A0 (instanceRef af_set_cmp_7)) + (portRef Q (instanceRef FF_1)))) + (net af_set + (joined + (portRef S0 (instanceRef a2)) + (portRef D (instanceRef FF_0)))) + (net scuba_vhi + (joined + (portRef Z (instanceRef scuba_vhi_inst)) + (portRef OCEB (instanceRef pdp_ram_0_0_31)) + (portRef WEA (instanceRef pdp_ram_0_0_31)) + (portRef OCEB (instanceRef pdp_ram_0_1_30)) + (portRef WEA (instanceRef pdp_ram_0_1_30)) + (portRef OCEB (instanceRef pdp_ram_0_2_29)) + (portRef WEA (instanceRef pdp_ram_0_2_29)) + (portRef OCEB (instanceRef pdp_ram_0_3_28)) + (portRef WEA (instanceRef pdp_ram_0_3_28)) + (portRef OCEB (instanceRef pdp_ram_1_0_27)) + (portRef WEA (instanceRef pdp_ram_1_0_27)) + (portRef OCEB (instanceRef pdp_ram_1_1_26)) + (portRef WEA (instanceRef pdp_ram_1_1_26)) + (portRef OCEB (instanceRef pdp_ram_1_2_25)) + (portRef WEA (instanceRef pdp_ram_1_2_25)) + (portRef OCEB (instanceRef pdp_ram_1_3_24)) + (portRef WEA (instanceRef pdp_ram_1_3_24)) + (portRef OCEB (instanceRef pdp_ram_2_0_23)) + (portRef WEA (instanceRef pdp_ram_2_0_23)) + (portRef OCEB (instanceRef pdp_ram_2_1_22)) + (portRef WEA (instanceRef pdp_ram_2_1_22)) + (portRef OCEB (instanceRef pdp_ram_2_2_21)) + (portRef WEA (instanceRef pdp_ram_2_2_21)) + (portRef OCEB (instanceRef pdp_ram_2_3_20)) + (portRef WEA (instanceRef pdp_ram_2_3_20)) + (portRef OCEB (instanceRef pdp_ram_3_0_19)) + (portRef WEA (instanceRef pdp_ram_3_0_19)) + (portRef OCEB (instanceRef pdp_ram_3_1_18)) + (portRef WEA (instanceRef pdp_ram_3_1_18)) + (portRef OCEB (instanceRef pdp_ram_3_2_17)) + (portRef WEA (instanceRef pdp_ram_3_2_17)) + (portRef OCEB (instanceRef pdp_ram_3_3_16)) + (portRef WEA (instanceRef pdp_ram_3_3_16)) + (portRef OCEB (instanceRef pdp_ram_4_0_15)) + (portRef WEA (instanceRef pdp_ram_4_0_15)) + (portRef OCEB (instanceRef pdp_ram_4_1_14)) + (portRef WEA (instanceRef pdp_ram_4_1_14)) + (portRef OCEB (instanceRef pdp_ram_4_2_13)) + (portRef WEA (instanceRef pdp_ram_4_2_13)) + (portRef OCEB (instanceRef pdp_ram_4_3_12)) + (portRef WEA (instanceRef pdp_ram_4_3_12)) + (portRef OCEB (instanceRef pdp_ram_5_0_11)) + (portRef WEA (instanceRef pdp_ram_5_0_11)) + (portRef OCEB (instanceRef pdp_ram_5_1_10)) + (portRef WEA (instanceRef pdp_ram_5_1_10)) + (portRef OCEB (instanceRef pdp_ram_5_2_9)) + (portRef WEA (instanceRef pdp_ram_5_2_9)) + (portRef OCEB (instanceRef pdp_ram_5_3_8)) + (portRef WEA (instanceRef pdp_ram_5_3_8)) + (portRef OCEB (instanceRef pdp_ram_6_0_7)) + (portRef WEA (instanceRef pdp_ram_6_0_7)) + (portRef OCEB (instanceRef pdp_ram_6_1_6)) + (portRef WEA (instanceRef pdp_ram_6_1_6)) + (portRef OCEB (instanceRef pdp_ram_6_2_5)) + (portRef WEA (instanceRef pdp_ram_6_2_5)) + (portRef OCEB (instanceRef pdp_ram_6_3_4)) + (portRef WEA (instanceRef pdp_ram_6_3_4)) + (portRef OCEB (instanceRef pdp_ram_7_0_3)) + (portRef WEA (instanceRef pdp_ram_7_0_3)) + (portRef OCEB (instanceRef pdp_ram_7_1_2)) + (portRef WEA (instanceRef pdp_ram_7_1_2)) + (portRef OCEB (instanceRef pdp_ram_7_2_1)) + (portRef WEA (instanceRef pdp_ram_7_2_1)) + (portRef OCEB (instanceRef pdp_ram_7_3_0)) + (portRef WEA (instanceRef pdp_ram_7_3_0)) + (portRef C1 (instanceRef bdcnt_bctr_cia)) + (portRef C0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_cia)) + (portRef D0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_0)) + (portRef D0 (instanceRef bdcnt_bctr_0)) + (portRef C1 (instanceRef bdcnt_bctr_0)) + (portRef C0 (instanceRef bdcnt_bctr_0)) + (portRef D1 (instanceRef bdcnt_bctr_1)) + (portRef D0 (instanceRef bdcnt_bctr_1)) + (portRef C1 (instanceRef bdcnt_bctr_1)) + (portRef C0 (instanceRef bdcnt_bctr_1)) + (portRef D1 (instanceRef bdcnt_bctr_2)) + (portRef D0 (instanceRef bdcnt_bctr_2)) + (portRef C1 (instanceRef bdcnt_bctr_2)) + (portRef C0 (instanceRef bdcnt_bctr_2)) + (portRef D1 (instanceRef bdcnt_bctr_3)) + (portRef D0 (instanceRef bdcnt_bctr_3)) + (portRef C1 (instanceRef bdcnt_bctr_3)) + (portRef C0 (instanceRef bdcnt_bctr_3)) + (portRef D1 (instanceRef bdcnt_bctr_4)) + (portRef D0 (instanceRef bdcnt_bctr_4)) + (portRef C1 (instanceRef bdcnt_bctr_4)) + (portRef C0 (instanceRef bdcnt_bctr_4)) + (portRef D1 (instanceRef bdcnt_bctr_5)) + (portRef D0 (instanceRef bdcnt_bctr_5)) + (portRef C1 (instanceRef bdcnt_bctr_5)) + (portRef C0 (instanceRef bdcnt_bctr_5)) + (portRef D1 (instanceRef bdcnt_bctr_6)) + (portRef D0 (instanceRef bdcnt_bctr_6)) + (portRef C1 (instanceRef bdcnt_bctr_6)) + (portRef C0 (instanceRef bdcnt_bctr_6)) + (portRef D1 (instanceRef bdcnt_bctr_7)) + (portRef D0 (instanceRef bdcnt_bctr_7)) + (portRef C1 (instanceRef bdcnt_bctr_7)) + (portRef C0 (instanceRef bdcnt_bctr_7)) + (portRef C1 (instanceRef e_cmp_ci_a)) + (portRef C0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_ci_a)) + (portRef D0 (instanceRef e_cmp_ci_a)) + (portRef B1 (instanceRef e_cmp_ci_a)) + (portRef B0 (instanceRef e_cmp_ci_a)) + (portRef A1 (instanceRef e_cmp_ci_a)) + (portRef A0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_0)) + (portRef D0 (instanceRef e_cmp_0)) + (portRef C1 (instanceRef e_cmp_0)) + (portRef C0 (instanceRef e_cmp_0)) + (portRef D1 (instanceRef e_cmp_1)) + (portRef D0 (instanceRef e_cmp_1)) + (portRef C1 (instanceRef e_cmp_1)) + (portRef C0 (instanceRef e_cmp_1)) + (portRef D1 (instanceRef e_cmp_2)) + (portRef D0 (instanceRef e_cmp_2)) + (portRef C1 (instanceRef e_cmp_2)) + (portRef C0 (instanceRef e_cmp_2)) + (portRef D1 (instanceRef e_cmp_3)) + (portRef D0 (instanceRef e_cmp_3)) + (portRef C1 (instanceRef e_cmp_3)) + (portRef C0 (instanceRef e_cmp_3)) + (portRef D1 (instanceRef e_cmp_4)) + (portRef D0 (instanceRef e_cmp_4)) + (portRef C1 (instanceRef e_cmp_4)) + (portRef C0 (instanceRef e_cmp_4)) + (portRef D1 (instanceRef e_cmp_5)) + (portRef D0 (instanceRef e_cmp_5)) + (portRef C1 (instanceRef e_cmp_5)) + (portRef C0 (instanceRef e_cmp_5)) + (portRef D1 (instanceRef e_cmp_6)) + (portRef D0 (instanceRef e_cmp_6)) + (portRef C1 (instanceRef e_cmp_6)) + (portRef C0 (instanceRef e_cmp_6)) + (portRef D1 (instanceRef e_cmp_7)) + (portRef D0 (instanceRef e_cmp_7)) + (portRef C1 (instanceRef e_cmp_7)) + (portRef C0 (instanceRef e_cmp_7)) + (portRef C1 (instanceRef a0)) + (portRef C0 (instanceRef a0)) + (portRef D1 (instanceRef a0)) + (portRef D0 (instanceRef a0)) + (portRef C1 (instanceRef g_cmp_ci_a)) + (portRef C0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_ci_a)) + (portRef D0 (instanceRef g_cmp_ci_a)) + (portRef B1 (instanceRef g_cmp_ci_a)) + (portRef B0 (instanceRef g_cmp_ci_a)) + (portRef A1 (instanceRef g_cmp_ci_a)) + (portRef A0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_0)) + (portRef D0 (instanceRef g_cmp_0)) + (portRef C1 (instanceRef g_cmp_0)) + (portRef C0 (instanceRef g_cmp_0)) + (portRef D1 (instanceRef g_cmp_1)) + (portRef D0 (instanceRef g_cmp_1)) + (portRef C1 (instanceRef g_cmp_1)) + (portRef C0 (instanceRef g_cmp_1)) + (portRef D1 (instanceRef g_cmp_2)) + (portRef D0 (instanceRef g_cmp_2)) + (portRef C1 (instanceRef g_cmp_2)) + (portRef C0 (instanceRef g_cmp_2)) + (portRef D1 (instanceRef g_cmp_3)) + (portRef D0 (instanceRef g_cmp_3)) + (portRef C1 (instanceRef g_cmp_3)) + (portRef C0 (instanceRef g_cmp_3)) + (portRef D1 (instanceRef g_cmp_4)) + (portRef D0 (instanceRef g_cmp_4)) + (portRef C1 (instanceRef g_cmp_4)) + (portRef C0 (instanceRef g_cmp_4)) + (portRef D1 (instanceRef g_cmp_5)) + (portRef D0 (instanceRef g_cmp_5)) + (portRef C1 (instanceRef g_cmp_5)) + (portRef C0 (instanceRef g_cmp_5)) + (portRef D1 (instanceRef g_cmp_6)) + (portRef D0 (instanceRef g_cmp_6)) + (portRef C1 (instanceRef g_cmp_6)) + (portRef C0 (instanceRef g_cmp_6)) + (portRef D1 (instanceRef g_cmp_7)) + (portRef D0 (instanceRef g_cmp_7)) + (portRef C1 (instanceRef g_cmp_7)) + (portRef C0 (instanceRef g_cmp_7)) + (portRef C1 (instanceRef a1)) + (portRef C0 (instanceRef a1)) + (portRef D1 (instanceRef a1)) + (portRef D0 (instanceRef a1)) + (portRef C1 (instanceRef w_ctr_cia)) + (portRef C0 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_cia)) + (portRef D0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_cia)) + (portRef A1 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_0)) + (portRef D0 (instanceRef w_ctr_0)) + (portRef C1 (instanceRef w_ctr_0)) + (portRef C0 (instanceRef w_ctr_0)) + (portRef D1 (instanceRef w_ctr_1)) + (portRef D0 (instanceRef w_ctr_1)) + (portRef C1 (instanceRef w_ctr_1)) + (portRef C0 (instanceRef w_ctr_1)) + (portRef D1 (instanceRef w_ctr_2)) + (portRef D0 (instanceRef w_ctr_2)) + (portRef C1 (instanceRef w_ctr_2)) + (portRef C0 (instanceRef w_ctr_2)) + (portRef D1 (instanceRef w_ctr_3)) + (portRef D0 (instanceRef w_ctr_3)) + (portRef C1 (instanceRef w_ctr_3)) + (portRef C0 (instanceRef w_ctr_3)) + (portRef D1 (instanceRef w_ctr_4)) + (portRef D0 (instanceRef w_ctr_4)) + (portRef C1 (instanceRef w_ctr_4)) + (portRef C0 (instanceRef w_ctr_4)) + (portRef D1 (instanceRef w_ctr_5)) + (portRef D0 (instanceRef w_ctr_5)) + (portRef C1 (instanceRef w_ctr_5)) + (portRef C0 (instanceRef w_ctr_5)) + (portRef D1 (instanceRef w_ctr_6)) + (portRef D0 (instanceRef w_ctr_6)) + (portRef C1 (instanceRef w_ctr_6)) + (portRef C0 (instanceRef w_ctr_6)) + (portRef D1 (instanceRef w_ctr_7)) + (portRef D0 (instanceRef w_ctr_7)) + (portRef C1 (instanceRef w_ctr_7)) + (portRef C0 (instanceRef w_ctr_7)) + (portRef C1 (instanceRef r_ctr_cia)) + (portRef C0 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_cia)) + (portRef D0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_cia)) + (portRef A1 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_0)) + (portRef D0 (instanceRef r_ctr_0)) + (portRef C1 (instanceRef r_ctr_0)) + (portRef C0 (instanceRef r_ctr_0)) + (portRef D1 (instanceRef r_ctr_1)) + (portRef D0 (instanceRef r_ctr_1)) + (portRef C1 (instanceRef r_ctr_1)) + (portRef C0 (instanceRef r_ctr_1)) + (portRef D1 (instanceRef r_ctr_2)) + (portRef D0 (instanceRef r_ctr_2)) + (portRef C1 (instanceRef r_ctr_2)) + (portRef C0 (instanceRef r_ctr_2)) + (portRef D1 (instanceRef r_ctr_3)) + (portRef D0 (instanceRef r_ctr_3)) + (portRef C1 (instanceRef r_ctr_3)) + (portRef C0 (instanceRef r_ctr_3)) + (portRef D1 (instanceRef r_ctr_4)) + (portRef D0 (instanceRef r_ctr_4)) + (portRef C1 (instanceRef r_ctr_4)) + (portRef C0 (instanceRef r_ctr_4)) + (portRef D1 (instanceRef r_ctr_5)) + (portRef D0 (instanceRef r_ctr_5)) + (portRef C1 (instanceRef r_ctr_5)) + (portRef C0 (instanceRef r_ctr_5)) + (portRef D1 (instanceRef r_ctr_6)) + (portRef D0 (instanceRef r_ctr_6)) + (portRef C1 (instanceRef r_ctr_6)) + (portRef C0 (instanceRef r_ctr_6)) + (portRef D1 (instanceRef r_ctr_7)) + (portRef D0 (instanceRef r_ctr_7)) + (portRef C1 (instanceRef r_ctr_7)) + (portRef C0 (instanceRef r_ctr_7)) + (portRef C1 (instanceRef precin_inst636)) + (portRef C0 (instanceRef precin_inst636)) + (portRef D1 (instanceRef precin_inst636)) + (portRef D0 (instanceRef precin_inst636)) + (portRef B1 (instanceRef precin_inst636)) + (portRef B0 (instanceRef precin_inst636)) + (portRef A1 (instanceRef precin_inst636)) + (portRef A0 (instanceRef precin_inst636)) + (portRef C1 (instanceRef wcnt_0)) + (portRef C0 (instanceRef wcnt_0)) + (portRef D1 (instanceRef wcnt_0)) + (portRef D0 (instanceRef wcnt_0)) + (portRef C1 (instanceRef wcnt_1)) + (portRef C0 (instanceRef wcnt_1)) + (portRef D1 (instanceRef wcnt_1)) + (portRef D0 (instanceRef wcnt_1)) + (portRef C1 (instanceRef wcnt_2)) + (portRef C0 (instanceRef wcnt_2)) + (portRef D1 (instanceRef wcnt_2)) + (portRef D0 (instanceRef wcnt_2)) + (portRef C1 (instanceRef wcnt_3)) + (portRef C0 (instanceRef wcnt_3)) + (portRef D1 (instanceRef wcnt_3)) + (portRef D0 (instanceRef wcnt_3)) + (portRef C1 (instanceRef wcnt_4)) + (portRef C0 (instanceRef wcnt_4)) + (portRef D1 (instanceRef wcnt_4)) + (portRef D0 (instanceRef wcnt_4)) + (portRef C1 (instanceRef wcnt_5)) + (portRef C0 (instanceRef wcnt_5)) + (portRef D1 (instanceRef wcnt_5)) + (portRef D0 (instanceRef wcnt_5)) + (portRef C1 (instanceRef wcnt_6)) + (portRef C0 (instanceRef wcnt_6)) + (portRef D1 (instanceRef wcnt_6)) + (portRef D0 (instanceRef wcnt_6)) + (portRef C1 (instanceRef wcnt_7)) + (portRef C0 (instanceRef wcnt_7)) + (portRef D1 (instanceRef wcnt_7)) + (portRef D0 (instanceRef wcnt_7)) + (portRef C1 (instanceRef wcntd)) + (portRef C0 (instanceRef wcntd)) + (portRef D1 (instanceRef wcntd)) + (portRef D0 (instanceRef wcntd)) + (portRef C1 (instanceRef af_set_cmp_ci_a)) + (portRef C0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_ci_a)) + (portRef D0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_0)) + (portRef D0 (instanceRef af_set_cmp_0)) + (portRef C1 (instanceRef af_set_cmp_0)) + (portRef C0 (instanceRef af_set_cmp_0)) + (portRef D1 (instanceRef af_set_cmp_1)) + (portRef D0 (instanceRef af_set_cmp_1)) + (portRef C1 (instanceRef af_set_cmp_1)) + (portRef C0 (instanceRef af_set_cmp_1)) + (portRef D1 (instanceRef af_set_cmp_2)) + (portRef D0 (instanceRef af_set_cmp_2)) + (portRef C1 (instanceRef af_set_cmp_2)) + (portRef C0 (instanceRef af_set_cmp_2)) + (portRef D1 (instanceRef af_set_cmp_3)) + (portRef D0 (instanceRef af_set_cmp_3)) + (portRef C1 (instanceRef af_set_cmp_3)) + (portRef C0 (instanceRef af_set_cmp_3)) + (portRef D1 (instanceRef af_set_cmp_4)) + (portRef D0 (instanceRef af_set_cmp_4)) + (portRef C1 (instanceRef af_set_cmp_4)) + (portRef C0 (instanceRef af_set_cmp_4)) + (portRef D1 (instanceRef af_set_cmp_5)) + (portRef D0 (instanceRef af_set_cmp_5)) + (portRef C1 (instanceRef af_set_cmp_5)) + (portRef C0 (instanceRef af_set_cmp_5)) + (portRef D1 (instanceRef af_set_cmp_6)) + (portRef D0 (instanceRef af_set_cmp_6)) + (portRef C1 (instanceRef af_set_cmp_6)) + (portRef C0 (instanceRef af_set_cmp_6)) + (portRef D1 (instanceRef af_set_cmp_7)) + (portRef D0 (instanceRef af_set_cmp_7)) + (portRef C1 (instanceRef af_set_cmp_7)) + (portRef C0 (instanceRef af_set_cmp_7)) + (portRef C1 (instanceRef a2)) + (portRef C0 (instanceRef a2)) + (portRef D1 (instanceRef a2)) + (portRef D0 (instanceRef a2)))) + (net scuba_vlo + (joined + (portRef Z (instanceRef scuba_vlo_inst)) + (portRef AD3 (instanceRef LUT4_1)) + (portRef AD3 (instanceRef LUT4_0)) + (portRef WEB (instanceRef pdp_ram_0_0_31)) + (portRef ADB2 (instanceRef pdp_ram_0_0_31)) + (portRef ADA2 (instanceRef pdp_ram_0_0_31)) + (portRef ADB1 (instanceRef pdp_ram_0_0_31)) + (portRef ADA1 (instanceRef pdp_ram_0_0_31)) + (portRef ADB0 (instanceRef pdp_ram_0_0_31)) + (portRef ADA0 (instanceRef pdp_ram_0_0_31)) + (portRef DIB17 (instanceRef pdp_ram_0_0_31)) + (portRef DIA17 (instanceRef pdp_ram_0_0_31)) + (portRef DIB16 (instanceRef pdp_ram_0_0_31)) + (portRef DIA16 (instanceRef pdp_ram_0_0_31)) + (portRef DIB15 (instanceRef pdp_ram_0_0_31)) + (portRef DIA15 (instanceRef pdp_ram_0_0_31)) + (portRef DIB14 (instanceRef pdp_ram_0_0_31)) + (portRef DIA14 (instanceRef pdp_ram_0_0_31)) + (portRef DIB13 (instanceRef pdp_ram_0_0_31)) + (portRef DIA13 (instanceRef pdp_ram_0_0_31)) + (portRef DIB12 (instanceRef pdp_ram_0_0_31)) + (portRef DIA12 (instanceRef pdp_ram_0_0_31)) + (portRef DIB11 (instanceRef pdp_ram_0_0_31)) + (portRef DIA11 (instanceRef pdp_ram_0_0_31)) + (portRef DIB10 (instanceRef pdp_ram_0_0_31)) + (portRef DIA10 (instanceRef pdp_ram_0_0_31)) + (portRef DIB9 (instanceRef pdp_ram_0_0_31)) + (portRef DIA9 (instanceRef pdp_ram_0_0_31)) + (portRef DIB8 (instanceRef pdp_ram_0_0_31)) + (portRef DIB7 (instanceRef pdp_ram_0_0_31)) + (portRef DIB6 (instanceRef pdp_ram_0_0_31)) + (portRef DIB5 (instanceRef pdp_ram_0_0_31)) + (portRef DIB4 (instanceRef pdp_ram_0_0_31)) + (portRef DIB3 (instanceRef pdp_ram_0_0_31)) + (portRef DIB2 (instanceRef pdp_ram_0_0_31)) + (portRef DIB1 (instanceRef pdp_ram_0_0_31)) + (portRef DIB0 (instanceRef pdp_ram_0_0_31)) + (portRef WEB (instanceRef pdp_ram_0_1_30)) + (portRef ADB2 (instanceRef pdp_ram_0_1_30)) + (portRef ADA2 (instanceRef pdp_ram_0_1_30)) + (portRef ADB1 (instanceRef pdp_ram_0_1_30)) + (portRef ADA1 (instanceRef pdp_ram_0_1_30)) + (portRef ADB0 (instanceRef pdp_ram_0_1_30)) + (portRef ADA0 (instanceRef pdp_ram_0_1_30)) + (portRef DIB17 (instanceRef pdp_ram_0_1_30)) + (portRef DIA17 (instanceRef pdp_ram_0_1_30)) + (portRef DIB16 (instanceRef pdp_ram_0_1_30)) + (portRef DIA16 (instanceRef pdp_ram_0_1_30)) + (portRef DIB15 (instanceRef pdp_ram_0_1_30)) + (portRef DIA15 (instanceRef pdp_ram_0_1_30)) + (portRef DIB14 (instanceRef pdp_ram_0_1_30)) + (portRef DIA14 (instanceRef pdp_ram_0_1_30)) + (portRef DIB13 (instanceRef pdp_ram_0_1_30)) + (portRef DIA13 (instanceRef pdp_ram_0_1_30)) + (portRef DIB12 (instanceRef pdp_ram_0_1_30)) + (portRef DIA12 (instanceRef pdp_ram_0_1_30)) + (portRef DIB11 (instanceRef pdp_ram_0_1_30)) + (portRef DIA11 (instanceRef pdp_ram_0_1_30)) + (portRef DIB10 (instanceRef pdp_ram_0_1_30)) + (portRef DIA10 (instanceRef pdp_ram_0_1_30)) + (portRef DIB9 (instanceRef pdp_ram_0_1_30)) + (portRef DIA9 (instanceRef pdp_ram_0_1_30)) + (portRef DIB8 (instanceRef pdp_ram_0_1_30)) + (portRef DIB7 (instanceRef pdp_ram_0_1_30)) + (portRef DIB6 (instanceRef pdp_ram_0_1_30)) + (portRef DIB5 (instanceRef pdp_ram_0_1_30)) + (portRef DIB4 (instanceRef pdp_ram_0_1_30)) + (portRef DIB3 (instanceRef pdp_ram_0_1_30)) + (portRef DIB2 (instanceRef pdp_ram_0_1_30)) + (portRef DIB1 (instanceRef pdp_ram_0_1_30)) + (portRef DIB0 (instanceRef pdp_ram_0_1_30)) + (portRef WEB (instanceRef pdp_ram_0_2_29)) + (portRef ADB2 (instanceRef pdp_ram_0_2_29)) + (portRef ADA2 (instanceRef pdp_ram_0_2_29)) + (portRef ADB1 (instanceRef pdp_ram_0_2_29)) + (portRef ADA1 (instanceRef pdp_ram_0_2_29)) + (portRef ADB0 (instanceRef pdp_ram_0_2_29)) + (portRef ADA0 (instanceRef pdp_ram_0_2_29)) + (portRef DIB17 (instanceRef pdp_ram_0_2_29)) + (portRef DIA17 (instanceRef pdp_ram_0_2_29)) + (portRef DIB16 (instanceRef pdp_ram_0_2_29)) + (portRef DIA16 (instanceRef pdp_ram_0_2_29)) + (portRef DIB15 (instanceRef pdp_ram_0_2_29)) + (portRef DIA15 (instanceRef pdp_ram_0_2_29)) + (portRef DIB14 (instanceRef pdp_ram_0_2_29)) + (portRef DIA14 (instanceRef pdp_ram_0_2_29)) + (portRef DIB13 (instanceRef pdp_ram_0_2_29)) + (portRef DIA13 (instanceRef pdp_ram_0_2_29)) + (portRef DIB12 (instanceRef pdp_ram_0_2_29)) + (portRef DIA12 (instanceRef pdp_ram_0_2_29)) + (portRef DIB11 (instanceRef pdp_ram_0_2_29)) + (portRef DIA11 (instanceRef pdp_ram_0_2_29)) + (portRef DIB10 (instanceRef pdp_ram_0_2_29)) + (portRef DIA10 (instanceRef pdp_ram_0_2_29)) + (portRef DIB9 (instanceRef pdp_ram_0_2_29)) + (portRef DIA9 (instanceRef pdp_ram_0_2_29)) + (portRef DIB8 (instanceRef pdp_ram_0_2_29)) + (portRef DIB7 (instanceRef pdp_ram_0_2_29)) + (portRef DIB6 (instanceRef pdp_ram_0_2_29)) + (portRef DIB5 (instanceRef pdp_ram_0_2_29)) + (portRef DIB4 (instanceRef pdp_ram_0_2_29)) + (portRef DIB3 (instanceRef pdp_ram_0_2_29)) + (portRef DIB2 (instanceRef pdp_ram_0_2_29)) + (portRef DIB1 (instanceRef pdp_ram_0_2_29)) + (portRef DIB0 (instanceRef pdp_ram_0_2_29)) + (portRef WEB (instanceRef pdp_ram_0_3_28)) + (portRef ADB2 (instanceRef pdp_ram_0_3_28)) + (portRef ADA2 (instanceRef pdp_ram_0_3_28)) + (portRef ADB1 (instanceRef pdp_ram_0_3_28)) + (portRef ADA1 (instanceRef pdp_ram_0_3_28)) + (portRef ADB0 (instanceRef pdp_ram_0_3_28)) + (portRef ADA0 (instanceRef pdp_ram_0_3_28)) + (portRef DIB17 (instanceRef pdp_ram_0_3_28)) + (portRef DIA17 (instanceRef pdp_ram_0_3_28)) + (portRef DIB16 (instanceRef pdp_ram_0_3_28)) + (portRef DIA16 (instanceRef pdp_ram_0_3_28)) + (portRef DIB15 (instanceRef pdp_ram_0_3_28)) + (portRef DIA15 (instanceRef pdp_ram_0_3_28)) + (portRef DIB14 (instanceRef pdp_ram_0_3_28)) + (portRef DIA14 (instanceRef pdp_ram_0_3_28)) + (portRef DIB13 (instanceRef pdp_ram_0_3_28)) + (portRef DIA13 (instanceRef pdp_ram_0_3_28)) + (portRef DIB12 (instanceRef pdp_ram_0_3_28)) + (portRef DIA12 (instanceRef pdp_ram_0_3_28)) + (portRef DIB11 (instanceRef pdp_ram_0_3_28)) + (portRef DIA11 (instanceRef pdp_ram_0_3_28)) + (portRef DIB10 (instanceRef pdp_ram_0_3_28)) + (portRef DIA10 (instanceRef pdp_ram_0_3_28)) + (portRef DIB9 (instanceRef pdp_ram_0_3_28)) + (portRef DIA9 (instanceRef pdp_ram_0_3_28)) + (portRef DIB8 (instanceRef pdp_ram_0_3_28)) + (portRef DIB7 (instanceRef pdp_ram_0_3_28)) + (portRef DIB6 (instanceRef pdp_ram_0_3_28)) + (portRef DIB5 (instanceRef pdp_ram_0_3_28)) + (portRef DIB4 (instanceRef pdp_ram_0_3_28)) + (portRef DIB3 (instanceRef pdp_ram_0_3_28)) + (portRef DIB2 (instanceRef pdp_ram_0_3_28)) + (portRef DIB1 (instanceRef pdp_ram_0_3_28)) + (portRef DIB0 (instanceRef pdp_ram_0_3_28)) + (portRef WEB (instanceRef pdp_ram_1_0_27)) + (portRef ADB2 (instanceRef pdp_ram_1_0_27)) + (portRef ADA2 (instanceRef pdp_ram_1_0_27)) + (portRef ADB1 (instanceRef pdp_ram_1_0_27)) + (portRef ADA1 (instanceRef pdp_ram_1_0_27)) + (portRef ADB0 (instanceRef pdp_ram_1_0_27)) + (portRef ADA0 (instanceRef pdp_ram_1_0_27)) + (portRef DIB17 (instanceRef pdp_ram_1_0_27)) + (portRef DIA17 (instanceRef pdp_ram_1_0_27)) + (portRef DIB16 (instanceRef pdp_ram_1_0_27)) + (portRef DIA16 (instanceRef pdp_ram_1_0_27)) + (portRef DIB15 (instanceRef pdp_ram_1_0_27)) + (portRef DIA15 (instanceRef pdp_ram_1_0_27)) + (portRef DIB14 (instanceRef pdp_ram_1_0_27)) + (portRef DIA14 (instanceRef pdp_ram_1_0_27)) + (portRef DIB13 (instanceRef pdp_ram_1_0_27)) + (portRef DIA13 (instanceRef pdp_ram_1_0_27)) + (portRef DIB12 (instanceRef pdp_ram_1_0_27)) + (portRef DIA12 (instanceRef pdp_ram_1_0_27)) + (portRef DIB11 (instanceRef pdp_ram_1_0_27)) + (portRef DIA11 (instanceRef pdp_ram_1_0_27)) + (portRef DIB10 (instanceRef pdp_ram_1_0_27)) + (portRef DIA10 (instanceRef pdp_ram_1_0_27)) + (portRef DIB9 (instanceRef pdp_ram_1_0_27)) + (portRef DIA9 (instanceRef pdp_ram_1_0_27)) + (portRef DIB8 (instanceRef pdp_ram_1_0_27)) + (portRef DIB7 (instanceRef pdp_ram_1_0_27)) + (portRef DIB6 (instanceRef pdp_ram_1_0_27)) + (portRef DIB5 (instanceRef pdp_ram_1_0_27)) + (portRef DIB4 (instanceRef pdp_ram_1_0_27)) + (portRef DIB3 (instanceRef pdp_ram_1_0_27)) + (portRef DIB2 (instanceRef pdp_ram_1_0_27)) + (portRef DIB1 (instanceRef pdp_ram_1_0_27)) + (portRef DIB0 (instanceRef pdp_ram_1_0_27)) + (portRef WEB (instanceRef pdp_ram_1_1_26)) + (portRef ADB2 (instanceRef pdp_ram_1_1_26)) + (portRef ADA2 (instanceRef pdp_ram_1_1_26)) + (portRef ADB1 (instanceRef pdp_ram_1_1_26)) + (portRef ADA1 (instanceRef pdp_ram_1_1_26)) + (portRef ADB0 (instanceRef pdp_ram_1_1_26)) + (portRef ADA0 (instanceRef pdp_ram_1_1_26)) + (portRef DIB17 (instanceRef pdp_ram_1_1_26)) + (portRef DIA17 (instanceRef pdp_ram_1_1_26)) + (portRef DIB16 (instanceRef pdp_ram_1_1_26)) + (portRef DIA16 (instanceRef pdp_ram_1_1_26)) + (portRef DIB15 (instanceRef pdp_ram_1_1_26)) + (portRef DIA15 (instanceRef pdp_ram_1_1_26)) + (portRef DIB14 (instanceRef pdp_ram_1_1_26)) + (portRef DIA14 (instanceRef pdp_ram_1_1_26)) + (portRef DIB13 (instanceRef pdp_ram_1_1_26)) + (portRef DIA13 (instanceRef pdp_ram_1_1_26)) + (portRef DIB12 (instanceRef pdp_ram_1_1_26)) + (portRef DIA12 (instanceRef pdp_ram_1_1_26)) + (portRef DIB11 (instanceRef pdp_ram_1_1_26)) + (portRef DIA11 (instanceRef pdp_ram_1_1_26)) + (portRef DIB10 (instanceRef pdp_ram_1_1_26)) + (portRef DIA10 (instanceRef pdp_ram_1_1_26)) + (portRef DIB9 (instanceRef pdp_ram_1_1_26)) + (portRef DIA9 (instanceRef pdp_ram_1_1_26)) + (portRef DIB8 (instanceRef pdp_ram_1_1_26)) + (portRef DIB7 (instanceRef pdp_ram_1_1_26)) + (portRef DIB6 (instanceRef pdp_ram_1_1_26)) + (portRef DIB5 (instanceRef pdp_ram_1_1_26)) + (portRef DIB4 (instanceRef pdp_ram_1_1_26)) + (portRef DIB3 (instanceRef pdp_ram_1_1_26)) + (portRef DIB2 (instanceRef pdp_ram_1_1_26)) + (portRef DIB1 (instanceRef pdp_ram_1_1_26)) + (portRef DIB0 (instanceRef pdp_ram_1_1_26)) + (portRef WEB (instanceRef pdp_ram_1_2_25)) + (portRef ADB2 (instanceRef pdp_ram_1_2_25)) + (portRef ADA2 (instanceRef pdp_ram_1_2_25)) + (portRef ADB1 (instanceRef pdp_ram_1_2_25)) + (portRef ADA1 (instanceRef pdp_ram_1_2_25)) + (portRef ADB0 (instanceRef pdp_ram_1_2_25)) + (portRef ADA0 (instanceRef pdp_ram_1_2_25)) + (portRef DIB17 (instanceRef pdp_ram_1_2_25)) + (portRef DIA17 (instanceRef pdp_ram_1_2_25)) + (portRef DIB16 (instanceRef pdp_ram_1_2_25)) + (portRef DIA16 (instanceRef pdp_ram_1_2_25)) + (portRef DIB15 (instanceRef pdp_ram_1_2_25)) + (portRef DIA15 (instanceRef pdp_ram_1_2_25)) + (portRef DIB14 (instanceRef pdp_ram_1_2_25)) + (portRef DIA14 (instanceRef pdp_ram_1_2_25)) + (portRef DIB13 (instanceRef pdp_ram_1_2_25)) + (portRef DIA13 (instanceRef pdp_ram_1_2_25)) + (portRef DIB12 (instanceRef pdp_ram_1_2_25)) + (portRef DIA12 (instanceRef pdp_ram_1_2_25)) + (portRef DIB11 (instanceRef pdp_ram_1_2_25)) + (portRef DIA11 (instanceRef pdp_ram_1_2_25)) + (portRef DIB10 (instanceRef pdp_ram_1_2_25)) + (portRef DIA10 (instanceRef pdp_ram_1_2_25)) + (portRef DIB9 (instanceRef pdp_ram_1_2_25)) + (portRef DIA9 (instanceRef pdp_ram_1_2_25)) + (portRef DIB8 (instanceRef pdp_ram_1_2_25)) + (portRef DIB7 (instanceRef pdp_ram_1_2_25)) + (portRef DIB6 (instanceRef pdp_ram_1_2_25)) + (portRef DIB5 (instanceRef pdp_ram_1_2_25)) + (portRef DIB4 (instanceRef pdp_ram_1_2_25)) + (portRef DIB3 (instanceRef pdp_ram_1_2_25)) + (portRef DIB2 (instanceRef pdp_ram_1_2_25)) + (portRef DIB1 (instanceRef pdp_ram_1_2_25)) + (portRef DIB0 (instanceRef pdp_ram_1_2_25)) + (portRef WEB (instanceRef pdp_ram_1_3_24)) + (portRef ADB2 (instanceRef pdp_ram_1_3_24)) + (portRef ADA2 (instanceRef pdp_ram_1_3_24)) + (portRef ADB1 (instanceRef pdp_ram_1_3_24)) + (portRef ADA1 (instanceRef pdp_ram_1_3_24)) + (portRef ADB0 (instanceRef pdp_ram_1_3_24)) + (portRef ADA0 (instanceRef pdp_ram_1_3_24)) + (portRef DIB17 (instanceRef pdp_ram_1_3_24)) + (portRef DIA17 (instanceRef pdp_ram_1_3_24)) + (portRef DIB16 (instanceRef pdp_ram_1_3_24)) + (portRef DIA16 (instanceRef pdp_ram_1_3_24)) + (portRef DIB15 (instanceRef pdp_ram_1_3_24)) + (portRef DIA15 (instanceRef pdp_ram_1_3_24)) + (portRef DIB14 (instanceRef pdp_ram_1_3_24)) + (portRef DIA14 (instanceRef pdp_ram_1_3_24)) + (portRef DIB13 (instanceRef pdp_ram_1_3_24)) + (portRef DIA13 (instanceRef pdp_ram_1_3_24)) + (portRef DIB12 (instanceRef pdp_ram_1_3_24)) + (portRef DIA12 (instanceRef pdp_ram_1_3_24)) + (portRef DIB11 (instanceRef pdp_ram_1_3_24)) + (portRef DIA11 (instanceRef pdp_ram_1_3_24)) + (portRef DIB10 (instanceRef pdp_ram_1_3_24)) + (portRef DIA10 (instanceRef pdp_ram_1_3_24)) + (portRef DIB9 (instanceRef pdp_ram_1_3_24)) + (portRef DIA9 (instanceRef pdp_ram_1_3_24)) + (portRef DIB8 (instanceRef pdp_ram_1_3_24)) + (portRef DIB7 (instanceRef pdp_ram_1_3_24)) + (portRef DIB6 (instanceRef pdp_ram_1_3_24)) + (portRef DIB5 (instanceRef pdp_ram_1_3_24)) + (portRef DIB4 (instanceRef pdp_ram_1_3_24)) + (portRef DIB3 (instanceRef pdp_ram_1_3_24)) + (portRef DIB2 (instanceRef pdp_ram_1_3_24)) + (portRef DIB1 (instanceRef pdp_ram_1_3_24)) + (portRef DIB0 (instanceRef pdp_ram_1_3_24)) + (portRef WEB (instanceRef pdp_ram_2_0_23)) + (portRef ADB2 (instanceRef pdp_ram_2_0_23)) + (portRef ADA2 (instanceRef pdp_ram_2_0_23)) + (portRef ADB1 (instanceRef pdp_ram_2_0_23)) + (portRef ADA1 (instanceRef pdp_ram_2_0_23)) + (portRef ADB0 (instanceRef pdp_ram_2_0_23)) + (portRef ADA0 (instanceRef pdp_ram_2_0_23)) + (portRef DIB17 (instanceRef pdp_ram_2_0_23)) + (portRef DIA17 (instanceRef pdp_ram_2_0_23)) + (portRef DIB16 (instanceRef pdp_ram_2_0_23)) + (portRef DIA16 (instanceRef pdp_ram_2_0_23)) + (portRef DIB15 (instanceRef pdp_ram_2_0_23)) + (portRef DIA15 (instanceRef pdp_ram_2_0_23)) + (portRef DIB14 (instanceRef pdp_ram_2_0_23)) + (portRef DIA14 (instanceRef pdp_ram_2_0_23)) + (portRef DIB13 (instanceRef pdp_ram_2_0_23)) + (portRef DIA13 (instanceRef pdp_ram_2_0_23)) + (portRef DIB12 (instanceRef pdp_ram_2_0_23)) + (portRef DIA12 (instanceRef pdp_ram_2_0_23)) + (portRef DIB11 (instanceRef pdp_ram_2_0_23)) + (portRef DIA11 (instanceRef pdp_ram_2_0_23)) + (portRef DIB10 (instanceRef pdp_ram_2_0_23)) + (portRef DIA10 (instanceRef pdp_ram_2_0_23)) + (portRef DIB9 (instanceRef pdp_ram_2_0_23)) + (portRef DIA9 (instanceRef pdp_ram_2_0_23)) + (portRef DIB8 (instanceRef pdp_ram_2_0_23)) + (portRef DIB7 (instanceRef pdp_ram_2_0_23)) + (portRef DIB6 (instanceRef pdp_ram_2_0_23)) + (portRef DIB5 (instanceRef pdp_ram_2_0_23)) + (portRef DIB4 (instanceRef pdp_ram_2_0_23)) + (portRef DIB3 (instanceRef pdp_ram_2_0_23)) + (portRef DIB2 (instanceRef pdp_ram_2_0_23)) + (portRef DIB1 (instanceRef pdp_ram_2_0_23)) + (portRef DIB0 (instanceRef pdp_ram_2_0_23)) + (portRef WEB (instanceRef pdp_ram_2_1_22)) + (portRef ADB2 (instanceRef pdp_ram_2_1_22)) + (portRef ADA2 (instanceRef pdp_ram_2_1_22)) + (portRef ADB1 (instanceRef pdp_ram_2_1_22)) + (portRef ADA1 (instanceRef pdp_ram_2_1_22)) + (portRef ADB0 (instanceRef pdp_ram_2_1_22)) + (portRef ADA0 (instanceRef pdp_ram_2_1_22)) + (portRef DIB17 (instanceRef pdp_ram_2_1_22)) + (portRef DIA17 (instanceRef pdp_ram_2_1_22)) + (portRef DIB16 (instanceRef pdp_ram_2_1_22)) + (portRef DIA16 (instanceRef pdp_ram_2_1_22)) + (portRef DIB15 (instanceRef pdp_ram_2_1_22)) + (portRef DIA15 (instanceRef pdp_ram_2_1_22)) + (portRef DIB14 (instanceRef pdp_ram_2_1_22)) + (portRef DIA14 (instanceRef pdp_ram_2_1_22)) + (portRef DIB13 (instanceRef pdp_ram_2_1_22)) + (portRef DIA13 (instanceRef pdp_ram_2_1_22)) + (portRef DIB12 (instanceRef pdp_ram_2_1_22)) + (portRef DIA12 (instanceRef pdp_ram_2_1_22)) + (portRef DIB11 (instanceRef pdp_ram_2_1_22)) + (portRef DIA11 (instanceRef pdp_ram_2_1_22)) + (portRef DIB10 (instanceRef pdp_ram_2_1_22)) + (portRef DIA10 (instanceRef pdp_ram_2_1_22)) + (portRef DIB9 (instanceRef pdp_ram_2_1_22)) + (portRef DIA9 (instanceRef pdp_ram_2_1_22)) + (portRef DIB8 (instanceRef pdp_ram_2_1_22)) + (portRef DIB7 (instanceRef pdp_ram_2_1_22)) + (portRef DIB6 (instanceRef pdp_ram_2_1_22)) + (portRef DIB5 (instanceRef pdp_ram_2_1_22)) + (portRef DIB4 (instanceRef pdp_ram_2_1_22)) + (portRef DIB3 (instanceRef pdp_ram_2_1_22)) + (portRef DIB2 (instanceRef pdp_ram_2_1_22)) + (portRef DIB1 (instanceRef pdp_ram_2_1_22)) + (portRef DIB0 (instanceRef pdp_ram_2_1_22)) + (portRef WEB (instanceRef pdp_ram_2_2_21)) + (portRef ADB2 (instanceRef pdp_ram_2_2_21)) + (portRef ADA2 (instanceRef pdp_ram_2_2_21)) + (portRef ADB1 (instanceRef pdp_ram_2_2_21)) + (portRef ADA1 (instanceRef pdp_ram_2_2_21)) + (portRef ADB0 (instanceRef pdp_ram_2_2_21)) + (portRef ADA0 (instanceRef pdp_ram_2_2_21)) + (portRef DIB17 (instanceRef pdp_ram_2_2_21)) + (portRef DIA17 (instanceRef pdp_ram_2_2_21)) + (portRef DIB16 (instanceRef pdp_ram_2_2_21)) + (portRef DIA16 (instanceRef pdp_ram_2_2_21)) + (portRef DIB15 (instanceRef pdp_ram_2_2_21)) + (portRef DIA15 (instanceRef pdp_ram_2_2_21)) + (portRef DIB14 (instanceRef pdp_ram_2_2_21)) + (portRef DIA14 (instanceRef pdp_ram_2_2_21)) + (portRef DIB13 (instanceRef pdp_ram_2_2_21)) + (portRef DIA13 (instanceRef pdp_ram_2_2_21)) + (portRef DIB12 (instanceRef pdp_ram_2_2_21)) + (portRef DIA12 (instanceRef pdp_ram_2_2_21)) + (portRef DIB11 (instanceRef pdp_ram_2_2_21)) + (portRef DIA11 (instanceRef pdp_ram_2_2_21)) + (portRef DIB10 (instanceRef pdp_ram_2_2_21)) + (portRef DIA10 (instanceRef pdp_ram_2_2_21)) + (portRef DIB9 (instanceRef pdp_ram_2_2_21)) + (portRef DIA9 (instanceRef pdp_ram_2_2_21)) + (portRef DIB8 (instanceRef pdp_ram_2_2_21)) + (portRef DIB7 (instanceRef pdp_ram_2_2_21)) + (portRef DIB6 (instanceRef pdp_ram_2_2_21)) + (portRef DIB5 (instanceRef pdp_ram_2_2_21)) + (portRef DIB4 (instanceRef pdp_ram_2_2_21)) + (portRef DIB3 (instanceRef pdp_ram_2_2_21)) + (portRef DIB2 (instanceRef pdp_ram_2_2_21)) + (portRef DIB1 (instanceRef pdp_ram_2_2_21)) + (portRef DIB0 (instanceRef pdp_ram_2_2_21)) + (portRef WEB (instanceRef pdp_ram_2_3_20)) + (portRef ADB2 (instanceRef pdp_ram_2_3_20)) + (portRef ADA2 (instanceRef pdp_ram_2_3_20)) + (portRef ADB1 (instanceRef pdp_ram_2_3_20)) + (portRef ADA1 (instanceRef pdp_ram_2_3_20)) + (portRef ADB0 (instanceRef pdp_ram_2_3_20)) + (portRef ADA0 (instanceRef pdp_ram_2_3_20)) + (portRef DIB17 (instanceRef pdp_ram_2_3_20)) + (portRef DIA17 (instanceRef pdp_ram_2_3_20)) + (portRef DIB16 (instanceRef pdp_ram_2_3_20)) + (portRef DIA16 (instanceRef pdp_ram_2_3_20)) + (portRef DIB15 (instanceRef pdp_ram_2_3_20)) + (portRef DIA15 (instanceRef pdp_ram_2_3_20)) + (portRef DIB14 (instanceRef pdp_ram_2_3_20)) + (portRef DIA14 (instanceRef pdp_ram_2_3_20)) + (portRef DIB13 (instanceRef pdp_ram_2_3_20)) + (portRef DIA13 (instanceRef pdp_ram_2_3_20)) + (portRef DIB12 (instanceRef pdp_ram_2_3_20)) + (portRef DIA12 (instanceRef pdp_ram_2_3_20)) + (portRef DIB11 (instanceRef pdp_ram_2_3_20)) + (portRef DIA11 (instanceRef pdp_ram_2_3_20)) + (portRef DIB10 (instanceRef pdp_ram_2_3_20)) + (portRef DIA10 (instanceRef pdp_ram_2_3_20)) + (portRef DIB9 (instanceRef pdp_ram_2_3_20)) + (portRef DIA9 (instanceRef pdp_ram_2_3_20)) + (portRef DIB8 (instanceRef pdp_ram_2_3_20)) + (portRef DIB7 (instanceRef pdp_ram_2_3_20)) + (portRef DIB6 (instanceRef pdp_ram_2_3_20)) + (portRef DIB5 (instanceRef pdp_ram_2_3_20)) + (portRef DIB4 (instanceRef pdp_ram_2_3_20)) + (portRef DIB3 (instanceRef pdp_ram_2_3_20)) + (portRef DIB2 (instanceRef pdp_ram_2_3_20)) + (portRef DIB1 (instanceRef pdp_ram_2_3_20)) + (portRef DIB0 (instanceRef pdp_ram_2_3_20)) + (portRef WEB (instanceRef pdp_ram_3_0_19)) + (portRef ADB2 (instanceRef pdp_ram_3_0_19)) + (portRef ADA2 (instanceRef pdp_ram_3_0_19)) + (portRef ADB1 (instanceRef pdp_ram_3_0_19)) + (portRef ADA1 (instanceRef pdp_ram_3_0_19)) + (portRef ADB0 (instanceRef pdp_ram_3_0_19)) + (portRef ADA0 (instanceRef pdp_ram_3_0_19)) + (portRef DIB17 (instanceRef pdp_ram_3_0_19)) + (portRef DIA17 (instanceRef pdp_ram_3_0_19)) + (portRef DIB16 (instanceRef pdp_ram_3_0_19)) + (portRef DIA16 (instanceRef pdp_ram_3_0_19)) + (portRef DIB15 (instanceRef pdp_ram_3_0_19)) + (portRef DIA15 (instanceRef pdp_ram_3_0_19)) + (portRef DIB14 (instanceRef pdp_ram_3_0_19)) + (portRef DIA14 (instanceRef pdp_ram_3_0_19)) + (portRef DIB13 (instanceRef pdp_ram_3_0_19)) + (portRef DIA13 (instanceRef pdp_ram_3_0_19)) + (portRef DIB12 (instanceRef pdp_ram_3_0_19)) + (portRef DIA12 (instanceRef pdp_ram_3_0_19)) + (portRef DIB11 (instanceRef pdp_ram_3_0_19)) + (portRef DIA11 (instanceRef pdp_ram_3_0_19)) + (portRef DIB10 (instanceRef pdp_ram_3_0_19)) + (portRef DIA10 (instanceRef pdp_ram_3_0_19)) + (portRef DIB9 (instanceRef pdp_ram_3_0_19)) + (portRef DIA9 (instanceRef pdp_ram_3_0_19)) + (portRef DIB8 (instanceRef pdp_ram_3_0_19)) + (portRef DIB7 (instanceRef pdp_ram_3_0_19)) + (portRef DIB6 (instanceRef pdp_ram_3_0_19)) + (portRef DIB5 (instanceRef pdp_ram_3_0_19)) + (portRef DIB4 (instanceRef pdp_ram_3_0_19)) + (portRef DIB3 (instanceRef pdp_ram_3_0_19)) + (portRef DIB2 (instanceRef pdp_ram_3_0_19)) + (portRef DIB1 (instanceRef pdp_ram_3_0_19)) + (portRef DIB0 (instanceRef pdp_ram_3_0_19)) + (portRef WEB (instanceRef pdp_ram_3_1_18)) + (portRef ADB2 (instanceRef pdp_ram_3_1_18)) + (portRef ADA2 (instanceRef pdp_ram_3_1_18)) + (portRef ADB1 (instanceRef pdp_ram_3_1_18)) + (portRef ADA1 (instanceRef pdp_ram_3_1_18)) + (portRef ADB0 (instanceRef pdp_ram_3_1_18)) + (portRef ADA0 (instanceRef pdp_ram_3_1_18)) + (portRef DIB17 (instanceRef pdp_ram_3_1_18)) + (portRef DIA17 (instanceRef pdp_ram_3_1_18)) + (portRef DIB16 (instanceRef pdp_ram_3_1_18)) + (portRef DIA16 (instanceRef pdp_ram_3_1_18)) + (portRef DIB15 (instanceRef pdp_ram_3_1_18)) + (portRef DIA15 (instanceRef pdp_ram_3_1_18)) + (portRef DIB14 (instanceRef pdp_ram_3_1_18)) + (portRef DIA14 (instanceRef pdp_ram_3_1_18)) + (portRef DIB13 (instanceRef pdp_ram_3_1_18)) + (portRef DIA13 (instanceRef pdp_ram_3_1_18)) + (portRef DIB12 (instanceRef pdp_ram_3_1_18)) + (portRef DIA12 (instanceRef pdp_ram_3_1_18)) + (portRef DIB11 (instanceRef pdp_ram_3_1_18)) + (portRef DIA11 (instanceRef pdp_ram_3_1_18)) + (portRef DIB10 (instanceRef pdp_ram_3_1_18)) + (portRef DIA10 (instanceRef pdp_ram_3_1_18)) + (portRef DIB9 (instanceRef pdp_ram_3_1_18)) + (portRef DIA9 (instanceRef pdp_ram_3_1_18)) + (portRef DIB8 (instanceRef pdp_ram_3_1_18)) + (portRef DIB7 (instanceRef pdp_ram_3_1_18)) + (portRef DIB6 (instanceRef pdp_ram_3_1_18)) + (portRef DIB5 (instanceRef pdp_ram_3_1_18)) + (portRef DIB4 (instanceRef pdp_ram_3_1_18)) + (portRef DIB3 (instanceRef pdp_ram_3_1_18)) + (portRef DIB2 (instanceRef pdp_ram_3_1_18)) + (portRef DIB1 (instanceRef pdp_ram_3_1_18)) + (portRef DIB0 (instanceRef pdp_ram_3_1_18)) + (portRef WEB (instanceRef pdp_ram_3_2_17)) + (portRef ADB2 (instanceRef pdp_ram_3_2_17)) + (portRef ADA2 (instanceRef pdp_ram_3_2_17)) + (portRef ADB1 (instanceRef pdp_ram_3_2_17)) + (portRef ADA1 (instanceRef pdp_ram_3_2_17)) + (portRef ADB0 (instanceRef pdp_ram_3_2_17)) + (portRef ADA0 (instanceRef pdp_ram_3_2_17)) + (portRef DIB17 (instanceRef pdp_ram_3_2_17)) + (portRef DIA17 (instanceRef pdp_ram_3_2_17)) + (portRef DIB16 (instanceRef pdp_ram_3_2_17)) + (portRef DIA16 (instanceRef pdp_ram_3_2_17)) + (portRef DIB15 (instanceRef pdp_ram_3_2_17)) + (portRef DIA15 (instanceRef pdp_ram_3_2_17)) + (portRef DIB14 (instanceRef pdp_ram_3_2_17)) + (portRef DIA14 (instanceRef pdp_ram_3_2_17)) + (portRef DIB13 (instanceRef pdp_ram_3_2_17)) + (portRef DIA13 (instanceRef pdp_ram_3_2_17)) + (portRef DIB12 (instanceRef pdp_ram_3_2_17)) + (portRef DIA12 (instanceRef pdp_ram_3_2_17)) + (portRef DIB11 (instanceRef pdp_ram_3_2_17)) + (portRef DIA11 (instanceRef pdp_ram_3_2_17)) + (portRef DIB10 (instanceRef pdp_ram_3_2_17)) + (portRef DIA10 (instanceRef pdp_ram_3_2_17)) + (portRef DIB9 (instanceRef pdp_ram_3_2_17)) + (portRef DIA9 (instanceRef pdp_ram_3_2_17)) + (portRef DIB8 (instanceRef pdp_ram_3_2_17)) + (portRef DIB7 (instanceRef pdp_ram_3_2_17)) + (portRef DIB6 (instanceRef pdp_ram_3_2_17)) + (portRef DIB5 (instanceRef pdp_ram_3_2_17)) + (portRef DIB4 (instanceRef pdp_ram_3_2_17)) + (portRef DIB3 (instanceRef pdp_ram_3_2_17)) + (portRef DIB2 (instanceRef pdp_ram_3_2_17)) + (portRef DIB1 (instanceRef pdp_ram_3_2_17)) + (portRef DIB0 (instanceRef pdp_ram_3_2_17)) + (portRef WEB (instanceRef pdp_ram_3_3_16)) + (portRef ADB2 (instanceRef pdp_ram_3_3_16)) + (portRef ADA2 (instanceRef pdp_ram_3_3_16)) + (portRef ADB1 (instanceRef pdp_ram_3_3_16)) + (portRef ADA1 (instanceRef pdp_ram_3_3_16)) + (portRef ADB0 (instanceRef pdp_ram_3_3_16)) + (portRef ADA0 (instanceRef pdp_ram_3_3_16)) + (portRef DIB17 (instanceRef pdp_ram_3_3_16)) + (portRef DIA17 (instanceRef pdp_ram_3_3_16)) + (portRef DIB16 (instanceRef pdp_ram_3_3_16)) + (portRef DIA16 (instanceRef pdp_ram_3_3_16)) + (portRef DIB15 (instanceRef pdp_ram_3_3_16)) + (portRef DIA15 (instanceRef pdp_ram_3_3_16)) + (portRef DIB14 (instanceRef pdp_ram_3_3_16)) + (portRef DIA14 (instanceRef pdp_ram_3_3_16)) + (portRef DIB13 (instanceRef pdp_ram_3_3_16)) + (portRef DIA13 (instanceRef pdp_ram_3_3_16)) + (portRef DIB12 (instanceRef pdp_ram_3_3_16)) + (portRef DIA12 (instanceRef pdp_ram_3_3_16)) + (portRef DIB11 (instanceRef pdp_ram_3_3_16)) + (portRef DIA11 (instanceRef pdp_ram_3_3_16)) + (portRef DIB10 (instanceRef pdp_ram_3_3_16)) + (portRef DIA10 (instanceRef pdp_ram_3_3_16)) + (portRef DIB9 (instanceRef pdp_ram_3_3_16)) + (portRef DIA9 (instanceRef pdp_ram_3_3_16)) + (portRef DIB8 (instanceRef pdp_ram_3_3_16)) + (portRef DIB7 (instanceRef pdp_ram_3_3_16)) + (portRef DIB6 (instanceRef pdp_ram_3_3_16)) + (portRef DIB5 (instanceRef pdp_ram_3_3_16)) + (portRef DIB4 (instanceRef pdp_ram_3_3_16)) + (portRef DIB3 (instanceRef pdp_ram_3_3_16)) + (portRef DIB2 (instanceRef pdp_ram_3_3_16)) + (portRef DIB1 (instanceRef pdp_ram_3_3_16)) + (portRef DIB0 (instanceRef pdp_ram_3_3_16)) + (portRef WEB (instanceRef pdp_ram_4_0_15)) + (portRef ADB2 (instanceRef pdp_ram_4_0_15)) + (portRef ADA2 (instanceRef pdp_ram_4_0_15)) + (portRef ADB1 (instanceRef pdp_ram_4_0_15)) + (portRef ADA1 (instanceRef pdp_ram_4_0_15)) + (portRef ADB0 (instanceRef pdp_ram_4_0_15)) + (portRef ADA0 (instanceRef pdp_ram_4_0_15)) + (portRef DIB17 (instanceRef pdp_ram_4_0_15)) + (portRef DIA17 (instanceRef pdp_ram_4_0_15)) + (portRef DIB16 (instanceRef pdp_ram_4_0_15)) + (portRef DIA16 (instanceRef pdp_ram_4_0_15)) + (portRef DIB15 (instanceRef pdp_ram_4_0_15)) + (portRef DIA15 (instanceRef pdp_ram_4_0_15)) + (portRef DIB14 (instanceRef pdp_ram_4_0_15)) + (portRef DIA14 (instanceRef pdp_ram_4_0_15)) + (portRef DIB13 (instanceRef pdp_ram_4_0_15)) + (portRef DIA13 (instanceRef pdp_ram_4_0_15)) + (portRef DIB12 (instanceRef pdp_ram_4_0_15)) + (portRef DIA12 (instanceRef pdp_ram_4_0_15)) + (portRef DIB11 (instanceRef pdp_ram_4_0_15)) + (portRef DIA11 (instanceRef pdp_ram_4_0_15)) + (portRef DIB10 (instanceRef pdp_ram_4_0_15)) + (portRef DIA10 (instanceRef pdp_ram_4_0_15)) + (portRef DIB9 (instanceRef pdp_ram_4_0_15)) + (portRef DIA9 (instanceRef pdp_ram_4_0_15)) + (portRef DIB8 (instanceRef pdp_ram_4_0_15)) + (portRef DIB7 (instanceRef pdp_ram_4_0_15)) + (portRef DIB6 (instanceRef pdp_ram_4_0_15)) + (portRef DIB5 (instanceRef pdp_ram_4_0_15)) + (portRef DIB4 (instanceRef pdp_ram_4_0_15)) + (portRef DIB3 (instanceRef pdp_ram_4_0_15)) + (portRef DIB2 (instanceRef pdp_ram_4_0_15)) + (portRef DIB1 (instanceRef pdp_ram_4_0_15)) + (portRef DIB0 (instanceRef pdp_ram_4_0_15)) + (portRef WEB (instanceRef pdp_ram_4_1_14)) + (portRef ADB2 (instanceRef pdp_ram_4_1_14)) + (portRef ADA2 (instanceRef pdp_ram_4_1_14)) + (portRef ADB1 (instanceRef pdp_ram_4_1_14)) + (portRef ADA1 (instanceRef pdp_ram_4_1_14)) + (portRef ADB0 (instanceRef pdp_ram_4_1_14)) + (portRef ADA0 (instanceRef pdp_ram_4_1_14)) + (portRef DIB17 (instanceRef pdp_ram_4_1_14)) + (portRef DIA17 (instanceRef pdp_ram_4_1_14)) + (portRef DIB16 (instanceRef pdp_ram_4_1_14)) + (portRef DIA16 (instanceRef pdp_ram_4_1_14)) + (portRef DIB15 (instanceRef pdp_ram_4_1_14)) + (portRef DIA15 (instanceRef pdp_ram_4_1_14)) + (portRef DIB14 (instanceRef pdp_ram_4_1_14)) + (portRef DIA14 (instanceRef pdp_ram_4_1_14)) + (portRef DIB13 (instanceRef pdp_ram_4_1_14)) + (portRef DIA13 (instanceRef pdp_ram_4_1_14)) + (portRef DIB12 (instanceRef pdp_ram_4_1_14)) + (portRef DIA12 (instanceRef pdp_ram_4_1_14)) + (portRef DIB11 (instanceRef pdp_ram_4_1_14)) + (portRef DIA11 (instanceRef pdp_ram_4_1_14)) + (portRef DIB10 (instanceRef pdp_ram_4_1_14)) + (portRef DIA10 (instanceRef pdp_ram_4_1_14)) + (portRef DIB9 (instanceRef pdp_ram_4_1_14)) + (portRef DIA9 (instanceRef pdp_ram_4_1_14)) + (portRef DIB8 (instanceRef pdp_ram_4_1_14)) + (portRef DIB7 (instanceRef pdp_ram_4_1_14)) + (portRef DIB6 (instanceRef pdp_ram_4_1_14)) + (portRef DIB5 (instanceRef pdp_ram_4_1_14)) + (portRef DIB4 (instanceRef pdp_ram_4_1_14)) + (portRef DIB3 (instanceRef pdp_ram_4_1_14)) + (portRef DIB2 (instanceRef pdp_ram_4_1_14)) + (portRef DIB1 (instanceRef pdp_ram_4_1_14)) + (portRef DIB0 (instanceRef pdp_ram_4_1_14)) + (portRef WEB (instanceRef pdp_ram_4_2_13)) + (portRef ADB2 (instanceRef pdp_ram_4_2_13)) + (portRef ADA2 (instanceRef pdp_ram_4_2_13)) + (portRef ADB1 (instanceRef pdp_ram_4_2_13)) + (portRef ADA1 (instanceRef pdp_ram_4_2_13)) + (portRef ADB0 (instanceRef pdp_ram_4_2_13)) + (portRef ADA0 (instanceRef pdp_ram_4_2_13)) + (portRef DIB17 (instanceRef pdp_ram_4_2_13)) + (portRef DIA17 (instanceRef pdp_ram_4_2_13)) + (portRef DIB16 (instanceRef pdp_ram_4_2_13)) + (portRef DIA16 (instanceRef pdp_ram_4_2_13)) + (portRef DIB15 (instanceRef pdp_ram_4_2_13)) + (portRef DIA15 (instanceRef pdp_ram_4_2_13)) + (portRef DIB14 (instanceRef pdp_ram_4_2_13)) + (portRef DIA14 (instanceRef pdp_ram_4_2_13)) + (portRef DIB13 (instanceRef pdp_ram_4_2_13)) + (portRef DIA13 (instanceRef pdp_ram_4_2_13)) + (portRef DIB12 (instanceRef pdp_ram_4_2_13)) + (portRef DIA12 (instanceRef pdp_ram_4_2_13)) + (portRef DIB11 (instanceRef pdp_ram_4_2_13)) + (portRef DIA11 (instanceRef pdp_ram_4_2_13)) + (portRef DIB10 (instanceRef pdp_ram_4_2_13)) + (portRef DIA10 (instanceRef pdp_ram_4_2_13)) + (portRef DIB9 (instanceRef pdp_ram_4_2_13)) + (portRef DIA9 (instanceRef pdp_ram_4_2_13)) + (portRef DIB8 (instanceRef pdp_ram_4_2_13)) + (portRef DIB7 (instanceRef pdp_ram_4_2_13)) + (portRef DIB6 (instanceRef pdp_ram_4_2_13)) + (portRef DIB5 (instanceRef pdp_ram_4_2_13)) + (portRef DIB4 (instanceRef pdp_ram_4_2_13)) + (portRef DIB3 (instanceRef pdp_ram_4_2_13)) + (portRef DIB2 (instanceRef pdp_ram_4_2_13)) + (portRef DIB1 (instanceRef pdp_ram_4_2_13)) + (portRef DIB0 (instanceRef pdp_ram_4_2_13)) + (portRef WEB (instanceRef pdp_ram_4_3_12)) + (portRef ADB2 (instanceRef pdp_ram_4_3_12)) + (portRef ADA2 (instanceRef pdp_ram_4_3_12)) + (portRef ADB1 (instanceRef pdp_ram_4_3_12)) + (portRef ADA1 (instanceRef pdp_ram_4_3_12)) + (portRef ADB0 (instanceRef pdp_ram_4_3_12)) + (portRef ADA0 (instanceRef pdp_ram_4_3_12)) + (portRef DIB17 (instanceRef pdp_ram_4_3_12)) + (portRef DIA17 (instanceRef pdp_ram_4_3_12)) + (portRef DIB16 (instanceRef pdp_ram_4_3_12)) + (portRef DIA16 (instanceRef pdp_ram_4_3_12)) + (portRef DIB15 (instanceRef pdp_ram_4_3_12)) + (portRef DIA15 (instanceRef pdp_ram_4_3_12)) + (portRef DIB14 (instanceRef pdp_ram_4_3_12)) + (portRef DIA14 (instanceRef pdp_ram_4_3_12)) + (portRef DIB13 (instanceRef pdp_ram_4_3_12)) + (portRef DIA13 (instanceRef pdp_ram_4_3_12)) + (portRef DIB12 (instanceRef pdp_ram_4_3_12)) + (portRef DIA12 (instanceRef pdp_ram_4_3_12)) + (portRef DIB11 (instanceRef pdp_ram_4_3_12)) + (portRef DIA11 (instanceRef pdp_ram_4_3_12)) + (portRef DIB10 (instanceRef pdp_ram_4_3_12)) + (portRef DIA10 (instanceRef pdp_ram_4_3_12)) + (portRef DIB9 (instanceRef pdp_ram_4_3_12)) + (portRef DIA9 (instanceRef pdp_ram_4_3_12)) + (portRef DIB8 (instanceRef pdp_ram_4_3_12)) + (portRef DIB7 (instanceRef pdp_ram_4_3_12)) + (portRef DIB6 (instanceRef pdp_ram_4_3_12)) + (portRef DIB5 (instanceRef pdp_ram_4_3_12)) + (portRef DIB4 (instanceRef pdp_ram_4_3_12)) + (portRef DIB3 (instanceRef pdp_ram_4_3_12)) + (portRef DIB2 (instanceRef pdp_ram_4_3_12)) + (portRef DIB1 (instanceRef pdp_ram_4_3_12)) + (portRef DIB0 (instanceRef pdp_ram_4_3_12)) + (portRef WEB (instanceRef pdp_ram_5_0_11)) + (portRef ADB2 (instanceRef pdp_ram_5_0_11)) + (portRef ADA2 (instanceRef pdp_ram_5_0_11)) + (portRef ADB1 (instanceRef pdp_ram_5_0_11)) + (portRef ADA1 (instanceRef pdp_ram_5_0_11)) + (portRef ADB0 (instanceRef pdp_ram_5_0_11)) + (portRef ADA0 (instanceRef pdp_ram_5_0_11)) + (portRef DIB17 (instanceRef pdp_ram_5_0_11)) + (portRef DIA17 (instanceRef pdp_ram_5_0_11)) + (portRef DIB16 (instanceRef pdp_ram_5_0_11)) + (portRef DIA16 (instanceRef pdp_ram_5_0_11)) + (portRef DIB15 (instanceRef pdp_ram_5_0_11)) + (portRef DIA15 (instanceRef pdp_ram_5_0_11)) + (portRef DIB14 (instanceRef pdp_ram_5_0_11)) + (portRef DIA14 (instanceRef pdp_ram_5_0_11)) + (portRef DIB13 (instanceRef pdp_ram_5_0_11)) + (portRef DIA13 (instanceRef pdp_ram_5_0_11)) + (portRef DIB12 (instanceRef pdp_ram_5_0_11)) + (portRef DIA12 (instanceRef pdp_ram_5_0_11)) + (portRef DIB11 (instanceRef pdp_ram_5_0_11)) + (portRef DIA11 (instanceRef pdp_ram_5_0_11)) + (portRef DIB10 (instanceRef pdp_ram_5_0_11)) + (portRef DIA10 (instanceRef pdp_ram_5_0_11)) + (portRef DIB9 (instanceRef pdp_ram_5_0_11)) + (portRef DIA9 (instanceRef pdp_ram_5_0_11)) + (portRef DIB8 (instanceRef pdp_ram_5_0_11)) + (portRef DIB7 (instanceRef pdp_ram_5_0_11)) + (portRef DIB6 (instanceRef pdp_ram_5_0_11)) + (portRef DIB5 (instanceRef pdp_ram_5_0_11)) + (portRef DIB4 (instanceRef pdp_ram_5_0_11)) + (portRef DIB3 (instanceRef pdp_ram_5_0_11)) + (portRef DIB2 (instanceRef pdp_ram_5_0_11)) + (portRef DIB1 (instanceRef pdp_ram_5_0_11)) + (portRef DIB0 (instanceRef pdp_ram_5_0_11)) + (portRef WEB (instanceRef pdp_ram_5_1_10)) + (portRef ADB2 (instanceRef pdp_ram_5_1_10)) + (portRef ADA2 (instanceRef pdp_ram_5_1_10)) + (portRef ADB1 (instanceRef pdp_ram_5_1_10)) + (portRef ADA1 (instanceRef pdp_ram_5_1_10)) + (portRef ADB0 (instanceRef pdp_ram_5_1_10)) + (portRef ADA0 (instanceRef pdp_ram_5_1_10)) + (portRef DIB17 (instanceRef pdp_ram_5_1_10)) + (portRef DIA17 (instanceRef pdp_ram_5_1_10)) + (portRef DIB16 (instanceRef pdp_ram_5_1_10)) + (portRef DIA16 (instanceRef pdp_ram_5_1_10)) + (portRef DIB15 (instanceRef pdp_ram_5_1_10)) + (portRef DIA15 (instanceRef pdp_ram_5_1_10)) + (portRef DIB14 (instanceRef pdp_ram_5_1_10)) + (portRef DIA14 (instanceRef pdp_ram_5_1_10)) + (portRef DIB13 (instanceRef pdp_ram_5_1_10)) + (portRef DIA13 (instanceRef pdp_ram_5_1_10)) + (portRef DIB12 (instanceRef pdp_ram_5_1_10)) + (portRef DIA12 (instanceRef pdp_ram_5_1_10)) + (portRef DIB11 (instanceRef pdp_ram_5_1_10)) + (portRef DIA11 (instanceRef pdp_ram_5_1_10)) + (portRef DIB10 (instanceRef pdp_ram_5_1_10)) + (portRef DIA10 (instanceRef pdp_ram_5_1_10)) + (portRef DIB9 (instanceRef pdp_ram_5_1_10)) + (portRef DIA9 (instanceRef pdp_ram_5_1_10)) + (portRef DIB8 (instanceRef pdp_ram_5_1_10)) + (portRef DIB7 (instanceRef pdp_ram_5_1_10)) + (portRef DIB6 (instanceRef pdp_ram_5_1_10)) + (portRef DIB5 (instanceRef pdp_ram_5_1_10)) + (portRef DIB4 (instanceRef pdp_ram_5_1_10)) + (portRef DIB3 (instanceRef pdp_ram_5_1_10)) + (portRef DIB2 (instanceRef pdp_ram_5_1_10)) + (portRef DIB1 (instanceRef pdp_ram_5_1_10)) + (portRef DIB0 (instanceRef pdp_ram_5_1_10)) + (portRef WEB (instanceRef pdp_ram_5_2_9)) + (portRef ADB2 (instanceRef pdp_ram_5_2_9)) + (portRef ADA2 (instanceRef pdp_ram_5_2_9)) + (portRef ADB1 (instanceRef pdp_ram_5_2_9)) + (portRef ADA1 (instanceRef pdp_ram_5_2_9)) + (portRef ADB0 (instanceRef pdp_ram_5_2_9)) + (portRef ADA0 (instanceRef pdp_ram_5_2_9)) + (portRef DIB17 (instanceRef pdp_ram_5_2_9)) + (portRef DIA17 (instanceRef pdp_ram_5_2_9)) + (portRef DIB16 (instanceRef pdp_ram_5_2_9)) + (portRef DIA16 (instanceRef pdp_ram_5_2_9)) + (portRef DIB15 (instanceRef pdp_ram_5_2_9)) + (portRef DIA15 (instanceRef pdp_ram_5_2_9)) + (portRef DIB14 (instanceRef pdp_ram_5_2_9)) + (portRef DIA14 (instanceRef pdp_ram_5_2_9)) + (portRef DIB13 (instanceRef pdp_ram_5_2_9)) + (portRef DIA13 (instanceRef pdp_ram_5_2_9)) + (portRef DIB12 (instanceRef pdp_ram_5_2_9)) + (portRef DIA12 (instanceRef pdp_ram_5_2_9)) + (portRef DIB11 (instanceRef pdp_ram_5_2_9)) + (portRef DIA11 (instanceRef pdp_ram_5_2_9)) + (portRef DIB10 (instanceRef pdp_ram_5_2_9)) + (portRef DIA10 (instanceRef pdp_ram_5_2_9)) + (portRef DIB9 (instanceRef pdp_ram_5_2_9)) + (portRef DIA9 (instanceRef pdp_ram_5_2_9)) + (portRef DIB8 (instanceRef pdp_ram_5_2_9)) + (portRef DIB7 (instanceRef pdp_ram_5_2_9)) + (portRef DIB6 (instanceRef pdp_ram_5_2_9)) + (portRef DIB5 (instanceRef pdp_ram_5_2_9)) + (portRef DIB4 (instanceRef pdp_ram_5_2_9)) + (portRef DIB3 (instanceRef pdp_ram_5_2_9)) + (portRef DIB2 (instanceRef pdp_ram_5_2_9)) + (portRef DIB1 (instanceRef pdp_ram_5_2_9)) + (portRef DIB0 (instanceRef pdp_ram_5_2_9)) + (portRef WEB (instanceRef pdp_ram_5_3_8)) + (portRef ADB2 (instanceRef pdp_ram_5_3_8)) + (portRef ADA2 (instanceRef pdp_ram_5_3_8)) + (portRef ADB1 (instanceRef pdp_ram_5_3_8)) + (portRef ADA1 (instanceRef pdp_ram_5_3_8)) + (portRef ADB0 (instanceRef pdp_ram_5_3_8)) + (portRef ADA0 (instanceRef pdp_ram_5_3_8)) + (portRef DIB17 (instanceRef pdp_ram_5_3_8)) + (portRef DIA17 (instanceRef pdp_ram_5_3_8)) + (portRef DIB16 (instanceRef pdp_ram_5_3_8)) + (portRef DIA16 (instanceRef pdp_ram_5_3_8)) + (portRef DIB15 (instanceRef pdp_ram_5_3_8)) + (portRef DIA15 (instanceRef pdp_ram_5_3_8)) + (portRef DIB14 (instanceRef pdp_ram_5_3_8)) + (portRef DIA14 (instanceRef pdp_ram_5_3_8)) + (portRef DIB13 (instanceRef pdp_ram_5_3_8)) + (portRef DIA13 (instanceRef pdp_ram_5_3_8)) + (portRef DIB12 (instanceRef pdp_ram_5_3_8)) + (portRef DIA12 (instanceRef pdp_ram_5_3_8)) + (portRef DIB11 (instanceRef pdp_ram_5_3_8)) + (portRef DIA11 (instanceRef pdp_ram_5_3_8)) + (portRef DIB10 (instanceRef pdp_ram_5_3_8)) + (portRef DIA10 (instanceRef pdp_ram_5_3_8)) + (portRef DIB9 (instanceRef pdp_ram_5_3_8)) + (portRef DIA9 (instanceRef pdp_ram_5_3_8)) + (portRef DIB8 (instanceRef pdp_ram_5_3_8)) + (portRef DIB7 (instanceRef pdp_ram_5_3_8)) + (portRef DIB6 (instanceRef pdp_ram_5_3_8)) + (portRef DIB5 (instanceRef pdp_ram_5_3_8)) + (portRef DIB4 (instanceRef pdp_ram_5_3_8)) + (portRef DIB3 (instanceRef pdp_ram_5_3_8)) + (portRef DIB2 (instanceRef pdp_ram_5_3_8)) + (portRef DIB1 (instanceRef pdp_ram_5_3_8)) + (portRef DIB0 (instanceRef pdp_ram_5_3_8)) + (portRef WEB (instanceRef pdp_ram_6_0_7)) + (portRef ADB2 (instanceRef pdp_ram_6_0_7)) + (portRef ADA2 (instanceRef pdp_ram_6_0_7)) + (portRef ADB1 (instanceRef pdp_ram_6_0_7)) + (portRef ADA1 (instanceRef pdp_ram_6_0_7)) + (portRef ADB0 (instanceRef pdp_ram_6_0_7)) + (portRef ADA0 (instanceRef pdp_ram_6_0_7)) + (portRef DIB17 (instanceRef pdp_ram_6_0_7)) + (portRef DIA17 (instanceRef pdp_ram_6_0_7)) + (portRef DIB16 (instanceRef pdp_ram_6_0_7)) + (portRef DIA16 (instanceRef pdp_ram_6_0_7)) + (portRef DIB15 (instanceRef pdp_ram_6_0_7)) + (portRef DIA15 (instanceRef pdp_ram_6_0_7)) + (portRef DIB14 (instanceRef pdp_ram_6_0_7)) + (portRef DIA14 (instanceRef pdp_ram_6_0_7)) + (portRef DIB13 (instanceRef pdp_ram_6_0_7)) + (portRef DIA13 (instanceRef pdp_ram_6_0_7)) + (portRef DIB12 (instanceRef pdp_ram_6_0_7)) + (portRef DIA12 (instanceRef pdp_ram_6_0_7)) + (portRef DIB11 (instanceRef pdp_ram_6_0_7)) + (portRef DIA11 (instanceRef pdp_ram_6_0_7)) + (portRef DIB10 (instanceRef pdp_ram_6_0_7)) + (portRef DIA10 (instanceRef pdp_ram_6_0_7)) + (portRef DIB9 (instanceRef pdp_ram_6_0_7)) + (portRef DIA9 (instanceRef pdp_ram_6_0_7)) + (portRef DIB8 (instanceRef pdp_ram_6_0_7)) + (portRef DIB7 (instanceRef pdp_ram_6_0_7)) + (portRef DIB6 (instanceRef pdp_ram_6_0_7)) + (portRef DIB5 (instanceRef pdp_ram_6_0_7)) + (portRef DIB4 (instanceRef pdp_ram_6_0_7)) + (portRef DIB3 (instanceRef pdp_ram_6_0_7)) + (portRef DIB2 (instanceRef pdp_ram_6_0_7)) + (portRef DIB1 (instanceRef pdp_ram_6_0_7)) + (portRef DIB0 (instanceRef pdp_ram_6_0_7)) + (portRef WEB (instanceRef pdp_ram_6_1_6)) + (portRef ADB2 (instanceRef pdp_ram_6_1_6)) + (portRef ADA2 (instanceRef pdp_ram_6_1_6)) + (portRef ADB1 (instanceRef pdp_ram_6_1_6)) + (portRef ADA1 (instanceRef pdp_ram_6_1_6)) + (portRef ADB0 (instanceRef pdp_ram_6_1_6)) + (portRef ADA0 (instanceRef pdp_ram_6_1_6)) + (portRef DIB17 (instanceRef pdp_ram_6_1_6)) + (portRef DIA17 (instanceRef pdp_ram_6_1_6)) + (portRef DIB16 (instanceRef pdp_ram_6_1_6)) + (portRef DIA16 (instanceRef pdp_ram_6_1_6)) + (portRef DIB15 (instanceRef pdp_ram_6_1_6)) + (portRef DIA15 (instanceRef pdp_ram_6_1_6)) + (portRef DIB14 (instanceRef pdp_ram_6_1_6)) + (portRef DIA14 (instanceRef pdp_ram_6_1_6)) + (portRef DIB13 (instanceRef pdp_ram_6_1_6)) + (portRef DIA13 (instanceRef pdp_ram_6_1_6)) + (portRef DIB12 (instanceRef pdp_ram_6_1_6)) + (portRef DIA12 (instanceRef pdp_ram_6_1_6)) + (portRef DIB11 (instanceRef pdp_ram_6_1_6)) + (portRef DIA11 (instanceRef pdp_ram_6_1_6)) + (portRef DIB10 (instanceRef pdp_ram_6_1_6)) + (portRef DIA10 (instanceRef pdp_ram_6_1_6)) + (portRef DIB9 (instanceRef pdp_ram_6_1_6)) + (portRef DIA9 (instanceRef pdp_ram_6_1_6)) + (portRef DIB8 (instanceRef pdp_ram_6_1_6)) + (portRef DIB7 (instanceRef pdp_ram_6_1_6)) + (portRef DIB6 (instanceRef pdp_ram_6_1_6)) + (portRef DIB5 (instanceRef pdp_ram_6_1_6)) + (portRef DIB4 (instanceRef pdp_ram_6_1_6)) + (portRef DIB3 (instanceRef pdp_ram_6_1_6)) + (portRef DIB2 (instanceRef pdp_ram_6_1_6)) + (portRef DIB1 (instanceRef pdp_ram_6_1_6)) + (portRef DIB0 (instanceRef pdp_ram_6_1_6)) + (portRef WEB (instanceRef pdp_ram_6_2_5)) + (portRef ADB2 (instanceRef pdp_ram_6_2_5)) + (portRef ADA2 (instanceRef pdp_ram_6_2_5)) + (portRef ADB1 (instanceRef pdp_ram_6_2_5)) + (portRef ADA1 (instanceRef pdp_ram_6_2_5)) + (portRef ADB0 (instanceRef pdp_ram_6_2_5)) + (portRef ADA0 (instanceRef pdp_ram_6_2_5)) + (portRef DIB17 (instanceRef pdp_ram_6_2_5)) + (portRef DIA17 (instanceRef pdp_ram_6_2_5)) + (portRef DIB16 (instanceRef pdp_ram_6_2_5)) + (portRef DIA16 (instanceRef pdp_ram_6_2_5)) + (portRef DIB15 (instanceRef pdp_ram_6_2_5)) + (portRef DIA15 (instanceRef pdp_ram_6_2_5)) + (portRef DIB14 (instanceRef pdp_ram_6_2_5)) + (portRef DIA14 (instanceRef pdp_ram_6_2_5)) + (portRef DIB13 (instanceRef pdp_ram_6_2_5)) + (portRef DIA13 (instanceRef pdp_ram_6_2_5)) + (portRef DIB12 (instanceRef pdp_ram_6_2_5)) + (portRef DIA12 (instanceRef pdp_ram_6_2_5)) + (portRef DIB11 (instanceRef pdp_ram_6_2_5)) + (portRef DIA11 (instanceRef pdp_ram_6_2_5)) + (portRef DIB10 (instanceRef pdp_ram_6_2_5)) + (portRef DIA10 (instanceRef pdp_ram_6_2_5)) + (portRef DIB9 (instanceRef pdp_ram_6_2_5)) + (portRef DIA9 (instanceRef pdp_ram_6_2_5)) + (portRef DIB8 (instanceRef pdp_ram_6_2_5)) + (portRef DIB7 (instanceRef pdp_ram_6_2_5)) + (portRef DIB6 (instanceRef pdp_ram_6_2_5)) + (portRef DIB5 (instanceRef pdp_ram_6_2_5)) + (portRef DIB4 (instanceRef pdp_ram_6_2_5)) + (portRef DIB3 (instanceRef pdp_ram_6_2_5)) + (portRef DIB2 (instanceRef pdp_ram_6_2_5)) + (portRef DIB1 (instanceRef pdp_ram_6_2_5)) + (portRef DIB0 (instanceRef pdp_ram_6_2_5)) + (portRef WEB (instanceRef pdp_ram_6_3_4)) + (portRef ADB2 (instanceRef pdp_ram_6_3_4)) + (portRef ADA2 (instanceRef pdp_ram_6_3_4)) + (portRef ADB1 (instanceRef pdp_ram_6_3_4)) + (portRef ADA1 (instanceRef pdp_ram_6_3_4)) + (portRef ADB0 (instanceRef pdp_ram_6_3_4)) + (portRef ADA0 (instanceRef pdp_ram_6_3_4)) + (portRef DIB17 (instanceRef pdp_ram_6_3_4)) + (portRef DIA17 (instanceRef pdp_ram_6_3_4)) + (portRef DIB16 (instanceRef pdp_ram_6_3_4)) + (portRef DIA16 (instanceRef pdp_ram_6_3_4)) + (portRef DIB15 (instanceRef pdp_ram_6_3_4)) + (portRef DIA15 (instanceRef pdp_ram_6_3_4)) + (portRef DIB14 (instanceRef pdp_ram_6_3_4)) + (portRef DIA14 (instanceRef pdp_ram_6_3_4)) + (portRef DIB13 (instanceRef pdp_ram_6_3_4)) + (portRef DIA13 (instanceRef pdp_ram_6_3_4)) + (portRef DIB12 (instanceRef pdp_ram_6_3_4)) + (portRef DIA12 (instanceRef pdp_ram_6_3_4)) + (portRef DIB11 (instanceRef pdp_ram_6_3_4)) + (portRef DIA11 (instanceRef pdp_ram_6_3_4)) + (portRef DIB10 (instanceRef pdp_ram_6_3_4)) + (portRef DIA10 (instanceRef pdp_ram_6_3_4)) + (portRef DIB9 (instanceRef pdp_ram_6_3_4)) + (portRef DIA9 (instanceRef pdp_ram_6_3_4)) + (portRef DIB8 (instanceRef pdp_ram_6_3_4)) + (portRef DIB7 (instanceRef pdp_ram_6_3_4)) + (portRef DIB6 (instanceRef pdp_ram_6_3_4)) + (portRef DIB5 (instanceRef pdp_ram_6_3_4)) + (portRef DIB4 (instanceRef pdp_ram_6_3_4)) + (portRef DIB3 (instanceRef pdp_ram_6_3_4)) + (portRef DIB2 (instanceRef pdp_ram_6_3_4)) + (portRef DIB1 (instanceRef pdp_ram_6_3_4)) + (portRef DIB0 (instanceRef pdp_ram_6_3_4)) + (portRef WEB (instanceRef pdp_ram_7_0_3)) + (portRef ADB2 (instanceRef pdp_ram_7_0_3)) + (portRef ADA2 (instanceRef pdp_ram_7_0_3)) + (portRef ADB1 (instanceRef pdp_ram_7_0_3)) + (portRef ADA1 (instanceRef pdp_ram_7_0_3)) + (portRef ADB0 (instanceRef pdp_ram_7_0_3)) + (portRef ADA0 (instanceRef pdp_ram_7_0_3)) + (portRef DIB17 (instanceRef pdp_ram_7_0_3)) + (portRef DIA17 (instanceRef pdp_ram_7_0_3)) + (portRef DIB16 (instanceRef pdp_ram_7_0_3)) + (portRef DIA16 (instanceRef pdp_ram_7_0_3)) + (portRef DIB15 (instanceRef pdp_ram_7_0_3)) + (portRef DIA15 (instanceRef pdp_ram_7_0_3)) + (portRef DIB14 (instanceRef pdp_ram_7_0_3)) + (portRef DIA14 (instanceRef pdp_ram_7_0_3)) + (portRef DIB13 (instanceRef pdp_ram_7_0_3)) + (portRef DIA13 (instanceRef pdp_ram_7_0_3)) + (portRef DIB12 (instanceRef pdp_ram_7_0_3)) + (portRef DIA12 (instanceRef pdp_ram_7_0_3)) + (portRef DIB11 (instanceRef pdp_ram_7_0_3)) + (portRef DIA11 (instanceRef pdp_ram_7_0_3)) + (portRef DIB10 (instanceRef pdp_ram_7_0_3)) + (portRef DIA10 (instanceRef pdp_ram_7_0_3)) + (portRef DIB9 (instanceRef pdp_ram_7_0_3)) + (portRef DIA9 (instanceRef pdp_ram_7_0_3)) + (portRef DIB8 (instanceRef pdp_ram_7_0_3)) + (portRef DIB7 (instanceRef pdp_ram_7_0_3)) + (portRef DIB6 (instanceRef pdp_ram_7_0_3)) + (portRef DIB5 (instanceRef pdp_ram_7_0_3)) + (portRef DIB4 (instanceRef pdp_ram_7_0_3)) + (portRef DIB3 (instanceRef pdp_ram_7_0_3)) + (portRef DIB2 (instanceRef pdp_ram_7_0_3)) + (portRef DIB1 (instanceRef pdp_ram_7_0_3)) + (portRef DIB0 (instanceRef pdp_ram_7_0_3)) + (portRef WEB (instanceRef pdp_ram_7_1_2)) + (portRef ADB2 (instanceRef pdp_ram_7_1_2)) + (portRef ADA2 (instanceRef pdp_ram_7_1_2)) + (portRef ADB1 (instanceRef pdp_ram_7_1_2)) + (portRef ADA1 (instanceRef pdp_ram_7_1_2)) + (portRef ADB0 (instanceRef pdp_ram_7_1_2)) + (portRef ADA0 (instanceRef pdp_ram_7_1_2)) + (portRef DIB17 (instanceRef pdp_ram_7_1_2)) + (portRef DIA17 (instanceRef pdp_ram_7_1_2)) + (portRef DIB16 (instanceRef pdp_ram_7_1_2)) + (portRef DIA16 (instanceRef pdp_ram_7_1_2)) + (portRef DIB15 (instanceRef pdp_ram_7_1_2)) + (portRef DIA15 (instanceRef pdp_ram_7_1_2)) + (portRef DIB14 (instanceRef pdp_ram_7_1_2)) + (portRef DIA14 (instanceRef pdp_ram_7_1_2)) + (portRef DIB13 (instanceRef pdp_ram_7_1_2)) + (portRef DIA13 (instanceRef pdp_ram_7_1_2)) + (portRef DIB12 (instanceRef pdp_ram_7_1_2)) + (portRef DIA12 (instanceRef pdp_ram_7_1_2)) + (portRef DIB11 (instanceRef pdp_ram_7_1_2)) + (portRef DIA11 (instanceRef pdp_ram_7_1_2)) + (portRef DIB10 (instanceRef pdp_ram_7_1_2)) + (portRef DIA10 (instanceRef pdp_ram_7_1_2)) + (portRef DIB9 (instanceRef pdp_ram_7_1_2)) + (portRef DIA9 (instanceRef pdp_ram_7_1_2)) + (portRef DIB8 (instanceRef pdp_ram_7_1_2)) + (portRef DIB7 (instanceRef pdp_ram_7_1_2)) + (portRef DIB6 (instanceRef pdp_ram_7_1_2)) + (portRef DIB5 (instanceRef pdp_ram_7_1_2)) + (portRef DIB4 (instanceRef pdp_ram_7_1_2)) + (portRef DIB3 (instanceRef pdp_ram_7_1_2)) + (portRef DIB2 (instanceRef pdp_ram_7_1_2)) + (portRef DIB1 (instanceRef pdp_ram_7_1_2)) + (portRef DIB0 (instanceRef pdp_ram_7_1_2)) + (portRef WEB (instanceRef pdp_ram_7_2_1)) + (portRef ADB2 (instanceRef pdp_ram_7_2_1)) + (portRef ADA2 (instanceRef pdp_ram_7_2_1)) + (portRef ADB1 (instanceRef pdp_ram_7_2_1)) + (portRef ADA1 (instanceRef pdp_ram_7_2_1)) + (portRef ADB0 (instanceRef pdp_ram_7_2_1)) + (portRef ADA0 (instanceRef pdp_ram_7_2_1)) + (portRef DIB17 (instanceRef pdp_ram_7_2_1)) + (portRef DIA17 (instanceRef pdp_ram_7_2_1)) + (portRef DIB16 (instanceRef pdp_ram_7_2_1)) + (portRef DIA16 (instanceRef pdp_ram_7_2_1)) + (portRef DIB15 (instanceRef pdp_ram_7_2_1)) + (portRef DIA15 (instanceRef pdp_ram_7_2_1)) + (portRef DIB14 (instanceRef pdp_ram_7_2_1)) + (portRef DIA14 (instanceRef pdp_ram_7_2_1)) + (portRef DIB13 (instanceRef pdp_ram_7_2_1)) + (portRef DIA13 (instanceRef pdp_ram_7_2_1)) + (portRef DIB12 (instanceRef pdp_ram_7_2_1)) + (portRef DIA12 (instanceRef pdp_ram_7_2_1)) + (portRef DIB11 (instanceRef pdp_ram_7_2_1)) + (portRef DIA11 (instanceRef pdp_ram_7_2_1)) + (portRef DIB10 (instanceRef pdp_ram_7_2_1)) + (portRef DIA10 (instanceRef pdp_ram_7_2_1)) + (portRef DIB9 (instanceRef pdp_ram_7_2_1)) + (portRef DIA9 (instanceRef pdp_ram_7_2_1)) + (portRef DIB8 (instanceRef pdp_ram_7_2_1)) + (portRef DIB7 (instanceRef pdp_ram_7_2_1)) + (portRef DIB6 (instanceRef pdp_ram_7_2_1)) + (portRef DIB5 (instanceRef pdp_ram_7_2_1)) + (portRef DIB4 (instanceRef pdp_ram_7_2_1)) + (portRef DIB3 (instanceRef pdp_ram_7_2_1)) + (portRef DIB2 (instanceRef pdp_ram_7_2_1)) + (portRef DIB1 (instanceRef pdp_ram_7_2_1)) + (portRef DIB0 (instanceRef pdp_ram_7_2_1)) + (portRef WEB (instanceRef pdp_ram_7_3_0)) + (portRef ADB2 (instanceRef pdp_ram_7_3_0)) + (portRef ADA2 (instanceRef pdp_ram_7_3_0)) + (portRef ADB1 (instanceRef pdp_ram_7_3_0)) + (portRef ADA1 (instanceRef pdp_ram_7_3_0)) + (portRef ADB0 (instanceRef pdp_ram_7_3_0)) + (portRef ADA0 (instanceRef pdp_ram_7_3_0)) + (portRef DIB17 (instanceRef pdp_ram_7_3_0)) + (portRef DIA17 (instanceRef pdp_ram_7_3_0)) + (portRef DIB16 (instanceRef pdp_ram_7_3_0)) + (portRef DIA16 (instanceRef pdp_ram_7_3_0)) + (portRef DIB15 (instanceRef pdp_ram_7_3_0)) + (portRef DIA15 (instanceRef pdp_ram_7_3_0)) + (portRef DIB14 (instanceRef pdp_ram_7_3_0)) + (portRef DIA14 (instanceRef pdp_ram_7_3_0)) + (portRef DIB13 (instanceRef pdp_ram_7_3_0)) + (portRef DIA13 (instanceRef pdp_ram_7_3_0)) + (portRef DIB12 (instanceRef pdp_ram_7_3_0)) + (portRef DIA12 (instanceRef pdp_ram_7_3_0)) + (portRef DIB11 (instanceRef pdp_ram_7_3_0)) + (portRef DIA11 (instanceRef pdp_ram_7_3_0)) + (portRef DIB10 (instanceRef pdp_ram_7_3_0)) + (portRef DIA10 (instanceRef pdp_ram_7_3_0)) + (portRef DIB9 (instanceRef pdp_ram_7_3_0)) + (portRef DIA9 (instanceRef pdp_ram_7_3_0)) + (portRef DIB8 (instanceRef pdp_ram_7_3_0)) + (portRef DIB7 (instanceRef pdp_ram_7_3_0)) + (portRef DIB6 (instanceRef pdp_ram_7_3_0)) + (portRef DIB5 (instanceRef pdp_ram_7_3_0)) + (portRef DIB4 (instanceRef pdp_ram_7_3_0)) + (portRef DIB3 (instanceRef pdp_ram_7_3_0)) + (portRef DIB2 (instanceRef pdp_ram_7_3_0)) + (portRef DIB1 (instanceRef pdp_ram_7_3_0)) + (portRef DIB0 (instanceRef pdp_ram_7_3_0)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_16)) + (portRef B0 (instanceRef bdcnt_bctr_cia)) + (portRef A0 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_7)) + (portRef A1 (instanceRef e_cmp_0)) + (portRef A0 (instanceRef e_cmp_1)) + (portRef A1 (instanceRef e_cmp_1)) + (portRef A0 (instanceRef e_cmp_2)) + (portRef A1 (instanceRef e_cmp_2)) + (portRef A0 (instanceRef e_cmp_3)) + (portRef A1 (instanceRef e_cmp_3)) + (portRef A0 (instanceRef e_cmp_4)) + (portRef A1 (instanceRef e_cmp_4)) + (portRef A0 (instanceRef e_cmp_5)) + (portRef A1 (instanceRef e_cmp_5)) + (portRef A0 (instanceRef e_cmp_6)) + (portRef A1 (instanceRef e_cmp_6)) + (portRef A0 (instanceRef e_cmp_7)) + (portRef A1 (instanceRef e_cmp_7)) + (portRef B1 (instanceRef e_cmp_7)) + (portRef B1 (instanceRef a0)) + (portRef B0 (instanceRef a0)) + (portRef A1 (instanceRef a0)) + (portRef A0 (instanceRef a0)) + (portRef B1 (instanceRef g_cmp_7)) + (portRef A1 (instanceRef g_cmp_7)) + (portRef B1 (instanceRef a1)) + (portRef B0 (instanceRef a1)) + (portRef A1 (instanceRef a1)) + (portRef A0 (instanceRef a1)) + (portRef B0 (instanceRef w_ctr_cia)) + (portRef A0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_0)) + (portRef B0 (instanceRef w_ctr_0)) + (portRef B1 (instanceRef w_ctr_1)) + (portRef B0 (instanceRef w_ctr_1)) + (portRef B1 (instanceRef w_ctr_2)) + (portRef B0 (instanceRef w_ctr_2)) + (portRef B1 (instanceRef w_ctr_3)) + (portRef B0 (instanceRef w_ctr_3)) + (portRef B1 (instanceRef w_ctr_4)) + (portRef B0 (instanceRef w_ctr_4)) + (portRef B1 (instanceRef w_ctr_5)) + (portRef B0 (instanceRef w_ctr_5)) + (portRef B1 (instanceRef w_ctr_6)) + (portRef B0 (instanceRef w_ctr_6)) + (portRef B1 (instanceRef w_ctr_7)) + (portRef B0 (instanceRef w_ctr_7)) + (portRef A1 (instanceRef w_ctr_7)) + (portRef B0 (instanceRef r_ctr_cia)) + (portRef A0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_0)) + (portRef B0 (instanceRef r_ctr_0)) + (portRef B1 (instanceRef r_ctr_1)) + (portRef B0 (instanceRef r_ctr_1)) + (portRef B1 (instanceRef r_ctr_2)) + (portRef B0 (instanceRef r_ctr_2)) + (portRef B1 (instanceRef r_ctr_3)) + (portRef B0 (instanceRef r_ctr_3)) + (portRef B1 (instanceRef r_ctr_4)) + (portRef B0 (instanceRef r_ctr_4)) + (portRef B1 (instanceRef r_ctr_5)) + (portRef B0 (instanceRef r_ctr_5)) + (portRef B1 (instanceRef r_ctr_6)) + (portRef B0 (instanceRef r_ctr_6)) + (portRef B1 (instanceRef r_ctr_7)) + (portRef B0 (instanceRef r_ctr_7)) + (portRef A1 (instanceRef r_ctr_7)) + (portRef B1 (instanceRef wcnt_7)) + (portRef B1 (instanceRef wcntd)) + (portRef B0 (instanceRef wcntd)) + (portRef A1 (instanceRef wcntd)) + (portRef A0 (instanceRef wcntd)) + (portRef B0 (instanceRef af_set_cmp_ci_a)) + (portRef A0 (instanceRef af_set_cmp_ci_a)) + (portRef B0 (instanceRef af_set_cmp_7)) + (portRef B1 (instanceRef af_set_cmp_7)) + (portRef A1 (instanceRef af_set_cmp_7)) + (portRef B1 (instanceRef a2)) + (portRef B0 (instanceRef a2)) + (portRef A1 (instanceRef a2)) + (portRef A0 (instanceRef a2)))) + (net af_set_c + (joined + (portRef CIN (instanceRef a2)) + (portRef COUT (instanceRef af_set_cmp_7)))) + (net partial_full + (joined + (portRef AlmostFull) + (portRef Q (instanceRef FF_0)))) + (net Full + (joined + (portRef Full) + (portRef Q (instanceRef FF_82)) + (portRef A (instanceRef INV_5)) + (portRef AD0 (instanceRef LUT4_0)))) + (net Empty + (joined + (portRef Empty) + (portRef Q (instanceRef FF_83)) + (portRef A (instanceRef INV_4)) + (portRef AD0 (instanceRef LUT4_1)))) + (net WCNT14 + (joined + (portRef (member WCNT 0)) + (portRef A0 (instanceRef g_cmp_7)) + (portRef Q (instanceRef FF_84)) + (portRef A0 (instanceRef bdcnt_bctr_7)) + (portRef B0 (instanceRef e_cmp_7)))) + (net WCNT13 + (joined + (portRef (member WCNT 1)) + (portRef A1 (instanceRef g_cmp_6)) + (portRef Q (instanceRef FF_85)) + (portRef A1 (instanceRef bdcnt_bctr_6)) + (portRef B1 (instanceRef e_cmp_6)))) + (net WCNT12 + (joined + (portRef (member WCNT 2)) + (portRef A0 (instanceRef g_cmp_6)) + (portRef Q (instanceRef FF_86)) + (portRef A0 (instanceRef bdcnt_bctr_6)) + (portRef B0 (instanceRef e_cmp_6)))) + (net WCNT11 + (joined + (portRef (member WCNT 3)) + (portRef A1 (instanceRef g_cmp_5)) + (portRef Q (instanceRef FF_87)) + (portRef A1 (instanceRef bdcnt_bctr_5)) + (portRef B1 (instanceRef e_cmp_5)))) + (net WCNT10 + (joined + (portRef (member WCNT 4)) + (portRef A0 (instanceRef g_cmp_5)) + (portRef Q (instanceRef FF_88)) + (portRef A0 (instanceRef bdcnt_bctr_5)) + (portRef B0 (instanceRef e_cmp_5)))) + (net WCNT9 + (joined + (portRef (member WCNT 5)) + (portRef A1 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_89)) + (portRef A1 (instanceRef bdcnt_bctr_4)) + (portRef B1 (instanceRef e_cmp_4)))) + (net WCNT8 + (joined + (portRef (member WCNT 6)) + (portRef A0 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_90)) + (portRef A0 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef e_cmp_4)))) + (net WCNT7 + (joined + (portRef (member WCNT 7)) + (portRef A1 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_91)) + (portRef A1 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef e_cmp_3)))) + (net WCNT6 + (joined + (portRef (member WCNT 8)) + (portRef A0 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_92)) + (portRef A0 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef e_cmp_3)))) + (net WCNT5 + (joined + (portRef (member WCNT 9)) + (portRef A1 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_93)) + (portRef A1 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef e_cmp_2)))) + (net WCNT4 + (joined + (portRef (member WCNT 10)) + (portRef A0 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_94)) + (portRef A0 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef e_cmp_2)))) + (net WCNT3 + (joined + (portRef (member WCNT 11)) + (portRef A1 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_95)) + (portRef A1 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef e_cmp_1)))) + (net WCNT2 + (joined + (portRef (member WCNT 12)) + (portRef A0 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_96)) + (portRef A0 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef e_cmp_1)))) + (net WCNT1 + (joined + (portRef (member WCNT 13)) + (portRef A1 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_97)) + (portRef A1 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef e_cmp_0)))) + (net WCNT0 + (joined + (portRef (member WCNT 14)) + (portRef A0 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_98)) + (portRef A0 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef e_cmp_0)))) + (net dataout35 + (joined + (portRef (member Q 0)) + (portRef Z (instanceRef mux_0)))) + (net dataout34 + (joined + (portRef (member Q 1)) + (portRef Z (instanceRef mux_1)))) + (net dataout33 + (joined + (portRef (member Q 2)) + (portRef Z (instanceRef mux_2)))) + (net dataout32 + (joined + (portRef (member Q 3)) + (portRef Z (instanceRef mux_3)))) + (net dataout31 + (joined + (portRef (member Q 4)) + (portRef Z (instanceRef mux_4)))) + (net dataout30 + (joined + (portRef (member Q 5)) + (portRef Z (instanceRef mux_5)))) + (net dataout29 + (joined + (portRef (member Q 6)) + (portRef Z (instanceRef mux_6)))) + (net dataout28 + (joined + (portRef (member Q 7)) + (portRef Z (instanceRef mux_7)))) + (net dataout27 + (joined + (portRef (member Q 8)) + (portRef Z (instanceRef mux_8)))) + (net dataout26 + (joined + (portRef (member Q 9)) + (portRef Z (instanceRef mux_9)))) + (net dataout25 + (joined + (portRef (member Q 10)) + (portRef Z (instanceRef mux_10)))) + (net dataout24 + (joined + (portRef (member Q 11)) + (portRef Z (instanceRef mux_11)))) + (net dataout23 + (joined + (portRef (member Q 12)) + (portRef Z (instanceRef mux_12)))) + (net dataout22 + (joined + (portRef (member Q 13)) + (portRef Z (instanceRef mux_13)))) + (net dataout21 + (joined + (portRef (member Q 14)) + (portRef Z (instanceRef mux_14)))) + (net dataout20 + (joined + (portRef (member Q 15)) + (portRef Z (instanceRef mux_15)))) + (net dataout19 + (joined + (portRef (member Q 16)) + (portRef Z (instanceRef mux_16)))) + (net dataout18 + (joined + (portRef (member Q 17)) + (portRef Z (instanceRef mux_17)))) + (net dataout17 + (joined + (portRef (member Q 18)) + (portRef Z (instanceRef mux_18)))) + (net dataout16 + (joined + (portRef (member Q 19)) + (portRef Z (instanceRef mux_19)))) + (net dataout15 + (joined + (portRef (member Q 20)) + (portRef Z (instanceRef mux_20)))) + (net dataout14 + (joined + (portRef (member Q 21)) + (portRef Z (instanceRef mux_21)))) + (net dataout13 + (joined + (portRef (member Q 22)) + (portRef Z (instanceRef mux_22)))) + (net dataout12 + (joined + (portRef (member Q 23)) + (portRef Z (instanceRef mux_23)))) + (net dataout11 + (joined + (portRef (member Q 24)) + (portRef Z (instanceRef mux_24)))) + (net dataout10 + (joined + (portRef (member Q 25)) + (portRef Z (instanceRef mux_25)))) + (net dataout9 + (joined + (portRef (member Q 26)) + (portRef Z (instanceRef mux_26)))) + (net dataout8 + (joined + (portRef (member Q 27)) + (portRef Z (instanceRef mux_27)))) + (net dataout7 + (joined + (portRef (member Q 28)) + (portRef Z (instanceRef mux_28)))) + (net dataout6 + (joined + (portRef (member Q 29)) + (portRef Z (instanceRef mux_29)))) + (net dataout5 + (joined + (portRef (member Q 30)) + (portRef Z (instanceRef mux_30)))) + (net dataout4 + (joined + (portRef (member Q 31)) + (portRef Z (instanceRef mux_31)))) + (net dataout3 + (joined + (portRef (member Q 32)) + (portRef Z (instanceRef mux_32)))) + (net dataout2 + (joined + (portRef (member Q 33)) + (portRef Z (instanceRef mux_33)))) + (net dataout1 + (joined + (portRef (member Q 34)) + (portRef Z (instanceRef mux_34)))) + (net dataout0 + (joined + (portRef (member Q 35)) + (portRef Z (instanceRef mux_35)))) + (net AmFullThresh13 + (joined + (portRef (member AmFullThresh 0)) + (portRef B1 (instanceRef af_set_cmp_6)))) + (net AmFullThresh12 + (joined + (portRef (member AmFullThresh 1)) + (portRef B0 (instanceRef af_set_cmp_6)))) + (net AmFullThresh11 + (joined + (portRef (member AmFullThresh 2)) + (portRef B1 (instanceRef af_set_cmp_5)))) + (net AmFullThresh10 + (joined + (portRef (member AmFullThresh 3)) + (portRef B0 (instanceRef af_set_cmp_5)))) + (net AmFullThresh9 + (joined + (portRef (member AmFullThresh 4)) + (portRef B1 (instanceRef af_set_cmp_4)))) + (net AmFullThresh8 + (joined + (portRef (member AmFullThresh 5)) + (portRef B0 (instanceRef af_set_cmp_4)))) + (net AmFullThresh7 + (joined + (portRef (member AmFullThresh 6)) + (portRef B1 (instanceRef af_set_cmp_3)))) + (net AmFullThresh6 + (joined + (portRef (member AmFullThresh 7)) + (portRef B0 (instanceRef af_set_cmp_3)))) + (net AmFullThresh5 + (joined + (portRef (member AmFullThresh 8)) + (portRef B1 (instanceRef af_set_cmp_2)))) + (net AmFullThresh4 + (joined + (portRef (member AmFullThresh 9)) + (portRef B0 (instanceRef af_set_cmp_2)))) + (net AmFullThresh3 + (joined + (portRef (member AmFullThresh 10)) + (portRef B1 (instanceRef af_set_cmp_1)))) + (net AmFullThresh2 + (joined + (portRef (member AmFullThresh 11)) + (portRef B0 (instanceRef af_set_cmp_1)))) + (net AmFullThresh1 + (joined + (portRef (member AmFullThresh 12)) + (portRef B1 (instanceRef af_set_cmp_0)))) + (net AmFullThresh0 + (joined + (portRef (member AmFullThresh 13)) + (portRef B0 (instanceRef af_set_cmp_0)))) + (net reset + (joined + (portRef Reset) + (portRef RSTB (instanceRef pdp_ram_0_0_31)) + (portRef RSTA (instanceRef pdp_ram_0_0_31)) + (portRef RSTB (instanceRef pdp_ram_0_1_30)) + (portRef RSTA (instanceRef pdp_ram_0_1_30)) + (portRef RSTB (instanceRef pdp_ram_0_2_29)) + (portRef RSTA (instanceRef pdp_ram_0_2_29)) + (portRef RSTB (instanceRef pdp_ram_0_3_28)) + (portRef RSTA (instanceRef pdp_ram_0_3_28)) + (portRef RSTB (instanceRef pdp_ram_1_0_27)) + (portRef RSTA (instanceRef pdp_ram_1_0_27)) + (portRef RSTB (instanceRef pdp_ram_1_1_26)) + (portRef RSTA (instanceRef pdp_ram_1_1_26)) + (portRef RSTB (instanceRef pdp_ram_1_2_25)) + (portRef RSTA (instanceRef pdp_ram_1_2_25)) + (portRef RSTB (instanceRef pdp_ram_1_3_24)) + (portRef RSTA (instanceRef pdp_ram_1_3_24)) + (portRef RSTB (instanceRef pdp_ram_2_0_23)) + (portRef RSTA (instanceRef pdp_ram_2_0_23)) + (portRef RSTB (instanceRef pdp_ram_2_1_22)) + (portRef RSTA (instanceRef pdp_ram_2_1_22)) + (portRef RSTB (instanceRef pdp_ram_2_2_21)) + (portRef RSTA (instanceRef pdp_ram_2_2_21)) + (portRef RSTB (instanceRef pdp_ram_2_3_20)) + (portRef RSTA (instanceRef pdp_ram_2_3_20)) + (portRef RSTB (instanceRef pdp_ram_3_0_19)) + (portRef RSTA (instanceRef pdp_ram_3_0_19)) + (portRef RSTB (instanceRef pdp_ram_3_1_18)) + (portRef RSTA (instanceRef pdp_ram_3_1_18)) + (portRef RSTB (instanceRef pdp_ram_3_2_17)) + (portRef RSTA (instanceRef pdp_ram_3_2_17)) + (portRef RSTB (instanceRef pdp_ram_3_3_16)) + (portRef RSTA (instanceRef pdp_ram_3_3_16)) + (portRef RSTB (instanceRef pdp_ram_4_0_15)) + (portRef RSTA (instanceRef pdp_ram_4_0_15)) + (portRef RSTB (instanceRef pdp_ram_4_1_14)) + (portRef RSTA (instanceRef pdp_ram_4_1_14)) + (portRef RSTB (instanceRef pdp_ram_4_2_13)) + (portRef RSTA (instanceRef pdp_ram_4_2_13)) + (portRef RSTB (instanceRef pdp_ram_4_3_12)) + (portRef RSTA (instanceRef pdp_ram_4_3_12)) + (portRef RSTB (instanceRef pdp_ram_5_0_11)) + (portRef RSTA (instanceRef pdp_ram_5_0_11)) + (portRef RSTB (instanceRef pdp_ram_5_1_10)) + (portRef RSTA (instanceRef pdp_ram_5_1_10)) + (portRef RSTB (instanceRef pdp_ram_5_2_9)) + (portRef RSTA (instanceRef pdp_ram_5_2_9)) + (portRef RSTB (instanceRef pdp_ram_5_3_8)) + (portRef RSTA (instanceRef pdp_ram_5_3_8)) + (portRef RSTB (instanceRef pdp_ram_6_0_7)) + (portRef RSTA (instanceRef pdp_ram_6_0_7)) + (portRef RSTB (instanceRef pdp_ram_6_1_6)) + (portRef RSTA (instanceRef pdp_ram_6_1_6)) + (portRef RSTB (instanceRef pdp_ram_6_2_5)) + (portRef RSTA (instanceRef pdp_ram_6_2_5)) + (portRef RSTB (instanceRef pdp_ram_6_3_4)) + (portRef RSTA (instanceRef pdp_ram_6_3_4)) + (portRef RSTB (instanceRef pdp_ram_7_0_3)) + (portRef RSTA (instanceRef pdp_ram_7_0_3)) + (portRef RSTB (instanceRef pdp_ram_7_1_2)) + (portRef RSTA (instanceRef pdp_ram_7_1_2)) + (portRef RSTB (instanceRef pdp_ram_7_2_1)) + (portRef RSTA (instanceRef pdp_ram_7_2_1)) + (portRef RSTB (instanceRef pdp_ram_7_3_0)) + (portRef RSTA (instanceRef pdp_ram_7_3_0)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_91)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_84)) + (portRef PD (instanceRef FF_83)) + (portRef CD (instanceRef FF_82)) + (portRef PD (instanceRef FF_81)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_67)) + (portRef PD (instanceRef FF_66)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_0)))) + (net rden + (joined + (portRef RdEn) + (portRef A (instanceRef AND2_t4)))) + (net wren + (joined + (portRef WrEn) + (portRef A (instanceRef AND2_t5)))) + (net clk + (joined + (portRef Clock) + (portRef CLKB (instanceRef pdp_ram_0_0_31)) + (portRef CLKA (instanceRef pdp_ram_0_0_31)) + (portRef CLKB (instanceRef pdp_ram_0_1_30)) + (portRef CLKA (instanceRef pdp_ram_0_1_30)) + (portRef CLKB (instanceRef pdp_ram_0_2_29)) + (portRef CLKA (instanceRef pdp_ram_0_2_29)) + (portRef CLKB (instanceRef pdp_ram_0_3_28)) + (portRef CLKA (instanceRef pdp_ram_0_3_28)) + (portRef CLKB (instanceRef pdp_ram_1_0_27)) + (portRef CLKA (instanceRef pdp_ram_1_0_27)) + (portRef CLKB (instanceRef pdp_ram_1_1_26)) + (portRef CLKA (instanceRef pdp_ram_1_1_26)) + (portRef CLKB (instanceRef pdp_ram_1_2_25)) + (portRef CLKA (instanceRef pdp_ram_1_2_25)) + (portRef CLKB (instanceRef pdp_ram_1_3_24)) + (portRef CLKA (instanceRef pdp_ram_1_3_24)) + (portRef CLKB (instanceRef pdp_ram_2_0_23)) + (portRef CLKA (instanceRef pdp_ram_2_0_23)) + (portRef CLKB (instanceRef pdp_ram_2_1_22)) + (portRef CLKA (instanceRef pdp_ram_2_1_22)) + (portRef CLKB (instanceRef pdp_ram_2_2_21)) + (portRef CLKA (instanceRef pdp_ram_2_2_21)) + (portRef CLKB (instanceRef pdp_ram_2_3_20)) + (portRef CLKA (instanceRef pdp_ram_2_3_20)) + (portRef CLKB (instanceRef pdp_ram_3_0_19)) + (portRef CLKA (instanceRef pdp_ram_3_0_19)) + (portRef CLKB (instanceRef pdp_ram_3_1_18)) + (portRef CLKA (instanceRef pdp_ram_3_1_18)) + (portRef CLKB (instanceRef pdp_ram_3_2_17)) + (portRef CLKA (instanceRef pdp_ram_3_2_17)) + (portRef CLKB (instanceRef pdp_ram_3_3_16)) + (portRef CLKA (instanceRef pdp_ram_3_3_16)) + (portRef CLKB (instanceRef pdp_ram_4_0_15)) + (portRef CLKA (instanceRef pdp_ram_4_0_15)) + (portRef CLKB (instanceRef pdp_ram_4_1_14)) + (portRef CLKA (instanceRef pdp_ram_4_1_14)) + (portRef CLKB (instanceRef pdp_ram_4_2_13)) + (portRef CLKA (instanceRef pdp_ram_4_2_13)) + (portRef CLKB (instanceRef pdp_ram_4_3_12)) + (portRef CLKA (instanceRef pdp_ram_4_3_12)) + (portRef CLKB (instanceRef pdp_ram_5_0_11)) + (portRef CLKA (instanceRef pdp_ram_5_0_11)) + (portRef CLKB (instanceRef pdp_ram_5_1_10)) + (portRef CLKA (instanceRef pdp_ram_5_1_10)) + (portRef CLKB (instanceRef pdp_ram_5_2_9)) + (portRef CLKA (instanceRef pdp_ram_5_2_9)) + (portRef CLKB (instanceRef pdp_ram_5_3_8)) + (portRef CLKA (instanceRef pdp_ram_5_3_8)) + (portRef CLKB (instanceRef pdp_ram_6_0_7)) + (portRef CLKA (instanceRef pdp_ram_6_0_7)) + (portRef CLKB (instanceRef pdp_ram_6_1_6)) + (portRef CLKA (instanceRef pdp_ram_6_1_6)) + (portRef CLKB (instanceRef pdp_ram_6_2_5)) + (portRef CLKA (instanceRef pdp_ram_6_2_5)) + (portRef CLKB (instanceRef pdp_ram_6_3_4)) + (portRef CLKA (instanceRef pdp_ram_6_3_4)) + (portRef CLKB (instanceRef pdp_ram_7_0_3)) + (portRef CLKA (instanceRef pdp_ram_7_0_3)) + (portRef CLKB (instanceRef pdp_ram_7_1_2)) + (portRef CLKA (instanceRef pdp_ram_7_1_2)) + (portRef CLKB (instanceRef pdp_ram_7_2_1)) + (portRef CLKA (instanceRef pdp_ram_7_2_1)) + (portRef CLKB (instanceRef pdp_ram_7_3_0)) + (portRef CLKA (instanceRef pdp_ram_7_3_0)) + (portRef CK (instanceRef FF_98)) + (portRef CK (instanceRef FF_97)) + (portRef CK (instanceRef FF_96)) + (portRef CK (instanceRef FF_95)) + (portRef CK (instanceRef FF_94)) + (portRef CK (instanceRef FF_93)) + (portRef CK (instanceRef FF_92)) + (portRef CK (instanceRef FF_91)) + (portRef CK (instanceRef FF_90)) + (portRef CK (instanceRef FF_89)) + (portRef CK (instanceRef FF_88)) + (portRef CK (instanceRef FF_87)) + (portRef CK (instanceRef FF_86)) + (portRef CK (instanceRef FF_85)) + (portRef CK (instanceRef FF_84)) + (portRef CK (instanceRef FF_83)) + (portRef CK (instanceRef FF_82)) + (portRef CK (instanceRef FF_81)) + (portRef CK (instanceRef FF_80)) + (portRef CK (instanceRef FF_79)) + (portRef CK (instanceRef FF_78)) + (portRef CK (instanceRef FF_77)) + (portRef CK (instanceRef FF_76)) + (portRef CK (instanceRef FF_75)) + (portRef CK (instanceRef FF_74)) + (portRef CK (instanceRef FF_73)) + (portRef CK (instanceRef FF_72)) + (portRef CK (instanceRef FF_71)) + (portRef CK (instanceRef FF_70)) + (portRef CK (instanceRef FF_69)) + (portRef CK (instanceRef FF_68)) + (portRef CK (instanceRef FF_67)) + (portRef CK (instanceRef FF_66)) + (portRef CK (instanceRef FF_65)) + (portRef CK (instanceRef FF_64)) + (portRef CK (instanceRef FF_63)) + (portRef CK (instanceRef FF_62)) + (portRef CK (instanceRef FF_61)) + (portRef CK (instanceRef FF_60)) + (portRef CK (instanceRef FF_59)) + (portRef CK (instanceRef FF_58)) + (portRef CK (instanceRef FF_57)) + (portRef CK (instanceRef FF_56)) + (portRef CK (instanceRef FF_55)) + (portRef CK (instanceRef FF_54)) + (portRef CK (instanceRef FF_53)) + (portRef CK (instanceRef FF_52)) + (portRef CK (instanceRef FF_51)) + (portRef CK (instanceRef FF_50)) + (portRef CK (instanceRef FF_49)) + (portRef CK (instanceRef FF_48)) + (portRef CK (instanceRef FF_47)) + (portRef CK (instanceRef FF_46)) + (portRef CK (instanceRef FF_45)) + (portRef CK (instanceRef FF_44)) + (portRef CK (instanceRef FF_43)) + (portRef CK (instanceRef FF_42)) + (portRef CK (instanceRef FF_41)) + (portRef CK (instanceRef FF_40)) + (portRef CK (instanceRef FF_39)) + (portRef CK (instanceRef FF_38)) + (portRef CK (instanceRef FF_37)) + (portRef CK (instanceRef FF_36)) + (portRef CK (instanceRef FF_35)) + (portRef CK (instanceRef FF_34)) + (portRef CK (instanceRef FF_33)) + (portRef CK (instanceRef FF_32)) + (portRef CK (instanceRef FF_31)) + (portRef CK (instanceRef FF_30)) + (portRef CK (instanceRef FF_29)) + (portRef CK (instanceRef FF_28)) + (portRef CK (instanceRef FF_27)) + (portRef CK (instanceRef FF_26)) + (portRef CK (instanceRef FF_25)) + (portRef CK (instanceRef FF_24)) + (portRef CK (instanceRef FF_23)) + (portRef CK (instanceRef FF_22)) + (portRef CK (instanceRef FF_21)) + (portRef CK (instanceRef FF_20)) + (portRef CK (instanceRef FF_19)) + (portRef CK (instanceRef FF_18)) + (portRef CK (instanceRef FF_17)) + (portRef CK (instanceRef FF_16)) + (portRef CK (instanceRef FF_15)) + (portRef CK (instanceRef FF_14)) + (portRef CK (instanceRef FF_13)) + (portRef CK (instanceRef FF_12)) + (portRef CK (instanceRef FF_11)) + (portRef CK (instanceRef FF_10)) + (portRef CK (instanceRef FF_9)) + (portRef CK (instanceRef FF_8)) + (portRef CK (instanceRef FF_7)) + (portRef CK (instanceRef FF_6)) + (portRef CK (instanceRef FF_5)) + (portRef CK (instanceRef FF_4)) + (portRef CK (instanceRef FF_3)) + (portRef CK (instanceRef FF_2)) + (portRef CK (instanceRef FF_1)) + (portRef CK (instanceRef FF_0)))) + (net datain35 + (joined + (portRef (member Data 0)) + (portRef DIA8 (instanceRef pdp_ram_0_3_28)) + (portRef DIA8 (instanceRef pdp_ram_1_3_24)) + (portRef DIA8 (instanceRef pdp_ram_2_3_20)) + (portRef DIA8 (instanceRef pdp_ram_3_3_16)) + (portRef DIA8 (instanceRef pdp_ram_4_3_12)) + (portRef DIA8 (instanceRef pdp_ram_5_3_8)) + (portRef DIA8 (instanceRef pdp_ram_6_3_4)) + (portRef DIA8 (instanceRef pdp_ram_7_3_0)))) + (net datain34 + (joined + (portRef (member Data 1)) + (portRef DIA7 (instanceRef pdp_ram_0_3_28)) + (portRef DIA7 (instanceRef pdp_ram_1_3_24)) + (portRef DIA7 (instanceRef pdp_ram_2_3_20)) + (portRef DIA7 (instanceRef pdp_ram_3_3_16)) + (portRef DIA7 (instanceRef pdp_ram_4_3_12)) + (portRef DIA7 (instanceRef pdp_ram_5_3_8)) + (portRef DIA7 (instanceRef pdp_ram_6_3_4)) + (portRef DIA7 (instanceRef pdp_ram_7_3_0)))) + (net datain33 + (joined + (portRef (member Data 2)) + (portRef DIA6 (instanceRef pdp_ram_0_3_28)) + (portRef DIA6 (instanceRef pdp_ram_1_3_24)) + (portRef DIA6 (instanceRef pdp_ram_2_3_20)) + (portRef DIA6 (instanceRef pdp_ram_3_3_16)) + (portRef DIA6 (instanceRef pdp_ram_4_3_12)) + (portRef DIA6 (instanceRef pdp_ram_5_3_8)) + (portRef DIA6 (instanceRef pdp_ram_6_3_4)) + (portRef DIA6 (instanceRef pdp_ram_7_3_0)))) + (net datain32 + (joined + (portRef (member Data 3)) + (portRef DIA5 (instanceRef pdp_ram_0_3_28)) + (portRef DIA5 (instanceRef pdp_ram_1_3_24)) + (portRef DIA5 (instanceRef pdp_ram_2_3_20)) + (portRef DIA5 (instanceRef pdp_ram_3_3_16)) + (portRef DIA5 (instanceRef pdp_ram_4_3_12)) + (portRef DIA5 (instanceRef pdp_ram_5_3_8)) + (portRef DIA5 (instanceRef pdp_ram_6_3_4)) + (portRef DIA5 (instanceRef pdp_ram_7_3_0)))) + (net datain31 + (joined + (portRef (member Data 4)) + (portRef DIA4 (instanceRef pdp_ram_0_3_28)) + (portRef DIA4 (instanceRef pdp_ram_1_3_24)) + (portRef DIA4 (instanceRef pdp_ram_2_3_20)) + (portRef DIA4 (instanceRef pdp_ram_3_3_16)) + (portRef DIA4 (instanceRef pdp_ram_4_3_12)) + (portRef DIA4 (instanceRef pdp_ram_5_3_8)) + (portRef DIA4 (instanceRef pdp_ram_6_3_4)) + (portRef DIA4 (instanceRef pdp_ram_7_3_0)))) + (net datain30 + (joined + (portRef (member Data 5)) + (portRef DIA3 (instanceRef pdp_ram_0_3_28)) + (portRef DIA3 (instanceRef pdp_ram_1_3_24)) + (portRef DIA3 (instanceRef pdp_ram_2_3_20)) + (portRef DIA3 (instanceRef pdp_ram_3_3_16)) + (portRef DIA3 (instanceRef pdp_ram_4_3_12)) + (portRef DIA3 (instanceRef pdp_ram_5_3_8)) + (portRef DIA3 (instanceRef pdp_ram_6_3_4)) + (portRef DIA3 (instanceRef pdp_ram_7_3_0)))) + (net datain29 + (joined + (portRef (member Data 6)) + (portRef DIA2 (instanceRef pdp_ram_0_3_28)) + (portRef DIA2 (instanceRef pdp_ram_1_3_24)) + (portRef DIA2 (instanceRef pdp_ram_2_3_20)) + (portRef DIA2 (instanceRef pdp_ram_3_3_16)) + (portRef DIA2 (instanceRef pdp_ram_4_3_12)) + (portRef DIA2 (instanceRef pdp_ram_5_3_8)) + (portRef DIA2 (instanceRef pdp_ram_6_3_4)) + (portRef DIA2 (instanceRef pdp_ram_7_3_0)))) + (net datain28 + (joined + (portRef (member Data 7)) + (portRef DIA1 (instanceRef pdp_ram_0_3_28)) + (portRef DIA1 (instanceRef pdp_ram_1_3_24)) + (portRef DIA1 (instanceRef pdp_ram_2_3_20)) + (portRef DIA1 (instanceRef pdp_ram_3_3_16)) + (portRef DIA1 (instanceRef pdp_ram_4_3_12)) + (portRef DIA1 (instanceRef pdp_ram_5_3_8)) + (portRef DIA1 (instanceRef pdp_ram_6_3_4)) + (portRef DIA1 (instanceRef pdp_ram_7_3_0)))) + (net datain27 + (joined + (portRef (member Data 8)) + (portRef DIA0 (instanceRef pdp_ram_0_3_28)) + (portRef DIA0 (instanceRef pdp_ram_1_3_24)) + (portRef DIA0 (instanceRef pdp_ram_2_3_20)) + (portRef DIA0 (instanceRef pdp_ram_3_3_16)) + (portRef DIA0 (instanceRef pdp_ram_4_3_12)) + (portRef DIA0 (instanceRef pdp_ram_5_3_8)) + (portRef DIA0 (instanceRef pdp_ram_6_3_4)) + (portRef DIA0 (instanceRef pdp_ram_7_3_0)))) + (net datain26 + (joined + (portRef (member Data 9)) + (portRef DIA8 (instanceRef pdp_ram_0_2_29)) + (portRef DIA8 (instanceRef pdp_ram_1_2_25)) + (portRef DIA8 (instanceRef pdp_ram_2_2_21)) + (portRef DIA8 (instanceRef pdp_ram_3_2_17)) + (portRef DIA8 (instanceRef pdp_ram_4_2_13)) + (portRef DIA8 (instanceRef pdp_ram_5_2_9)) + (portRef DIA8 (instanceRef pdp_ram_6_2_5)) + (portRef DIA8 (instanceRef pdp_ram_7_2_1)))) + (net datain25 + (joined + (portRef (member Data 10)) + (portRef DIA7 (instanceRef pdp_ram_0_2_29)) + (portRef DIA7 (instanceRef pdp_ram_1_2_25)) + (portRef DIA7 (instanceRef pdp_ram_2_2_21)) + (portRef DIA7 (instanceRef pdp_ram_3_2_17)) + (portRef DIA7 (instanceRef pdp_ram_4_2_13)) + (portRef DIA7 (instanceRef pdp_ram_5_2_9)) + (portRef DIA7 (instanceRef pdp_ram_6_2_5)) + (portRef DIA7 (instanceRef pdp_ram_7_2_1)))) + (net datain24 + (joined + (portRef (member Data 11)) + (portRef DIA6 (instanceRef pdp_ram_0_2_29)) + (portRef DIA6 (instanceRef pdp_ram_1_2_25)) + (portRef DIA6 (instanceRef pdp_ram_2_2_21)) + (portRef DIA6 (instanceRef pdp_ram_3_2_17)) + (portRef DIA6 (instanceRef pdp_ram_4_2_13)) + (portRef DIA6 (instanceRef pdp_ram_5_2_9)) + (portRef DIA6 (instanceRef pdp_ram_6_2_5)) + (portRef DIA6 (instanceRef pdp_ram_7_2_1)))) + (net datain23 + (joined + (portRef (member Data 12)) + (portRef DIA5 (instanceRef pdp_ram_0_2_29)) + (portRef DIA5 (instanceRef pdp_ram_1_2_25)) + (portRef DIA5 (instanceRef pdp_ram_2_2_21)) + (portRef DIA5 (instanceRef pdp_ram_3_2_17)) + (portRef DIA5 (instanceRef pdp_ram_4_2_13)) + (portRef DIA5 (instanceRef pdp_ram_5_2_9)) + (portRef DIA5 (instanceRef pdp_ram_6_2_5)) + (portRef DIA5 (instanceRef pdp_ram_7_2_1)))) + (net datain22 + (joined + (portRef (member Data 13)) + (portRef DIA4 (instanceRef pdp_ram_0_2_29)) + (portRef DIA4 (instanceRef pdp_ram_1_2_25)) + (portRef DIA4 (instanceRef pdp_ram_2_2_21)) + (portRef DIA4 (instanceRef pdp_ram_3_2_17)) + (portRef DIA4 (instanceRef pdp_ram_4_2_13)) + (portRef DIA4 (instanceRef pdp_ram_5_2_9)) + (portRef DIA4 (instanceRef pdp_ram_6_2_5)) + (portRef DIA4 (instanceRef pdp_ram_7_2_1)))) + (net datain21 + (joined + (portRef (member Data 14)) + (portRef DIA3 (instanceRef pdp_ram_0_2_29)) + (portRef DIA3 (instanceRef pdp_ram_1_2_25)) + (portRef DIA3 (instanceRef pdp_ram_2_2_21)) + (portRef DIA3 (instanceRef pdp_ram_3_2_17)) + (portRef DIA3 (instanceRef pdp_ram_4_2_13)) + (portRef DIA3 (instanceRef pdp_ram_5_2_9)) + (portRef DIA3 (instanceRef pdp_ram_6_2_5)) + (portRef DIA3 (instanceRef pdp_ram_7_2_1)))) + (net datain20 + (joined + (portRef (member Data 15)) + (portRef DIA2 (instanceRef pdp_ram_0_2_29)) + (portRef DIA2 (instanceRef pdp_ram_1_2_25)) + (portRef DIA2 (instanceRef pdp_ram_2_2_21)) + (portRef DIA2 (instanceRef pdp_ram_3_2_17)) + (portRef DIA2 (instanceRef pdp_ram_4_2_13)) + (portRef DIA2 (instanceRef pdp_ram_5_2_9)) + (portRef DIA2 (instanceRef pdp_ram_6_2_5)) + (portRef DIA2 (instanceRef pdp_ram_7_2_1)))) + (net datain19 + (joined + (portRef (member Data 16)) + (portRef DIA1 (instanceRef pdp_ram_0_2_29)) + (portRef DIA1 (instanceRef pdp_ram_1_2_25)) + (portRef DIA1 (instanceRef pdp_ram_2_2_21)) + (portRef DIA1 (instanceRef pdp_ram_3_2_17)) + (portRef DIA1 (instanceRef pdp_ram_4_2_13)) + (portRef DIA1 (instanceRef pdp_ram_5_2_9)) + (portRef DIA1 (instanceRef pdp_ram_6_2_5)) + (portRef DIA1 (instanceRef pdp_ram_7_2_1)))) + (net datain18 + (joined + (portRef (member Data 17)) + (portRef DIA0 (instanceRef pdp_ram_0_2_29)) + (portRef DIA0 (instanceRef pdp_ram_1_2_25)) + (portRef DIA0 (instanceRef pdp_ram_2_2_21)) + (portRef DIA0 (instanceRef pdp_ram_3_2_17)) + (portRef DIA0 (instanceRef pdp_ram_4_2_13)) + (portRef DIA0 (instanceRef pdp_ram_5_2_9)) + (portRef DIA0 (instanceRef pdp_ram_6_2_5)) + (portRef DIA0 (instanceRef pdp_ram_7_2_1)))) + (net datain17 + (joined + (portRef (member Data 18)) + (portRef DIA8 (instanceRef pdp_ram_0_1_30)) + (portRef DIA8 (instanceRef pdp_ram_1_1_26)) + (portRef DIA8 (instanceRef pdp_ram_2_1_22)) + (portRef DIA8 (instanceRef pdp_ram_3_1_18)) + (portRef DIA8 (instanceRef pdp_ram_4_1_14)) + (portRef DIA8 (instanceRef pdp_ram_5_1_10)) + (portRef DIA8 (instanceRef pdp_ram_6_1_6)) + (portRef DIA8 (instanceRef pdp_ram_7_1_2)))) + (net datain16 + (joined + (portRef (member Data 19)) + (portRef DIA7 (instanceRef pdp_ram_0_1_30)) + (portRef DIA7 (instanceRef pdp_ram_1_1_26)) + (portRef DIA7 (instanceRef pdp_ram_2_1_22)) + (portRef DIA7 (instanceRef pdp_ram_3_1_18)) + (portRef DIA7 (instanceRef pdp_ram_4_1_14)) + (portRef DIA7 (instanceRef pdp_ram_5_1_10)) + (portRef DIA7 (instanceRef pdp_ram_6_1_6)) + (portRef DIA7 (instanceRef pdp_ram_7_1_2)))) + (net datain15 + (joined + (portRef (member Data 20)) + (portRef DIA6 (instanceRef pdp_ram_0_1_30)) + (portRef DIA6 (instanceRef pdp_ram_1_1_26)) + (portRef DIA6 (instanceRef pdp_ram_2_1_22)) + (portRef DIA6 (instanceRef pdp_ram_3_1_18)) + (portRef DIA6 (instanceRef pdp_ram_4_1_14)) + (portRef DIA6 (instanceRef pdp_ram_5_1_10)) + (portRef DIA6 (instanceRef pdp_ram_6_1_6)) + (portRef DIA6 (instanceRef pdp_ram_7_1_2)))) + (net datain14 + (joined + (portRef (member Data 21)) + (portRef DIA5 (instanceRef pdp_ram_0_1_30)) + (portRef DIA5 (instanceRef pdp_ram_1_1_26)) + (portRef DIA5 (instanceRef pdp_ram_2_1_22)) + (portRef DIA5 (instanceRef pdp_ram_3_1_18)) + (portRef DIA5 (instanceRef pdp_ram_4_1_14)) + (portRef DIA5 (instanceRef pdp_ram_5_1_10)) + (portRef DIA5 (instanceRef pdp_ram_6_1_6)) + (portRef DIA5 (instanceRef pdp_ram_7_1_2)))) + (net datain13 + (joined + (portRef (member Data 22)) + (portRef DIA4 (instanceRef pdp_ram_0_1_30)) + (portRef DIA4 (instanceRef pdp_ram_1_1_26)) + (portRef DIA4 (instanceRef pdp_ram_2_1_22)) + (portRef DIA4 (instanceRef pdp_ram_3_1_18)) + (portRef DIA4 (instanceRef pdp_ram_4_1_14)) + (portRef DIA4 (instanceRef pdp_ram_5_1_10)) + (portRef DIA4 (instanceRef pdp_ram_6_1_6)) + (portRef DIA4 (instanceRef pdp_ram_7_1_2)))) + (net datain12 + (joined + (portRef (member Data 23)) + (portRef DIA3 (instanceRef pdp_ram_0_1_30)) + (portRef DIA3 (instanceRef pdp_ram_1_1_26)) + (portRef DIA3 (instanceRef pdp_ram_2_1_22)) + (portRef DIA3 (instanceRef pdp_ram_3_1_18)) + (portRef DIA3 (instanceRef pdp_ram_4_1_14)) + (portRef DIA3 (instanceRef pdp_ram_5_1_10)) + (portRef DIA3 (instanceRef pdp_ram_6_1_6)) + (portRef DIA3 (instanceRef pdp_ram_7_1_2)))) + (net datain11 + (joined + (portRef (member Data 24)) + (portRef DIA2 (instanceRef pdp_ram_0_1_30)) + (portRef DIA2 (instanceRef pdp_ram_1_1_26)) + (portRef DIA2 (instanceRef pdp_ram_2_1_22)) + (portRef DIA2 (instanceRef pdp_ram_3_1_18)) + (portRef DIA2 (instanceRef pdp_ram_4_1_14)) + (portRef DIA2 (instanceRef pdp_ram_5_1_10)) + (portRef DIA2 (instanceRef pdp_ram_6_1_6)) + (portRef DIA2 (instanceRef pdp_ram_7_1_2)))) + (net datain10 + (joined + (portRef (member Data 25)) + (portRef DIA1 (instanceRef pdp_ram_0_1_30)) + (portRef DIA1 (instanceRef pdp_ram_1_1_26)) + (portRef DIA1 (instanceRef pdp_ram_2_1_22)) + (portRef DIA1 (instanceRef pdp_ram_3_1_18)) + (portRef DIA1 (instanceRef pdp_ram_4_1_14)) + (portRef DIA1 (instanceRef pdp_ram_5_1_10)) + (portRef DIA1 (instanceRef pdp_ram_6_1_6)) + (portRef DIA1 (instanceRef pdp_ram_7_1_2)))) + (net datain9 + (joined + (portRef (member Data 26)) + (portRef DIA0 (instanceRef pdp_ram_0_1_30)) + (portRef DIA0 (instanceRef pdp_ram_1_1_26)) + (portRef DIA0 (instanceRef pdp_ram_2_1_22)) + (portRef DIA0 (instanceRef pdp_ram_3_1_18)) + (portRef DIA0 (instanceRef pdp_ram_4_1_14)) + (portRef DIA0 (instanceRef pdp_ram_5_1_10)) + (portRef DIA0 (instanceRef pdp_ram_6_1_6)) + (portRef DIA0 (instanceRef pdp_ram_7_1_2)))) + (net datain8 + (joined + (portRef (member Data 27)) + (portRef DIA8 (instanceRef pdp_ram_0_0_31)) + (portRef DIA8 (instanceRef pdp_ram_1_0_27)) + (portRef DIA8 (instanceRef pdp_ram_2_0_23)) + (portRef DIA8 (instanceRef pdp_ram_3_0_19)) + (portRef DIA8 (instanceRef pdp_ram_4_0_15)) + (portRef DIA8 (instanceRef pdp_ram_5_0_11)) + (portRef DIA8 (instanceRef pdp_ram_6_0_7)) + (portRef DIA8 (instanceRef pdp_ram_7_0_3)))) + (net datain7 + (joined + (portRef (member Data 28)) + (portRef DIA7 (instanceRef pdp_ram_0_0_31)) + (portRef DIA7 (instanceRef pdp_ram_1_0_27)) + (portRef DIA7 (instanceRef pdp_ram_2_0_23)) + (portRef DIA7 (instanceRef pdp_ram_3_0_19)) + (portRef DIA7 (instanceRef pdp_ram_4_0_15)) + (portRef DIA7 (instanceRef pdp_ram_5_0_11)) + (portRef DIA7 (instanceRef pdp_ram_6_0_7)) + (portRef DIA7 (instanceRef pdp_ram_7_0_3)))) + (net datain6 + (joined + (portRef (member Data 29)) + (portRef DIA6 (instanceRef pdp_ram_0_0_31)) + (portRef DIA6 (instanceRef pdp_ram_1_0_27)) + (portRef DIA6 (instanceRef pdp_ram_2_0_23)) + (portRef DIA6 (instanceRef pdp_ram_3_0_19)) + (portRef DIA6 (instanceRef pdp_ram_4_0_15)) + (portRef DIA6 (instanceRef pdp_ram_5_0_11)) + (portRef DIA6 (instanceRef pdp_ram_6_0_7)) + (portRef DIA6 (instanceRef pdp_ram_7_0_3)))) + (net datain5 + (joined + (portRef (member Data 30)) + (portRef DIA5 (instanceRef pdp_ram_0_0_31)) + (portRef DIA5 (instanceRef pdp_ram_1_0_27)) + (portRef DIA5 (instanceRef pdp_ram_2_0_23)) + (portRef DIA5 (instanceRef pdp_ram_3_0_19)) + (portRef DIA5 (instanceRef pdp_ram_4_0_15)) + (portRef DIA5 (instanceRef pdp_ram_5_0_11)) + (portRef DIA5 (instanceRef pdp_ram_6_0_7)) + (portRef DIA5 (instanceRef pdp_ram_7_0_3)))) + (net datain4 + (joined + (portRef (member Data 31)) + (portRef DIA4 (instanceRef pdp_ram_0_0_31)) + (portRef DIA4 (instanceRef pdp_ram_1_0_27)) + (portRef DIA4 (instanceRef pdp_ram_2_0_23)) + (portRef DIA4 (instanceRef pdp_ram_3_0_19)) + (portRef DIA4 (instanceRef pdp_ram_4_0_15)) + (portRef DIA4 (instanceRef pdp_ram_5_0_11)) + (portRef DIA4 (instanceRef pdp_ram_6_0_7)) + (portRef DIA4 (instanceRef pdp_ram_7_0_3)))) + (net datain3 + (joined + (portRef (member Data 32)) + (portRef DIA3 (instanceRef pdp_ram_0_0_31)) + (portRef DIA3 (instanceRef pdp_ram_1_0_27)) + (portRef DIA3 (instanceRef pdp_ram_2_0_23)) + (portRef DIA3 (instanceRef pdp_ram_3_0_19)) + (portRef DIA3 (instanceRef pdp_ram_4_0_15)) + (portRef DIA3 (instanceRef pdp_ram_5_0_11)) + (portRef DIA3 (instanceRef pdp_ram_6_0_7)) + (portRef DIA3 (instanceRef pdp_ram_7_0_3)))) + (net datain2 + (joined + (portRef (member Data 33)) + (portRef DIA2 (instanceRef pdp_ram_0_0_31)) + (portRef DIA2 (instanceRef pdp_ram_1_0_27)) + (portRef DIA2 (instanceRef pdp_ram_2_0_23)) + (portRef DIA2 (instanceRef pdp_ram_3_0_19)) + (portRef DIA2 (instanceRef pdp_ram_4_0_15)) + (portRef DIA2 (instanceRef pdp_ram_5_0_11)) + (portRef DIA2 (instanceRef pdp_ram_6_0_7)) + (portRef DIA2 (instanceRef pdp_ram_7_0_3)))) + (net datain1 + (joined + (portRef (member Data 34)) + (portRef DIA1 (instanceRef pdp_ram_0_0_31)) + (portRef DIA1 (instanceRef pdp_ram_1_0_27)) + (portRef DIA1 (instanceRef pdp_ram_2_0_23)) + (portRef DIA1 (instanceRef pdp_ram_3_0_19)) + (portRef DIA1 (instanceRef pdp_ram_4_0_15)) + (portRef DIA1 (instanceRef pdp_ram_5_0_11)) + (portRef DIA1 (instanceRef pdp_ram_6_0_7)) + (portRef DIA1 (instanceRef pdp_ram_7_0_3)))) + (net datain0 + (joined + (portRef (member Data 35)) + (portRef DIA0 (instanceRef pdp_ram_0_0_31)) + (portRef DIA0 (instanceRef pdp_ram_1_0_27)) + (portRef DIA0 (instanceRef pdp_ram_2_0_23)) + (portRef DIA0 (instanceRef pdp_ram_3_0_19)) + (portRef DIA0 (instanceRef pdp_ram_4_0_15)) + (portRef DIA0 (instanceRef pdp_ram_5_0_11)) + (portRef DIA0 (instanceRef pdp_ram_6_0_7)) + (portRef DIA0 (instanceRef pdp_ram_7_0_3)))))))) + (design fifo_36x16k_oreg + (cellRef fifo_36x16k_oreg + (libraryRef ORCLIB))) +) diff --git a/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.fdc b/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.fdc new file mode 100644 index 0000000..6fbcac9 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.fdc @@ -0,0 +1,2 @@ +###==== Start Configuration + diff --git a/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.lpc b/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.lpc new file mode 100644 index 0000000..0b5cbed --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.lpc @@ -0,0 +1,50 @@ +[Device] +Family=ecp5um +PartType=LFE5UM-85F +PartName=LFE5UM-85F-8MG285C +SpeedGrade=8 +Package=CSFBGA285 +OperatingCondition=COM +Status=C + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=FIFO +CoreRevision=5.0 +ModuleName=fifo_36x16k_oreg +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=03/18/2015 +Time=14:42:06 + +[Parameters] +Verilog=0 +VHDL=1 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +FIFOImp=EBR Based +Depth=16384 +Width=36 +regout=1 +CtrlByRdEn=0 +EmpFlg=0 +PeMode=Static - Dual Threshold +PeAssert=10 +PeDeassert=12 +FullFlg=1 +PfMode=Dynamic - Single Threshold +PfAssert=508 +PfDeassert=506 +Reset=Async +Reset1=Sync +RDataCount=1 +EnECC=0 +EnFWFT=0 + +[Command] +cmd_line= -w -n fifo_36x16k_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 16384 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill diff --git a/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.ngd b/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.ngd new file mode 100644 index 0000000000000000000000000000000000000000..46e019224f359b472472f9f11aaeb198309be852 GIT binary patch literal 366496 zcmeFa2bdF8`!}33Ac}~tD2NTCqGEY`vP%(te8_~|r6?s*1V!B-MNvuw1ni?CAW{}Z zL9hgn=B^qw4Qz33popPZ5X9I~iV+(s>ifIzlbOt!%=%r=^}YZ9_x|5ct}E=^zx#K$ zbI#11Iho9)Gud33r?kC?|1}ZOD%#M-4Q?Oy`9^s}d~pP_7=6{yE3Y3ha_D(p?}TAv z$Bh^>+FLPV@Tf7PhkDy|X!nmRM~pw++xF~sXZz0X=<|r0fj%-CK4SQosD zQ{J-zaZ$p7g2MN2Y(yJSn$$Mf7!af~1jUi6_+l?LQv*tg+mD>yx1th$8{IBq`*aF0 zWK_6nfS_FRZ#q;~AE(N!ZLhFP3MTaw3$su80nkg3ImFjflW_8f>~ zB%Q>Lq%DXYAdV}D?MW=ymQtDa!>l*E_+cC-aFm8R7&Ro!Vof zl!tJGQ8tq#UjkIiBs+tuxxvn$R=dH@paO5S1C-=Vb`xs%o9qlq)5tT>ZR4)LvWkpu zwR0%fo9$k>scyDYO3BYH3Wwa>qHxHsLLtYa@|4lzhe9$eiBq9AxIJ%@&yI?|U1g0L zcO}3(R35d~9V(BS_)e8YEq15Mq9&T6vMA5nR2DbEWR=EaVzSDkcDc(zqX>7YG;Y5s z4h*!Z4pYvgfYGi;RZT5e5=6~5O=VGYOjB9Zl6R{tYL4kDi@Nb1l|}i_QdyMOJu1X4 zG()xI7MkJUk-M1=9yQxc2alpUXcYGD0*&gfE>NhNIR%m8%r20r-Kq;B)j6jiQUbFJ zwsdT`x1goCqolTK2o5w9={}oGiQH?Ghm06Z4C#O^hR`wYej7mP+;20XY#*@6l;2z% zpAvk)CR2t_u{@RokiV5|KUN-3$lzf~pkpH)u=wODhFd4kngy&MH{|*&2UkrPIR+MU z*~LV5NmVak*<#>$JZ?PTg?9LAs_j9$tE)$t#Q6-5HO~PLvK@;wLKhaaG&&zDXsLxC zDrl*JA1-LA*hdOlD)o_qmYQyHK}#*QsGuz^tr|WYP{an60U{@l+OW2g_NdJfK}3t# zG02N;W~85Qm!+FUHWNyKXiID~YU?F-4&-H0FT0bPYn~(wrBi19%=nmuhK(CW-SU{U zpw=db%Pc;G>e3JFyq2a2rgGW_$sA|izqL__Eg*_`-V}}hHfnLVm z)}bv0f0k*c@i{Oia?D1C7*nlmvC@>JP(+spk)YCMsX5~i*|sy z>yiq)JzyH8Nrl{@s+13xDTUhsSf< zwL)%7DN{Q>r?A_RYwFAA6m~mmBkI!U9c=ifte;od@LW|&g}6TN6jZArPdAd+@XjxJ!xYg=lHwFQydaZQ0t>AhJHskS!@WJqsV z5?A8vP`+P))lmr|GI*fa(ufWQjQ}FWXf?vL8Kfv6v&k0#)3#WZY+=?Wh{xt zTJ2F%96ILu@ugK5-C%4gFevR!VY7L7%x*4-ly;^dQrhnpY$@$`3tCG1y@E)AHW$d0 z_Im}9(tf8PLfS1&Wu7=flKmEmq2q}Ev7Lcq8KCza5S6nv$K^;zI9gKHAE-PUTpy@3 z8n9bbh@8EzLged1)fctVHWi|V`A{|Fk-t@?@nGEM;F0Y|4jxtdk%I?lyMsn;v|Xj~ zDE&m`@xkL`2agKNI(XDdSqG1*{ltM$%AY!HX)t`|z$m{@9kw(q-cfl3ed&P6-RBOB z()(O>$A{uC96ajT&m24|_X`I`rG4qZsI;#f{wTk%96aiw9S$Cq`?VeGz$g8$?WP_0 ztoe&YE`|8X zj^P|n{=QQ&KIQCiU}XEP1Ea!rsTiM9z75-UVjK;q(Q^)rAk~!5qI*>upU?I>c+}V5 zJ9u1%it+LAdxtGde^6JQbB zhRGi)i|YJSWzi_9^*AteVXa3s<&piT14C7{!eNWiUMn0tv{J36^5}?Kt2uap8aQZl z^7u`~DB}jIB@M9JMkdu%);MI(U@w zK`M_1Z0$iRk0%-jJ1~mT*kMb#9PGfTj>ZmKs-uYmqx_mUY^hxiabT45Ar4zWC3Xmx zF+^*sVwByXc5m(IC|i4|%A)!YQ&}`74pUjwu_Y>t3O!t9(XqI;nM$J(TYIbl#kEaU9v#PPk8tp)iX&AXnI7q&QT;7c8jr;m4jwh#Q4SuJ+tPti z$}JtXRPNCZ9y%;`old8PVO8{%#gGwISZRwz7#fjZ`9i|6acLrrk`qA8dEu*5$Ohq} zjbff;kBN&!8W6{+F`0LaorkMN^4HwKqvkx?!J~FM#=)Z|KGuOzJ00t=r6F*f1Ean; z-eF7aZsw}GhWEJ9peS(Tn z!=0o$qdHrw5D)*89T?TwTJ=V==h{;oJSyvSl}DzhIUq7UK{e$);uHsuYCTouk?E-p z8ufG=mBxES8wZbi`g8}68s!WJMpc~Qu%$B2bnvLd&d;hNoBW-o@^}_AAfoap=kr4< zM&wN4I<+Qsj%Mb5EnbepYQqNuH~+hU=KY73|sg>9ua0adGqY~HXR zQ&77hAfk1))5>TnQrp>1}uUp zI5^4GmfEf=kEa+FD#k~rE?LEvCdIMgx{4t^U8T{;jtx&MG@2;Ku6AH(^Vn4mj8Yz< ztF~yB*fkCwy0B)web|*%p(A1=RT>>TW7j$`N@awK(ZIP@_b3g;?o^DX9kEdkjH_^9 z)B>Z@9=ktU%!`e2@F?zR2aj4{j02-wMmub&Gr|rG(0Dt<%b~IHc5kILI%C(XEUN2z zl|>~?P+3&L6g%Xjb6sq#YDhKR;DE^g1Qny5FgDTd4U2o^ZlZ%nQ71Wg)JEeR7`4$P zhb^_yjSe25n^YPdJ7YJfG#OL{Wm!@*+E0b+OBp;F%4@3LF^cwkSlvwXDfADgPOsHpo?h#T`B6{A(F z*d3}js%feNB5ay!%ENe?gGZU%?ch-#-tFK~*y#=)_5VF8j~3HoGgKZQWM?>d)O0f) zJQ@=-9XuL4vm89id6t7m^-fnYKD5nNZTV0+TjiBe9n~t2mNH`14j!O6DvgKn9F;~F zhOv7cJnmfwk5ZoN;8D4A9Xx9K2N@eNQGOH217n^7QJdVWAk@P5>z=~F9^X?C#H9RH z0U#>=0hPuO)69U>GxL~aB2?sj1)&lbDhQwEu2URQ&GQw6Dqf%=DVzU-M9s4=Q$4$U_Q3E%1=)h)9n}DDQ}BdsLd`5o*ha6%MucqY6UxM-+rgTgHB_ z;wv?hAD4{b`HrN*mP%;!1bj8Y$B#v9V_wQp%8ONmtR7Y%YK_Hd4?5d2qiBm%h+HjE z?6?`1s4Qxb$0SSUM9v>mI7C@$r;z7ml9Dfta$GJcd4&3Bxx%4_UZHTPp;sszYUq^; zhZ=gN!l6=Y6b_|aqi}dIKB15(>Bm)spjs6oS5K&}sQf1t79&iOT}?UG+T{F2`crHq zDbyTK*(g-#(>6UdVAQ6kJf616NLAj%sTJSE8H?H+QEtzWq1Q<~dt`VBl+QAm?sBZc z4Qa7wi7SSU3Xi{m^(x4t3nic7*r8SM64OqI^x^P|B~_ zITY%3g+l%Cx}C!3;5QTwrMyPrke{^*hf-dva46-E85uH$zoUw6w)7)Lb0@?s7b8aV zxO>|MP@lYIv!KR(!;&#}X&k<38PH$`u+9QTjHdG6vdL8II?Ka|(bUIp+hnTqO`FWa zc)iU556Sg*1~tSxb_TV>J9Y*owZX=qdoN-eYz&^yZL~8e?~OJF`P^hDP$8Ra1ip;f zY-dm@@7e)M^j*6NIepL0phUOY06sK)UK0dUYQ080h49Yyij3HyFPd{QMw&@0>*ftxLhRil*(s60TXtMmUz^23X zN314e3cTHth?>ny9r%hPn>XYyO=Dl=B?`GczfHA#Y}#P^6LXt=f0Ae6dr0U$wR9Be z^SlmsKtcK}uWQe7KeKeynx9${b(^18O{^BYS?KEJjk%4Mg;qzJn#iF|&SmuNej zw_=vL&H3-nv-l3M*ltTlvG!OxvfE?nD93Lt9r^!0ufqxjq`i4vDd)e}(vi>aEQ#{} z-eOXOA1sM{=JHG$ct4oiob!))p1gYf(bAFspDZ1D{K?W$UO!tp^1n~&FqarIh9(og zNUfCR4V|%HBq#rKj4Dh~;wKQz+ox~8&JYfQpgn&ntbb?bl|yN6a%KLmV|q z2is&s)f;h!=l9PUI*If=uHU@oMY({m}iZdSzhQN$J&o0{fGi-Z|oyt$>r zTq1surOP)P+aGChXxC|OacG}uVM*A9<40K%jg+G-ySC`N`!k+=%h~#_BlX=e7LP`J zOUnnX6UC1%C~40-x}c>H#}q``10JgO6vsH1VjOD`i8sw~;dQtin%O=V%{ipG-SS|| zzddP&yH!?7no&^~Z)KzzA9m5SCC%e*8I-cSWW>d&l&xOW-Bj2$w7}D@4mpb@u2wi? zXn_eC)!`rx^OOUn@8Gq7VW?c3aJ9fJXV?w^7ls|ojsO<}Q;u8b0-WoNbPm9&AqTTP zz;QtbSO)NhtOKMW_yRB`5N?*z0(FKHrSuaaCrWPTRIBJ99vZ`(xzZS0kyEL(R;dL> zMx7|Y`92jKgxx|5JmPRL2m@KGJT>Fso_jeTX0^&wioN7DCp&G<$=d`bdmY|*K;Pp; zY1gQfU-}nLFM~Rmkxwis@**P#ZqXeY8j+PJM2paTrmdWw+R7N%fyvWhh3IIYT4z~u zFBuVbc;|g5?DR}KK;>~!2e-_u>DZt{Q);%^YAEcZXpr0kpDQxpTK|!AvfG&<&MLCV zSE~h{t#(>*y7G2{LL&?+wemf^$ihsx@@QuYKC_gi5oZ|M_bc1@is5{R4)HovJijVU>)*GsPgS5t_ds8$kAu3w#D13q@zd-0@oDJ2eJ|-% z?h)&MLj{_zUuB=3-FpRkkQP*E`vv-Shd$nl#P9nCvFWAF5BgTnDZrb?cDKG2bapr~ zkK@F#v27MOIDk(`V{>g^9IEug5X2+;49(A0U_v^rkNo*n{3IRH+P>IR^&_L$6s*Wk zY&HZfgj++zPf7#P$Q$N8???j(dF_QzdKv{OgOhb^ii-xdZBMf=%wiSUmS^qp*0o)JlPPwso3f$P=a06jocF_nfZMIE~X)9!EGs<*~mrRg5P* zXQ;M3uQtRzA<-YTlOZY_=TrekX-iCR0h6)MKLRI0Yz-Ca~3*U?4gaonydkMrxQ z^0-~PsXVT&o5Evv-BlV|dFO!#vU)TGhO!ILSyo?C1oMghJdjm( zTRLFs)4fGF!PUAGrc;9cK(!O*vu>BD6K>0sw7y0W&hxrUGDSH1=@}}*dG4kc<9ud3 zI_u<@v7b|mac+dRMd_Dun;CkMA5Yy8V(X!nqG8`Rq8I0mh~6Nd974(>Fz(a4C0d)+oZMAboc+&O`6} zND-gg@ykpRPVKIL=qy;`RZdR5Y7H8qY6ZqGaa*b2wy~L`m7Q=M0RD>b_8E4X!@>@9l}z( z7HAf+L%4@q3&=ZG&GCx6q_hB^udRa+zQoc3EwhRtHSy$#0)ccuT0toHLxKu~rqTa2 z6o^Ll-iRH-_X?W6FQ-6M^cEn6frWJyP5&aJK-AA48w!Ma^w+WqMDwnVQ3XOn=<7lX zM5(4!_mt|bB?=43ow^Mf#6$hTpdI49Vp+%z@eWjL&w;P8^k;H*7VUl&-z&b%tE-Cd z6lTZ#47ws$ZFgW^SLu&t>@Xb$D!%opkepCg>{giV&6#=kpqy-zpvCJM0G!7{~XrHZ= zKXA$)2M3jz-B8)ETH%_#sqa^P(`cw{;8VC(5AP_kAlX@_X2U<&PozOBCGf zV*Nc8=flIVst>d4Dt=M9X4mPf)jW8r^s=GE<7w5}h=NfUSNt4xVEKH13M#nSKNUaZ z6x{4ieL}SzrxYr_R9xqoBHrBqvvqz@;WFz2l7|Jm_p0jLzi+QVPg-=i0FZ@suNa8y zgg*#s9qiJ-C!~09mU{Ld*bx`o>-YO0e!$;kY~LaXgc)9mmnJ#q6ksZ8_s$G^N3zuE2=8`lvni(^u3Tk zGMmzi7E%sOV{>G$&Q;xeVKD{aHwL^wx*0;_{xo`_gUQ z@!n)4^@#q>HAL?QI)t4gNvMY45xYi(^9u6vYc+%+J^J>+onM#eupi#Td?a6dngN|w zNjGi5{Q#0gmo8NuX;G&?NyEDL>fVo*f{@-u9;+j}_pZt>BlQ8n)2Mr|i^1+m+XCD4 zt@0*~Vt&xQcjx|i5MVrLm^v!rA_$U6UyJm$bjUR>CyL|+CB^$%Oj>a2N6hl>y)LLM z@5gsc$NQ1qLdfDG;&>_Ns)_)u=fp40bGr8<|NSiq>`jeIAa>}EhXrokNeOrBGLXI_ z8$hHxyHbN~+N5KD4%&1GIHH2q+AcPLYF+pNf14M8B1U$lCei|L=AaRzk&9P> zw}Tci1TF20nj-I$V$-TL00c&^n|P6|yi5OHuizm|6)#`9>dTu!k;H z=Tf6w0)e~iTx#0RmPFq%U1FFz`u^xrOGn=y4YDNagi9?Zb^Jh6*O9)Py3CZ$r3M~k zF{wE(vm^*tn8c10{Bl#(k-}YW=_r*dOQNK&u$Yv}P*c}|?5;F*=a4kmlsZ!IkR?%K zgH5}R)GQ%WI)_TQ(v&E%A*OH+NmT|!8uI5*Dnl%Z(i~<VeQ`dn~37ER}q~m>?66-+0M_5csbA-w4KxtlWNtDYqmPFC7 zwd^RfYb_n+!UqhF0AaLAY)=73o5c3i>|-n)r8&mZQSh)OQJOcJOuADmKF-v&qf|zj zx^|=+Yw9Rm*i^Nr3a+yx%6XJUBqw7n9aV6hscT0qHqO+wqcq1`I*M?;rK6sp2^+%SoBE{or4No04M z$>bByZI+IbCV@vk-Q*X)-I6G=8%Rl`iheT@zulC|sGvJ6CWJdp72O*bpKPk=X1n-g zOGn|RS~>`KSt`nMilw4V?y@ARXNtw7yrxL>bfGiZ-3H^t>r8gjA>u?pejsas*V3ft^C|8q(E_hz3o_rOQTflHg~&5N z{5b=Nx1xK1uJrvUk|Zi{+n!wb;fqWE$`TdjTTJM>NW>q2MjDvw+`Ldb!*Ia7M0^jS zcUJZabna1!??<+4Bv)5n)SplA>p#*_%`ue~-Mduvs0>iS_du_B=N0Mch6Clb>uM)g zeoGK=!n|-k!-?{;_DmFV46tE-9~$_Gaj4nRCs*y#I^ zn?Jg`^}ic*Q_;n!|2a1+{wl(MMA*fOjn)`08vf45|8m+z#ovTeKal}o<4S0XrO~q|7JLsn3Skp3YD$f0S z!$rl*EPgqYaP%-%OHlD0t`+m(9366V$c@`C>!#x52bH*~xHSfc-BjEfy}WKJF1c&k zO~ob4wNhJMSlaf#9d+>AVs+c!T6dsy>MaX8KrFNS^^gO^qP@RM+5uwO-hZyMRD2QP zKQrWDVx8WQ(ENKtPA*pV{hOTy;7384f3=fK zUnTk9C_<@*R!)@MrqdoZG5XfUzo-Z$?vu{urY~CjF=x}zcP;)EMJV+`;e=;?^F>80 zbS8#hG;97@VP|I4x%~ht4M{i4`ET+%QEGo9pNP)0`SQ?pDm!9ei`q zwPI%0p)r?O{nu1GH1ZH$<4l#mXYk9rjS5GRLGon!r_%|4KjS|(PAeYB$!aIeBRN_W!y3v@6onlA=_7^{<{`2asQrL9sEmh5ZKfE`_i9a1Lgo;uSXpFcwfj9qR(#%_lXJ$Q8Y)(V zi{X6uK5d!aNujMvCEl zLJM@K${Y;-0Yxf4Ud+!rEzB`eQMfeAANr82jN`w+>$IZsDjslw^O;TaIV`L%oOn-Y zT{uePQkL7*ThY5SuWdaFOOIX=EbVY1-3a=y0g;rn*z^eLNT4OEM@Y<+ zYgo~n6|{{tHSXc4kr$}MXH5+ipE5P2WE8U`au+v=T%;*bvd@}4sw!>@)Jh4H#yuRf zByy23iI_Etc+%35lcc31FRLsaHAYQd7oen4ri$`iWm!R3o!11Y$knC|jjiWQ4ORTS zDNst!n>5Pk1ye%_ycSM0Ok1x32W$h@j5n82_^UnK<$PK%4ZEgZCeH1AJu?{r6?acX2`YtO&^rt zc{E0FR9ly`^n5Uba{v^nqO#olHQwunggTS+wRt@sIo9Sic`}vqW?mnlq~6TyJ5$Yc@KQ8y~)U6drCQd?fnkHUclscJ+@h(?>^tbwm7|3tuha+08Wn^bGdslW{eZ=$^IG1~lt zewVO`wij)yH@1)AHKsdoXpi<#o>Y>a*nq%q{h4c^&g>^6U*%bf*31OA;CedST>hddnOH zrRp}+Rn`wwHNC9*FBq6Ni1>C8R38-PgCaCP2zagZ1H)-!YI%%&Sg$eH^I^T#?0jpz z*1UZCevvgD8@3jqbY$FCpDXsXt;mKBtsfQH(6QyCA}$?fwiltaqSGVoakQwdS1@-K z@lT5EXbfkIQG(xxH?@QK-1~76O#9R)MJPSWDgJ3OOvkvsLE!a`A55oVXgC>U=g&A% zTZJ?|*1PI<1HFzwp5mab2zp z*^h{}a^*eYqy8!m|Bg++rrCiP45(~M~QVZeORs@C9UM?XV#Yy9rH@-%LprN`p95? ze#vxfx;`!0R_XO=seN0g>(fH{uX=JC#N)VI#NCvCnG@6{LIyb9Lal++V}FNWW(FM@SVytzL6{H3vYXJHf(yn()*^EY`>NuEQC z4=El^zsw7j%RzaNJVuQ0)u-kI-k3;zYHG`hFY3+IY>bM}C{@?^X1)5AnffTaF!X7# zesz9KWOMaV%!MNTxRQD(yr{4-TCY}y2y6&3W8|nYxuYhK&dD2+t@1pT_^s> zy%2rr_RP-==14aka#nLYX>eNoZ0P_euNTz!)a^!M! z5b>XhP0=JrSs?qBb*1giObWkehx6Y^8T3s$_uK%Y?m33U22{?k2DaMyCUxjQiufO- zILLRUk2`gLUMiK6A$6tP^uLo1?cl>d3ScT(`rVQ!#vggf$A*8xjzci>PgCau^NYtq z(1qYHaTo33_;)CVYQy<*+tY9!vgT6Y^+4ToAl}`5oKBaS*ysnWmq8mweuH+XUkSOG zH*nYaN4oV!@PE!vmcy+O2~TxC+##}$#74g^lIzp*YEx_qd8G6<(Kj6z63Gnw(PDwv zG&C(G8X#iR;Gkq~BHiZZB~qfxTd}De64|{Xr5sCqL}5 zI(cac-3DF$+Foq>cSvkLI^@AW;W5)q?JJ>_B`C+LAPni47+p3?EJP5Dae+;LiHAf@ zj7v=YjC79+nHZaH;u#y7HhEl_Fn-5tb?<}Q+Yg6P1Cc24<-7L) zxdJ41|B)7T6C(1_2VzfSpQyXi$ghng4nb#+NsIV}6nXc4LnOyR;*qlZ$FQio$qNPA zuTkDvVqcUTN-*y-@nVGBniv*c(B4?AS=Ve(Myv{^1)`Wrj*0}pGTjS)LuO0`(h9c2C<7|yTBcg7AH=p|MJ=M0>*!@esH8L<3 ziK8NNK+F7EMntN61PXg=JZy;Ff9643Yrw4}ax=@;IA;3!A98CTimBu{G$s+t#5h`N z4tlXAWA(Pi09$Jqe>FB1&OMDTs}B%~W5W5?Xehf=JLx6V-z$_K4ZDBBZfcE&Jx7IX zqai^Dg0+Us(i~ceSzdA>L0hex`t5vD*7{SO7=;k9DYqqj-rWC0q=y>S1Y~fvO&Jq-blcH5O3QdL35mgMB)^T z4?brcnm;rryg167@5vu<6UXL6>ffl9R(?gMxh_(j47s8$GA%+P2qXUUNgQVsK1&T5;E-Upw zm`XFT*NC6GKSKptn8BEj$}}-9G&Q%HinTCmK%rO>rqE5Vf!&JV> z^D;IkLycfzY2slgMpKv=H^!=_@YjklKBNV4l#-o|=d)m=zrj;3rPqJIwGmDH8jD0J zj-}k|7?d=3LrCngZ>BM;W9gWjJetu6LwS&{$;fFj8U;)`$v;iZ#K}7L`wTYa2&YlS zCr8jEC?|>{@m`J`Ss3x?c%M1O!Z?w{q#z|@@??-%NcUu&FshZ_U42A;U_VNS?~73D z@j5m>^Uycq32;>wXpL?*;I!!;QBgEXO zGib^QZ`6^TyXd{a~UXr%G-yCP~NMi`Xe zCONT}Nax@^XB1;;H_XNMWf$xv7u(ft7}>76s2YFxAMH@jVN0y)6V5}tlhqi6^;K$n zfq8wScHZ;M>ld_RFGwtB$DW5ER`t)=u{y>s4%@Mp4dM+bv12sYMdAUk9s8cK`wctx z9VC&Mo3&$ftpm)2xHh^x6N&pmCP;1YEo1kl?buF(c=vkkSPp_n%z;M!V_QffQ60%c z93v+&6Jl&9B$1dMGC{K4YXEbMjqhEsA6&2va3oUiK_mZ>zaEgB*gFP=#{{hFGBKS_ zO?f^i)l?^gpG}CdHzA3{d}!o9a{LxHMPgnk4>9j8gRlqEcC59|!On_IeD9Cr1okg}2g;=YrL`U{AYXi#_T;YQH5a#BKGk3%1k+d(2Ql^0(9ldrYNq zjAbsoM_hQ1f`>_JiK(I(4>LA3Xvb(j6RBIQ?jv4=dE+t)kFgOsI~IW;QX$3PV&)aj z+vIN<^Li-$mNVAb=1;zzr4i;|n+&W?(L{_k_8Iw&pH1W!LtqgL_8tKh*0}Iim%6%b zzXLe5Fb~tV$d~en#Hog;>sBq_zatXUvZAhsPt?pqXpxxig%p7MAQYn zkaFa6jsd9}b}ZfN6?GS(6~;01-ZZ4Js2R)BeIZC$NX(q;5p{inqGk;1=4K(KMa^|A z-EWAxzCKYifte3@Aw}R*N@-EouLL?~&I>^@M9uXq&DSC2ATe`(R@C(miJFI5THuA0 z5jBgLxgag-F4jfOe3l;aK#Gc*M_Ixe2Q8TJ%YH=S5!ekt%Rj*__-IJf4fKfEAKccF z2&Axl4UtGJ%0kM@S0ahT5-=|b%4h3~#A9Gyl9sP95{ac?Uh0!CJrarKUPux776Fl1 z0lPsZU~;^bU=A|mOO-^T2FyV@`HlgRs0H(~kbJ$8NIVgNl##Di5{V~aceyTNUvQpL zFfWhFHyVk=(_miVm2W{3iD$sPqFTOpK_p^cQCH=WuR{`vIP9vzV6vA4m{nQ%-UyLM zff)+Q7cPm!DlkK75zBDat0SUruur~KLL{Dp-Qb9PZoWvo0OpnGzvbN4xU!ygDLcJ6PHP=7qZ+mbAywn$X=#$S zfjP<}V%M>>9n4W-`ECZ0_!!JlS@|k9k;qnyy3s+r;fg4lt zFvmnh>;cw&0cN-aEy2=PC6EmHo;Z=%0lRQczLQQQz6SHU5L$_uJHfmzgBn=c1?E^C z^|7?O8d4N3$kHCzjq}QPzllT+%yHGIPp4eI19Q9wt;@{4V2%%i$+{m(AZ0N=S^5!n z*9Xz^%=`(=>(ipPLEXUDuacmLW{Q|p*7@tvY-CwIA8KUM1w%ZT8 zo6z!4ux>x>ZbD`cv)%7GQFk*ki?H;k4-zu_fg&V5VMtj~vy!|d1(>%4Ma|>nCD{PX zThg#&W3d2PuO+hrKj~-R-)lS;}4x1@rc(sG+<4MY06UJG`)C=HX!8Q4OE$rCC(e-RY5U zMG?s(V0UL&)GTAW=3w55`j)fL7GO>e;-z!!z_pOG}uk|z>dAN0dqR)`-Z)o0p@hn zx1M!pf_YC+)cnS}v!amFqUKlD{R4J0eDd|pBKc1+XGG-7fkn~>=FAfL@=%d11#>3q zdw^TFtq)R8)V#w!+re&D2tHYA59X|ls6B)uoCD@;UB1&?Bs+pRJ1XA|Et2O(MO`)O z3$xGjU{{U$CUZZW59S{-_t%==K^=`2-%d0#}n!B`|K!JLcw#&WzaQAnt-nx(F=n~VDBjunyY4(9!+FUJus z0P}v-r?KurFdsmDN3yP`4-)D-m8D*=n}_;_bA7$RoQL{uWa%O>=cB$mI9?wx=cB%D zY}Ypm3H5!=Qa{)|7!)=8S-Kd^2T@<7psBafXr~>n$jHvm8b)l%Jdl>bVux>Ey9!7m9u`~qC zN4)a2+afs>%tuh)1dcEa%tuk*6pntC4-)G8knOI9-J_^)Crcy1j8J_!s_0rUBdG6C z){O*n5$dC5dXXFzg+%pbNg55i#i(yQ#|wkG81+qM={hhMqrMN=ZY-EfP~T3DFy04= z>SNvYuzL*k9mcu|U_OTWPGo5km`ka?5XHLz%%!OB`ZP&5Mn&B+)ORPxy9sv7P~R4| zy9Lb0OVEET-3sR8hN$^HOm??{`FIZXvF>)CsH+K~K9=r)T}?*55?v(k1hZC0eH;%* zp}N|rh~35Urhxf`7xl5-)F`BC?ENfFgWZ!J#A9hXm`{dLUznob1Ll)i)W^~cFrNy_ z_pOWMEFYva>f;ErVHfow9!IYRGa3;!JJ{}CFrP+!zi@>6zYL2*9t87Q)OSC}Tj&#YnA_ES#XcW`T@3Z@W9eZq z;~r6aFh_V4%sA>hj&%_*Hl@aw-t662vRf%?XByvM*yqQ3iBS_)SJj&m@lHfFWJj;QBn62>idbM=VA8}>TAsLUIgY4)y)a(i>pDg8B~Tcx$4N zP#=AFgfB2*_X_I!H^+Mm%vVw0D2}%d%vY&Cj_@{^uc5x_tb4}?3H5!!UNW$I9rgXp z5jKGNI@QOzO<=x``i^DYW-#ACee^ZINWL3|g!)Etg!f>#hU()8AApHmWB0Od3z%zB z-{qqP_+>^7#?$-b8)PS+^a`H&NedEM>ub3-w*aK0g8TE!1}_ z$NMxY>eivYIjs8(cI!~z=j`(fFyBUfKeF^Cm~W%LgV^U+V4`hmk7nK1K2f(mBx(jk zD3@LZ^i513oRXit^|m|L=lN6}Lae4_5d zps4wje5M-0?!&aG`GLHo4ghnj5AzAu9SG*uh^TGJx`V*nRw8Q8V5xBwk|AQ(aD;^}A)9_yNc`EfPk zv2-MuS=4t8*U%iyEb6<3r4~_0sP7(*cNFYCq59b8(O`ap`o3c?$AI}M>TAflW5N6s z^)+YR@jg-a8R|QYrB<-}4E0^b5l#RT<1ls;M>rA8&r#nj)|~|A=Tsltog5W)U!cD4 zIYMjLeS!K8VBM);eu?^yV%=$Aeu?@{XQ>UCU!lGc9N`QfB-D2^M>rF9U!lI4ES&}B z4%GKC`#c-W9jI?Fd-*4r827aeS?7yFLVYb*R|>mtP+uFC+JgBF>bsibwFC1Ts*fYI z2XiOY$GUTTkWgQieRhQ1F4VV|rE|gDMfI`Ie}TCh^|fH#`C#rweQj9!cU08vL48+q zgif%-eipl#Bj{l6L4EhIE&%4Ys4vStJA?Tx>f6Cy%Bw|P&WHItOBESNsIMtAy97nu zcc||Kmb!xZoq^eQmd15AFuy~6H*mZQN<`gW)Hjo*3t_hx^}Ww_J;3}P_2oE1&oCs^ z*MN1sqN45x)ORH7dgmaazEfGc$S3N4M18~46t54MKcc=HS?UYskEm}3$LkN~PpEG@ z+g%)ng!;Z^X+Vjn``L&2b(p*itcHa8j$qv-8BwMt* zVfPE_o54~Qn7^RD?d&rI=C7!47kdfiAVo#ZpRBvmC+dDfeI=|L5{88OPGV^&nEO4V zwu0jg2XjB_8>dtBtH9ik`lhpVbyU>-j`}`iyAiPao$BKV*JMQ9AE-~TZe$50s*iP} zf}-wEs*k17)sRqMmn=mXlfefSO-hq=T~MS(dqwPSjyJYiq()|Oy~1|mz`QmhYId_U zJ}gor4Ahq+yX&JOb+s;P4`4tvY5|vyeVK_?7{UB+f5CMR6j)DEv1}D^)*DTCroyChcWUzsE>8i zqaqbdqdu1I0kb!ll^k!TPo#RGzKI-f7VLU>MQj>Nv%$Oo6+Oy!bHMB#5j7ExFek&e z($p+s-Mz5u>P1VibYBVIf>X1HnfK-R_MBP|>*iMTtvj{NSa-jVZ|kXTEu{?K@>5%x zr5YXx^X)*fiD{DN1^HH?*fc5S_%@=NDQq{tTBOcHOFYKXgBg)J7Zv@&H7p2=R7a1f zxiv>#76wJCLmKOf?ByZYwKqgfjCBwDM5>)GYNoLC2<*z>b23YhMn$R=%tu&S1W!IN z7fUH3QvdXbnwwZ!tc%n?V0Q~kOAL`Z3%UHrxjdE@sWZVe!j#K0Fi!{bPG&9#vyFkZ zZI)Jmd76%R%v>1~sZ+qbiKWLqBGnqq8<|-HyOR-NFGr|_-ANu%a|i351k($nN`cwjgLuqbgmswr{SM}2)?r?n+z;kmEUgFgHxKqB_L2efmo%!CQbZ*8fq4rvH|QeyGqTRI zPs|#VKO(}1X{vTJ?0zuh3#mowT`=ifHHN*sXNcr?@EK<5eXmI7!2FH9d=L@IZ^4|! zx-D6e+~W~7Gg;aS<}O6|kt1viiR4Z&r-v!tN3i<_%<0Vh7|b1D?qy~c%&!bla}P5= z0rN}P-Nw?VS&{r4%-ziV9Cn|9c{l660P|BYr{*ZamtcP4!6;zn4lqAXi<)W7{5m9( z+rga1%x_@#5tv^wa~GIf!JNg+-C%xbAQxut0dtFvUSa09S&@7n%$dym4t8j%ni(wZ z1rx0p%QEwOFww#_GuX?IU~Wvy7u@nq(sYAn%|f>O*~9mY*DPQr&dPlIS|jp8w^-91 zHMNovC0D`_5ywhs#qn!OdIl*rec)_=1Z_U zQb%U18e~Kg`&qmN`CN4X?642=t5n6RMqoY%=26T%5X{vcNX%>uW(s!4uf1afhpGZc*e2JO34oE%)=8LRr z2IiAszR0>G!F<9aVriC|gINQ+G&6A>kbE4>7)wXNZY7wnF!N|ImxEcyx?{jxWy+`7$$40CN$Tudxo-0m+C5OxEE#Ao&RFUS|o{0m+BK ze4UxCVfPT2Z?JSKm$mM(%e!xszF(mtf z`5{ZVVo3J!h}iqg#1%slZ5rFk(zQO3><#8tW{!kiFEH1!Gz!cfV7|r7F<@S3K*v%T z%nM-m7BjDliexu1-)7xd*mVW-ZPwu$A=w4YjjX#K%t{Y(Vci5U%VD>TrAa=K>0nr)a)pYzQ> zFl^F6&HqwXqeljssy9LxelxF+=6^kk58}O{ z^4k|Q|0X!w6xQfTil%C7_UCV=J*oM}!D3uUqX#*fs=cAZu6dzG^M9Aa$I$$k&6=uT zJU8xp`3KFvFR1x{hSNW-y70``Kj#0|L(?^{rVHG7zsA7riuys-_;^LU3HEbMKQxU` zP`(OR(~rpF6P9n)#dBYDO+PB5(NCoF(exuqzCZYo>oom%z{iL9Q4*%Ab-H=kHIwlO zgP^9L3_ooOR%iNrsoy@+^fS`i&fc2UZWgT2J8_6<$pr5al1vo3X0&9`D6( z*7Pef_=Gh2!Mdp$mh(-hsKX;D417v7eMBL{k-<)9__LaREq%h8KBkc2*t9lsRcky# zBB<%tK|P_`a)`t8W^tgVPl{;z(NNzUwN$s3#KJ#p*Yw+bc;8-Hqc`lCX-tkZowRm| zrccXh`dzS^QLvg-QgvmgQca%?t68wR&tqB54ZSv{Q&Q9KH}EOZ^aq2MYC&#!tDD}{ z^o1FGLK?j(&y2Om_ue%NS8MuW#95Tp^kpGSwLE=b?%KJUz5?)yu%_1*(s;7utKglN zYWh0?P zn*KpGK3+}71kO}_Y;@mt#J!rn9TwX|n*M3lQhky6c=aDW@ybQOpCjJaVN10ubWnJA zTTR~yc&DN1-xjR)M&8I>wMo$v8?vx&Kx>(BHr`}i+v>;y->|e<4A`9r03J4a}NPO!iMg5Ei3mRuR__1@vPYJp0? z6&WqiJ!q+V=wBxWbkPDA0=_V$(Hjs=t3Jjb(WjT=^`j+P;G&2Y=%2Mz12ZqRJfj8P zUkZx>IW2IR&r(%|{H=y9(E?XQ@zJ%w5U-^gmf1hQ+qGI?7_5fEYDCyljf{T!z%}pV z;SjL6Hlqc?C6;Pj@RAj8d9}dUEIwf^Fd<{9ZpfyG?t#S(fN$_4@@z%z&!=kEZ_QW6+N}U%rrhhEik9rvYMND?wX(1 zYk|2TEpQ(!=ld+x!tlJyF8fIfEc9rB1=U&rON(Y3F7~u-w)O-qun6!XuNGJuu~aK^ z-LANOhZb02;8UUnYBQGVsmzBDl{MD_PldF=lQ}K$Y_+9IgtnZ$rMDJ{1CGOTwZ~Gu z;Q8$N`Q5a@^VRrxwZL;hOI2qy7<$q+E%0&%pOA=8GjI|Ntc~E~lkelw0+}#A9{f0t zb?-uY*F*n=XQYVF0UtL?Utr+zpLFZ=1*AFo_iz6EgElmrYw53md9~rfJn7ml**l3r zCv1~B$BlX7HJfRTg_z&*U-k*HD7v?1@tNmI=D3XM^IkXR3D5fG{V186vL%mb&scVZ`E(DfMo<>-b>^hWF7JLy$o&_x~nWuI=$18%SDaSJi%%8>pN zbDbNrVULzC9Zd|nyrjRx+~US;u&kAMi5PT^N`ILNv8Xq-EuFd&!9y3i^p}_)xG_cR zj{j;*47ysTzr@_;#`OGfWYw9(pi6H0OU%>LR`l+LImltpgs^Lai5VyVC8qAeJn4ez zzZ@f(14AbB3^(S9O^<8ynPm1UF`1{iMfYAc^u;$N^Fq#3Lv*x)*-C{xPuO<`Zg0mw znuYUUFwb<0-fF|%BZ89I$*SldZp`DC&KfCu6W0T#&$Ha3A9wsi{}?8HmU%6vo6los zUc76ZWS(hNgaKPz(J{d@4m(ydPm%djMQ+iL?wEV1Tryjw`7fA$H=ixnxBK`|$!uv= z)X8v(e$*q{@_e4ne5Oy`&1Z{K53T!`^w}h9GW~8on}2%qF|8%@0IMS1jd|pW!}rRl zxcD@ER=Pz$;>PH;-!TJMuqLy@&1bWn7fgLyGV{LCN|kQR!{=`96P3)JIm@S8^pY+Q z%p`(`F39;We0FhT9@hN4mN-EZbGubhx#80Chem>{<(S9Pfa$ZV8?));$6nYYeQwk( zrd#wwcAS0qZ<6_zRS^#7#iOpt71w|t)J#yqGlH1-kc^C_R{^VGD9&jTNLamN_RT#+@I82!Z+HEQ?a4RU;93CU!( zabq5!-FtCB`dpZ?m~MGCymsU*6_Sa$KK{{h=`=THgSxVdlae{xtf=PgoJ*eC0VM+t zl+0;4(`R?M&Yuwq$2zfc_=PJa^ET6Gyqn>|^nBjv#l@02DPsCOJZ&+L)y+A>p+nnE z9>5G-#9GWkMFnQl8%FNH=?977O4wvJb7LOTxnl4_$&BYr=3#EpoBXpi8UD~tJjcZyQESWRYCi5^i<^ji^eamH%ImK$F#%|1pBQKbeZ%?Dd^jYGTXM-<%bFo2Ngk`KOKQkBt_HG^nvA6O6{8XjNOP`tz)1$@yHF?-`-w5!y@F&+>}kU2cj^d4m3NQx~Ls{f%vP&at9Jl zQ|ado!sN1qiM_zs^@{ED#9uW*v8`ill8@}@ANhOPAfDWnO>8ge{(hvZ)F$+g z+G+#+{ZF2%G=I3S4c(DK4(J~_X{wWxpIJ6Bnv{ved}!o9;=P5<|LCbo-Yf4r&-sj) z1UYe35i^Rhqzfm;F3K%ARul%1s>1(rNHC-efBUYb^N4MT()$~7n(l;b*b_5;f zpQFxMHPlv|;8)y`aoO!_{v&Xt8p-1{n6sO9jNzM zvAS3G|Ds9X0IS0alfHpg=MYw7&_wXku-Vy!$w}WJ#a2%G3RefrW7{iyc3U~=t5W>Q zNna>u=gCRmm5S}(lfM5=lfKovwvKR~!kX$doBS_W>AP+Lewz39^V5r_>VHrA{s&F^ zt~}}aADw&5f7zsO<^JWjI`^2rCw+fU`e;H{@1!pkh??h5YtolG$2xynlfKkh)_ImN zp7f%ZFWRq(pOl*Elm3Qme_f6($_a?$K<51U(k-pmA-yCJ0>T6{WEq< zPWmnm+c7!m8&G1${+{&xZ<_QCuV{$HxBsS(#E?;5y{vWZ@ zH^24g?>qOH|FTJ6z|-{t=N|L-r0?%Z-(OGq=2_?2!lZAug7HdUYKnDEElm1u_L=8d z!sMiHY_%PW@Sa-uCXaY>(pRPU<4Iqtzv7Q4eJT0d6Y{BHr?08|55L}}_v!FGeYEl4 zb5GxfM@D>ubdMLSBe06_czRuG|?-QLdEtd4X-g|EKO^Ft!2l5A#jOy*(Rjl;ohJ+HWe_D`P!E066K`)tu z4Uv=2?4w4vcje<-UOBJsIcc@7?bSUsbPO-)%XJDJgI4+u57niWzPhq(50bvQfBvLT zAN|@b(C1yn%oj3iQPNj^rf;20`r5to_RY~tSc@fnPkjB*HPxgKPPdUKF{`(C*PxZY zotJF6MRdlrSkl+C*T24v&Y0>-Up48&gskH2-Q0(v~q zISZ4+8AhlaY`|Yi&#D?FeGi7}Qqp%%SuICN-yKRhO#1%d?cM)_mA?Fl1>Zp&yNQ|K z>uXWcSAC{$olN@9dwJJ|(Mwp1C4H~`d#^>&OIUTKue#E=My>Qcbp2(&M`uinC4HMr z`Sgb9jHxDl)ueBgNnhSnk}CM^Vhg`!9vDi#==SdZp>p(0-|mK*q|xo&?Xs$7!v?-^ zQ`NkrFE7ffMoHgKp>mY;ePhUSkn|P){40IW9RJRV&}UuD+A+HpC4JRr`qs&$FL~kg zZ=#p57EAitZ1x=d*2v$3(*R!Vt4Uuq>06_czOCN5c1Co@v{=&DE%SNxnLdkC1o{^f zp6c!0Rjl;Edu!P-^oo+cQNdXkHA?yhyJ|T~`mQgl8YO*Kh1#>B0KRouEk{Y;c^Osn zlD_-K^^?=EH?ZLUR0U-g;3bu#Ij z_44JnMlWG4mh^RfxYx{T(ub$a@Sk+6-rikPlZV z>09MWU+$JrqD8lN<=?ptlD^y(p>p(0pZqgzUO5ZSR=KkcnWVw9f3N|s!VT5+lD^!r zX|)`!^mPc8qm@1*E6d?Z-=9C}J7{S8Goa5kVpcDAElT>T&-AU6N#8NuMt6-~!dfip zJ8j<`+C?v6)s?>LO5Yl_(l>M8pC?CWOp7IbgWEp$9}h%SlfG)wx9X(tgHZBCN#Ej7 z@HA~1 zcmFR|`rhC5-~rI*J;bcLQ)^MuSAC{$olN>B?wS}Jy@a(`()aZx3-+%jebtq|&n@)e zu@s;B*Qlg#>DRw^iq4o8OZtxe_@sf+8BN9=oWYYJqNt3%rFJUc~^nJ6_PXF(nm(~|1t5XKGV0VXZpsbRj(-N8yQNzDCxT;qn4v*`mW2W z8YO*Kx~gWwhR9zOYLAk>bCYU0FX_v73AIPh^c}CX2P=JZ{^0Fh{_WkB|GU4_clDsT zy&$%|#H^34wJ7PUKGU~OCVhWlG zEtd3cqMdPcbjDOy`l?CaDp&e)gVJj9MYnhR8>&XPcP|emWb{m*e9Q}v;U#^!Ze_Ku z4I3hNa_AUd(w93XbPQVQJ2Z3*TIowDbs_1S{pU~m7R~&k2EyK3%-%e{7A1WWHlBj3 z@7@*l-{IhHxXXcmydU)CE6dY#Qs6UaW!Ml6cfua}LG3%(5)B#Km#7?REP2{juq7Jq zNU?}@msp@h9`#@PU$kZaMf=0=-W}O^^;^+PSc@fnJ3M?n{9W6>2U8xSttNfdqz}iz zXD|iUh&OT^v;JnIqcf((lD_MD-}*&###EEOYSOprq_1SaWb%Hn93_3PXH|`ozL$bC zF_xpG4}O)_|B*>wL%1C57rAMMT8@&wiCI;nqz~@h`ajqnC4D2xQp1(LKX`lh|6rx> ztaxfA^m!jK`#^gwO8TnL^sSRCeH&lD>-6X)ti_VP_0RorO!N{~P5P=y-x`(l9kOz( ze$g4zVoBeRPdr<_y=!rbK>uR$P`$mos@uCqgpx11z1uF7e9`UQeU;>kpXu8*Tn@Y! z`E3j);3bE!A@Un%RgIFq-$QjN>04G-%TdzzrBV)(zL|gimA?DC_HGMt>?>w>H`b!0 zulh{iI+^qh>3sHx=q0ShlD@rLzrAra>BCcI^-s2}vA@W1*_S`>8l5pMmh^pDzPkEG z4vW(U`nQ_&;V(d}D(M^JvSV0|lD^wR$rmMkgW_sAdZw?xl92Jccdy8V%E5-nUl?l7 zOZxKXgxd3xzI^y~ZC=usKTc^6Z}0xGmA>D2rO*5Dzxg|TC#MSdJ>#!p_E2XnO8TnL z^sSRgUyt}Z|8WUxv83<7!Pn-hNnbVTTcdw__l#q%`;WhD*D+q>13 zzEviDxtk3&Nu%4l*MyQZy1m;wt(K$PyXS|?S#Y+>$-97fTpKEh+}{ngF0J$(9jZ$! zeTSsgag4>(zjlpch7}5_7k(8Os+*qU-g;3bu#HQvYY+y-pH{$e&FWO zOIY>xZuR!=8g+Yjy+H^5@7}$8ob_wl=!~f*ebuCI)k)ubN>ato^eqY{UzGH{7%E3e zUm>d|Y4nR6^@gh1upx3&LUk$Wv(suhO8Ulx%2CpHdsddir0HE3-(PHTH z{$lnUrL`#Oli56;g6S7IyGtLgcqBaMd5HrpRAfh(gD|c=H+bid3-3=)2 z{dnczlbF#X)LzlkQlYwYEiBhFRCfuMYZ$A;Ki&_vw-i2!hA|c?@ENr4VM{cOE~(lw z*Z_?suiUquc6W+Jtoxm(t>wSSanXf09v;0})nY4s9ea-G8@+^8lfG)whqLW7oNal$ z7|{=EUs#@I`;s?Pzkm&qzsFUzFFh@M%lzyAqJ3*&-EcVkWc<(zqnEI1(pOFTF!xzwlD?m`R%b+KOp7IbPk(*G$Q?oF-lU!N#AXu>V_?bTM!~f=)zSlQuNI}?b#GJpvSHvM%_Cv(_iTXwh4GDkc zYlIR}t?*y8S^uT3^WU`pQg`lu(F*^i?#%zDVci+kXZqI3q_5kQR`5F9qyuBX`@!pP zizR)}p44@A^b%H0`l?Ca8kO{Qm^aUj&X^WU`fi;+==kW2sV05Zq;Hi;U+$(dpU#7o zzTDMmRr8X*++~KU(e2$-kkDXVy1jcwsICo_MDE10T9;P(jtZ5dmA-=wwH&SV9gvk8 zBz-gf{7K(jZP0A!bFG+jYGy4;`ef#gr{L<}>04KB@9w(K#nDSxizR)rpC7cMm#}Km zS55lXsHE?if1iD1bjGw;(s%NLLwZMNOf~7NCVi_;`rZvCU-XL{uZ5B?O8Q<eQ(eFeXU!+-X%I?S}f^%b8mDvt4{hx zXJk^v&-C3IoOQk1wopLiZwi&8U*xzttJbBYuXkM4Y_G2T#Y$|?OZxKNLhX4;U;gA! zd-P1-F|KS6lD_;OTj^UKTTj%#Xo-67!~f=)zBBL5>6 zcFv6GC9K7gzTBvtGto;}HR-D+eQQ+G*Yl9eE{)EZ7EAiBUhve0(HT=s`l?CaDwDq4 zjiE$~ZtwOpWTFMn>S5xRyR@uo^h}@pYZ_j1Xkodo;c{Nx&Y@$_N}m}z2CeiR7^+Jv zefy{7adD;Z&!6;7UcAjQ(C4;d&Qf*Vy5r^`e)s7EAgzZ|pWNdI_s0ebuCIjY|5i=(h7K(HYZXNnh;_ zM+}V4m}=5jP5M@u^yLp#k}AHvn+PRe^i1ELp>lM4cc-kHq|xo&xS?t`Y>0fTP+dy; zeoL$6DCsMQ%2CqyX;zklr0_sW9{%;+Vo z#ge|i^%~MXdI_s0ebuCIjY|5ya36XoI%8Ta=_^0b!;a3FYSLFt`c|Fv-5pA_=odML zg)~a~ZcNJLi+_=$Us}~D>FedHnhhHwFJGX5eesgM{F!mJoR{?F06E;{x{F`oiS~6Kj`y;qVaNTElT>T&-AU6NnhWOuW5{4!dfordvw1W zqnEI1(pOFT)~KX!$li~`-%Cz9eBHv%w^-6Qdtv|2qcf(O^i`9-RVID8fuY2WZtwOf z^MJ*=bbI%bP&s<0ue%W{XW=t_r)5>mh7FNBAyk)E`Z|`?aT&-AU6N#847M*k4Kgtc7K=e`|}Uc#zLUp47lqmsUF zWV~c7827W2#ACHR)S*()aIB@6@n{U;IqptgKAP_>VI` z6{>4P0g-#Std^ss@BUCZO8V|H)N+*c4a-UmlfFNAd-wlfrSH3krwoNYA1oRh?X@WB zt3K1WP9}X52haK6y@sv-ypO++Uc#zLUp47lqmsU(t)AolXGz~}W83W-oiWv}75=y@4_HJz``J&sqdnm~l-`?FZRF`h=wl2#g&HqJ?{Q9ACl=S^-sO2c>`!1_$ zl=OX~)P-foqhqmFaHIAwrl`La{~XJipxti8#DaItn0W0~eLXStEK_8A#EJ)f0A*u` zh{n$hKlN%Q>YukD33YcYi{hCXQNOE+Tf4y4-^-%@RVXeRe$_?pN=MYY-quoE4T8_H4gAI$9?-Et68$C{M%dUaYtS&9wcu6U&);>>&UiY&qwr4eIPj;* zM8i^>HScIMHqGD>Q3HZsZRqXAE&9=*(fYHS>qfWOriVejLq+44$*3EL=%UyS8uQ#} z*uhZUSXNTpaCa^%Zp>H_7rC5?y;0nlkP_}8I*HsQxB<JT~@EDQ>vwwBknN zcPYgU_gYuv@(#45x-qdNpg|H9H{AVGtOahI(fW?Rd2YZ_c&7^=@Qg0tSges78^;A4 z5w*&0GV>uIX!|j?8#j}#^#`fw=XPf*IdW6a4LAz##Bj-tr3P!{#)htNZ}b|e=*BtCbz^0E%caoz z;i7SAHsVI(5=RuzHvFzPej9S*2Z&#~@nceTV<_yKWm2Dm^TtCZ0S%IPh1Q|J{4 z72Pqm-4xDtfVqK(USa09NX-ZjM?3EoIIRzm#Jjo%@Dd4;{NEOG^t z`W(116~@MLokZ1*ktlf%1#WCT?}%RH#>yaa>BjF_u0U>RFjMX;qgK(4bDBFg^ljem z1`U~_0Dqx3;>Pc$D4y%G8>3+>aHAj~{=kib=BjQ?Hbl;`uz$)G_HkP@{%(?}x-mM? z0yp{&?Z2((1{{Se1a82wSR*$?Qn-^1)GE3G5jHRT`gHUn9U3}H6xK^d+$d-%Q9RFN zH}11kH`a&vr5mlvsvA?%A~)B8R#i8iC<$ngMAeP^EY<=yPCtCYF674gLFCd6`n?mJ zZsbM`&KpzG*hob;x;NL2??+7S3=JJE3LB)OZnT2Zdahx^JjXca*_o*yu72Pxia{Ow5isq98`$Hs-X?Xn>>bc`r$mW{fxDU605 zX?Ei&Q+1;?#2>if&JBG}DZYHuXi-ji*d1sJKz=eb=cpHd+Uf2X4Tz zxB|Jc9A-+<(<-{rqq%OZ_^>B_YdcmHws0eEG%QJh8zsN%jo+!+S7FPf;znVsv^q9k zazyTV?6T@c17zk)QY0#FK(nj`Gv)mI@86i**fPmG12^DUtdSePy25?QL9L=2-J3g8 zu77Xax1ga;qOest;znUBm>VgF$41dm$Hq1ge=s%*+h$ZZ7L`Qq1rz(HjExyEHnu{| zn-$(g+xre%G*}DV7?AyOD{^C-Aad!(wi&KKZu|o0jYTEYD!KvRJ@}e32yf9;{6^n( zTIL#P=r~c>F7CUrzW*h>u&pkNC&LvMx)}riL!)8)Q2g(jA~)ZGVi0QZrEioYpa~L{ zdC+b^zqwwl&?4`Yv2GuZwV^+p6<`$tek#VAlH_k&@?S6RasiRw2~F^Zigz6Rh6=}GjmX~zZhV?Tt)d&}OE*@%mUBbR(KtF!5QV?Q zB5o9Rg0n(zo!!{kQr*}Ewt{)!E(y7@R2TUJOzfXB5B?n&&>)Gb8#`O91#WzA^?s7v z*d^GBws_yk;8aQ^Ro!?8#zx*EQFUVnleNH&v#y!F5xJq;yfbhEj>Q_eG07C} zaucly0HhuAB>H{o+ZVNrdBXh_VD_r zjE(nTZ0w%IPGjcaog;CkxJ$CE1#aBB=NqlajXfORDc#t!#2UFV)e>+-)GE5sv$->6 zQu4&RprMmQVXsuwjXmMKaYfSay89cPDdc%$Z-`&Iv2RRuqqQaSds@({IyOofQP?w0 zqUy$P7^mk@;KrqA*lo#;y-U1Px`BV)nktYRkn=UQwot3+#s$rFnbi zJ*}b}|7floC-m%sjh-S3wNAv1rq*#$Ovia_Y!4C3nPQlV8-==*>c)PC$Zv^VR>#IC zE)3`(kl?)0w0)ojV`EB(`Z{vMFsT*)J_KH2;aIGZ8?(R-dJej>8?hj_TJ<&Mmrmob zJ4rZ7DdI-KD1#eib_1^>(v5Zye=s%*?Jd=fc1e-n%A`IAW8-r;gi+=|q#N?G5xBA8 z=ziXxJ{E;`DX!qc2k#0C$6}4#nBj<~c1hGKx^Yo+-FU0!mu;Y-Q$^vxSk#U7FgC7A zu^WGNR5uQS_@x^M+o~Ifr$v4v?4CL{zHmjMeVjzUNxY^s{ncSDaN~m==2=qB(YC}|;Krcgw_iza9BT7U=|%^KHF9GvjE!Sg?Z(B; z9UFx=H+=$HKTQ-4Gox;FNP-(#zw3ov)2bVXL;QgoO((}xH%@`Am42Utnet6W6gnh1 zkYJ`jv#bSf^z7GjUvlGczZK=Y0mtGBn(;}lP;I5sY7?$|ifd}%&3)m0QarXp@M z9S+}6`(}7-91AhZ^Tv@S#f`#IAve0|A|ErU&w(4|Bn)V;)1hlh)3JdTxS^fhY8!In z$P%@pxBkSFHFBfL6iw%w zs8w|1!sfd1lXcQ4Xy^=4IL3~;@wY6vQDQgF%&Km5g7~Ey$3gtTci5(0@NMrJ1N*0B zU(4d6@V6|9svGo}A_g3|@%Z}YhvY^loh!K5ns&64_ znH`~_GezMzC+bEgR}`-e@{JU1^|bgK{Rt4ibfa@hb)zr1af6RMm?^)&S+otL~ORQ#QUq8jXS`N zn=I^~Ja6RIPYY;}M88RIrVQ{4D(8*+^v`}LH@etdLAr68!y37MghkWS9o{M3IHSZGxv_CpG>tb&A=SPr4ZzI#(3VOhw%| zBQ1(nirp9$a-$o>FWophrn)f^T)a6;eGc5%1kM|0q)AlA#weS$z>WR`QvSW8ZYAC+ z-8dU1?^H}~v@VOLiAmHdx^Yx<-MD?bhmV1V&J%^Rt*9GkWxx&B?|R{gtU5N%h4=$E znjQ;{jVUEj9He9al$ioI+X`o8NL1W_W?2i|c;nGQ-XpJ~a4zoiA`jevW3fhV#NoU# z#nURfab9uvh|{5}U` zV@sIc;~Y4Y7o40awqH;=Z#>)o&dD@Wdgxpsa08CT8o9A8G&~)p%46e-=8lcGznn1= z8tNemDJSAap@$1m@fEgdN?LWJC&V9&jlu;f)r~pe#^5COPZ=9q!Fi(x#uRabZk~s( zDSw?g{}6Jcr^ywh8yBQlBR96oil#XzRd(ab=DLwQo1){wZ$k2GX|=1e;AS8@Z=Ca)Fsd&;FYYvjf*hG=@#M6IG5>E@0N zW1DV8XsD+s^wOhlTxvj6Wxwl%zbDnPaT&xPxY6{6tGWTV)r!Nt{wZ$2fq$qD8<*L<)5VJTJq3=%8o5ye+MAwM*^TCojWf=;ad&9_0#Uf!jJVPC zudFBzOYuxu05Qt5D6FMRHwsslR5v~-gBw}ub8r^jEi0fw4n)qB1wn9u8-)jVYalnS zaJT}NqHk?imRKV<_JD>zD5F-un>$n9yXedtpz(i*LSHNDMxPAcU1m2vu+(q#S3~^Ljcat(4fyh2JjJ9w zvm1H1d0gm|A<=KrTNnMnVl5aOZzh`#rLl1}?(-s-Zd{|Y=8cVpJ5r))Ssb;BW1~-V z$HqH*--l~o7m31k(Pvc(S7-6=GQ06*$c-D4svGd#PFderVSpQ1>T}@6WVj!HwJ)k} zd}&cZWo-0(C%+}RaYK@Ky6}N!%78R$F55~sAR*vGv!VMfzOzPM_c^1u2GT{)Y$+IYq4QQ6N zU~CLJelvgV>&75*7c1gf6pqCed^c7sj}pH~R?&^Co9o6SkIr+Tq28h}A`^Avb~tZr8S}ed z=$%pB7zOdO8(Nz|;8b6SzBy=Z;G15tOA=aD-GH0Nh1+csr5gg8Wi4={)pkSS&+p)B z&!`}B7h8j~C?1O|kQ<|8ctrfE=tjTh&Xip{yn8V;bh#+pkqx^6<4?!C%RDv)hQ`L7 zhIB&|?n^ldy*S>8eDKl`KV@vpg@Hc?wTK(T{?m=Ox7m0U zxiKz?T)Htns6cLv)wMQvc@0;bDc3f4Y#g5*gRO(-_r#-ajEA|g63zzr6-#Ra=N08F zdM|8AH}1=-Zj1vry2i1CiW~F6jqy5(>P#6C1Q(2ra@zq%k{kC1J6&we8yoj!xe?zD zM{9!#?y}$Y!c8gFjekP??1t9nKJZ44jr-H! zhK2o8+<4Ivh5Jkrr5gg8Wi4>y{g2=C$HqT{$k`1%7FQrQ#-+42_oq>-I5zq>*Nt0y z-7yau>LUshl3_QrHg`gdKLzKFv9Kktu(m7R5QT|l)s2bhMw$8?oHv?GY>-6hhSp|m zpapKUns>!sG&XFPE8qtj8xzZ{ksITo;fZO~D!b9#nezKdCp`lV^%aFl>989RqlI^u zsq5f>Om$;2#Lr_x6dsDJZafTboSwq|$+3YqkD);lRX6TO$vc+>ZtODX;h)Hj$wB1O zjfdh~!FQviwRw2eZd}(~H@5Cqa}TuM4;+5js`VK>@rQ0G5c{YVhGbmLKjD|l|??{T#@Q&;Uq z@8-^wSZr_-TEAKp9?eGGc*Mbb?d-lxS}iP8{w4_z&ksdES7#D~cP_v#J{faAO}6`=_`8x6+|O5>+?q z)2sz$mE zDda|LIB(=qB&u!{{?m1>U%Js4SKW9I+}PToKJyhe2e;A-Gc6KTH=awg7R;1y z^-XRiH)aQsyHJX*DUETiKyJ*?wKng01Gi6&iZ_KetRNZ*XVl8mv@I&58lN(MDxpZT$!4=4j zJdBNxR_(_1&2?i!|4TYU>jOn$ZZ_(M?U&+FH|FbjuiXb(c)(WA8!tor(v4TLsvAFn8=6CX4&11L z^TvFgL^(DDG|O5rHVzxV)mU=l`OLb!ftc3jHP@e-g_JH%oD<+Am8&3pU;KmWpjoP2w zcr}Qe-N0jUBjkn~)7q>^p;qyla&vRX#%*KY*Z~?EBnnN*up2P`EWFoFT?hYROS-Yp zm2QZ_Yh}faS}g_N=&}3iHD%v2Hb|m$1Dj##=$;F1F^m@o$4GkQ?{MwA#&5s8w|1y5_oJG~9g^G&DpM{+*4w@s@-0pWWCX zr0T|&;KrFc_D^xcjfuir4vDH88~mpm=W5puBRAd&BA0Hwo8(5wjelBN z?UpIjD!MVax#x{G3krKcLqkR3T{r5+J0%?Pz8h`6vDBIJKEyBGD5X_5wo8fpaXR)- zy3ug2BMR@7NL1W_W?2iyM$`B&my;Xs2a!uRN@=d(xsksst<`RqLam}3w={QbJf#hr z01XWjg;F`{Mk$6P-tT(BwN*Dhg!rW!A7xZGb_O?&_xh)}0n4g|5*!IFl5PlSmbJi* z)bQOGksBWdkxMr|%5Vj8<4#wr-8qF?WjEy5SoPl;*>>^$yF)|6Md9PP??&*qMn2L- zz5~n@=qAj~P0MQhpFsSp9fDB#@sIrYj3|7hlc?lY?R%Z;t%zfbywi)- zTj%>E66;$f4O+)?6=NOR+*k*6?UR6pZWV=3OW|0x+BoD$ZT(nbzp2LhxvR#yXH4Y2 zcCbfEtPj8djR)ZbT)#|i@D*|W)TA2f)$wx-+Z!Cj^=)0N-4mtCu?~@=eAQe}=u~(J z8oEsszTh*U&sD44BO~&47IWPx#PusfjdkCY$bIScNQreq7Mnm7$8`|v@Ud?kh_Pz5 zI|W8yfb?DaD}yUgtnb=d?Y=40Dsydathem64L+ZCyC{6kPwNG-ZVO!h8gTt0#P!>> z8tVa$$bIf$kCa#+HnrMqgK&aaJKlA^|77A9Ce={6ew${EVtpUD9^jx>G1ei?9mDS) zbW;i%8X*ebC8J~bfTYOp>vI)_&w-shUzI~+xK0;29+AO(eZ+!pCb63#uJ13{{978s zpW~6eoi6_C&DS!1^fZd~1K?VxqgFB2Va?^bm3!E0(9lRxSfWQ`-3Pep0oQL!YOKrR zD%S%ok^9)e9w}U(h-tO^lu1;^@Q{9{O|gDk;+-TPkp)q_Y`0$|#?WnOnX+k%Ht_Ndy|NE!@ z?8k}~B3yq=vqrIg0$dL*p;j^0p3NP@?@b$lr@1>s;U_1`)yRtc_Q4ol2JGY*{w1q& z?P!YJ2iPGs)_O;)HL@fsTwi&4*!-lAqlx7z#(Hscx$b+6 zb}%#qm%?8&(O8dgMSi=0>rz{db$MtE9}WB7^LnI=;T&*10;BNI1zeL;9=wlYU25}A z8SC;mYZU7jDXsQsuUy4g;d=$IU99?=)9<1Vze4MGiUNGcrYB>AF??uRm1;EXqrTY>(rrh9;aA;)p@6k_4g!e6zi9^R(l*umAUq5F4te} z_PanscL^tEL}Trg5&6vnuD_T{td0nc;S*CL_iqb(q;M_7wAxM?5|vm-e>iX!#rliM zJA+uEUDhbpZ-DEGDby-*y|%fr9{=fUS3^U03unDpG}faHk#7~m`n#jXx_(*ZdJ61& z%fucjvHr_~;b)Mj#QJ`}z2OZHN%%VPyTdzWtm~IqqgcNMuBUkAD#kjnxv_RxR5%7& zA1$0#ZZy_Yk|Mu;%*ARAOzv*+1y-8bgb` zQ^vYsP=R9oUe{{7>R7H~tb>|6Uw_+f#?8>s7~yPWM`P^_?3OcEr&UUgb(4(BwVNe! zubbE-h3hN8wR3|#xqSHLqlVQ zvuQdSYqz+_{l;9K^&y_%n&WH+KFIGm=axinp#$xxu`U3v-QpxFTqkYt9sOpLv%bYU zUHIT#bD&+;DApf<>$xS=D#bdzY{oY9-w3e~|E6MB=<><X zB7*lsbl>Po%%;W(r?u%ju$id;8IM?dT9&@eF0`P3&ZaTidd1t9N(;D&D(YYGw$4w| z)|XIHtL+K1AM&euY*?$kAWmCN-o6XKIjr}rw{@ZGZN1iDW66JHMXqd#*DNqZtGy@# zZz6!T%Ni56E{3mC7el?>9NfAj30tKY{D_#}U0goGE|Vzgu`42fe+Ik5nimKzGeIz{ zVJE5F1##9eM3Mgq4wOo>hTSLaf--9uJZa}AS;N3cyU=Cr6;FFZ#}HYoG4T_H=Kbpj zN7^M`djP$Dfd%a~*fttMK>2YyzX>a-B7TjsxKWi!}-T3Y4HhQ7@sN z@31DJUr}O>p`!t;N$6KPta;EqaA}*h8WUkY00W^<3N-|b!HI?mDXq4z$r?d_5TIXW zkp=}tvu1il=zTV6k88pA2xlia-Vhv!!A!pjW@R31C+KN^{u1;n(h@qHjr=WtrrY?Q z3xa!uqL5jq_ZZWUy)`_Lho;}#?a9K)+F@nQmhel1b4m3S`B;>4HHXR?EsV% z=#v5ZfD&s2{ZY_v&`FDl=_^(b`hK5(ic8-23TL-c6#5Mrd@TndjGfzJyoJD zf_`NZUtqz|`}p_Z>yz)JyA41I`AuNEQbLoIx@26~`C z-vDOt4H*&{dMg+RS0-7L(64h@lhFGFnuOjz&gCTZK{{&^daA^lgnkQ3bmp)SFoL_K z#9EDs+CwF+c8DE=A34(>(Y4y47Hb538q^)^kOtE!wtCR7ylC;2(AIsz*~^JSA7bG9 zHW>OXX%+g-Srz)_85Mf}GQOFDp%3uu5%k*~75WHUg+3Bc0%jljs1&^W09Mj#OdKqC znDovNf}YXwof+tX0)0K0)k6#t8M+1op}${{0-@{oEom+%p$`Z&3H|0Qmy^(McUY6q zFVCDL z_X}r#I|_Z2i!ah(=p*AQ^xKmv^b1oe^g%EL=E7h>KVi`N^$7YHza)C2)s8h)=;Ht- zVD_PpkHH_Vk1_P|C3+bMLBGermtjEjDs+(qV_Xs$dJJazpa6)R=_BJ@PC_3VXcGGE zNiHX$k4dp6p>g14_W`L!W5F-{(&<^hp-I<%6J4PT^ZF&;vQs;T0QTOqxU=dVU2Agb@a768hLI zYZCe$fhM7kE^|2veF93}Xyu`=#N<{^eKQ6F#FJ_nxc5V&CsXmFd*Oy96^6P23sZcK!Ls-X7!}Nd`vGy z{#RG49ph3#_4IBhS(DK32{Z})fee?E&>yu~lhCivvL>NFX0b-l5!{p%Yc(c9uTN>U z({$7%^oEjF`#4ITM$l)0Hq|5zps!rL)B9%EJdZ2J6NGbw8HGL-P`&^&mY_dYR-r#? zsL%%|Rp=Aa^o^cQ@00v`1pR4Kh5n4LLZ1#O0kaQ%dI`1+hFq}I(~Pbkfwqv^vBAqN$8UT?G1h)A^p4sTmo+-QqxN)`HS8n-o)y%U z&?g#PPC}oZWKBXJmtjpppA*#epyzRMMn3snV`2?7ui$$Bg3&mlz0bAJmb`yKBj|Hd zP`6APK%cRCr}v@PKaSU^iNZMn2447k`W%~{Jt63`O0-l%&>sUpI3Ni6Q!Z^0^!lVa z(_e(yj7v2HeSS=ZJ|EhYi!(35YzEB!On(`VUS{aHnEMJ00h;Lxz=Kyj59Cb8XRK@I z*k}wcu*jKSpJdI0?k(cXDzWB4_ZD$p)LE0zAB(dlq0dLjJBEb*l*^igKEK2o&2$9! zlEYe!iJuTU?uCD$&l38A6l(;%kk)Enwn+o%^{WT{xg|H>4Q)*l&dH@H^p|{i1pUPf zE!ChSTJ1BiC81CAw+MQpr9ywrrllH!z6kbVJ(}sSr&Q>RO%JmV{S93dFGw-;H{qCX zWElFJC9U?&G&5BkOWWgVcc$-?RC zM4`X#5(y#zBIF#FIyfSG=Q z%g{fxwAv4Ynf_4TI~lmX#hR9deE=6uf_g8 zD4etHDD+ZNh5lZKmTCz4{Im-FHNcGXm!L1ss?a~TX{m;we_^T6zf7snzk-<#n0@G9 z!%V->Wa!_3J6{Jg{hN|j`%M}>h(IqTNmQXP4uBAJT*P@V!{rD%F5-M{vnHX>_YXo> zCz}0VSX@rd^w$j5B=j#+tPyks_m#<7jrR$me}htFrhijnji5i9hVXULaHgB9^*#NF zU3YvK+ImPhDJu&7OIL;dMVyvu&=Hs_Wfl6nfLTKSz)+zt)oH1Qpf8K5(3h1|=s&7#JLi_I%^mr z0bb-EM1{T#i$!MoyIIyG^kpU12s(oM!C|e}7DPnP#@Xr}+1VvV3bm(^;2v`GW# z4XX$JqHpip8`^qUI2Wa&(0@p((3fUtsfM7xpH`uN4wxnMud*ui6*eu^5cHLn3jOz# z0zDCfnGTo~s_zo%Fu=l~8vWUa>g1kfQg{0Sv;5Fl#={a1{@#|L&^LSH@|nhM<2@R-rEe%o6&Kh5|jYkxolB9`wY< zF$H>J(f@6)KBe+c*)@n@rM4@^Asxut|WR0Ld zZ)k~4ZPM_XzIro#_kO<(hPECRP9Hr2J+Vnrfu7hXLrXOTeOX$Cz5*}@&=aw&3Vkb^ zwg~#xmI{5FlnQ-YnCXDohrS)m^o!CAeR}}ET`<$PFKLPG)967MI&_&t1v+$xHG&R{ zI1mhL1RWM}5?k4J+nTJ^c%KmZ_9#VW`t~K( z2>Od<2wx`+M#qNDn&}l6XKwn-N#{XZj|r!LEDC)aSB1WHoVE!1%CZ7Iu_0iV&^I+y z=z3CxUT3P%+v+Oxb}ePx+79JAhaK2c|~7C;9BSd-A(>Z}oT1lJBFuYWZr{M+zCT1&Kd zK#D*=&}I#xd!G~SO5VRvZThs;gZ{y?ZwEnJQ-m|H6ouY4Lx9jLEn&E{| z8zzZCTVD2_*fvXB=%<$GP@*lG>4!PAh2Ch1!)#SjviAqGJj$mw2WhX=;g% zuKztfVd`4qNZ;oGI;^xM+GgOG3Ut)A4S*1I)C`x)VJ8V@hZ1W6bO@6*3H>mKH3@wy zgEa~LaGNy`IJju#rV9NyU4?!;&h!$W z-j6Sd;>AgZeuASVPJk_MMK00V))Jk4pBXx?v?Y#+qcOou$Cb83`y^`w9sb@S1j8CZ zNA1`YYZCg_W!5D0P9|#-`ferGB=qBS)@Y_9xZ_a@W;%X`upIB-5cJMAYXtq}G}JAT z2GE~s)=aPXxKy{{ZO($WrU~a(I|}`{jPkft;#gOOUYAv&cSzF~K|i9bJT8?u1qQ_T z4qX(rMAA{ApK7bnyI3A(|MY$u%=C+)UX6*)bTzfaX~9fCT?ZwL9>{AtuCyhN%aG__ z(`Ud+TjGcSoP>U?%jG2WQ%bB!=ym=<)N6Xu;c^mshcs&v`l&W+1RcS3u~@4y@e_sS z{TqUQy3QIweLi7SswC6Y-M`r!t_!??A?F@RZK z(~nOpk4q)a%c#)1!#*rYr}rL7Z_7Wurwk9X5B>bOC|+VP^z+MF;(Uu|dQV{4)AK-q z4l8YmE^#zQ&U9F5OB^2nk_$XIetI#ijeG>Ymt_rMVWln6%OsJZ!%ABsWwR!sUt+N)q4)7j4MRWG;Bpdr-!y9y z`guBQ5_-QRYXlv^T^+PnW8z+feofi?7Xagk_C6=Bb-jNB=uKHD7bgv%Ke>9R_x%=+ zTmfxmg)>o)Lht7&k4q)`##HFtODgn>0kb^4U#2UMOC<)zRpO0q^XePLNk zSQ*j)`V*@M{gIX5Vb`A$&LhyT(EaqpO{Vg=RAQi|LccVnLhl2ZCG@Lp<#DORu#5_Q zcv^)%0@{Rn{xy9hq;7!Ohd#=LtujNuL)Q|cvOLo>FbwYSJdmgNJgl@qm(dtB8c#aj z<5G#MZPq08fv`6UeVE^%dV0S!#pNXQ;c3<+^gcRk68Z>>HJa%NZe)tJ8WTSu^gDF# z-w<@KE8cf^g1*Rr@J%X*Gkx_=?^|TH*bUlxS~$~6QRpLJRtAqtC5FdDu~&*5(Gq=2 zD)a$>SwgpTb#Z2lsV>fpg?(5Oz0nfmVk-1;B@eR?eY_)zmzfOx9$QP?18E(e0ky=v z7W@}S4;1LQ(l(+@B16Z87)xi(gWm9ZT7zI%qtiQ<8)LF2ujzeDtV!r&b=D;G0XAzA z`Z$!l0Z7mh+_(~JH70(-VD7QKe?!pkwOAwQZ)CK@c!xA7*s593E3U|$qYbNrww@8r z(@qrnIGB|S9RG2t#F#8?p(9%2#*_+un2(aK=_6eg`UFRXZrdvKiIxg|Qp&^ZL!WGF ziAgR)e^7@Z2U`UFA?U$_-fU2yBe-#CG)B&J)JD3j(MWt7NRY%TFbFw>v3w8WEfXkMM^2y44dv>3LHWFE26l88Fi?%koU08H18K99o5b9~d(&O(H{|Y-x$f zS=J=Fql0cPcd1Ae`q?QRsPq*Csf}gx*kQO+tS>$(n>-Z?Gnz7fjY9^oeoSBy>k-ji4jA zxhQ$Fzs5x9?*R0o1Cj#$J%IkK%^J=0kFaiuG@R+H2mO#My3T^Oa>AK!Mxhsz^zJm8 z=}tz4-smdOYe$w<=nZjt%NRkQ;nySRFO*d1FFGpp`G68I`_NyqL@{kK^p{hjctw(D z`YR@EIp~3MO`n2eBLIivPvoD0fzS{DkJ$-tTH3|K-6l(+>!7VabtAS6V;p2>!Sd5Ya{Sz0o5^DtgE2#UrP8vWT zvwF}c?y%u}XlsUWz}Cn${q+pJ?Tnzm=Bm&a##QK3Gb;2Klk{FNg8s5!kD$M!tI*$# zsnFjAlz`cX{+=U>eRYQZz73em483GQ$rO5^K%e1&F&Ps1^!_YBe=z`pfzYge&E;|u z`pbbPp)ZVcISKt8owZ=5C#GgtlhEHq$r~I59l^a@Vy(tR=$|LG#QQdCa;AR)&`TC; z1pQmk-g8Jp=&N^ne|WQJKZUkt3g_)o6#DxHy~d27znfN}znxW~=K!qC-WQhXg_h)NC5rvh4E+mJ6#JMA{YxFTvgm;VeJ((M-yo5p&j)Q` znKcRh-85?w`r<&7(BIB-ISKs}hcyWuqK6-x{Sx}8HfsbO!F^`2R%0UcuL1fO@JWUK zO-4(6sk27Vmq6XmQ=|cO$Y)mPZ_bQ-XXO}ZYnE_6aH7yZcj^6P1pTwP3jLF$3Vkks zmC)bG(3`vnddaUx(7#Km(95O@{d+(On0@F=VxoAp!_b$MU_fLT`cel&ph@VTB)Ob~{#}YS34LywH3_|JvPRGm-1j&)Z|S69-uFESR?2^Lfs`OK{r!>i4}n8193j@qXN6;z>@v#d$zUj&+j{%x7dN$9_z}?TrV|`ayoH1I#?U!pU zAG!fI%Z&|mAG)z&S~E683l!-1YfXvY3=;YDj*BkeXIPV`_g~Vi5p*aA9c4{I|E$bf z03AYLO+tS!$yxv%f@95tj*Bx8B5O4!egfDKn)h#vf+KCiG;0L?R|vmVmNbAqef6O4 zFrZUUXsb~;OH&c(#`-CGsh$Vj&}(Gqi=%3x;l-UOz|BH_K8zg1)(5 zlAyOvtI)SddYEaZ8*xJv;SUPY_jF@RX!9DIp>J7+l5zAvUen>VePC*eL?3#?@+@|T zwE#KqYG~!i!=0{vak<$cx&&* z&KcNBqX!atPL#nIn?xUaZao98k4e@f^wuTTB=lA$YXNi!lQju_d(ZsvSGHe+V@T*b z#JR2q-2=BnnY9`dp|5~G@03MNLjT>+AVAg#`UX&LN0&5!{&ab@61hdGZF)mnj&Oe0 zqtJIU={0x+eFsa0zMZ2&UzAm#8?9sX!Z?DyrC*O`y6&pbcc*&(>AeO}0%jlj9%*>d zn#s`jOp4--21DP=fGrz6P@r#=1_MnJ8T!Uq4Z6dcgua8tnuNY(ph@W4Ib2Rc*FE#Y z&=+O7oP@r6pb>NgSCip#H70aEw?6cF&m?LA^js_G^IisP1buTTw?~>Zfd16#L2tLy zM`dVhu9({j2Hub?eCEF+XY5g;_uCQl8eN61rxfVMW~K^#dpJW3(s`!u?AIgc`=wRr z`zO6EANm1+5-|JF+r;4wYH5bvrYwq9ilNuKu;ro$3iQ@s>K-K$8G78%jO}gKB=j1c zH3@y^K$Fn*6ql3G_w&pTK?ei4oP@rAk~M;k;0`cYt1%J!CeY_LWz;0}&7jY*ea}FX(D%b)a1iBbSfjmXei-_C7MGLI+j}sv96?8L2YN73t1%J!meA*e zGgwzb-wOJCNSZZ*z7v!?C`%e%(^qe%U#3qv2ikg8%#Axy=m+ccMmmCiU`mDF&Q_ss z0bp?mc!`{`Uy0t`M$l{hdIbH5tO~tjMumPPpajf5bTcW6!(4`blmP?DVdzK4p`?Kx zC^LO$F!f-aM25bLp+R?8lh6-Lu_mF{2AYK4&gOD*rXS&%ABMh#!{sFOjv3YnI)Xbg z&039#(6@&^ALRuiq3;NNK01!&P$TF%lrxj0;pu(#X8PV8H^uLr&xyI)*-_}GL$8`c zub{usN14bSVXDw~1h5i%dy8JmM$ixO>k;(hk}CA$4HfzcfD$nK&`&7C%gp>Kp&6ZB z7)WJ?eqt6%rqBZgdJULrIwUgmJ+hk7-eOHcKN2Nx221FN1e%0?gvsS3^y579!_aq3 zaXAV7c!M>9j^Iv+vsPmw^j)FPon6!<^xdG(CuUhA==(sq6Uw9k^z701=pAwd{dil2-l?QQ-vjzDp?B1&BtbvQuSd|6Wfl6Vt_r;ipajf5^wTn; zcq^RHYfKz+UDL3oGxXDwpp>BBD)fEJU|@QJ;@qD-`miP(=wz1 z^u}~-J@^aHe=$Y<)u+^Tgbmln=DE|IOMsO&3MDAxh7x8K(l?k(Q{R z2gPAdmtZR{>i^|!9b}5)2phI6+;@TnTZX8A4)@jeFhuco2e!O@=fJ+(lcIhq?laC! z0m71~$4jbaoM+-o!9>08ZFSf2rC6}#;JzMk7vuz6)NkbN>roQ9VUW(v@b;w~%}6E1 z+h0M%nsL4j{~0m(@n*R9JvrA74be&T*S>RgVCJbQ)-WuQGqM;OYZ#o!CDL%MGy}~- ztqs&Y0Y2eDC{U3*0RAXI5C6avuE^~VbYfXKsp zx{U66pgEofb$g)X)kQPJj6=(yl~B`QbLxwgVLxG>yBidHUd-KxXKw(zJItRu(+vAu z7q%cWf_)<3&14w%0XA&u47&^LyCdtvHhMX*WissHF;N`lGVJkX*h(?%ad6W{!nR>c z!k!pcVNZl}z;KIUPXbpar5N_)tY%C$F?I#^5g>N=MnA&wW?1gg<(h|kHZH9dgAiUdP*gevsc$dSlA4|ekl3~wrU;vdE_Pmk`dqGBp z{c2i;?IuO;R)=9Xfdj6?uouQPqsb=U;F~1P?BmLsVf!M(?vw@7<5ZAgADh;Ui%r&O zW*=i{M$!s2_#|>iLwn~0zzFtHP`5{#%RT3nGokKdN!AEhpiOD{=rmXFE6XGSGX$dm06LyJvfd3 zp3w|g9-`BD9jrRyGrI7j%K+%AqnZ78 zs5`36(bbG*_KKi?1RKvD zE4^dT%&vSI-@4=XcwU|_=5~ljVXsJu;(cX?{Ud<6&tlkb8?Y5;*j;0yc(2W{8(<*Z zYcT9rOQLv>Y z)fria-8QDx)n&-HU}m2ShR|Inc^W?lO#e}5gXx-mwxJns8>|uRZcw)?O5UlMV4s=Q zjE2B;f_(R?9&Yu_PHe$_IZv9ySpuNBl$F5*Td54 zdc+xaDg|3*j9r=87lTQ)zQ{BCA7u@KqJliLF9hQ@&ag(XF93+=1?33#`7i)JF}NJT zPQd_JX$G2wuzRGnx`S=j2zGbq%Smz8B<$0J_9X0cOI$9vX4jqPutu=k!7;kqsClrT zuY4Nsn7?c^6nk0BJti5M*>&e7MR8J@VV?nDCRq&oFdeqy3>$v=pg7U?Vb^V)fi1&_ zU6*i0(RLX2MKRb)GVJTqFn~%7`<9Ff8&14u#nAv?TQG7Vfu=gs%R*X;M%Tl8FP{xN{*EI=SI>UYhN>I$4z7~lL`}(X_w^x}pf_)v#;$H$%|h7MKzok_bqV&>X|1ka=W@?^ z<@!P0`2j?N-3RJo+DHRH!d@I_O~PIr97Do>!{u@W8@?{qy_H1Go7pc_K8-*1@<(du5eMR6J+L|3%B*>Ttcgy;vHMGR4#3JCEWry27A;Z#6~ z9%yxg)1o+~%&a(D7+ylq`t zYg-rdXLj3majk7z8xF0)9tt4dNRh~}hX9DzZPp0(EdXM6oHc?y20?k6$Hyaox zYXtiys5>|vXkNJ+vs&H9W!4DxKU_iYf@`Fyu`3? zD{F0UvoLmLW@kX$!6lJl-vJPR3ydS!qYSO?Qok|<2bmy19G&92bj`jUATAEd5$xN* z^rPZj?m5^Cive)lE*aJc_OPthwojl**n^W?PQo4{HHTpj4eAo?S_8t5V_kw>d1n7~ z;VYA%m@DRXbEB|_>Y_MZ_hGla5@0`*^jn8Tj8f!GO$HAb0WhwZEdwL2{3 z!)|v(T5ER%hOWYX2td4_B9UP~2q31US)-Xf35?s>GtL8xjpQc6EPk`Z~@%A&&)FHVK!`;4EtDJ6lb^$dwv?WQaev1H@rAYc#W`8Cu=3dZ1YdaS99y_(BUm z*dD=t3`{>!YF@cV4Glu(as>Nf=*xgWld!Lkb2$n70VmMllXuMqD_A4g`@u0LqC~Kp zD#QNQNjI;AVy}t0ml#plH)KVz(Pr444A?Rl_ISWF$MIpe{WkgkNIMhwD2nv`r%Dk; zS4TYXT5aRGYLbo|34z4M1JBj*)?JnMK33Ne4^UBiJ@7UpYqdL|)(qpS|QZ#^u87ZVD5 z0xX0Va}@UU7>>Xn+}R&yU^(R}YqBA~_H!lKcYyZ=f?T!w+-=-ze-d50I_Zy&&JRNqweh^v|Q`n0k?8w()_d75U z?ZuJ~yWc@R90fY;et-4gD5Jyfcd*04?so_rnVsD)3k$(KXZJfa5H0u6WxU^E1=;T~ zPhZCS9Z@0s9iGmeh5brN!gR=Bb*X}1U6YeADPkCQyDMR8#4zj##@e4u!LW-E;^L6% zFzl(&@4&z?_zZrF8H_#1Cx&6aP>?WjVkT^uJ~0zEm|$20{nXt!SV0WK-U<308Yq^A zJ#*Dze|TjdCE;DsHayok>wD_I?Ozk6fR{>L zACkaPj>4X#zE(kDPcFc6%2U|Qc^-C4#KUea@vxtFM9WyZjQ4vXEBig4P}nbqvfqoY znmY^o9WbdvL!6LkdAlV0ot(o$oR(;L3yd4#6T`6I%*lQac!mWk#5Z8j%XW^?;Q3Nh~f>0!k!F)PAgE@%?=!S6!!fP;p;Jly+aO;d>wZG&GVxDwUQ3I zKfIQ1e=X2q_un!LM;RS<|80C0c7F$s%+Bt=Ei44Hv-?*@qUF8{eS_A2yOQj`U7*A6 zzk?_HZ?F9|&)IV!h$+Tk+}ZDgNs~QglDf3x&Yn|}{hA$O81}m`_I}+Erzl!x!`K~i zREJ^DawSaGus|(}4v=PFKV#T&PQt{g&V&uqCuYJ16AX)V*kA=Q410SxMfFoCj-G9GOw7(hYu=~SPK>M3n9d`dSVJ}Zl zDC})vS->qD-k|lrQhg1)Rr8kpuZmzfs+|erRVJa*ZZ>n zbtMY>hJx&Wg9q*T&W2ZK{aTE{81`pS*sp+v6!xbO#C{<$3_EcpOwh1Eh4^tv!Ze9t z*z;iQwvJ(es)M)lV9LZW>D^VG;CGm+}7BDwHlz412o*Oy5;3 z-PyBNeHov-)qBrD+54jHUva0f`%jHUd+boy9T4a{1q%CGi0K`V!iKjz+GoTR_Ek_h z!>6#X%!u~4OBD7psC+x1uz$|MQHH|)CCkJ9HQ-?{FYvHeB%%fG*6}A*`mfB${=bDf z>;V-J{mPP>JG+c8%1M|GLb{AE1milg#BgUXD1n&~F${Y?jJ0oM;B)r3F!m}l7Q_A; z#$K7Bv9AOw?5_&4|FS$W4Esyy_j8Vz4f_|<&xZXgbirWF4O;)@1!5R>CG=a7D3*pj zd(~lovDJ6SLD^i=h8jtdPQnf4#zq5E3vQ#_;6${;{#4|Sl9zj&We_hF5?4EFUSF> z(09ECoLM0UoT2?Sm+@r~#0q0D?rgYi@2}n}Ml&(&A6;MxF${YNjJ?`5EKni-P>}tf z$`He_7w05Q*08j$RFW`dVi@-KAa|GWlUdLmhVAZt%4aT^)8^l>^Hu(qY$C!_qZxs?^oM zk=faGuFJx%tMx_8xIkaV>vqq}y4@Xp8LxxCqEOd2r{>PW{u2c8W`K~wUJrtJJwpt` z?ghrdH-zD#f=I(|T?fWK9U2xW)7Q>Pm?p6_?AD&Hnyg`gs_RjbFlAzC*sW{kBut!` z+1W6CVkT@b!LUg6gPjdl5W}#yhJLlaVi@+SclM}LPCp&WJ`!zrW;=%scjE1zW_8$g zdu2s?lIXDOj)u^ZoDREgR0SLI(Bu`=2L~!I#*tf#hJ}yw$x8>l-qp<&- zc2tRJkfSnk97 zv$N|3L~riK>t#W-JVKZ8`ZW?+zeY~)?D`(AtY0%yb7wmnZen0M2x(`-b6wr%E-?%n zE{Sz_=7?d~YUkYH85SthmBF_ulrA0&drKIbj|>Y`-4+E|x56ieVQ&uoe)EXguva?7 zOxR$8VUg+wVS^RKFl;z47@}Aj_Uu((#t-m+{vOIc7Hwg^Q`q$qqWC6DVXufq`_~DD z{TnQVuX7akZ3!GzP}s$iX#Xm&!>%6=@qXp#uo0`an9F$m zMQ~)p9>Fi;^&{aj{wQ6>>o3mB`ito@UVjNhe~He^>}>eX5KIRq%69gyVET%f7={h+ zGuQo=8fP!#@OEU~Z3)$-&)Kaz6=YqpL=3}*H#cCih6O4dcnu6wCWc|dOC^{%F%vdS zpO_8%BFiGxPlY|gr8*2778DqwSQ_@6Rfj#PFhs52Pej`zg-&7Dt%L{{#5(Ny8?tcZ z>#*zp1EI|?>9FhDA>R3c4!a)S4sD;Gp|CHCMEkcPh5bH!?OT_^p6kPMiYV+4JRbIk zIUe>$A>Y~aV$t##UB>G_sgU&_=V@mrSy}%{qUO$ab{&McQbXF={Yw%ig@rgR(b^A; z`;SKqcXr>Lgb5lJDAQ|UY!N)xQXNJ-_ubC`&}!__$Np_46Q#@3R#4 z`w-}&gudHZi5IH!K~Bg?0S(A?F;id?15emjvO8Kz#&=D{#`+bJ#bGKjyxUq zz`Zgo?16j3k=fY;_wiWR1Bd2B%M%s)25sQJp&YoctHU0+Ur7$!FHm!5VGk*QAwEJ1 z+XIu{HzDHAZYn_#sd08^H^SJqfX3p^9t>keh8XVbL9T?!8U`ao>p&1QBufm#uFpxB zI5878OrMwu8%!`P(qV%Y#4zkWaE_rl#nP}}U3J*UHE(w)lzl4No-TC``@;&+zBtxl z4?G5?)oO=4w zYvAKPF&p+1dBY+KdpvxGV=-*F(HuCTq!@;sTjkDP;#WdR#b=^za;8(*13$})_8+qp z_EHFRX+mL7fS8u%DD3fBI0EJA&K~#}R4&O=*pI|;|v9vE#Fb!B<7=Au#qaGZw=>7{)#lQ{5|R-CuJOrc4aOJ}3rO zB4&0rOrMwu8%!`P(qV%Y#4v1l1p$UAmWKV>s(1Dy2fy16WuJ?-=iN?WH)J4+pJN?% z!+H?!&%O@3;ZMG3Usj^9AA`ze0fpTW!%;?u-EcvLX#XjsurEm9$fd9^j9@uM6!t|S z4|@b0*|0~#LNIsZ4VP4imM7^l-f*ca8!n0TWxQcjAR9($f6Wcru#AN1C_~h4!k1ph z=fU)V7>0dZR>IVXVc37qK(J;kUdE4&<-m@Z>M-nMpx*@wrRR7hP~jX6=e-~Slrjwa zsGMxLFd}Bdz9=MS!yaK-r24^gcEd<>UJM&v8iFC}fnl$DXJ2tzFcZqY5N*Y5=djn$ zi}n>+3j0O~ba_HyKLDXE&*`umW<$Ko!NqiEH+1--{ntE&JyIPx6!v^L`lUc&FYw^V zqp-hoc-RZGJnTgQ5Bqy~>Gc#{#v4komrL~0t6?$B{lAf#JKNc36lBBtd4v@9={ebO zqX`kiJ`DyxkWd|leM(8f1Pu$6=_kY34xbo?eG-htyW;ewEv@rm?0i#)Vf$Ixu)w3S zHtg>lVm9oBS;Ha`T%1O0IL*-+Q9z@#sas|{DMC-Xeg*^)*Tp3W<8$@uF(P0ld#1ZYkg*xm(*$Oyvb=ZTl2`r~b zhdt=fh=o1qFgP+hd(hz}7WSYc9ntc1pl{Fy9hH@Xj!g8<9&~gl2OaH#NFMg^JQ(63 zq_EEe)90HIG3;|=H8rZku>T2TXZeN&%Jg$!>;@4r4EyYigvlBfsJgRa2~#G9VV_w7 zmJl;x!}N)nu)zew;InjRgB8RuYJ9~6S4*JO$rzRv?uZ|^53JY;sqV=jg7zbZPGcoKdVQevL z7<^T<1~CNY6T_W-1&n>cF)UDZmuF#;<{TLIWd${Hsxx83^og0U!34u1)epi3D~Ms( z@b)VhqF5UCJF5=6e*V7aLfJQ>ZC2bV?7=%g6bC>Q81}Y-Xgvr*OE2StD}B*=ut#CP z-9|x$3B%PzS>+{nmb-{@p5re{kgB#c=btO{BCe0Z)HOpgo4Jm|_+jQ_8hnSiO*4-ZwQzDVw=o3>@MD|R9n39j|dxg@=xtct(x#qmM*wlHyPpIzg zK-IzTK!qt1tMt@oYBE1K=|`|gO)mW$CYXK%HX~4D?{_5_f((A$#%)~+ebs+GxG7oy zz2=LykLfBvp*IRwwDu45ufuGV8PQq~D$>v!WeLMeLvLIo#-lX!#x)E0hi%f(8++v8 zO(#!>-ndqdh2GdR%R+Bl8_owY<1f@{TnCPJh4WQ<;7nsLUpB5&(xEr53q2bm(9J`? zFE2qdLJIxftOPm4F!ZrG36mj)q2Cir5K7F14$~xNLWe03God%G5fd|^!{mrz=nx!C zkyxdtKEtV{A7QF0bkTZuUcv;4VdxJ>F#U9Fy3=pz(r-~(W$3-`p8XK?Um)7PpyzoL z`eqUSb)j^pH*Oxn+ruXGEdn0;mOc-CD-Zv$4Tk<_2i|Hnp>JKmL*F{#p>G4{gP3*b z4xF#jguZP-wC)~J=#>e)r5z|=(#t#UbodtqjhjUXDfDrkY~0EthM_+gN|+2W4E@0h z+4yINm<@f4fS3(^YlX^pdgGQpF&p~U2{8;^1&4cC-3V5CD&YUX0^GKs>Lf4>{egmP ztW1bu=o4YA6C#71e(N9G={p~G=1S=GooJh%?{s-@+|0wjE`*`GfoRv46xv9}uQ7>(J}q2$r4R>H9^Z^)GOwe36at z)=cZpf%1Tb4u63NBqOBI;g8ld_H~G1=uh~v(G7@U=#NLTv7bU|m^SoUpO_83Uqa08 z^xZvTHuU}xF$`S=Hy|Wd>8a2k^p~d%(OJ>Dl?nYA7h}fIkInGVk1gRJwwVQuW#ivs(F*$;pVJ%v zUcgG_jchzF&qF^R&Id8;&`*H#!K;29`Y8?YSHT^hBUb6D^F9Uo zhGiAAp+D`(Mn52ip}z=YPtbL0r~k3b`^k%1o`(L5L>s)L-YN8&1pm4ahJFqlZDd0K zC+<9WJ6_(e2{1f0Z`nB7=b>Ng;UBia(64hu>$(u0a!oc~ zU%^AaKH;I?0Oy04b?7&SqGbh~uhLWFZi2I~Z$cjvi`G8@FAM!;D7z{`NTIhyvhiAv z7=|7tvhnJW7=|8svhg~Hm<|1!fS3*adWF*9Z0MtXVm9>a6Ji*;3hsu8Sf!^ze+l&6 z6e?zSda*(_j){q3=x+hLQP-)<`ybosa~|EW8v4U~>Tq}7X{Vp#;a?ZR(C>xaB~0k| z=?glBeqV`)em_J~;pvxbjsGc#RvA&~58wq|Kc|N|9{PjXG-e(8I5=7g<0?HB`a@ax z$w26d&*={bqIFG>T^2h01)|1tpcn(0A1G7dkJdEapBkuco-p)jiEO+#-ARgJ=+it2 zLTRiG{k{w_8~OuzVm9>qO2lmFVU8Gvu7Z0oORUmU`d$Tn52eh8{#qm(9}X(up%{if z6WBOi2ccj8$9DR`@tZxN{eMM!&r;{mpUL1~7sAk=DT$V!BiiZD#uzh({#=2FJ}EC+ zmU$HVsO;B&ZsO=>i--JkJL=^g46+HB}5+3^75f6Pvn8vI_ ze-D~^tU5o7`h5>Mo6sEQ=!jJr=}Q%o_^kl->Rk1k-Zb9A7R*} z<_xD4XemYjYIWu{!F8y)%RlYfM!Qz|ip#KulzIncL=s!U~i{KQv)0aU& za7V3gxGYO74SmW1 z1=;v(Ow5MsD|aU{sWLVY7QW&fOn$q>WP_j8p{VkUH$CNUE_Oo5mQ9Sk65 zLWju_!_Xl(m?E)CPkp8g+AK^zf<-p;&0}I1dIDqnq+`?2*ZgClfB(;y_kjLCiuN6{ zokQOVZ2!um(09&?mP_DBIU<|>;_}dU$?(v3DT$UZA_{%iShQRkQ0TiAc<5Dm9(r{y zjai3Y14p03xJpl*&kf-}6M8L#Uh9Jp9{Q(HvXe0tL;oz1O}mt^kU}3?l1)2jiDBsb zz(UwHCT2tbi%ZOgzFUEq4SkmkF&lbSo*0I%f~(FEtMt@oIJNX+1rNP8CWfJZ;mD?% zbZi>>8hLf<11Eu$g|-HUkWL*O>c+{iWU8Hkoo zVGg*{_wq%{<Hi#&6AA(ht${X3_Cp`2Mqcmn6 z`blu~A&jf^ROQJL{Fg;vWYZ~dbV?R<^U%NbWz*5ASvK_fp=>$<8lce_I{bWl(=j<> z7`m5}O~V{wHuPilNl(|)Y6Y&iVgjg zh!}?c9gICmGbogQEc9KXlNLe$pGEtCQs>Zz`=aH8fI>gt6D?Q53GwoNfx|rv@IKrJiBIKcu40z}l`)SNN^h@CAy@*1;G%uSjbtv>vk!%{J^U&WE z6s-$=*<}BT5MJIFg|g|w1SXh=Uc>)MqO6OY`Z+3Lg5Xh!}?ceIlDK@sUBH{9~8*B?I~&1HFC` z?Stdaq2B8$Ho-bwr`x&T`Xe3(pXK8C(Hluct2Vi@}1oNT($ zBZi^FzdUKWIU;65zsVtHL%$^?WN+k9kDxW*sb>2>Ms>FJ>(HfLr>YG5iuM3!wxYU`ePw68~P&(bqf9QfEb3Zf_uUzR_UqF zROl0aXQxk$(yxK$H1y^Xpn1HHOiiSsVomr7s9*E=r-^2-13wrwYwf(4^`0xltPNB{ z`M^;P>f>@Ts|lXCE7Fsw2IfymikW+O=`sAzxHHQql(N)`Sz8&X>e5-y!Y3eAY}}r8 zVwMIgmO49olMH96i?jABW9hYV)?Ou6m;NY~n2oP>6o-E4vJkWTm~%)tN2Lc}o4r|1 z)u@MJYU_wujlMco4_P6_Q+mNFE6Gokd6~l{kYjr0hBjS z4O0aF6Y9UovjatM^x+_VAYI#2^d`+xH2^-`HL2*q(t=}5YtD2RT799;a%3PDZBenf zX|KsJc!l^fEQ#ifYZ_Jb(6%r9Eh4Ba-r9ryi}0sw6j$sW^|5(9b(lVY&HN<~Y~k`i zqqJ@>I1me$GV8i5^~;C->er@$j}^a(Mev%td6SqrS6HblLxM)?!bKniA4clJp9v{h zD7CpyNKnWKmzq@W@mwr2NSrCq4%&h`J>y~5YWZasu)HF=jKm0^D z{j1f(;H-T8pV!*21$y=rGkz}Ur-1Ynu%=<-r0c)+K4uUMPyd#fXdP?L3;PaE{q4ML zI-z-9!^E#9LY)xtwy->QaG~KkdI`rn!1v__LyvUd$2-FJ>>54&qpa~xaMTpwPw0wx zXE@p;!k@Gi@n7I*Uk86_uZVYnqy2oXB!+hj;;l=JPdKlLt1}|5 z3ezdUB=Pdq?7$axC@82bOQke#3Bz`biM^)27H{GS5Jl|Olx^xOX~fc>g^l4S%0M77 z$5Ugs0=A1`>BS-51{l6$pjOLw=j6&SOm$WM&JNVs(KF3fqo_KgYScq1nmNSLi|Y9I-xv;HO%eBv^l9}D7V&^w8o6Fn zb$J!JnAtZ`=dI84r7{q{wzZzK8Ln2$fSfv|Sq|yCsmp7oTfwS>c2tK3s}n6k80pM63m-I}aV?be5OIU2CZYPM2TKw~ASB;Vgl5_|6hU<;1Vt#OguJ(Z zqkM-|_*%Ld_f%zR9UA5YBHk-dOc~at&G_a8CAcb!o+92itNc`h)q_Qd zGeJlZ;!HHt5u!q>Lq+&LkYY+vmo{U=j5T^f?b;$fa8-)XGdk1k0Lryznyp6BP^iX- zQZ#djqx^%Xk3U`h!A=0y5%Iw#ZG_oPhdF8FdNUp#smN(F9$B_jj`Gw}!Tx5rS}}(? z3fkqM*3IFOW_mNis*8_Qh()T_-cg=nO77}5W8M0|8kO+t-P50)f~KuAfV z2+g!_D1z!RNfe=&60#t1v~Tmyu9*X1T@ib<8O_88z=YsgO#8Njmu@3H*}wSq-;%(z zW6?4xQr+$CLi*e?AVt|S0$($HDv!4Yc4VyBYJFSqTSbyvuP=04 zPsGC_H3>CFJ(zDO0wMW^A~e&!p$Mu&-%x~Ns}(ZyRA0vJ!V8768_v(FuRa8-H-K}8W#_gpxTYKuP(Oa|9XnAx_Z&m@xwH1%oo{w;o4DU8 z&^aTfeC?N=8zQB7kIa^z8?(3PhO@xk*yJzmSvt>fz{Jwk&|^a}WdS&LO~LeIGd$Qc z6h6_bv-!HDoZ`9d%TGPSLn}2rv8;L33XUooFXV_|U;ThiGz_|3m*N=UHfis-+ePm|XdF^ic!S(?(*jP*{ zmAh-#?kdi0ePriuzA1!m=|j8wS3B>{?ykJ<(D6{ZiI}pueBRdG|MO-6x@+gH-Gz%6 z?e@-R+3C7Jtqo_{R7_b~Ds$JK<^RkO&+={#X99(=N>}#`c&f8&eCjr^^41qqekzG6 z%R(BNzJ^aP0W+3n2&$))*#VTtJNb<13uyT}I(Pv+Jj?3z)66C%V(F{W!-7>>@I?DM zO;Ed;nDS%!MdJT!!HvE>hq9oH?-amG^4ovBp)bsE0}-E=h^b$@)W^Q55pQlLpbSah ze~JHG#@>NZqWKqx21A{GQ{#t_F?{zRKD0ngJ>7L(>L$4{&%yw>j{O>msq?ecg-NY< z2pXx)o4K5!)FlaHC^g=)Y)X4Ufta!)Lv`JgS{(hrU>Lulh)4Qj>cSj#u~M5iH?57- zcvqha6-%YYIWyR}I`#Xqx>RaBBBrt3le)!mqu~2qqPK{z2*uP=p1N46@eu}EseMXR zXrxYEoM8-Io%(~zm|g&vo3Y)KI(F)ZFM-sJL_8YS>JJ6#Y^6?J91%29r!F$5vr?CG zSz79kSw0qB9c}8mC-uUL)YXkee6u5_{uondCUt2-&`6Ch4>(H~z*PKEE5)HsOZ_>= z>rm<#!@4KcU$5tr(0daR-vMj&=Mr^hQp?`1)K`FOA}X}5{%Ryxsmt@c4yE2+Al5yp zuO4=1D@ff`#P`8kwVRVkUG7k8>*^Rn%0b*#Q-9;Kw5uzPELDe6?=8{b?nzzdzV{c9 z+DF6>C8Aj2QWqw5rAN?8y}gX33!o^tERB zmO5LhMd=f?Qtu5oL#bP`zE zilNkXxhySpJ(t&^)YcNQ?n%vr_wNHzw-oWqIZ<4%KwX&Bbt8gS>N6f^D0O`<3#Gf(b3pAS;E67gGkQQRP=&Q@ykVxORqI`wLz(!hpx^}h;>iuK83sf z22!^c@y8ia+%!X7>>Dk`O&o&8!T8NAV_H_9-;p)Xqq=U$g6|FPxcA?;16kXM7=D1g zxLHD7tb_6F96{q?aRY}lba0E9F}*IoEUQBYzw)WB8&csmdWZGCd=sQPBL1Nyid#_= z_-HOnTlhq+)GY(fQ0kvK(^3~Zybh)QU|9F0{&K<|XM)siMZ5yS-o|vXQsdTupKfap z%8T&sjDDB}P;^4Z^a9v6VoYzLbfU&!|&c33w?GJ9lOGAsg9_NmD;hsX>Fu-^eJQLs_W5UsM8Ce)@Mw+x{FJ! zds3eo{ruV>btlo$&k@Digt}O%MYlxIN-f*%dad^LS)G>JFJMed?VBamJ*hj-xqTmy zy0hqjZ2?Sofrk{Ov#g2C07$9YaB? zeJ6rRt#hfhmAZSv8A=@(GNz?AM2u;v`}~hmFTUw|ID^TaUrq>LyI38)Km)yosKQV%X;=&HJE;sffm)V&?X z^a421Bi22sJ-=J`RghXGI{x8`;@%;3VN&-h5VTT{E@LQlsKe^C)O|h1wA8bFV%?Ma z?w!@UgVbu#aREr(H=-^~>d=^=mFkxa^4Ye8#lY^9}2s)YHECFR6eV^S9rsj-;t?KoW>OE&60}mU2{}WlM@EcksYfM@ zX{mRcsdvxSgNLtu9!TvgI>tGoc(hNQnbf0l1g+GYL(Wj@F$rT@D!iQ34>cCo>VszL z-IE%hQS|{x?I$`W6h!fF0d;0lkIfS_Qj3iR&QR)cgzWul#}f%bD|NhK-IMysjL(h$ zsr^OAq*xS>52>@2+HqGz&`9kF6V6cTFo!Yis_!wTU7bWI{o#SGe>bJa1veiJQU{2R zmq4l?nKtH$wKyy$Xr+$NafVV)@)*-nPxcwpQePs}O{w=CSA8HztrH!ul|=F6#I&(e zPbv|#QlHCnhEh-U8Pifv3mDToZ$kvG|QNFbySWqEp>%Utb0VcnD3$31KtIQJf6W~LyDL54b8sU1Ir z1g)#f&FQSvf8|-7cJ;~vW9aJ44H9DAlR8iy+5}QPS#<%Jb(Kq9tkmL_9zi2@=2}_K zZ~)4M0I5S{)x{unv{AsMUgJ}1D|Le$XDIbrE=xc~8??n!-gc%S`1>YlRda*%qxQNW~L7f@@G3eGs3q0}3=EG_k>46j3}m;H}Y z>)yXey$`ULthy>EiZ_`qOzMpxKOJtXvrVC0t2c95sZ?2YrNhUf)T;<}^LAyQ%05qk z)V*cZbs+VYf@wq7RarH%>~u=1th%g>p{uvLG#KP-S8vNQmToIJ5ut8MJ=Q(oE|9v9 ztQrGSZ;MSEk}8U~CIsyQxH8WfO1+)S(o*lp@j7&M454mHeY9ffO(1osthx=P-cd4b ztkgRUvr@199jSM5Sz7AdWpya^Hq)X$uh;5*IU3tNsi(a1#1|lSe_8b)NPQqnU5r#&b!*w_a9iD3#!zY)(_omAcJ;v$ zV_NEiIbz+Ddhp1zUk9lN$f`#{>NwNINEO8g18PmKLIi};`vR2u5SOK;K9ZqAH5M1Z zqvj;tle+%mJI)5F2g<4mAoYVzy6TB(KqQR*{4K0gH+c`8(6QR?#vvF=G-Z&@Cm=)@tiDgvp`W~hsiDvHlI1g+G`InGdO z*?R+8>ZAg%L#f4tSofrEamu@JB9WC<@A{%R*`+Q_>Lib#mHPbeNNwh_wA7ZEk434k zB*eNWwOESY2dRh3st-VFYnHk&sVzQ1E4BDLQm1fPTI%y9J{G0UHLQD57jF8~bdY+O ztoj6`zF-tEsm}-ebodnTiYZj`aRIz&BmmP=r)KzAl=`u$>z>rQNxL_I)Wc=f7a(p5PB zQWyS@Qb*^O!dtWAC|UIbNPXROVN&59K|ie2ZvxIx>Kj~^mO4Gp>rm=qbCT|@)yvnv z^%#(Pw5(bNQs2x_XC`&JLy)A3;(tQUQ0iM;mX`W!ENWelah!)2vXW!3V4k432~d}7^mba?q~N{rzXYqj)=bx-OcV-Ija>fdB_Pmnr0M_r6mQJfVJv{ILs zNkFOZa;Bxem*HbmSF3ya#JVSSn`7QO6r}!LR<8$A-^)`MCUs6o&`7NoT$X+cn9F5p zsUNs}EJ|I^C)PcwZ!GIjQje3>8-mmi3e<&3of{FfQrG$&sULA!TI#$kAB$2q^oey( z>b1LUI|`&8FRM2Nsq(o&NguS2Pu`oy{?b?nI7D?#cB zvU*F9nv|#ulbRStQbjQi2`YwCKjX5r)X($04yA7C6YHMTXV;wcF-RRItDTG}evzRr z?8j<9cLqJ?-GX(o>!L%{Iv!;5xG8a^cvU&?HRlBvorNPQo z3~^_lSU268`s7(4>m*rS<%;5W0d-++ZNepJx4!kL(7LrK$C#G2TSTmTvaa9r;@v^k z$+EgOFN)u1sSA^}n}Ny&T=L)eRA^z=GzM&Eh_$T~w-@0$}vdt1h2 z4a`w%E9)1BF)eFlmN6aTzJ$7Y-D=zIlWjrPnX>x8SVR@BX=ATjL-Pc!te-u`P*x-g zjA>a1`oy~D)`wRoqe0eLvO4REXboxtANB9vkkxw{tyb2`h$?|;S!FSyqQ@>j;PHx+m+IIlJEnvd)&(@MEUY z+97pevJNP7A-zCEJ$x#(ZuQDDrrkOwAl5xuuPr+WCMnL5)yHQ=v~G^NFj>cB2pYGd zH3P=9TkDk=)3T0Bh$&fJ`W1_>O&z%cYX2##&xk~{zDEtruUM4ThZhJcMKc2^kJcrW zz6tv_9LZ|mOh?thTf4wc%u=CZ>ihSy`XrY#c=-qHs&R$O0DKTUC*J`Uz zEpQetIsPDwT|Un&d{-c<~YMO zc3c_LYb@k-=-6ovXXx0-fHQRLVxKYX*d-ok=-8zWG3yvy3-v=WbZk^&Sr;9Z4KM45j$P^SI&|zR zLTW8)$F52UDu#|-9TBsRT@!MKj*Sj1>!M@ZADmeZjt!U9`MijBh^PT`>>8J#b?mAf zXXx0!3Y?*1=j1s<$3~VoL&rvy^+U&QjCdV7c2mfhc5F<*89H{ePs}=Yi^mx{mUk@c zqGNA=cGCUe*!i-0tSh2jLu$YryE!Ik9UGJ33>~{M%NaU$iq9E3c4eM3bZm54KXmMl zva#scogN#j9lOin3>~|h5Zw0BmH+O9pxu@CM3!~Yu|B<*Uk8p|AgdpWMN}J51LoM> zIfB-)I}4nlW4D($L&wf5a{?W^$>nwE*ezxK(6I-oEPXaW#~w%ssvX!Is^_~f;tU;o z5Wa#B>)5z}GhAa2`IdFjv7L_V{Si2Jp{#x~C!#vjfH^j_2(V z(6LL(oIuC!hXwX%8a>m&K37gCn>9N2M2QNmV~@L>p<`EErR?mRz*#0ygKAQWnmqMayHt5(331@G^fvkQa!x=jE zj8Un^qGOY@ybc|E!{H1agKr1xhZ>8HO-~rpj=dRihHLDtkeGGs?SM0MY=&=H7ai;K z#r%W7v5RH(Tv%fVC)9vB_GZ}((Xlu3RH$Zyjy+e#(6NbS3>}*q@UiIFtdKKwY<5{4 zI`*#5>a=5XJkHRu_Z(u@vG)n3&!6bn`w77=zph*`*CB z=Ggq0pmprq3}{lSh^?_D2|>HYmPVYRV?Tz( ztYbd~oZ%W<=3CaqHTLa~FT$k6WwK@sSYxM|2F$UgS?X#X`ytO6I`&D9Gj!}5mos#1 zL4mVba3HH!gq)#czXhD(8e8czhHI>*!s9G;tVTG*jAJ#hiu6OV)Ug_wSk^_y-g$As z_Tbp%vIhS0Z*;at4VYsqV}jPP-!hz`W8aoIL&p}Exr2`V=<>SMv6{6V&Qix})*)oq zSj{>KL9@ncdPSU}W9x>*tYhm1oS|cX@-6G4V+;M~p9aUSkTvk@NTT5mHL#A=^vV)6 zj@7K4=L{WNlH&{=TT$jt>R3%hf!Cp9y+h8>v5f-G(lu7IvCo)ZW1D!Kp<|mm#H?d| z2-)jcO`n8dm#r2Sq^VjrE2wKPbMV#Rp>mORy#Wl8pKl&JO>|e6R z%Zuo;gc>l%cFz;Ej=3>ssbe+kL&q8t&d{+z5ohSw;E*xxSYyB$ zuCXScn00Iqk27@4b1dtkW1}~nw--2erK~v+*4R}MHDHc4W(Zox24y)z$2KZ)hK_BM zaE6ZcD;tZB?c?)0bZn@{89KJF!c-$Ii}ihK`++wXBPdjd|^tZNRb7vSuW#v3osgz#KcvKI4;?$-%uq3O>;f}GVA`<@`FZi(o|=m?bPns- zMJ3MAu@SLlU3Bb)>G$0Xj$JEju7oxAphFFqV;4jOmGYSZ+@sf=Pl&Ct6B2@|4jnr^ z8jFryW@e}uI(E64Au#RO6$RE$I~L@LS;zjB;|v|UGHaQdTo=B> z+vAK`Pl03C$(nplM32#U_-O9OuJDK|<>=UD0cW_z&h$A$$A&wcp<@?@oS|dani*Qh zt}`>Vj@=ZnvD&dQK4-WqZ}x~;$8K>rL&wZ%yZ)~A=nwu|2aa7YYsQvDG%=y3%(0tF z1g&G&5n^lXd^1?pp<|;$DpU*|3mneSu{%7@aE;wrR)=fsE-Fj!B$`9D-tS5X!a4LB zyE`Ig9lIyw3>_OASk}cgcGsGljswSTkTs9M8hbXP2F$U$%Cg2ac1M8uc=hk8b)A z9J^80JPm8CH8dUUu6(dWP`QJSg)V34*mVhK=-BOL?x16LXL%huHs0e59h=~AhK@}n z#MYSI7iQbn z|ItQhB*w^^S27}c#Wx-78fz_^A+E9J5*6BAIoah59eXgt89Mev%o#fNWR^2@tmtuu zjztb*+Oal5>AFM5+7g1+vG#~FbnNBOvM#Q%lb6-?1jlZcHE$P0G~J^HY>l;-%?2Hd z@>FOYn_A{X>R9!d60bwYnlqfCV^hldp<~k$UWbmo5izD6n;vq8j=dQWvyQ#xbB2z+ z?OE1E$9|gengGXcku~pSMHD;KfI0SN*{spA=`Iyo$EM{t!!|ydA!Z$$Lx{aUHYXw2<@d)XIz2(G$jh3fBqH0CIreUz zx+>*r9`O?=C)$ zh*`%z2|2?xmIRh{ag7~x%{eMbKQE#W6KcR5`@|(^9h;Zq3?2Khz!^H$Qsx9Y zHnYU*(6KpX{m`*5BVLD&eHAjM*VxwqXSl|`@rhZ-zV$dm$L2ehb(?pI<}Zlx&tdcwJ#PY1eJ^E*bk9qU3Bc76Q=F~j{RHKz(3uLz7DAYb8KlHXd$Np3{79CqZ$Lh3W z8)P{{$1*N4>)3`F&d{+9OO|!fv5T)nEJ0*D?1` z4rl1tFMKxIu^-A9I@ZJEW6`lbE@$Z2W*Nq`W1E#YL&r9ciCM?CC~$_3ZJD>Mi;kVU z`yAr#R{hZ-=)w(tmA$2JQ%L&r9aI77!~8`H(bW}Y$V|Pop#uIHlXgqwR_s87r z5~9|zN{2Ia%<(x(9dp<7I7@e>+b84<9oy333?19W%vzln9oyB+8klx$H#2L+aE(=E zsGoJLs>B&ORvlZ`MaOc^>92re_ei%s5pBH^YQP+;3J6-qc8xeg$95r2QZI5t+g@W zb*#zE+B&v}nYDGy%Thn<*btX9bZpOzWnFY^$@7loa_ z>4#$ISfj@oI<~pb89LT4;0ztBCzP&FbZlQUYjqA>WBZv|D|ebhwZ`^0vsMh(*#0GI zXdOEs<_sM>uwYpi9UC#T=|phsKItAAiMFi*YQP*jz$d7b;~Lv9i?&K1s@Bo(#Ji`KMEe;1=iQ}yS`0^lnEV=)NcZ#t9A(7h zSJR_2@}m8wP)t_WA?co(6RrC?VzQGSos|`>`}z1c#HITWxFhwmV&TM$sysUbBowoH zy6I5~NB|At(UU|R?nn@#5HrVDa)_azJrX5~7|I&pN%xFAF%)+^urqVSP~r)WbkE8X zTia7&{78vaOv0(ZJ11BEj|IniYlvBE=f#4v;qQ-sECaD<^NI`4c=~0i{f~6d@s*!y zuzHxkG*2@@h<~4$W}?~0lLB>iR7iEG=xozaDVl#)r*Cfko}vfe+V%#heL%YBdaF=` zp3#|Rr)NjcG+UjblB!V;rD*05M=z@8#fx?X5K8wvN1vAd-LiWTP>5VF^AUlHT+Hm7 zs9D3WTxCn;`m92~~4;CTL1R+I;Gto>( zhzh9=73Dp3tR6~Hmp0?LE608ZwGT`8-?>#NLeErJNKY{t8kuQ4sEy8{(DZN__izEs9~YN@2{&F>&^)rz?*RM0F3 zSFM}71I_eiycrtb<7<}wWpx#&bYTa=L&;s;X1r_p#Nkl#DAX6!B-9x7U`e6~gyb8F z&`kS=BB&0NL=lQ9Aqx^m`!=?8#)|+RlkOPWjK;U~E7E5%eGxkqrU%b$3IfxuhQVphGI-(gp$N^iZzzK5XlCkIJ(Li8M-c~wX8}AR-G?2~wo?EF z=8od=3ekRRj@H}baG|;t);V4?o`^&{{BvG?M=?HxBZn?x6X3FC?5lX1 zBKDLc-6sol5qr8qx=-bmMs^W90j>v+r^vd0TnsExP%#vAAT)j=B8C^S-9qV(4~gML z>@HWj69Qt62Zp#Iu!%k~G;AE4<4O1`8rG$YSg*{hgFw=F={}uPeyYLh!6L+&Af%=L zc%qKg17@QmM1`8^#rc$@j@3g&*rkiuuA~3;In+*&?laj{D0(7FTf+a8m$L)q$M}%3 z)hK$J%2Gu$hd8PS+@`K^-{%)YzlqX)4xCMmFq?65kVdXIW3#U!rybPd@rziiqm~Mu z!VFg{W^$mQl8blWYTY#Zn(56r5gNC6nrQ={^rYMBD7MQLNO)ez5OHY+rI~|90lMh={{QOycs9J?foqUn(}xT zjyziXkLN`D&G5v4tG=ZGM?P)F$tAuSUn;x3p9<+bLq)}mI#v&*s7sr1;kZRGEdf($ z2OED^5qhS&DlcaT&|Q0`+3FOTDJn%Xhd6pseR=1Gn*n%6y019;v}QNGmP;eo`{?zo zikvp%G?#D2H!^CeC>89PQLUKQathhypw`XnS>b5B%Z6_cV6t>)2BK}Z5DLs4#T;L>-(Di$-t*unplina4jg6ZA~v@|wEsJ#i`d+R zU&KC$_{#h+lx`f+MeHM3A|GYwj$)nt~{hmh*FJh;A(tY0{ zh8M9cw4bX`Iug`69KeJ_fv2-HNtGh&%u9)TyMrNA{9C9pf5wd zRK5z-Qc>O6W>hQY^F$%L9Mrn`BGOE6#t)$Jm!W3*jzX=yuL8xC+|^ygT6zcTLdg`U zFR4kWG3vpRL=gzdHx!|n_U+419jk{Dj7g#h#gq_xN0Gblv%3L2FWq;uoj2nLS=eGO z`SyNZwBHudW}FklQI@zml|n{h#b z>d>&68R>qPS4k-A;Mhf*|ih@*PIW?a~G z?7;wDlJ4R_pVn-~C2<~SdH-RefrF3CjL209G=CrZ||1UZKNkVH^=WNeuAs-^^xk%E@JAr z<@0pz);G1p-z}*!V7k>iaGJTM4&}yK@IjTW-Z!|TxM=I^H->JJbbp233o_{-_#g8P zMW`<*A+rOu-cW>Q+BXzIb=o&|m_C$m_KxEC+1K6zpiS0Rz>^gXj>A@FZ7O+FpsQ?UmCP zvD$SbS-Wl)RhsX5yi*}Th=SJr*P*aSNDMDx6JrUYh~Y(SU#RQp6T^$xQcr?FVh(&J z-Q^_-GKryKEAt>tp)|QJUBspx{Jt``UDmGWDnHZF@IM=&o{9Q`iBQi(GmKCxq`FiQ zn5B-@L!G5d7qLNCjvE2BFU#8XGpkU9o~f=@5qhTCY80&-sT%cAie?URR1dg_-Tc(L zmjZZ2)@}gKrbf_atnCf{L*%#_Yd3OKAKm-(F`{u#3`YjX81&|)*dufOvzo{MQr1-|9u-uUX`_*WYr|p81-ODq6ma~Gs0}t zv3h_w?Hh{FObbB~iYX!Xj^d(IzE|&2ye4bcfR{z(n{n-eXuq>SzO7Y)BTqkp*Y4k?D4@x20UP?zd(Gkymr+c<5g>g;ChZTdML7?N(rKf`Bu4qW;b zIgsWkrVQ)SW?Z)0cf+9eby@2`glnWHQ4LsxI1_{vAgj(!@s-i$kWDstM4JC|Lz{{l+V=I}o=T&XK1{$ zqnX|VYVG|+q5tn@y!HKm9s_5X4)w4Mw$G%4;D0Ph6rsLgeM1qNY2Q!;)nSq-LNVo= zy`#9|*`wzJcvIGHp3|GrOnk#QeHPPGUK17=RO-q0jM*JU?aq18a-*lZTi;yu+_GV; zko8S1@inuW={t%QF+6{nx>Twrvlm0jTe243tA|ObG3vp5 zLlFpRy`c!rv~MVa>d-e7p_me4?+p>0d@U0;O1?G-oKvuNhTcV{>2bZmT z1G z3SZU^$SP#qcYlP{SmzSMi`a**tgTn_)4EsTqjZm`09GQFUc_p5jAiY>n3&^1U2SzB zYa0s0(6CxK$Dq7o%CIh7#Fj)yG(+tSS=$&XKh5K@FV6U}slsF3PV(O}b1 zDeBTi?BeNXeGIkl$l5)^RVYHw=uEQ%hTP$UAf4JgZN|U)vi6`7-BBFu$=bihN+a8h%`t2gPa)&J`wlo%9}r77W9?cQ z*t8L`bTiie84?+)P&(Fb#=Sja4t$22@xYK68n!#|g962rVO`pc`{kSdA7kGE=03Bs62N04FA3Kwe0p z1jMosT0k_@jY9AI&zXDg%q$O)V40+rGW=#EHW)r}ZuJ>0JeQfBa9UDQ()r>pUSaNgF&VIaAb}6$}g6*Rd1v_TP z2Eni$df7KS)f7`RZjFt1_7&3;1$%FoGBMKaWX5f7Tze~oJcalsli)pEu}TUF0Zlg{ zp_u9>BrHxUDI|!INOYpOV*S_80Pr8zyET5}4&IERZW|+Uyv0upD1>2 zCuX1RbNA>((aU$s#$`g0Zfx{llgslOHi_pcfzguYiLDZ}JMu_xjVEEy(4Y^Rj# zJt$?ES7b7Kv|R7ONyDVk_VR(XiBYhwhS;&~BmaWfXI<~19`)qST(J^LCIVXPOD2kG z35B7>38Ts3hGf*$5SzQrCa)v*IoEqQ()>A$7)Zk)-+7>4QviFW|5+mJCL+sZdj~k#ngUcaf24bAv_FDSX1@l-tR#FRPc)KkAVwn5iDHWvHaH!?Yp(Z? zsl?n(7!{r<&QB(WuWg%d=eKd?+Yq~;i7RL-)8e{DV)&Yx4YBLT46z&hcxT>-u z*D&nIMaKQU>UyuQ8RiApABwK`2H!9d?3@;;m5EWXu7=q6=DfBfV&}Qu>3GN=Q@oig zRzk@{Kr5kSqL`LY7+Rb#x~a%(E;8zBh)r1HrOgogy6c@$8HbT*#$BoJs85ZqYw(&2 zSO-Say&wqxAfu5XkMS5{?_R$$&G?4vz0KFhhGx8@8I)YjIIGT*+YtN9n9nHw3d-kH z61fzCf-^{8jre?eW5B^Kl;zYn3%dYpY!G@&UX^3rg<9_@?!<&e2F$wO& z6|1C>5YTiJ5{jvALc-#Nh>##gBGHLr>hR(p0(i^yPQ$N7W6gL2%y>=8%y?ZXFVDH$eaJfH^#^$n95F$EjqBRnit?#D$o8qGKh|0b9hU^L_1HN!-(a~q)M z6Qf{VnenERCr?J~+pc$Ri+b9Ml~6L_56EcLCnL#3F)g7mv^ZgOPnp+TWYm=zPd@(p z$CAD4y&vg(CnM2}yGD#eGsQYEnpu@54pK^v%fLd!$T^ zbUT@Gk^IRkA!NSm{db8;@E)#MC5425R!JeDnCd1ZEKVvZB#4nnbfS3c&WA1s@E*F+ z%oyr+2NK6yOjDkQ^k5@R_Imt29ey*j_hEcSfv*(=E4-cWmOIMCBHh^H(`t(8R%d&7 zSEVdY=$_L9E!)I8>V^}=%!m5$g}6l9^^O#ogsqrvLV`bt`b|hErn(6UixVP3f*6TJ zCyEWOTKX^m|8u?n^%HZqtRNsfQM`;nb$zJYs~)b<@ ze2Bg2#XIw@n(KWw{2s+SNcHXTMDZ?u_HH0xY=|A@yWW?55|7lM0F_?#3=0UQJ}kT5 z*UE;;5Ze(QIIm`y46&z@uJ`qpVO|k}^gf0C-t-I;!Je(U-nVMRC|FlR?7=hVe}LHc zUGMw~_2kW5u@Xuq0$S@!CW>hZUvKf6i&+b!cZvake~?jEL+r*WcO8J(4_xp2<1rG= zxO3E0qM2eH7`O)V40+rGL#(;q|8@iLq3iuPsX~Nq`m7j~T+R4-izPQRemSO9 z_~%{EdfYvByl2OJRwNX)1N-LlmSSqgH$B(;rKgw*$lm*^OpJ6p4Y5z&d3JS#eB^q+ zMjL#b0F+Ju>P3grT$a4)nQKXkBy7b~^#`KakmtOMQ zX1t;8df%v9GV%)f3zVK01hOLkU{bvgLA6)HI5FeDfPdMxdm}S`UNOuo@?Mw`Gz}BM zo=-WDB}T!zGUMF`J-}1#Coa8Ig?jR4u2=~r69KJ+l8ItkLSble0V9aTYc4YC%8Y%B zF1!q4KXvJ4$73X#ao5OO)V40+rGW?cLF`yK}HnM<#jR3Xfa=~blU zV#f5UEtXu(nEpZBjOibi*(%&UGGov&s}u=^W(@jf)s|vnMwHWGJH^C|>^*EsjC4Dh zaoGh&CLrW=m z@Dsx~W26OhBE4ZFKE#SWu@glaf3TkJwJ(FEH%6;%RM*ca(wpFCnC)S@RR}Xsu}F+`I}NexcWi8j zsDw-Jgf`fw&3m|Fl@t;Jnr=cuG1W~-Sey_M62wR(I#ImiwtF0ybLrjjf#Fy){-O$t zmCcMBBoo8a+h)cA{A{{!X568PtE8E6`%>JD>u9Fj;VMA!*)pT=ezW;8;YqJ%RMfA6rmta1Z3|W zT_i@joy_>h%eH?XQ472DF%>4kd$?ki6cPfOZbCva)lEoPoDdNb#7HDMQ9N6F;7`C7 zap@DxjA7!xtOsu~)h!QQVIxg;Ll`bPQ5@Aw%s#Kd-J=sln(vlh)(J(rvBl;4Yru4? z_3;>f8%W}WZYl*Wo5VWmh7-lEZh_4ZwWv#9dQ8xjQh;@I-MQP7U>%4Y6r0T%~M?UEn2#XEtnz;j87tGfOta zE~>?c*u|~*iQ>|-OP|@YA$B=h?Xs$!D6YWIE^m`#G{n*?H(mO?BB4Uf>xfCyEQO;e}6Gn#h+Tf(LP+*Bb#Gv0{(QF1loO*NL>95j7Q ztIY7&D%?G0Mt01N0Ti}FntgLqjUX^J5YTiJ5{jvALc-#tl0t$Qi9{!g^R7Q`TVPAM^c~4>oAKgGV))jU z>2^UIR~0kkH2w_LlUMi6b^Ia#g{9wbO2y4MdyK+=gCJ9VGvnPoE`3*M#yR-e-EDG= z%-H*s52I8FMd}YFVZ0!aSYXEVuc|O;7$>xj1a@=X?v2cNQ_3)}h~xt^&Tbhdf=z9^ z^xw+FC|Fl!yzurj4?+0SE`3jmdh%wjSP3N)0j-3RiDFtpVQ6u}=x$yHi;TK5j6tx5U=D`|4U~0zMEth_%rI?zLz4us&80mI0 zcboY^2Fv1j9uqiihio+2?a}tnhZeTf)D4LN{THSA*Ol-A>12E=uuM z>ML~5OuF>Lbz&WL!-*o7yrYDu^^d%jgknlWNDw2D z=tS|-MSs5;*a|K^ua%g)D^m(j6mR1UG0U@ld)H44-%+=jF~5PUq7AWjPh$A?rVX+G z72`wfeLsGp_^`*NUkQiUM@aRfl$|I(!OuRfl4CT)(x-ba{dS8GRSiC9O&?Kr>34m@ zWQYwmU3z}QFgZ~?SaIn#q2OK_Vh^TV`hP{kJp7wWzleL^_YITEz0!7R{Gm1$1?y^v zEws^`euS^+(w|_%d9C1X{3BLE$%H>(HlseDE}1B%tuG8MP8fYu<24r2?}o z|J?3^w-B|8%PfL6_@=^pxS~ob2?4c|NP)Q|0j6|Xn#XaS@{{gnD%Pd*{ zwi(|~!eV7h`Mqjl__ns0@m>7vHebz{S-6C&q?$3aKr?2>%(7z?ULM`|nx`j<%nFcp z1v6u2C5W|Rl^i28_P&*ZQIdor_18xU-wOhXi5btYqpia@IZ@o%hWaJDH#8#%8s-&| zJk1E2hDqzcR&|->33YGAUEY6kNrZpjWmdw5OV)$C@sFs4nhAeE=A%BJE}1B%B@~7h zCna2=#%nH?uq!i8t1kT*!hhg0-ye^WXvSSbMyi=&9T=^|kYJI~$dJc9V8$n&T7y&R zhc5G@iV9(F%B(IWS2M0rWXa8p6UMa4nhmy!>>u9Fj#<4%kaPnx27R+eQ86(i%IPu_ ze8t3!D5uM;*&s%`oy>T~4-TG+sMTC%tu~Y3JzP;Gm4tw1Mj@e?>Lw&CPAa)(gV$Un z5}hb!T>HiGz<%U1>zNtD#2tKqx0ueKw=02-bn>)exbQ@gS+kUweL*qUJ~~n0-I8%B zf}w6{w0QdMAU9yT)k}CxyJ>M^g_rBltwgM&Za7g~H|?X{5#_l|Z<0yy9fLP9`u zfRIp3bW;)*r@93sh>=KiqS*bZ)S@xVXin)8X5nv~Z%*Hr1%&u9hY+A-uN@qr9 zvsz;KuMHbwo40UPvLUvm7aw9${u7tkVLV2n8F!_=qdvk_GsQYE+OEYIE;1S!^0)_v*t+lhcTr$#xXdmk6(Tg_ zZhla5oj-ecEV&J_J;$`lq#9d=yGO_S3_E5wpHSEiLEr4*DW*egtFp`NSyoJk7<+G0 zjTq^68e+3f?M)$Sg3IiMHrS=ad$?ki6cPfOZbCva)lEoPoK#Xs5F?T3L~-ec8$JPS zO_#xcSoJM4ZrM%@|D|PS+`NLTikWc}{tVR%x;`^0gbfTsBMu+o0kyhqQ=Mu&&H_?y|zi;TK5lbSSTgPRNE2$8n8K?L`$<>S}dMvq_@uV@Wa&nEWA}hR|9W%uz7`8)(eRHCxn3{2N z*=0^DE2d^-@10yDM!KEMxY8AyUW%xny3B8zOoI1t#VRQz1T@`*gkq|jkgzzZq>vy+ zBGHNB#V@C?4{TkRInB%%CcaxTc#ElS8$(yvNR!4sQvRjf+ zq#Ij&;~+O+x>W&>*`jW7LU*7F-BQFl>V^}=P6yrbB%*%iGH14#1n=RB=_VuuG~I-R zVyc^vusGE%AVG{oq7%iV`#q2U%*L(fGJmcl=I)zBKzO3KDVZ3)+p{4y9YgG{y3LH6 ztGFuK5X1K?hX2;IA$H4{UyE*S$4?aXlFM9Ew;^_0-DPeo+KJ-!rpw%3CdX)qW%|*L zH<4CMeSGCSGreM%oG8wyxs3ducCt!xqS(}PnHhD9lOZ-G=`y!84fBdfK66#WWo~U7 zCW2jyd+Q})6s)Tu_QOdhEQ0X$UFHs-dh%wjSP3N)0j-3RiDFtpVQ6u}=(akqxyY!i zA@-jyhxtd4KX)1YHw)j*Xzc&d>8Ouz)r?PqmK_+~-ee3H8I25ijK>hG?33Y}G37FU zYv^M`GtL1Klw8etZ;>T82i-Sjh&6n+O0a!+yw9*>=Cla1%<^jy`{v%FVrs@)+b(lo zSuq`A?7fChjC4BBrHxUDI|!INOYo@ zQJD`#UD{_KWr`+j>pvJAfB_rx@4QxiyFga1|(S|_@1yzz0#fiWg zNy8#D-V;28)%1!;J}~2h4T}@O{^YyN!}zHP)|DAoUij%fxt+^AmZYA%nJZR8$wWXa zp=6?%mQWa4oG^N%#cM8>uq!h@{PZJdBRuOePmIS%G~=$+W7KB?qM2eH7(H5K3>O)V z40+rGX8hi{`{Uya*XJ@%)m4bljL)=!lBW_+;WGS4>@Q!}#nULf@UHRIxwE?EdsIhT1c#Uz58@Q+v}g#>>P=_Vu; zQ{9Aw#YrWF1TpFsoha@Z-E}^&ewTT*t!4~$n^OtiVyfGXwZKN2>@65#(TU=})x_+J z8r(g0qTst_PK8jU8(aLwnqs=u)!6u!vSO;+H9ao#-zqWE9e0S~MDgxJH=l^8yvw{% zViLTEE2f)}5YYNfNGPVd2?>i6-Gl@&5{XU}`@XQy!N3YG^C>=~I4FgH@I>)dBQbn$ z&4$=FWn86nh-G_fiQ#)1I>fSx7OqM<#Ig%`u_2aS5DJI?6;gJgmdku(pHXBN@m+Qi z`?V;$Xv<|64TO%JC{BP4zG{$or2b~;tZ&MO$%*2UwgV}{f+3ckUvi*km<+KRumwEB zydsj%{IBUi(=ZY2BVY@)h*7YvhS>jB-1vEf54i02K>E`LZ{~`XP%;tFN+_8qCM8sc z78fvrSiI&UqppV7%!@WV8R3I2yW}{GR5R`xno%`VtOKJ(Ta4i%qmdzxdtiutQa|Y{ zU=v+-nUV@&X3Q=xB^NVhSM*qN9b(y)#f>5gkg{0&z>aVso?s100p6|})JCEmjoRT6mwNC0XjkxdL!-Gl^j z5|&B|31TD?ohUwA@bhngZRoN;!mmYR%$QxEofw|eQZr@~6(6s*V#43C_DjX{KP(^$gYLTtQDGZZHTpYg&bpM%-3L^Hla}c%*`H5 z76cNL6U9SKm-#x33utAYOG5pW-5Z&4g}Py05y=N;T%&B5nDLW}%T8z!qhMW`@o9JP zfe8PF%dS(Wp1heWRzk@{Kr5kSqL`LY7+Rc^a4nzLTx8Uh8P^|qcpropU3R^37^!C5 zm0FJa2v^M%>%eI37Gt=`Xk^Ia9x&sy3!d8u*pSQimQ;w)j9EV@xtcMDO0FH6v42df zpz>%HS>f&Mn5<7QY=5Bo|*kyl#6GdRgF!AM+!COpE6klP; z!A6>_gW{asI4ZoLJ$*Ds)Q` z>!=$}6vwT3&-#ek#AP=rG6~+p71K>f2&lR#3B^=5Az^W`wUp$zde~*oh*$8^+c!hEedlNOlig&8^#sVo#hQ<`!*;?Nv$)H<~uY%8mFC+b0!2 zQS6IG`c+YXEz0g+ciH{zGm7kiNc?~%IYvV)yHLe}2%%8@?22s%I)(*9ESm$iXUi~| zt=Xi@?!}aXdxIgC-Oh8_a>FpMh~%@s1h!AgFcIumb(h_@MT~-VHN{GD47UotuL7`H7AK7Muk)IVjJg_P&mFzd*9hO-We-D|-^oZc>kydshh%y@dsFcEA= z;Ad8eQLwJec=n=GUPk!VE_*gI@q>rRrf9`ad_GAs5ztB~nJA_u6owWjjHZ@(%|%9C znemt}XD*KLZCv(();NqrGww=#M}4vs%@pgv=nr9vWHd75aSxdBkMAFWo87i9dudW1 z8=CQoVo-85<5jr&gKuxftMO)x%y^B*Rw0X+8QC#c6bVJ`z`nW4Fg4@pEtkEzZgCL80mI0BrHydT;uVYi$tOm#nT5p z{a;|eblDr5YQ|8vqmU%tVyfG2J%Noh*>Wj*Ozy0QR`WV-msU!81b#2nl<@sE=?Zp_u9> zBrHyd2nk{&5}hb!t@iF8fNk%x_tX+|kE|ddJW&i|H2uA1y5WP^;lHPBi2b9O7=EB( zL+qb*T$OByJ?O=U*hAHLXFgJN*}Gac#2!t$?7wR^#2%};>|-f%jD}dYC*`ukff|we z`&L}`fe@1+c3jzI|54IEuk>9IAQc?lGj`;VOK-!sEf`f zqa9rK$?`aiL^JM6-9~+ct7eLIVDwmxFpW7YHStm9vfopnCBaWqIO{4ykMA`5q~2>ww1Ct5sz>Lw&CPKXEzVk8osC>FW(t-FEk?6Pl` zzHP>byu|SREz|9vu;2X^GvfngT!mf#QVPEaRLzVpV8BJ6QM^}-E8K3o?3|RD@%@I& zzF#skepqtZ4_f3HGvnn=7{wzLssGCs8m4HNm~j^39w-|oX8cPX>W3AH%=kjd;=Ce~ z56t);{!N}Cg3YP9Y`aa2f^}uadsf?Hb%gKYvL7LnH-m@Brr|u5Oa!zNN+ybF35B7> z38VKLyyhaKuFUw;r4~9J;k&x*=gDyxiDuk2GNWjwSO-QQhAEQK$dJc9V8&}^-0}-N z_WxY=>!Lo^%-ENx1SJszGGBzO;3WJV<+pjJ{zC?>io35yGeC<$UD z5}hd4_Kx};u-#qXQax%$(=Gcv)WBOzhuCmAu#qPFuX^l6(YH`5G5fLxcaQ1DcgyoZ z?vZY6@!>MVfaz9uwq5pLb;b0vox41TO^J2X4JV4{@4a(*MD5}FmPJjL;w{07bW;*U zNo3SVxROvz`%Oq#oaiPbh>=KiqImg~(;o!3r|VnOPs}~8iU2!N^sS3?0!|0oZ}`UR zFw~OIHT!;!0r#(>zPkHT)x_{Wn>xh$(mk;u)|aWohFD)81o?@tL#z)UEcE4k9b$cX z-}Tx5&4m5YZT4?<2O^ft{XUca3p!N3yGkKsi2W5C|J<`U8Ddv99jF-=46(i+l^qBg z<`uDDp9d^cF-!#e38?jX#3)!-L(E(3uD1|A$@LX{>dBkAq7rH*0$S_GzlE#cT2O`- zCya7!UUQLAS3_*C>vz}>;d{Bh4U6M263w`4*i@>SVroXw%;JPm-sd$J8I25i+yg^w z!o1~B6bDr|s_0|QjD4Hdf|6@fZH}va(uUZU$#|=5<+D||dt}C-V>Yc3BHf@Jg1*_@ zFfk*_>H4-zTAa{j?``E1Bi&9ztT=y=e_FJ+>)X1;BzO;3tdg772yjKZ2?@niHz8qh zLPSUqBa!Gtal)yyP6M`&>-$ye+h)x4z+z=fIR*RuqitqfuZSz(%(zt&S4lJD=BcQgPuV`3c#=h^Dpnh19$c&q(EY8CnV#ZxP!$hzNMF+CPC|Fl!{MUxHqY%EY>zkCK zp1heWRzk@{Kr^FcqL`LY7+Rb#+P%hWE;8!MjLS{@IC&-LvCrN5JrXvSTmrV`B* z>%eHwFhw#N8S=OX%(&{bRo}s5_ji2hPV8~*2ZL>=JzDpe-Id$?ki z6cPejC541ys+*9oI3Xe=h>=KiqBw4;ceVp|pzAxfrDhCu+Z0LSEvCAyi|&MtG+F!~ zHv!A^e*uk#v5BQ+< z#5w}P6UBKLTaVOCxAS?0?vt5u0nQK)H*APq)Pt*%4Y7-Hh6sNx>bqpjS@$xCbY|Oz z*cD~hhrfjsJXriLiJ^c9fo@^;;q5G zGQ>_r+{G2cydskCJFV$J(=ZY2EKs{F*pGsBHN-YMeC|waH`(=F)ux`@kSkWg^Sl5F zKr5kSLL3=t35B6z+DliIdCf&eT@A7Aia%K&;fJ`sKS8qZWF(q#SL!?JQxDNhu?~!` z3{xbdks*(JV2J%@I5muY4t0GuwDhr|n{G}9C08@vf~zZia}d6L7<=E%gotPA6T;is zF*hfbnr_0rxy3Lw<0W|Ttw=`Fl9ICbW(FIQZl@u3)5n)SiUbaGeYcgE1oz>JRZ>U@ zXu1gr#Z)&TVR1r4NDw2D=tS}MjPG3q>~PmN8y^^sHRC0f#4!Gf08RNq*zci=nQ>Yj zSE!zJ{Y)Rf2vp6CGiq@&-h*)$oha@ry1uFQN05DUldi8}9~kxx*IeIliX0;|_8kmW zFR2iU%=lx^^<7vsOw4!+;-=LN6I#D-Kz-k^$c!^;hIvIKADHo;a6b`jYTfnSho6dI zU77LS^fJ>BeuV40zeYWIGgqvHl8Jy;LdiriEuk>9IAJt5$!ji_uq!kEaKH6eM|j2c z{i{3sUFAG zuzg_I_XLijQLDV#VykfX*qf0Z^H_sW)DG;M#|=|6-h&4}k+L`ukiGY6ix}y4GULnF zukcGm9qIb!;hsl=){woBNATxYNxZdbrTX6Cq#q?$Zc$u4R@WhxTMTE2$BH_{a*J0I!;dy~h~<{R z86rGU2k}I^+b_duIzHlLIf`RjH2&ege)AW5vl)p$$<{T zh{+H;qv1fxFd1T}R~@JsCPVCV+`B}}Ft3Q@`@ZlUXc{JheUo$`%SFMu8e;2SdqxZ4 z$GY6|$Rtt5y}^n~sF@HY^HCq+nu%gkLS<-i0V9aTYc4YCYKUE4Ui3MHALnwbc;he< z&A4mCNHkNd1Eb~2jNu}qks*(JV2IT&%f1Wjc$fPj)DDC&Gv+*KjgpHQbF1TO*|wf2 zazAOuTV+C-t-{?SGX@>w`GiO}__ZkLo7D{yGoqXh+gY3lh~CRhC=(;yPDAXK%U*{r z?l&&CW`jxa9Lw&CPKXEzVk8osC_cWT_gP?5TyDMOx6Qa@3lvl4i!$lW{ZRlaA;$k>kny8#~_Ta;QZvX=co$|NCp? z7&GJVq3V(?LZSM7ryy`~LID;K>ie`xQih2cKdZRh8ev5uGp?SrIIoCg0y9RRgNR@~ zWe2j1qhMW`aj|@*jPMg&t^k7T1rOl{u@Xuq0$K?r6UDTI!qDP`QO@Tz7a4VB#(l1s zl|uN5F1JB*97duUca6*_nkm+Sk$t)=j7Ek$?g29{w*Gco06WR$HbSceLWE|-@7gT6 z`e<`p_1DadTi_@fo+xr#CD|%u5i=t@=3BpO=h!#l$4+X-3?95ijkhu_5fHtHO^H#! zPG-F4(NFe9)X6Tlb%jaTidFJEe%DqK48}uMHzA>z5{W(oB9Z7svDdTDrSaI`y4>~+ zHDjoo2Q}~(Q{5K!0vlFjn0tyLY zBodt{j$U=qp91@x%S|pO=AO=;2~QM9;GFP8sN1i3m9imrBnI3_!-m+=C0vzkh#k|2 z53%D?@yn(<;(_HS4H73D(xMGzQ5(1iTLP9asO-NXr5D^l@NF+K@T-5mNx4=$!xeM`u;aD>s z+ei#Q-m;XBg8d$^m>GZFgDc1pbbaoeCVmm9ni;3I<7T`ZhyCa^ab?xz4yu|NuP(dX zRrY~luGV(Bnoo`~Gv1nXxnmoIBK7wyx!h4L!{mrFDFuTP3gU#;Uby$1up*Her?xH5 zDT>sEje1xCra@W_#VI-PySL!>;aMet)4vcDHiexl0` zd=LAa<#N+o`qi6B0_=~ zi9{!g3lF-5UkLq!%iUE|GlsgIi6rqBQ{9e0m0%-H_Lx%qL~*;Hm|f%MSmEt_x5%fj zk#219BZ4qsy45}&-c==nj1#*1LWA3VVjXqk_b8fIUxKK!UG84cy{pE1xMI55N5Jxc zQ6J$-LITYJLP9YmA|!~BNOYq3a>L8`LF+j#_gEz{_iVO8c%m4sC5E3ZnQl+v>KTkQ znHf(faaFM)_Kc4!BrS8|*)c=x`EtB7Ur4&#BXt{MFScCn#fqIMUaGhp-bBF)Kcn~p zH;mSZMd}}qz^6h?2%TMaxu;1#h?6098}5C^H%!Rk6UW@MZNt1GMSQU8a?h6ylgjsauGDwbryio2VjUR06sAZT)T)h-J=>48}t9(#ltB^&^jO>{CRYFlauy5LisTrTggWqRZ5GMk%_dci) zBi&9z?1Aea-Vjmex!i|MCc%5SVwDsU0-A0@LNV1%NLZW@5fa2mBsx)CywC7oflYI{ zFT8J?@ws+l_{p;A_6+Pd+BP#jmBN*8X8Zu>i_xT+vF*i96#a>0OyPdlaJff&)QtTL zR$c#so|>^Azj*a8r0Sa)bGOu7?zy%lBj>rj>u8vyVPeLAAnvJ@VPeLA`cR)xP?5-t zZO<^Th~#NT&@@a0d$fYT7ob6mf^}uaDcfA~Fv8Dw{fl_ilQ(n4N+_8KXeE?P6w?w4 zLyHR-K`dT#kx^G>eEg!P4?*|^u7B~?IE+Ly?i!gcK{^g26$;FKQE8uFOy1vf(SFFcdWo3wnKL`v~cso00xgxR9j6vV5 zV3?Q@<#gE2;zU67UjND-G1Bd1#>e0KVh*A%a{a6LOoI1tMU_+%0%|3Z4X?RCPIOZe zipiT%Nf0BE=tOb#tRCuivFra)Q_X0J=jKBVyv1~gjiv${>EwA9C$aFq9_?QV|EVVpT}0=?vWY$*)cm73590tXW#5>m=3Xx z@ZeqQ7AFF-_x{f#M!KDb*rcESZx2LW>H2r`nFR0Qid9lb2xz(q3B^=5Az^VsL`V=L zk?2Hmz{^j30qiQ*U&as{YsMiQ=%2?qA#gzdhOpmrH8bO23s)&KI7UJQnnMtiIsS( zoYZ2gkVVXl?3mvKP}C0Wn-dIEGak@z{U-)DGfo6#@14|QAnA58V_)_!zed!xuK%}q z-Z4J!;fk42NC;?^6cUQ5ZbHK1gouzJMk3LPV*c_AbDICj^`BN%GlshDfF$u2Q{4)I zHl|xK6+cm&gzr&Y>vQ**ZhW`w5R@y@jV)eiF$|dMmP+AWl~PP~>n%gKK+BH0;Y4xH z^LMx)9u^TYbT4)xT6E~IOLu~q(AvObzbg_Mp zqW{*S>%S!&Vlz>vnPqZ}hFI=F&-Gv3BowK?amn>x6Jj#NcEMKH2T3wchS-TcuK$LX z-7DnI$GtZ(&0s&Th~)b(@Lm7(hG8PuB}vymBiN6Ebv49hUcb~c*zS7QUq>dF)wm&7 ztb~$@fL21uL@_O)Ftj*fbZe2d;6~ZvL_qf5+<-Fab{b+6j=$p$Byf}Kzdyw! zxDQvXl0rg2(@jVyrn(6UixVP3f*6TJCyL@W*@b{jcl{6g-!|j)QeybUs-=7b?DwK) zX1u1H7=EE{T|bvsMKj~Qe%y@sATp-#bw5YBsoTA>_5H= zqm&3m>i--{-%v43%y=H+t|=QPW}H@m`XLsX@m}BJydshh%qXAl@fjl6rDfOuxW_mO z)|DBLD_%Ae;WxYfCy~j6K5yoVl~6Jf&`KzoD5fP8h88D`M%ujQBBQR%xZ$Hu@-vDV zuK(HMIE=0-2V3GF%ze~HxN1fmSO-RomPJM*LmuN{#G^Vur0e|S4P=A{}z(iJnZZ(cD>w|Wc@el2NnA|QKjo==Q) zJDKsO0}32sx4QnR#&de|0bomAB*P{H2HJ4v8r9&*ga?0gbYLH`Wh&AgDL}!92{jV|wS=09Vp2k7XmP@5#Tu`<$f&C!_D1=F#Swmo%m1)F4kOWwyHej#AK|K* zVjUQ*9HvM{BSRkdzz~~s$u6g1pII)yI@At?Ff-;SKx>p-%$Q#bS1UEtjQO=2@mBdM zL|mXk7Lgf)j+sy*6t+XqH)|OtW<)t1wzD`9kiGZQ6fx56G{im_S?U*v`isl2TV)cw zhbvY|At9jYCL|P7-Gqe22@xSdj6|Xn#Q~FccpunbUB0*VZ8I)ghsBbn+tRS#%NS`v z@8_3j;|iJvU7ug4fnNj~X2vx!;KHv-=QnT172dMq@(VWfM3LXR=<-|H@jkx|#M-7z zjxjS%Lvt)!ClspRUv0Sj(oMs}j9--~Ai=b3-2+ znsGPO1SMB9?t!aq%4WtrF~!5L+~oK2*ecvTW=3|*Zbd?2I|O~Rhhb{Q&D$=&XWimN zK=$5V9x>AGWX7LeJ84Tq-R1ISpGoi@u2?07gn*`-kWfr@6A~6DM1%w}5{XU}vkpIm zAC27Y@(17p!{E&r>b5zE;4P-QEr#@9BTaUhV(dhbpM*d4xUR_EW4iI(vbj$v(v2;? zSX(jODuKr=Q?xjtixUDiCDu_loG3E0iumlt&2jldJSM?=xMI2q2?0$vA)%P+CL}CQ zhzJQ{Bodt{mRbG3-v@S&%b(~a=GLkR2u~EhD0%b%VyOvoM6<3P|buZZOH$KroyB@Gk7egkS#8^kDB zS3_*d9&64)_`NRwM~`~)X0BKXB@+Rygp!G3T0&uHal+{ADzCZ7sH-8i$w6!Kn0lYf zpWhmX(W#AKOZ+1mVxk#wU>z8p>oJClj7Ek$#$$*bcYMz`z#1-()3^u`n(^{tP;xcn zmAE>`{?sFX)tDhx^VllfJ!VFB%;iNwkr~-HR~n{fJPQxLs%~*2AbYRo5hLABLu}Ds zopd9j=DPefK9k@*T(L?D2?4E=LP9asO-NXr5D^l@NF+K@+&rWG7huCKe*=ctSTmkk zOAODeni)@n{pNXQ##35};n(VB#;fbNDw-LuXvWQW%a}9U%!&#V!O)IYN9@~1TnlOxVi*y@y)VKO6*_FP{6&O+WBnemFI#d$>} zADHo$hG8Pul$OiStPrDMU72y2+ZWpe;rF}z9mwQ{;32XpTJaN~Pm)Xov=T}tifIXj zp~VTK+lsvABBQR%xW&Liry=|Sm%p<*4kOWwyHcZ3pDaZ)#X2y$Jxq~|Mut4@0W+?A z%B`1TpTE2OoQ6I&G$ZSYlB*f#;_CMBL~(yPexi5)B2KB0Ma+!snETpK`uuj}(*OJzOy}3JC#CHzA>z>UMvb*IXnl zM1%w}5{XU}FU?QC1?-we(5{0mk4wdljP%YSGewB|qdUH;=_K(fca z4A%b*l%D4kjMSf6a``txOorH1MVEiGZE-ThhOzzIWy55M;S!XI+^Pkidho4H~oluQJ)5=thDX$gg)#R;PigY1Zr zQCCCk#GQBh7~v1Q{Fg}kI~j>)_M}lC;i{Qp9T2?}o`DO2Z2T}iah3~bP1n=RBDybv{)JiG|#Y8tHVR1nvl>{*oiB1%= zawk%^M_nO_4-Che@!cjYmb4)T>kYqIGc&%C#8pboSXdNSZ#2}5g@rKS!p|rQD|&H- zS8BTai!C){VU?OItYRM+7QUZyg;g8m7@a8c_n{>3HVH-QAKP&Gx7vn@8J|Yn8=?AQ z#%Ibaf}q{&6_Gs62%3gT>$gg-uu_v41?$R;FHc{0Cc+bgyyhaKuFQDSl()V@c+(ZU_Bf10Gww=#14gQuVjUQL zKTMH~Mut4@0W;pT^Ezwdv5&jL1oVF(gt@7(HgrYF)r>#I)v67BofX!NA3h7~rPwND z5xp6Mj#;}zC~SwIZ+>c+m=Wc4*v{faK=d9qB}TfP%=p~xcQ1yh5m#8h$|QIXSImq; zLO|0^NGPVd2?>i6B0_=~i9{!g%;cZE3+xG3$l?RTz>J}83qTFL#q>lmFB#ZKC(k=L ziERmM%I-hI-*9z9gS$t%Xt`~=jSCE228hlzJ_;ISuq`A|AlTpYY^+G z8%`9B>eftP)D;RTCc%5SV!8*QP`;-A7Z1{H&tT(Uk$w;-Lu{_^3i1s) z-YeubPC5{@IIoE03!4Dj#WPFPMtg)Q zlF`VJ$2~B_PCE3`%dyYXu5f^-j}6Uua49Ic4zWXUwRl4D;*)a!~ zl$vhBzB$A&9b&uS!G|_1P6TA{9Ug2*x}ApD&*uD@ucK#N;fN}e;67ZjN(u=9O*bK- znCd1ZEKZ0B31TD?ohUB5{ibDsJ?jd`V2F)1-Wj6xEb+Z>PE-=!b!d>Y~h<3e_L{elUw>nkOdz?`>MVj@ALT5eqrajC3C+I zvV~&BfsSE;84E+$s?;_tFk@k(lq($8Ff20T*&e&`sw;Ym{7lRK=B_vJ=I5 ze!Nwtp#od@+&y|T7T7W8CJB+Qv;+I5YM7ewgr+N;=Ubcz$ljaQCPuoQ%s4AOPtDiWQISodN7cSKv1c12cxY9n=cm zVw&=Hfi|Wa{{OLJCyHtKuSaj<=2+qFe779bA{6Py7T+$=1DNWzX%X+LqQwc_%_`8% zC)QCnoGA8x>b?gN1*%tJ@5^euhbyL=kPy&x6B3H4ZbHK1R5zd3TqF{mD0UkenhNYC zSD0B(%$>=U!V|@;R$}=5rnSmnc~!O{c4sLu{J*vhvAg<)hev(62< zVkMMJ1hf)LCW>hZg`vd>qlU+8E;8zBi0yyu;C={y#TEWp8HbT*#$BoJs82maGsQYE znj5A_Mk7NW_rQtb*|q!m+t{nF@Ni8Z8@lPyW>9i9BR-Eq$<09{Fk|>}R^bVVI76Qh z-p-DBw5il|6ZTEhFg4>GJb0vNaUvjl?}=bT((N?FcAC5l|Fq~eS9mhXB)AV(tdc@P zK+{b~D5kmz35ydVLV_5HL??=Oj=XkHVDntzx$?KoI41>*CC!X~gZW=( zrx5YhVE5RYksb4aPc&)=_RU9zsTp6wgFkLtoCwI?`?O4qbUT@Gfqj#R=V;+ph3Qpk+thaH81s zovnX_1m1B23znG#_u-1^CL{zj-Gqc=KiqFCeh&sPKXt{Ye$zZU(4 zkF^uUz^ci_@W&<9ZQuvE`lzKtY~Y7Jt|~gj23Bk13jPn~#DEtcVgo;p|5`Ng6W

  • HQ5N5`KEVM?+#f$^^JPsvSGY$;YVnb|TVv?=G-6Jyw z9g_{9(2POf6jB6%i5XE&hwT)T_fpV%6O+V9x6=?iY`0_PBI58S{nk;%pl-pmy%p=2VUl~6KKOiL&XElwD1o8mPW8FgjG#U5SwJcNJf z26ptvVI-PySL!?JBV098tOKL%8jRs0qmdzxd%%o6_kOYwu#eopu62EEXvW>4D@v|r z+!I&ZmCQkVmEx^ZZm?B??Ze--gO1s~MKElKpl|jxOwG6@9=un{;zU69Ub#VxbUT^x z#`){-h^UXK3p-~goJ>mn~+dUbrTX6r@93sh>=KiqPTn7 z*^2=C%nh7`A$C^^0pW?_6r2-2Yg)gZ%B!*svC}c&K5g3&JEMs!--g&(#rP1LI%bHS z4MCH691#}v=3Sbl5IC|O52hNP80)6_-^3T5R)OcBsM<1Y;nO5 zE8Kv4&uAJZL+sd^8#t?In1_FJ1IGcIS~pAtI|0E# z9y235=86`f$c*fps|-^!PQ`<3C5saQ*?ZSCh>>omA$HIEzr6xcU%7!lc}#-$aK$Pq zBm}fd3JJwjHz8qhLPSUqBa!GtG2xLn`O(PNZr~;iv9V^HT7<=tX2vsMzfWpr##4P< zrOb@il<yw8unCg%3IffJKv#^I(L7`D$S1|EP|_qWM0cA|J3AybQl zBK42PCTEll6Ehy&fq_KM&)a{B&@D|gQPeFRHktTa)Gk&6Ys+gF43pd9KZ|A$^iVC4fH@5gGzGAx7 z@zD27(^7StLfwjt>!=$}6x*eb{s0Lq=muV{GYQ_q71K>f2xz(q3B^=5Az^WuJAarI@(hS(Q4Lws4WA@)@fS7;WQ z6JO&D5q=qLu*Zva=3oLMwe8oUL3~YbZ~^-s#o$6IH@IMf9HSvNuvFCzd|DdO!t#lyZT+Py*!pEwSDT{q0bPu;+~B?p>@iD2!P16g7etg9jR zr_Hu|3|cPi1{Y3IPu|QGE1_f}pp{TEQA|rH3@t8T1hII{MMhl>vF8_E_Z=`=#0}!V zaQbdWq8WFkzN0?%5X}_pz$p648X1iYdE5g->|Xz3ypR`I)D12TwF4o{O@qrqYm{8f zIJi8n7Hp^)2UlpsTV*AP*shR8WX7OlmMswq+ac(i(PPF+KJ)M>uScq6&tuJsu>5DYsJmD2F6|Z zniyQucLV>k2jePB4a4*Gwc3OUBi_;}e3d{ZYBsy}c%urHg2i5cGl_F3C7 zG2`1s2Wp0eW&}aQyds;AW?UoOFA!|t{geY)#!;}Y%-A#WKAb@oSlkW%6q&5n;>}!9 z2{jV|t@R}n#k7RN(BhgV7Rh@aMI07>Q=~q*0$uC7S*J8LiV| z3>O)V40)^(%=n8v&Mo7yOS-{KQy&|eu^;=d)iN^{aJ7#8u01$_qiEDBgDtiSHpdEY zXUFshP}mMZ-xLf}Gp>OL4^#+79zgF64z`GqZYML|@XlKgA%Ugb;08q|!F#x(N-7Bf zwUUEELNTqRkgzzZq>vy+BGHLr=Bg`ihQ}`L1~;y%8N51YK%vRV)j{#rf zBo=-%b8xVdn0;%JyGObW@ZGXZi%_T=TYNzNAOVvEzq)~Za7ga zFm2O|kwDT7ZjR?|T;)DoG2MiOfaU-pp_u5VBrHyK3rG+nk?2HmoL@_uinYi=Nu;0qKI^2fX;NE`X&Lhe;#P-2R`^L8+wqH3u#P%OE#12Fw?bOm= ziw5yEy}^URAvPI_Pgb7cXB6)h9f(*mat?kA9a;`C8Deik>Aii6lOgs**$wW~HY^xo zgWEUU;C^Mpydne{{1veMn}&&CJA>MRJ~0Z`)et);zYPAwa)D*t;2|yQ$(y-iC6r7A zwAPnQ6w}rhh88D`qVEEdQCCCkwTqrR1dNt*gO%Dij6^eg(x^{8M6>@tqscACaFNl- zkVl7Lh@F1b6C2^N%e%qjv0ETSXhxjRS#mv5oP?{%;Sf8y79V1MlC8qsBQpjab3%$x zXvRVI%}Ivo5Zk}$22ZY8oCwI?^OM9#x6=?CIeVSgk-!RW@OKp^VJlWiA;BMz$f!@< zgoI*RNg-izLPSUqBa!Gtv3l~>3-H(#-QXGcz;LV?_s4<$o22QskDnO+x~3t31X2ug6aWhuOC_Js?26suB887hM;Q98YrojvAZt%h)ImS*DOE+MYGNDNQ zU*fiXe8U1W4wfLsUNyr4GY*zoZt%Au7MbzHhQ)bBibyk7!#ELamzo=#R$?3l>&lEf zjkKmg%az>VMablg25;tyl~6Jf&`KzoD5fP8h88D`F7SBGMMhnjakJEtP-lUa-QeYD zs_$eZnsL{tsYElyIxxDh&KNE-8X5Aq2h8|`&$sy{9=nPgyc#M7j}6U;PvBT`HRE-- zy0B=+`|GQ5Gv3(4RtdI`P895zKY0YBc3|IJXPBC?T62TfS1nEiWbfTbD4^TPjDM*A zFpmUQb%QsRnM80C{t>IBkl+s@-GqcM!!R&o zs9QA^yv0Ado^I)(|M z>#^0pONPl1yA~Th+AvIp*ge3SDZ{)X1R1=y>INUL876`aW4|YY{U}&hLoAo?Z9vP_ z+~9xkkO%7AkSkU~$wWXap=6?%mQWa4oG^OQ<24rH2IRO}bePkY?p{XJ&J&zf%VGy7AI!7s{g z@bfk~#>}{b52K_AMP|Gfo5)Ae#Dvh@Z5Xs-abm_f*#3>8VUZbMD;wtFr((vBONNPH z_gCEDr-TB*x-#R7pFchcTK>cheu+%l<=}4oBUVDmgg=P2e(+?)w1mRY;)Ky>O#eP?y)x`ItE)1C0*%E^bIyrOwIUl$zeN- z69LhC*pwLQb~5AMt8TwC5?IqsT&lq&cn?=pNhKkmno&t8Cb}sJiwlS-31TD?ohV*C z@`kPP*tOim6_RR3({1n-sDZbbru-nf6E@OhA8W);6ch26&&{qkxO+@DzFS@?6N+?W zi$7RVOt-qP;RYXTSe)qAXgh35tfOu?QA~OvaRd@r+f7^rHCZ9ad$=Oql!Smb#Ds)m z+HXR_;zTzgL5xJA6U7IYy~WwNj+?j+KBIWFjsQDRO#FE=ap%s~DieEgwM$E9#>BLr zxby$YI>aVs(0#krbcjvtix07hxn{gG^S+z7mZw8(;s9E$V4qP;#3ySLx_07XNe4QH$q;K`46*yLeWq<#FvKRV-gF>nm{)`#6W751a!tcTu(j~q zyibgRbv49RyZ#$~M)6ZOaUwEVr^TDOq7rH*0$S@!CW>k63qy+&Mgt{YbCFS3CyEzF zKVKS*)^!tyYU3~x&A4kg#8flIIxre+F@}qbMut4@fg!f_s(b$ikNugOxGB^QgfKHs z+!9)&~y zl+#VzwndC|I}Ndu{$FF)9pFUub@MJu2aTXoREP?Qh-{W#md&IrC?ZBc1wjZ1NR^0+ ziil%FL`p<#fEuu2!$>iqh{Gt@5F;QWDn>+Xr~xd1h~Itp&6|0KFTW&z=-HEd-#K&M zdr5Yg$&9$GA0EJkP=1-l4}$mbhcc7GgdpWi3KJG*p$Y*9+l0wE`l2+!YMobZfiZb$#lulW-LG34dvdr zm6BFYZIq!WF2h#ton&!l7}UiYkYJiH~!vo5goge8(-pW;=BwwYpCX!QTwdLSubKO zBAAdJfyLz)$npD5xkD1X|15nGH0zSzS(Ixk}7&9hKG-{6#!{@FT|KWcNr z&^eg&<6c=OK2f}>LHQGL$%z-S8Br)-=$4#E!$8U(KyHyPIT6@wXIRckZ` z9d&~87s7cQiOl%0Jm2j)&qHKpasR{7V*3!eqpf}q9|K>+)^~n#3Cebc@|Sf>*4~WE z5;W!3qDtUTi|rS&k&dN=gkafa{Fu^%)#Q8+=WS>2o zQMN0Tuf-R!|8B-bxT(U1+>B4)_UnuDCD#6UgaCp+@rG@yX{NkMsah>*^Kug_k>q+!q9Xa>d~;| zgsuByn7&7H&Sp$#lJg)En{k6Zo(ODC7|P8Iuj7FgwHbH(`on0pq)?vfZH^{}EUOVeh6LI43ye);`*aKW$9Qx3gU?b*X%TAwLWl6BcLXCQQgWD>wclJ-CroTk-ht#sBYE z6}IY3jcu=qCj)P*f$!~~c^TVwatteBaNEBhLq~on{{#Q*vomY;HSIPbvrz2-8QOwFrspw=)CSXNhwqETSk)T zW!oEZUY9jgPET|0Kvr^qp3l)ZcgUl1O%2Zd9(K4Q6U$umK?D;EK=(RfwqZBQ$%jm= zJM&7)POLk)RgMzt4q26>#JX3p2jsn!Soe~IT1Sa>uNqT1O00XesLIi2#O~F@3MUfl zUc;+$lvwwgHfJZ+y;ellagGw}UOVP+MJAT6bJq`;SP;6O8)qB#CgcFl8tdNBkff7X zqqxe6#2V`=ClWg&qH-d!Gc}bHiJj$9IgwZsNjYn*dy|YL?KRe&AG>&PP9)}uOU_B` zZ2RDx#Lme$bwwuDZtK$Fm{^F|!s@4C-4R8Fk12k{y4a1xvKA5J7TJMGjJxyCm4uDu=; zy9l~Jr!muc3se%Dpvh64#1`7oa!w?+$gS3i#1>^$P9*lE?UMJ3#GXp1bt18+V=5=s zSUjq5R$`08DklP;yCU!A&Uz=fO^{gB~N$hpEB%Q=w zw+o%bmZ#M^k=Tla%8A5Q##BxuwkoP}BC$8ZDkl<4dKJz}Eag@?kyt7#IVZ8z|KUVp zYdua~k!$SNnV+@8#Ck#ZtubcSP0In4#1_OP=_K}^u5x0Hy&q9Ik=O^C%8A52^r)Oj zY_p`4_sY!~NpfA0*hhxKS&40ltDH#eW1DjlOaF%xi8)um6}`s#LR(C!$|cbKr!X_? zC#1NN*wAHl}i7jqQ!9oJj1uu)%8A7Oi7A|w*zu^!iNyZ3IXkfmS6tTFiB+()!xfoW ztv-)`j)`3g6^${mrnZoiSjEXUX(v|U4ofA@QDPORcvX%PtElHzIZCXeURLEOv5NX> zm7~NePEDvBC021-OyNXg6{km4juNYAU~^7l4db%TNvu)Y;fhQwvtU2}1ltEH+G1kO z!*T#6vGZ(oC$W}xp_AD8UfIk!kytCY%8A5UWmQfjc0pR@L}INIDkl=VFs5);Vr`-- zClV{QIVUl1T-G^>m8Bi7$ixPe|2PX1y9_FN;p^B1UO9l0n9t^%#C#b^I*Dn9%8A7M zag`H^1$31Yi3KAnClU*3Dkl=F^eCK_SPw}#pI|F`+6Uq!c2PtYI*DBzbGRZC`)cOO z>oKt~RE*S_S>~1lD2ZKVkLn~gASw%;#0G{{P9%1akB1tE)2Q`%wiOuq;oJef8q?E*F zXC&z)Hpfsok=We0%8A5cy24qBJrq$nk=Q(&a}s;_|4D4VA$yDdJmy=sd@&~04=P^8 z!~zL9fRfk}Tir?Q8BG>)P9*lMN99Cf&q+#2?7562oy49uR8A!JLR{rUVoP;}vl4qT zqH-d!mu${S?B)L_u~!V)i-~~`bXQgJ2kULyH2k@`QH5)L3Gu z>zdd*s8FRp_^O228~5?pfNiUT3gF-Ff{GXM+|Gr_f`12I=inz1W|vef&GIt-zzC?2 zt-dYFi#bPD>ST+w5O70rl2#Zt5{tn9?Eg$QU|L9z0J7qCo_(#ow~ z@b9ETvQj@^Sm_xty~4ZM!8nB^#)Wt8Ln^6q^j(aHeuHPv!c`8*31OVZNH?l?>K(71v!L5neg&$EJ*R&K(icE>qKR+?)? zT4{OknYw6YAo!X`a;<#n245>(TFDqJ*;D5Vjul@kwDP4}kkZN|fjCv8(6Gb8JK}UEsK;^#$*ADc_CRDRO}AA?uWWJpp{|Z8}>l{y>8`_(sXi9B7zj$$lDF zP$p#p2vVjpA_0Ns3C@v~oAn~CterNLTNw$yo8!4wTBX5TwbCg8zUlS^b#bxeKtrh7soWodKqs0E zXRSoC5a`0|gq0cTB2$@B(sK}684bP}+1ykrT;Q8+r*aui5SHRYNo5YEQelxYm7zF6 zmw7lzsmu)*X=T`m$|upv81T)F=342Gn|Z$dYI`MG8LV*y#mf8`1p3DXDXk2_3A)n5 zIkNJIQKS{KZ>IoS84JEgGPza;dBC?omuqEklqIh*xPoG30Zzssk07O$!N?7ca*nJl z@)T*M&AKBs(8@UQEz)wW;Q8{tct%?0qPs{d z?g8H(MJp4)_o6q~%JpIJy_%L*CdOHEm@ZW99fap_1+EVZQd${+6Erc-Ic}xmfns;i z%pXqafL5*t-^(ZLpqmWvt*}#>>}E;v7bGQ>6>$jMWN=w$g08{|n(XErrLxLfWGZvq zjriXwCxUNPI5(Bsz2IAIFWad)OODXEf?{PgCU84VhGNAHfvGw#Br9tpMOyi*;~z)R zN)&u+^;|3WCcyWWy=fv!a}%RNm8zOy$X^zQ<{;G6{U| z#dA}6Cz3^scg$Y;Bn3=6VyKpfhWS8BP*YVi?ni)r^oYXReaWjuKxPoHkMx2bNNY+^^{Spv(I?fBp%2%!;t&CoI`5$QIX7GLG$+hw< zZsr5_4tgFp^LQ?xS~-A|@vI?8IYIs05O^ME-Li71*d6pk=>D_N%4F~zI$;OB>;>On z_6~XlH?ugqK}qFs7y>VQ|7)dh6aug4wiSE@E$$Cs?%vT}Xyq309X%nH*W-YHB$E@g zJj;^TlY(PK0}ljVk8@dPf-a9iV0o5ve1fzp#V*?|x8CqNTDcWlPFS|dENC@6(#mR$ zB_|qOL9tQ;Ws@Z9SP2^tSgr9wvQpDiWGbun#XdwUw}Dnu%Uvt$D&jJ{klzML0*PoLcMzJ+Rfd7OmV4+Nmc@(1$u`4bn0de6dU3XmAB3m4+z$ zp)N>K$W~v9%XV{`b7Td7J1cJ4J~Cjz1!!d|XpK&=@<|%Bvm(;UHV;eQ%mq{{XQd$^ zPI_?G$|YF{Z1eC!veG0|q?Km}dje?X4$zvqawlk~2HLsyX8t_Jl9M&Aprq0)41t{% zDO2f{fWYT5UPxA&8%0`qIns6lTA2n~^GvRl-3icI*~>QLV#!+!uAo?HWk6teLXgtR z#Zd@kI3=vK4i{;q%a$dNp_My9YaPwC@|_1XZ$eJcz9>uHMhc3RG7kj4^KjOgpo_c^ z*cas-rGmem6}MJej*4tXD>`UxPuM{}M?vdkzpm^zSaOQS6%;F-Vi5S5WF0F#vJlvB z@ItcEMJv)u`(vA`qLsTq>k`RLz%uUf>qt1T`qS}uv&yA@6?5=oeF;UB9;kKTJa|Ui#bPD`W2hX zHwS;ik*eGWTEE;>g0*$fu97<_SSQVry1^BcR0ene%LFN{Xi>mo&XJXY#jcgNrXJML z%5=~M=B}0CDOu3)vUh8O>T4`{Hy2Q?3`ql)2~t|AzzM3aagMA+irqm&ub$06#oZ5D zBzFe|8%97I>6TU+C0OzvQczMEsRNdwD*BUFy5j^jN^p*>T<0!w2VI*z&5u@QfOefX zX9oqFBtRP{cTmvdV##|AuAo>MmjNsjq_k3w6XfBPuri_8wbI0>vlp#A0NR8T)=F~^ zXgAn9s6~_|r;~zWWl|V|%{`oTQt9S}V2dc{C>4A+w_a^O9umgiA@cr<>XE%mA4V%P zLA&vU9dtpACGXed1htOhyLVWqT04lX?vjPz1u;Qdh1#ug2)1^t+?FlU%11rsc1J4@ zf;PpSJ3(!6N@nQNO4|%*(~KM|opCbSa?VZ#v^z1Cwi(V*D!RRF3#1ZQf5WqAWfo}q z3Cp%~m?a-Dq?ImS(C*7kr4!0_wn&-E3{0wv*S0d#Q)DWyP5ae@R%U~ScLn+HzkCEM z43>P*o*-Wwv^fS>u-6J(-2r7QEK*vTi}rkRUP!6LicRHN_m7vNl{uirPDrKF%aXI~ z3F_en?GZOuP^`31K(LY$kjoZ}bO`pKLbCE`tjJViZ*0C5t;_}O(L`=4m&93ewmm_; zbfbB?S$S!^o38gE>QR$`z%c|t0eXIXNtORkl^Y0wsD zxPp>OSr&qqX9X#(ED1xfZ<=#t<(Wv4smz=D&|hffA<&-Db5j`*Vab@iRt9RIEsb&o z#fmop!2uCLN-Ik<5FDs+j;y>`>}I}i=kW8;$~@3sI$<*pNwefb_5=-0fVRxw3W}A| zCH52zCA(XqoA#c3RU~nwsjVQ<6?r8 zR#FiNj;BIy#kb2_q?Oy3UtI;QJc2FZTq~1YEQx1wSsxN6WkB1=Mb%U;zyu~)q_nb; za~V!jD(||AwDM=mFY2O|M?rhnlWXPHC`&$Sua(=vpvm7!?NnNMA$Y45m9+99CUslb zw(?Q2YvudHS2sc{kAe2l32S9qh9w`jC+JQCH2FKJZKWm3PRnpvXW4GmAb6+2IZ9<) zqR3RTeX6ZSE02S=EuEXneO{J)!k(b%ZqRmmxPr2S&Pzb>KCd8UDtKvmaJrjwWM!A9 zNGqd`|I`+(JOSD+E!WC}ah6FI{9Rw_Sg* zHdH57pE9JCrxTzZFt~y;LFYsv_*7bu(#k+R+o< zgI-RswN zTS+d_WhyIh*pduaP^>hGLU6f9kXE6;Y8ZkmI3=u9Gm4y`j*l)qfL7w*ua?Q3pj4D4 zpRw1<>M;0gN4bJxpcj+C(?h4!4(uMXJjF`J|jqJr5@UQ%itVYsb6d=wHvwvXk`ib>z|Oy#xP4hZ%@!B zFZdgB0o6+51Ozuy0`^+*H;h7Xlb08gl}4E&Q@Oa_b5Em{XTaasm7B_k21~wRPtfK# z_?uX&_6}-zbBS!WviPdUv$X{+L{G_ zvkX^ICa6Icf?M5!loQlEj9iv;WTi#1srO;~y1I(A@@wA@$D);`;P2|mweoX<-^WH;*&hRc1t}j#gd-zozF}Iq2bEfG@2aa)CcYxhqyq!2}L^xU4fll^O&O zaZ04pBT=N4e-npHwDJ=8d!%!%9FFmC&Xrb^~@@;FyeteljE;J=(xQt9hPF2gyp(oZik zl`|6)KSL|8fWKcnCzVjuF#oO|%Sx!47yMUcxq@P)ZUV4OkXE68Km@Rub7aN&V`+gu z_53!!&3?4+MV`-?i!ICTG1cmCv!9PS3s`l$jT{mEvAf=TdXs=G3b7Un_Y$};l zwVG&U8Tcca+*Im$S@I2+Or^dX{3CKxsU3q*Jxah?DSw1U6UhCFzDmX({LMW(WC{hE4c<#q7i6w6Je z1-`DVu_x#}7x-`E0%|JN(-3Om5u~(oTNFa)aZ02zB~zr82hwMLf>xG;|8`fdmDXvN zTx(Czg_zu(aju|DP_-C@T3fRwt>~E4g$Z6r6LgnRq?MtGCy$|(72v?w6Y5Pc+czq{@pgD;p@s<_5}Ik z;D4AD6e|z|Ec>sO`M8<=aofrx#ct*vzt&lcR^9;rBPVR;p16Yydx9>)9kd`ORP7za z!Vu~i{jZgUE(l!|wyi9R6`9H@Gdl2pgh_&bQ6e{$KDdK6*b{V_3;c^cLe;k7azm(( zMas3Z7?Zk;*NL_Aj8>$Toh=^Rg;rAFeS21NuZ zt-OY7Ww6FMvhsSdR_)(m18(T!>y>Kl~q~f z$TL~NzW@~XPg8e97hQ^0)`CB2b>Lrr!djUaX36*L35t5bzahdElvIwO?8LAjrIih6FY4tSS$R8C zWGWwaUU3#$c@zBaxN=jO>|)9HbxR>MIRpOpNkOr4I02!_Tvd6Adq0j`hI3@)gKUvj zZanGAsc2#TA7NkD<9ZvK1D0{7@R{ZY#YSz{^0bb ziX4isSfp=OtmaPFda5;w?yqm1vcTulo(O#|4(4AO*#Vm zY|%mj4f@>2f4z7Y{J(hkHJ8$uas)qRCFuz4*Cba+VC|5f%241v@E;2ED=B54B5=SX zNk`ydRC0v`2Jh~A6b0S~{}F>! zz-GLl5LeL^yv2Ih0<{cDIRfJ9L(Ufx*jlmXS`_#Q0`(*OxCTl6XlY=J5@$30`k9gRV zEUwk#q$AMUBZ>+O{NA_mdKB0S0X$OzuhNr!$`O=ll5_;{98c>}=m_SGoqHn+Y{N_C zGW^;**{29}N=VWX=pw0t5v|#m7=;4c@d7x5Ur}c_IvcIR<{W{`Jd!MQ1UKl{eSiX= zLf|T#gSk=JryN25xFj8cD?O4cB=GeQGd@9q9T2!C%&&fveTu*!doV{}u5*{2-Abs0%I0%N?AD>iqgM*{2AIYs>f(j=;pYEG#6@3|@Tz1$N;@U|D`une0;pZZah42uyZM zu8_d)J>#xIfiEC%M}%K1Ci@hD+wH@01g7e;u#iBw*6U}Zz?XQzR)${zCi@ftadj7e z!V#Em*A)_IzUJcUDDV{oX5%v5kg*$`jrO2Nl8(TvsN@O>T)G9$LxJ58co>)IdvV#P z9Kk~|Njd`aGLkDKP|rPMDGGcIfdyWE4VLUv1Rl3JN8pLDBnt_wS=Rm!6v#jzj?45@ z`^Xi6r|iKTfv4le3QU{!8bg6^@bV^HreAqvpCa(AAxTHzdAH;W9l>QQ-9Mth9tgaO z%k+>g`xJqfy^?eUUa<=c2~^xvYXJ&;3xO4Ber=N+OA&ZIE=fmVc~)|T1UB?}?N1cg z3xPErz$=zypCTZxM&eI60;@GySV&;fh{gEsROLGe7-@bTlI&9i*2g932)tGF5p>=( zv@;6qgTT8Qzq&|{r3h?vOVSb86p>t^BPiEjejf$ChrmY(e$9~VQv^QLCFuxkwhIdh zEd8mTi2^@BV7rT72_(l-1U|7zM_`*r78Vj1H)HcJDDWc$c18L1JqQK7(_&&_9#jzWmSJUr2 zl5_<2*@cCU;O|*Ou)WHD2>cS~SJ=q0lsWj>9?TKgZ^*(z0t1I!JO~ATfxz!>e%*}h zQv?oVBrvoW2prY<)iAP85jY%{q$6-7F1bPiTcI)jfvC!F5Oihv zH7>GG5jbv0(iX6;U*UWqf%x$7-6(+no?2bwSFZ3L@sO*?x+;Z}jzG1DC@L)Q{*G(| z6gU7u{FhR^9!2&!M_^rR!k=&i>ZE01p(7YJzQ!dea1es0diYf)vQH5>#U>qr`kE{( zBye>3Icrhi5Cj{?0Iwa9eTqOsn{)&kC1hbCfmC3^=_v3!1U(skMTqQE1e(}`IRYNL zu8_dnzaQX#ZTSO&=Y{!o9(JR>ldWqw_!Evm3%l@t3T$2E-Q34@GYb3(!3zz3^#&hD zJnU6;K}=GPfb*JCNMO;{oB2E1Ul45X<=14$v6P6~+MFZMHY3SG0`)uA7>ojkA=p*t zS6awEMWAz7l8!(ZujC2|1X^t4e^NUFK`qO#pOAfuK!qVmN5B`CTp@wJ?@bwx0!Ja( zGs3TmkbR1PxZ;67;Ry7wvn(X=?XEsopupb{yfh8kqly1V;F7o`9f97ugX~j|;PR{_9RYE50_O_}JeKJEFbezw!K-6{*CoiY6oCN|Njd@pHOUnc zSo-{!l_+o=g2P<=Y6ID)2nbn%?A1O*rbZ^GYjc77Jmz$rP|NjU~ z%Sh4@xYLkaA%XZ0&=&Qv@E@CFuw}5s_RWftyO2J&po3Ah_7Y&t#W1QZ#8U%k2^YfHtpCWM3BS}Z#kfaJm zG-TSq4^iNB2p%=~*~fOHvy%_oZXJOmQCV2%2r74M#ZT8I4Isq4{G4LhryK#kUsw-E z;NOho3JFXbUOEs38bYYL&d(H6&=3F6xC&FiqMuA2Us+;BK|MDL3 zkgLc#x0jQSK%KZKDlBkpXm)U5!CZa(h;cdmRzADn7^}nFBCWf zLT9-7xw^7X5onl^q$AMCkX#{wL7~u>C~zi(&W`glaAlt&&@?JZM?joi%lSeAYwo>) zFVnLi)Dri>O))u^BGAGkNk_nW(JCZxQI9v?LxCm`Ds}O*Wu1pT$*t|fas)0+$ihMb zff=I@pg>aybx81YU}c|j1nqQ5Is)w@k}D*zzjd4MQNRPCa*dzaD*F_Hu5L*>0^+Py z&KDARt=Sd)4d-kK1#lnC4a>0<0nL!4BjArqu8_dMXSX#+fpZ{qF|MLVva(MR5NDY3 zCmex`Y$t^ThEAWp3I)!EP#9OyqQw6r(8nW5M*vUuupWg3HXhxPL4jrvx-!Gh7`58) zfA%U8XM^%59074QDCY_ZyfLfUZ79$jLf6Fjd7!*UJZynM5lJ}$gEh$&67ars>{=9P z0ih8ZKf6=*DMujA+~iL<0>iViu#mu?$6h-b1-4Vah4@7E+nvda?2Y~;Cu+(>gDHGIuCmeCfl4NFgYX1 zLIM@*hvAYdX$7HaaemgM>{E_lYE+Vrz#aBc6%r_2vj9sr!c@2M9_8#@{A4)nx=+Q{Nrrxbym{?jsH;61wsq-d`%Im;dNHi!Z`n- zq$`9LX7V+Sq8eUjH9g6(tE3x*p49R+P4H3?{>N&1D#D49atJ*Y&)0NQl-KZw)%3K% ze<e@e+DiO-pqCQ;82kON@L?_eXdQe^^Z~ zxcLty8iZbu7YcB~rEGWK9AbzSuMtb>AWQrZTAJ^L#Wz1DyDX&_)0`*?K*;_o8LCi~ z7G!gpUiMN`5JE3U^BvRTa8A=JLMa5HSKaxVUWn&3EfY$W5L%X3X_=PO^jeG)B|RYY zS~{Q7ig->_B1=s@A@sU8U(;%;;dNGe%fr-k5rme<@--P$qcp7$N*6 zg``U^htQT-zNV9m9HoyFoG9rFp^vlqnw&qISxTP>rG60lB(G9KEk|jqQ0fn%t$CHs zO6N3fcXOiT3J7fv=d;(0-0(Wf-ltJ&x)MU4Ch|44GI$MtSWP=fy5uSdedf;BROX=$ zr)j598UUf4d6hcFa+*FDN&_LZE3Z<8mecfwmlGvdL+FcWK6{}|PSckpT`~wlU#9al zT@ub|`YOwblEDz#?akM8xslWKwNSbSLSN@q8sN!k$_S+)5c(#s(vWmc)1ELVN`^vc zPb{Ckk$O(kw+U*BKqDIXKzL}r|G9OHI0PO&+dFpb90sU z6Hzh>Li?lnnjX<}lztIP*Foslyh;nHhBsP4{3et}L+H1>N=w2yO4%4EO2$Aao6cu% zX(p#hyttQ)h0sB7zNXj64R5sU9U|$HaS%Eb%h$9jnxpi4mJ=o8A@oOHrBo!R=})0F z0YZP~Roa-V^jCtEu7}WH*?jgsBsaXyI;JCTYMKb4BjJ2aTQy$8A6C=RDF2}ZFN!;w z$k((p#%uV)YC0yAZh+9Syh^*%qQ!3dM<`8#&_8*VoImbcO2=uGk{coPuREW;pR@l} zs&sidQF0Shx}y1-4pI%T6H1jVMol+EB}?aPI;`^={;-;=2&KtTStYNMI0U7sL@3$|X*wg!iIV%F@{CwM zd*ij7rZY*pWCm28na$TUDU;LG#LbD42cWV^IA7Ck(VV8HLTM&cHqEP~)4;sZO7Co; z^dMB8omXjkHb?24C?`s0LFGA#eD-D=IZfxLscAM;Hgo4|njgt&YVM_`IZ)X=ny+c0 z?2*URLMY9J%JcFnEsn`9D~OgtDF&4-^C~UXbDGYlQA!?y%Jb9t?7bGrX=;__M9Dm; zyuh2UX%*G*I?G<`Ff~04m91m>n%0pUrRhSUG#@J4TsI82~Lze3YFe$K6~kCPE%VqH9ZEEZNvGR#4l#Nj@uItW?mE{#F*LJO9&9f2~}a{ zr8F*{uVz~Rs9Y6MmY%J~%svr9)e)r$)nMjj8ljqq5`=0oGwdN$8&Ql<9cErmz*QGf zl;BB-GK5ZMX5TO&HzI@3Da`EWB~%YloKSsc_IDFH6_HNpG(=fKr!(`42%!du(u5i^ z^Gc0SBSZ;8jhT6shtL^_Vua3Q<^Te&vk*lIHbImj)RdV6!-PDD3_@o!^J*`la}dP| zoy*KYZbHov>4cgi$`We9%)t>t=OIcHYRSxNG(zViN)T$r%po2^7a)oeYR$}{1Y8#) ziV|#tC_|`}nUOFdFCv3b88e4@3AIHOC)AFa!`+11Bhm?VK$Ioak(t*<2z5e~Ce)dk zBQ!!?5G4q8W#&i^p>Bv`gvyyYih!#-qA0-%L>WRpW?mO2q#-g0`I$M|ODKRSPAJIC zF>XR3L^`2LL|H;Tm^n5=s3)Q{p^KO~P9tl#E+fWS3nR!Q;&^SZ}q4CU|<|Q-%QJm2A z%)HZ0Xd)t=P!v&?&<)JgBZMX)N)x(~nRjV~ZbFnGbTc#W_7IwkC`RZOX5K@25-IBGL)zh_Zz4Vy5+X^}7+JiMxlH zGc-c?B1#atkC_j62u(*6BXmDAXA*GDKolkT0HO?`naq4JOz1&G2BBHZoaH4n8&RCl z9A?gT6Pk-iClo`JCG-$8=R^q2LzE`;Ff-?Bgythk5PF1}F%O|f5yc2S#>|HZxE@Co zCHMrQ4505i=k55_%F*oX}IuobM*|G$Nf)98s3gVrD)PA+!Whn$R=M zd{iU!ETROV=a~7JhtTtgVuW5`=HmohOA$p0zKAG8=p|-85hnC9B7@K?%v|6l^eUn_ zp=Hcm=qB_UBArkIQI^o_%v=;9v>Z{I&zKLNOXy8RaYE~vxx`KAEkrsY15uXH24+4JA!H&-6MCDO z&uWC;L6ji0k(tkV2yH?XBlIpapC{mY4^foh`^4bhplqIyEnX4m&enFHb z^eZ#hXoP-4lpvI4=2{P-1BhaT4l;8c0oNf!QG&lC$`Ja4nQw*({fWpR^cOSNdkGyz z6eo0qnQyrX9Yv%Q`WsP}&@pBj5kmhUN)tNH%ncf$e-R}(!m|!c(?f_MiV*^4zRiJ) zRY4RbRDvi&s46qx2@|S@$RJdmnH#-?Y9NXes>#evZbG#X>4a({$`Y!>%y%P%>LN-L zI*FO@X@pKjlpy40=KCH(ryzjR^IB>E0h@yl}MU)|Q8Z$o(6FMD{L8t*UH+u;+ zL=-2~h?yU`2{lHf6FLJ?me85Z+!7&l7NRtvCd~X;Bh(a8f{=%qX%C^Z5yc3d!^}@O zaItd{MF}-Slp)ldnOnnzS|BnAoyW{=UP3Jq#R;9y%>ikwK^}Gr#Z>YKJIJs68{kbQ9`;NGH@0QI=3AW_}eR z)EQBlP#0$I)(CY)lpxfNnO}Pdl_QD~>dwpz2QF5DC`!nOC__kN<~LzNenbYL05kV^ z2?Y_w35A&Xt(#CKBArkVL|H;TnYlMY=psaELKidhJB?5;Lm_t8qBx-u%sk*GG!l_cXcVF>q3f7=FhXcFqBNl~%siwK8jC1FXdE+t_YfM7C`M=k zGymYg#jZybB{UIHhESB5e})O&fXE;;iJ53Zev|+nM>dhtO0+F+z7R^B4y%HVsjf(4B}fgmh;96DD*QB7@M~ z%slQTbPu99p?jJ6uba?)h;%~JS!!a0(ETiRy@${YmKvWS^Z-kZixZm3Qe$*N53ggf$EK60!2|dSBp$MVp zSt_6tdV!_<8lj~uyyGH0`mMZ7K#a>~lt_Go3S*nXhXcMra*NH8%*o$x_X9LhD)TT#e9MEOibCE@rTl#~`$U zrJ8DlOqOcGfs4J(QfC^3-eIXTbV3_hsS=^Fv(zaZxY$Q5b+SQd3rn4(6Z)8?>S~12ELGbe^a)GV(g|&4shS$0 zZ7fxT0~g!QQq>GXpR!a{jnEF3D&fGzK4U2`2<>DkrW5*{rCb`JT`YOrAoK-G{-YE6 zk|mF6guY_Qzd3NR-7I;;AoMj$9@Yrq*Gc}xfs1{^l7AS4_ORsdI-ze_(s~Ws%aRAg zv){2~Ry@0pC4bYX?t7NBUSoe?$^D}4N0$6qJo^(%{=~~%>}Qtz!63AsCBN4R{lb#_ zG(x|!=qO8msuB8|CAV|nV#io=t3l`=mi$B`betvA9JtuO zEV;$t2wW`rkxq#5FXki!{M$kaRpDP@N~nZ?uPULc{L5YmRpZ}4OQ<^kT3kXk_;>XZ zs>#1Vm{2W--)c;#Hvg(+LUs7}KNG6Uzm%HLN&K6#37yQpzMBw!%WcXabPE5Xb3*m_ zx33eb&%Y9$(5d`;>It33zdWDN>HHi02{qui86eb<-}``2BYr~$LXG)dA_$$qZ(Tv? zOnyHNLTB-td=P5F?>s`NDZ|^L5c2SQv=BO*-#CWQIs9%mgwEx+*df%6-}i@5bAB@- zLM`|mk_es0Z`(wuCBK&xq4W6-t_Zc_cikd%0lyU(q1OEVWP~o{H%%kdhTqAJP$|RP z%MtSOd)^T$<2T|X)Rx~JkWf2*%R)l!`F#`#b>KI5B-D}LagtCcdE-myEbqn%UF0o7 zp{u-aDRg7j%~YXW-r*Ix%iGREg}fIo_~Z?GL6dh42EV+OF$CoOl_4l^`iz$~S$Cqw zf9bVuj}1NKJ-eZ&ypcFuB=2qx7t33&LoazBc(_E~oF00!sYdhK>z+FZveSIJ|DGKBtuHg9-2 zstVBNtq7qi(8kaRodj(@qTZ?}Bgzuj7}|UmBXkC|*%>9&0@~~mb>|@xb#0-|eo@yB z+WaEwDxl3@qRxj%)b)Z=Ch9JMQV?}lLTMdQcNHR0Hylb&7j@S{X#-I=0ZQ8mG!aTW zc*)BYD6L2nx*bY=2}0AM^x`m~`=PX#sGA3+{Y2fvP}*PAJq4v=9aeoBN{2_N<0U8^ z&w;Dz%ZM_B-hk4{I-w+#-Vz~XLg`%`xT?O5C_`uql+F<&e+;E_W5n%((kHxxzJSsN zZbIKd>5?>|@1gWrQFjnZ#aXyj4?*c`R9CGZlpf3Sx@tqfTg^jgD0r)L;Hvf(c>8Cp zb+fnz|Bj7no533iTkGbk5wklY^$~c7d8z(W@Q#ZU+5z72I-xz_otq}~EqG%IYqUGc ztIfpL!{A*)3lmr2o&`JhAaw-1&uF~9Is@;k2|@tgWidi0fp>kJ(8=I^OSeY*d|BWe zY-k4Fv|)L>=Fz8LLaI4-<=UA+yWERE3>yuWbZs@@HeJkmyR>bXdT!TYbr z8tt8PE?$PzryBi>b6E3v2y15 zNWF-ZsDB;G#zv@fIh2jl2(5>*+rxz3g0iVzYqS>k&Yy^_+o5cB)Ecc>>&>qr^(mCi z5%pg|+0rz1?uN1#6NG+(vUOQPKSSA@(%ZCUrAJ(@8ZIc?C39PQPSX@p49dhOry4b( zO#GHzqZX9?;^kx1I33#7CWRUeplx00ZCamt_v4eTp)F=Ea(m_1zh@zJA+&89r_K)0 zHb9PQbcD7cH*o=IJ6v!-7f>qy|FUnL2e2gSHD| zgoZ=gg;_$^L)$fin+R>!X06dadBs~5TknOo-|CjPd1HUV+-lqhZNKwS=WJ;EhnLVC zX#1xaV*#`~O>hgL9lrL6SL9I3E9YQq0@`(pSfjNc+@Fv3I<)J~hj7(c4ec&<6Iui9 z`a}tBf_4)G_b#-%K5C72%CZa3z=kiO-Qt8bS}c1_Bc#59cF&0V@1Wi58jZ0J+AWU| zItcAHi*XM@yN}Y=Xb1cJ@tn(5vj(*LLwfr@&~O`4HKE;KywO$j6lhjn*C7kJJcNK>JZ?LcO5< z16e|sK>G(Na(pN2HagC|MWFqfj5S)zsjHJn4TJXUyi`91+J6)$G#1)_EV#+g{$QB6 zTcG_RYQ@o3wElD~w$6eM=aK-fkX3=r7=O*#(4kqH>K}m)9d$yFLWj<7LQ9~-ATjPU z&|$D)B?`(MY_6m-BdO~vY6f4pNHsnyV7rbe9`po3UtHBIR7q)yxx=wQSNeGDB; zuQgiojmyVi>t5(^JZ_D4cAvk$M(R80@Nbste}RstLcFmJ5ehh z=?i~e@ruh;%MBgH_P`?z&smL8);a|`j*js$YBhq6Q#3-2q2ujoLM@=0Uf^)Twmx^;vuddbgD|N zINE2;jsrG~hE8og)@ZYT8rBu5G0;i8GuOHSI#r6!NzkcBg2tEzoyG{4cS5Ie5i7Tv z(HkdV>wM_+7#%zw>6qi8&yjirIz29qzd)J552IyR3Q2qPR`E)O#51?}c!R>&~y@bMN(7CttcHQ0;+p%>& zbiPd<>BCbub8o*u=P6Md;}7UO%R}f-=sY{YQEhW_kr?Ar=yJ8_>;qi}88pV#&}CYN&>-ldQ!CEtl$(4nVrvw-yppg+ ztE&IQz1;v^@NE?zwQqwiZzTv#fi4>~Lertk-Uy-lq04t!%iGy4&%~!|FM_W1WNwrC z9`1wGlhCz(l@uFW!po`Tou^*9(0{T2ahXc;EZ!u zAoV_Uo$99gPoS&#{jBy@=sGt{+-~SDc-^bj?PsBON{K{`E-x z0bLImRR0fjtKube9J+~BQ>PYmJ1@hzI<=wO`P7QJUFo{+ETlZp?TU;wTA$-@evj1I z(CsQ3qt5xzZM5iY1>MF*X^f80?coHWPSEWUO&;mNJ-9;Z^oDL564q!ljVo_O>Qd?f;yEo3hTWP=21Ag^O42+=bL_P>!$n z_^5Lily}Jxx*N*732qLQ4~Y>s7s?~libuKvI;XMWSty?sv%EE1dEqTcJqP8p#Tc(b z`O~6v8I&*f&=_l={2h(ZS}5O$Ltw`iC_k7W^f8pW9A_M+gmpiiUz43Kfk6Hx??qf*S`FWrCXw6+Ojx zw?M_k9_qatDy|I^x(6yo2s8&OZWqtag^H-m&fjNWTicR=PqjJHG!g(#ybC7FFoSmsQ1suKODJI(rqm z|Eb@2eBR&Sj4Zxf_ffUloNss3Wm$?cI| z3BG}=t{!cO#twUqx1- zul7tBg!F3gP0NVigV+y^c-r3h6y%v1a)j>X+(HGxG>#hUeY_+;=pXoD@ zeiMB2)uZ%H{@@>^*Mo0?u8jTQjEC_Pa^1JU7tbj4@|XBW#<~XhUhpb3%%8dq=?&n+ zcR*+PENb{(eWXqBtx&6PKX}Vgq~8YLYE|;H9{qko`W^7SrAk)Y-SiTqH-c}Iri>ka z{OzttZvx+DRr2fiZsB>q3%+eprTV2_U4BIRJ@DNaQRuxp+YCqgeefMnoisnZ{AZ*; z0N-D#lgrlsb2ri-g2occAXUfST^H%ipw;jw^u4u0_yMKvN1&Y)R_IG>o%}G;TR_9N z7$>To*Z;c;>5oBckXGm+@C6{92JNhfLQkD>^bDjw0qtDX;4`Nl##iRLTS053N=`a; z3STGNK;yqS+MB!gh+7XKy&beps_!S3E;^3%r=WFLos_q^?FOWGfEJ1>gPb~LAK%=c zf!0fPvf}C^{gB=X+U2T~Q+IvdAL-9QyGnKPO2vpLklqE_5cMc`?06mD?(2R5+DNw| zx$pU_d9YuCHcoZ&=gfAmBmEU`hw^BfT5H09$ba?MA(a?+SIl25p+*j14ay zeeE}-Goan4R-5xKbtC-^Xmix+rDNN_fb<^F9#IU!yW@XPBmFIC3zfrxR~HOB4e7n0 zEmjRawB^V5kp2#|rOM&JuIbNTjPyRxmZ_3yZ8ksn??J=mV$UT!z34l>AbtRCjXKD6 z?JwDd^pBuzRI4vuSy2b+pFsOiR|fg#ZtozZe+KQ7v_e0=|M!!S-VfSNk3x^AQ)L*^ zzks$oqR?+wd+bJ}e+6xCR-r%KIe{Vl8)!ePl0Apd8H;olv;&4xy~h39M@S!_8`e8V z`L_G6X-FTWo5wr!-bK-ukv>E>a(C!G^Cpi&`ggjixTv{)29C?$BqhY{fU= zpLDZvhwgQ3T^Q-V=*Hg;eR6tv8PbQrj~@>mx<;#k_aS`*_zkfgI$K_qzc(DEn^QaV z1DOX}A^kVqDB7Wqto!8`q>s@}n;m-A%ylNx|IiJT9s0h=fNPOHPB$}l==06Z_))Cx zzjR|^hu*jO+wCsbNiMp{uS2hky|^1`MmOAb=#hOFUx+l&&2JsL@ywq4kgh^EqIKv7 zkKcqZ94D2~O<^6ns;hl(q^r^mS{?f7<(C~px*FXq)uFSCUb_eB>U85$hlVzXHz8dE z_)SC|x@L`oIK?N`q#JrV^zobK;XBDmwSeDT)1hyF^spD{+TdU8QD}WfjkA%iLpPmt zs#hMY)*0!#z;6KQ(2;w0zk&2gbTdYW-g>lWU!+f_8zVZjIdkrVNW1AKg${kdd)`+_ gpF%ebbZBp?_FAOt(arlDdZKv|uECS)^Bd{?A5YQxR{#J2 literal 0 HcmV?d00001 diff --git a/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.ngo b/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.ngo new file mode 100644 index 0000000000000000000000000000000000000000..cbb3015425ed57862d0c7133f5aad42b99ab05df GIT binary patch literal 167525 zcmeFa36$K#)dgH$urV0ZtYME5oCM6)Gu|;6jaR&Pys(E67|do&2M9YsYype|9YR=4 z0I?Gwq{HF_2Z&~jZ9LxE)7y+QGbr$dkc2?9hMoW3QmI?j)BexTe;oKeAJsYPe(%0p zRj*1V>9x94ax-43Uepa0GW8K_J8Vcj&O2#nG9Eu$h&9O=^?7H^JEw8*u#4)3oz-~G zxu>2c#M);a8m3MfGqYjlvCv<%q&{K%&^gl%8ZmT&5bmi!M31pE$2ZI#-#B~HwDGfM zH%v>waD0h|apRAgGgZk*SL_-`PL8sIh*q z5bF=Osb1JP_xy7khY7J^k|FiE3(h}9h}M27sU=}Vw$@3RvN{Cn!dO`yAw>Jlrc}>8 zbwT49LS%0>Dr(~y3oZbo*&=iivM#P<9VtZTDrvY7Sz$Y)QVTq4y||(dhk9&9MUS^G ztrS#O2YvsPtd$2c`0rz-h{Xj^Ydb?!Mo zS#W+MylEF^2!OY3pE)6bom6Lq05rXAwHpG^-t4Ph2&jWsZTHwA1a7x2c0vFdXSg8% zj#{yso&x8daS{j_qfCh7962>aKyPO6ccocnKnd!Q zl>jsJfF0gQ9W|`~wo%dH!1{xe6&>EMkHsoF{9X4>siLE?%a3rVCr?Q>o_o^SjrEO# z8|zWchIeH#=bpk7u78GYeb*xMT%P{w>Ko_H!|1!%D?_Ne?JpG-!)e1`Y+BEo`;(JT zYP{fd7IWVDfIH0)JpUX8Z+s-B!Pv<=#6rmFIPbUbZ4i+z@cj0eTUL1hzG;?KbY^ z`gTaK^NV`Nt566r+udm^`QDFmSNbJH^+ zpbqWH^$1f4><}&ldnC7WDwGGiEVorW1fVN(Gh-ouXVct|GGT_VR1eELtnGgWRhL3=vI~x~VD8#B?I8=gTWEhSSRJ8sQfTS?IL6-GP%!B^v!>D9H+txp#d|(Bv@P=5$jt*?GOVk6mhQlpn$hfXV&pmbS z88~)v$PF9J!p}@sGK1E3gjb=VtUasBs^f{g#i~N<+0a!5M`l&0jNy%OUUFBSZimq! z@P@nn<;!DXcqm{Du2p@lFgmQUjsfDTsg91;^OFB^vsS_|REOSN`JRRjMG1^PUmj5f z*YiTZ^0#)l;GrmjSDO{HN?~{~U4|Pgx-|9>7LD#-xo;JW&Op0Y+=*%{dtnI6hS$qi zRh5lG(C`%ls$dj?)-1oq2^WGL*;og^*T0q~%z%0@%3QXq9cH218|zW#O9#Zla2;Xz z`_i*k7)C{n_1GaVeNqM2H`b#sUP@|()WZYD@XMS`xDYg=u^wHt>~)O|u(6&F9&hVx z6oS@eu1gbUGtj8!MH&e$Z5$j2yrBw4kzhAngsheBjl-|OaJS<=L&7JWd;S?G&2OCd zllk*e&#frOuZOk%JQHZ>q>PgcG&q`BoCq|uCi8GA(9mz0{_#Mg1M9ym1u83E|6a1J zqJP%^(k&(vFG1$eo%QSSqV@c%MvJrN{m* zm%p@7ZPQH#tv@_|@X$l!KiaQ;f1LEL@bjN?&QH$g?ui4M8-{Qk0lGdVi}hKYqY`WhTI=gItJSKk`Bdz`Qc;PVIBcFC#LaGS|Oun<-uS0GOg3l zXN5OVW~i||cN7*@@mSt-Nu7sQ6z;Fei%uz=SB0VT3dgv5UQqH`Tf=xpF7z~YjQ$M* z6!MQ_uh3A%Ll@-#>FPYRBmZC(2LJNUgBC6i?a2S6iU*(bKR0zA`XGOztz&3Mv1clT z4U=cn+=jGi!=lR6KyJz^Tfqr9+{ zpz1jVik{L;@?#m_ie$_^P=bT7gg~%JRfm%9*1_y&@m3#lPn#B&$%1Z zItJ+CQV2qX1n9z82tq)HeYRCngLqNQ=Kl zuMPDFwsxsR@Xq6NqN!EE065l>{~j- zAvQgwvE-1wyb9xx{hh7z;96V%Dvagb0b033@I#J6c3w=!IAn(>G)xXzH?CpK`t6Jc z$sxO~pmy@QO~jYC#DuVKH& zfz>-2PY&71hK_Ncz2xZ_2f%xdj&THZ7&^uw`_n2OhwN`HoriA8-)86-hwN!p7<|tC zBB}EL`M<~2Wk%jHC~g~O;m0F~*~U~Tr@Y^h@0ZXZwqca1K^(<1T@7N77#R&>@$beo zh@Y*sxY`$c(0`8m67rCxQ=lYKc3MsWc_JXR*vG^k~)o}__VZ+ z#eogAHB63T?OKUuaG$Mobsk6YhgEqw0$wq79!K$&RXq5YPgn6citj|$aQDII{Fzle z_?){nq4Tge281EpDd3puOonLn^2Dot$_GD!>F{VvV{ypNaWsg{-#4v6EdKqH262eZ zPHB)FvR5Z`42>#2Yw8$>?2c6!%ex1(a);nnJjdNx86D%0Jv^pia>%ZTYZ$YBPtPic zY|PVWa>%BucpS2?q%@v9@qSpvLw6Qlj_EuO*(cLF2HKTXSvh3yOz1T9RN>5&j>UnE za5PK~S?yYhTU*>`Emb@Y*^ezfFGs+uhK_N_UQ@;6kbSxeyM?ir0I!ThL8=nVC@D(kw=CZf*NxbucWa=%Y$ z7zfwUNe$v4%y|h7V^3_aL+l9chi)D3%jS=(Zw6==J zz5Q7g#@+ol6c-*(Xj^`Xsbd^>_qaL+pL46jZIk!=^ZSRJA@9lOuF7aR(Xc#8;Yxw! z7AJHJH0?)iTn%tyF?f;xyQg9DHq^a(UfwA!e3sF83KJId zIvONLwssB19{^}t{!2^ep$oFh3}V4K5su^+RssxG5nc$gIY)!g=IldW7((l^8?7+HKe4hKTn!@YUQdI7ysRU1;p3Pjo$LSQ z%dXot5k}Cs+#cyLf-cGZ09nx#l?z>x8>E$kU7Gt=Cd^@%d|C=4Xj*RTWEf#P{$Yg? zj=hl@M_w(D)QXn>7tcv(`A}4Dd`W}YwqYp^isKLAHw+0axB!2s@W0XQ>vot!%G-V} zp8}UQe2@s`0b|{DB?70(>pol2+mM8Ley?&T?&5?I4y!$_Fv4NAj~PbLhuQva7~w$M zQA60P{k$-Twg12fBXU^5N$xP^5PK}!Lxb4zp{_<^QT~m}az3!u9cGc`x7CkvT0b?l zjL0`oM^Jt1M^=~wWZlCFB7R9|$+~Bg6&*da?sl`HqwVXaq$@h}pOLBP^vu8G>6eEV zt~<`H*ty$2F{#hvOfgP=XddT5H>&4Y9y~ue7w-0vmGKYA##4g%m_4hx;Ppze&{NM& zo_{fkU2qKgDJPwO(isaFvvqu71pr1EuK*o?3nTmkc;S+}5+GkP~0_y5K*a#6Y5<7=k zApkCP4t7ESeCQk*X29vr!;BCEUUdB12?2~GJN$!B`7xNBe|ljK+S2i%5keRTcD!eW z5ZT8i4M7ifj`qSFWcnx!Fi!0F)Co}-baom>2;ph9)4u_s9OUhyPXAtTfUqjl&Fbv0ap>~SVGggIojV$#T)bd+HaH=KmG5DN0M2sg{8pI4%W~)5 z8i(#o3?ppS0b!ty$oCvk86uqV(fM63jIa&+Sz&~27^fjP9Co(@3JwSKP1m226#(vb zrBPXMyr9ipkEJR)THN()rlO-UT`Nizo#i#fDmt3hwUblPd2DqJbVC5z(e-U31fUsR zgRBsMR%Ayw1m>Q@`YudXjNB<*GtCOX`50Y(JkR}q#sUtkuAyFt!8WW(RRC5v!L8^# zBXl(wA%L|`wL$<}ak?7<;sAbRhX6L~XfFh?mbpdz=k^;*friSmoo1kM$JTqk2EVe0rhOT$$Q@@YnZG^S zuYa|X*Y{j)SRmU+D@1-F=?tw1643)8Nkon-|Y%iKHVYw|8$ zTNVnLaynT1t7VO4UTX(7midAaXe{$|Gtf|Gv#_ZhGh=3bo{06@HsUDtNM5oqYd_I4}K=+aeApwXpg>_DSSr&@uAGP7S~ zd`>0}oh0VGS7*kxXTA;d%3?JL6oeI0F9 z7&!5-F{C>GEW}y<@p#g_Modx--3nVf*&!#iXSlnrlNm!Gx@GNca;JnqoLO4GsAkSR z15|8!q1cUUFN#%x*qdvQO;>^Fd35Hrl@Tm-$ArKX9Xf~=q zG-<;I6s$4Pqz$*Gt3bH4;Q_Y_M2j|D>r{d0yLB(w5`-fg{bB4o0{UsDv{ZHhYVWv5 z1HpO)B>2Rl4oXN7H1}E;V*PQLel|Tu@aVDAXCGfTgfr0M`vbi^U4;%IDo+r`(c{P9 zkn911=*dG8%5FC4{_%<8o2N6oarDedv&T=HF>ZV#GBnKrgo@4`k73*V!=z4V0O87# zBvd>ZA?tJ`b37SWL)3g7NyZhKDs&i8+p9u{6aA@Mg$^Zptx<*6)e*ilRRwc$Uejex z6*`#cb!HV>Ct?3e8S33S*^&Mo*Dx%Lz9v>(h};<$SNlQlIv%qFGOyYltK2}vNbG2a z;`bDSm5vvUKtn{(vD^zZ49|`YZlJN0wN9X6kay(E8pdo#yB*lD%Qtko)Q*}u1C}L5 zVBrtZjwh|M%6w0mWfd7aIxALaOJVaJWklL^360ycWA@`{WIO+A1`HD3PDA^2_OXfa z@Sy`Td^mCvMihn^h8&)Pn>}eP)N!s5&$g5!W&aEyW9F>c zD8ju0m58yk&?{<~w9u7? zQ#jh2ewCsUO!4HPZQj-d)N|&HX?4SnspHrlWB{NSP@0d;NM-!Q;OJ>SI^iR;#?K}O z&IwMK!y<0Aft0I}N6#;lVMrbVH^;+}9HmbJsbm~1$70G1V{+8~fm8Or@~mhEbq~@hRKHg#?>&{et%v<(DU{3eDR2* z@#GkIFsWhEzlUrMlWn^{p<&YJ2P_Sfy?39fVX_^61cWCu@f$+T^<{~xeu& z*lc+~mJe+tj@v)p7lYB9K4a#zhN&2Mi(II4e~3N3*n?Ew6aG2nZa^VSHtSwP!(^8@ zaSfAQat|x2lqb9Mu9AkyZokdZFxjj-Tn&@WzcZs@vO8~2Ynbf18>}!Ui<6D4xPmTf zTI7K>@Q3?xhBWm{P3Wg4CQWY~GiTPMamN6^oD6TK-`;2>y;P>^PnU|W5!r{ zX6iwWBYRb#jf9IWOUfD=-jX&Hb0n@^Ex+*s4cDiZ zWn~-7e7mz9ImYXwyTK4 z;mYa*%|L_Gt51a@3mHb{6gRN3x+Ba$Lm#b%J3ezbny`Aj9cXmrm_Qpwr)R<>%N$O} zHd=uO(}}K9hS8Nv%Ni><2PWSY_QUDvK%<*Ku>*~jUvCB)-Mk8lpO3EGWCt26_Y#2y zUkfT2!j`urm2D`Cd=iRe4khzGJJ86y%M3I&;So2`=;qS0#s)oO2R1tW zQc(L)x>*dgA?&eCI$#1`^ST*mFm)!CG6dDF=~ve1=4YkA#_CGQE1e!fr~gs5(XkKH zfeo&#=~ZUJl{LEt+F-b{#y^$d@EQVm&6~*}AC&K=l`a7$Z|e3Va=#=J~Uy?(16MNeQUhaSX#!Ma0i{?_JiX}seKamwKQ3eaoNk2mM z$Z%mWxyHB|4eZ6Hr_8FH@?!q1SB1&|B9p8}Wi?r+3LY%W$h+0BETrgF!!m|zD^k`$l^l!aY4a@zp)~tqQ zBU@tCuylL1Q4PzUS_M?wsr9m7o1H4yk2IHOs$scvR(RF0Y~{*QH7rBUm)vStHfLG7 z8kUX!bFvzi&1p(i!?FimyBe0Qe9@|gWh3_Zj4?QQG#yw{3eFEr2PTxlDh`A!E5uo)=|D#* zIB_%`lv0X3j1DrDhO1CbMaE-+ zMw1&TahfcpQK>8WN&}s*ODKi?gZli#o(-;ZltKsVQ%a!|^`=tjL%pXIxZplb%fN9g z>o6am)WGb+l`@7oUUZ)WB6^OSAq@~^)lx|)7Wcq#Qz@)>SV<{t)$o*3=-;81QuudF(?}!G zm}`WsG@3@B2GlfZ4BI#&6YyBzNH@^fs*%Y+ljX+(jrm47N<(2yqae!?>!=-?4m5Vg zs6?PaIn4Bx2JTWB3cwFJ%nnN_3*9)vQVMTLHH|iuM&}Q=l|swmsE+l@v2%DvDRghN zs}%mv*)%#CXtMNJps`t|6KE)`$%GXehV>Heml>kpXzxI27kT?Lizk4j#Err=$qS-DhkmqIUNg7BFxe zn(aQrYy){isj0z(XpEgT24yr)W=1IE#*bBZOWm6Z&Bf`%wGBw8jYBC-PbVPu7(4%* zxo5Emm1_*jYn}>ws0cXOG{*L!2G%D-G}gy*#$=R*{V~>13M~_5F%9F!aQaA77quP@ zXY;4t?9Ora3mm-eacD7&}GYBbYv62GCcNKx;LJ*D3dBCair}@oV-bc=E#K|5B;Z zDf0UDS8Ee_&f~mlm^H}b;xI{TtlQ|siFup_n! zv$S>^o3P4@>)+i?Sp7TRe{(ivmX7^TYEx!ji#z97)n=YZp8;62H8RgJMzs|usZPtP zMsbLC{>Z6DakO^MGpbSc`&_FU#WCD@x>t?z@OnEg(dpyx2PIEV8_60F9whtm$YB#_ zIFcGVsCd)7b1HLadrVHqIIBAwt3 zS|+Pn8kl2J+9x%zmLroY2Wb;Cfg&|K(6}#;Gy*NoGGe|aFLp<|$|Nn8ve50x38k=g zlOeOIGH99XC+eyi-i2(V;0`V4^lP6KGWIK#4<} zW+)Ac`#epk3WdAR1E9>#dY<_q18Uw5qUagFXmCBJYBk;HLG3Yk*rAiAC+5sPG#u2r z*Bh|)=ehVQ2h!LEiC;8qSOcnGdl1V@#4j2-lIn`ZRJV?wTo;dH37KUHr7@scRrCT4osemU z!p6ptuq4crt}@19eCfw3W1Jb6U+ej{ICo96yxE2-WWHr5sC;qeYno0bQqQyT@tG9y z@u>nJ@(F3cKqGr^Tr&|h`_ z#z##MWa_~kLf@+~TB|U5fvmt^yg<&J~EeXIW7$-ZYw03Y;=H=C4Sxc5``Yv0RGKM&U4!u^G% z_e0=U>xcip{JNEuR}GOxF0kVI7)?y{i?{lN{t~gWUwbS(Y$L32;*P5Q16O5#wf43_ zkcn;nN`2Guh^w+nA9$ZE@S%@Y_TjEue^3Oik8tny^u7sv2o1$e`5^nD*8b_Zue=}S z@Wg*D!SH;~&d&bVlc>6{a365>sxe~a@N64e&d#c@WrNxd(Ylrk)2JfxU(yekZo3Ga zt%Zxmm(MopWMCW2zFP^OGOk5u_Xr>$>N!%XseYrLmQz>4pt!n`NRD|(qQ_FI=&WrVR_*)<)n#{!=0?d{7) zDgHpm9v@)v8n&`+(zT%V6Yi3f-bWaS@`_tEqUGfj}Oy|P=mcFf9|Fye?CkR6wbum@X#Z|P6?Q}nMO0%} z4J_RqGXYiSHQ94j<(W=*Y!rL5iYITYbnVO%WJ2FJ$r~zNd&D)&gVy!!Oc*y9>Vhx!vHifxbA9FR#Beqz>WO&=UP{(ZY zF5#z4Y_ANkThFu=#7kk*Bnw*WaXOYJHd(_a0}`!=>R5uDah4b1C~jfmI4?C#j88vc!Py7MNoy!P#q_>_tJZK4gaeeaBq z`1?)%1a0d`g|QSvY1Fh=#7))L$;hN|Fbx@5lZzz#B#0UWpC6=s#x!tj$ zu^O1w9jlzdeH-h*FHkK>ETKWtmpZ2gR_cz`n>Dah4b1C~4NZ5)a1TdI^iTeq6+$KE zg#d`e4vyEr>>606J9das154Jx-0oQA>gn6)%K;YTP;e_Twzv35!e{wDA4sK`ifI@b z#h+Lj<`Dalr(q>x6Pz%1kV&l48rBBVn(_>@Uv7Q~|9r%b@)>m~XiUYlcMAy&j< zQdpE!!i@nkBiSRE)8+?vcrC?+g)jC-2?HuI7H)5Bc*6j;;Z09$_=l+;nB7pKlu=8L zZ+OpulvdBq@>lnU4^nD5X8zvZ@KI7dpt<2w3sOlvS;^mot-WLFc}&LEt$kqYmqLBK zK)3eGs8=lT65hHywEd+G7x`8k%KqCN7zVWBqU67gf`LNoWM~K~g$yNHaeDLLA;Dmy z^-O3NOWP&TE`hc%M&?2nTLQt1)DY6NE{67))E>vV4)ZNwZ&UYaY(N4S7>j2F9yj`OPKRFeCr3WePl7; z$FO|_+ovYAPfOTr^~6N$7a2&Fdf+$PBMr$^k0@t*XCT4W7uoO$TVL4vdDON^VKdZ2 z$XQ&pvbZJaKRc6+B_Mg~apdeyuzSX1`9uzd%%fhO|}gl!;fKQO5MAcM_P4?SfEr6HN>>EtX<8rg#kk!1(s6Sg>P z^&YjsunmT7s7q~F5*xlpIMhZaAbILRuhY}X z4X|Ag+l`=$>>}81gzYAa+RZUYj(WH)`->7JTfK}Wdus-grJg_H@8az3uq`&2Z!v6( zVY?d&KGWDtweCRHNr|ju2>-Qeg+Y`?+x;|{ea5|BK# zenR$laY(LOaUuIu43eXsy~;id+cU8J!D7BY!uAK)mYUR-may6C!LjTM8Az5|$sy~e zA(?6witIAjmch0H+3*S53fNxusI5w2Gt@c_*)>U#T?2HTL)-cUBu_28kZpyn6=+V{ z^04J#E81kX#UZ(B)rRa}Vvrp5U}Cnj1j$wp5@x-$$a}QV1eim2H zt7X3g^UIi8&_CDH5xJgmwN`&_D-TjqE!dFjXFy7;r6O|u@f6**DfJ{>ZUC4Az}z8$ z`f@vB+X1$nQ`B}bA!XFk5ILM5a=XRU@)Ef{El4Hxh+u9XF!u)2NHgDeU^8Ibw?u8A zgDtKWp~(HfgOpSYP~--=kP_`!QbsK{ zkvr6Ylva;b<_-t*FffluGM@?C5wML(QyXhRDyg+5auXbpn-CYdBQvy3va!X~gP^&o zU`_#Zy0p!JZ3b*JV`R>Bu_Z)qPJ-G|*yg0vW39PkJV;65KcbpD-hxyTxgW_=PP9et z#F$zWICqi@DWR4F&z<6k+$nM4FUXp^5Vi|pyST&}E`jY5*e;i~Uk=;luw5Z-Hf%O* zSIHW#wngshnD7@$$^FcNR1*H`;<+14NEx*-Y;F;3H^O#PiZ$E}+fA_jB1!EQ15#Qo z_?G(>kXut~Ev?+`9;Br37Z1wa1>0iS?v69x-LTyan-invxY!bEE#BM%j>tU_R|}Zt z9=1j9;h0(*GWQ$UegoU%8RmNewkKeFGEMDw7Nn9|aVz(f2`Qr%xXC?hKuW7MwR3-j z?GLamO)}q~U|R~?3khm3VtXMaa&DZO>tRcZ+_D(8WiGaa$gPmJ6|k**VFS z3`l9UR9Nn>uzM-B!cy*kV0#U=*AvY5cWkf2_K!HVe|XrEYU$kEn=Yh;T9q{Swj*+H z$JOeNxp!fE7q<6H%=ZCoAHepX47HDJY%#TbQ|?m>Qc10rp8LXtlo5H61~cEof>aXz zvcLJ>CM4MUBx&nwKuQaLWy<_EK>DRbzJHvy{vNiZTK5cH0tvPqrEN#pc7$yg!1#2r zC4|55b$&NTlte9v*H9hHFOsTJb#$HpPKB7eNJodDbMu;DxdW+QAT!gi8H?c^9FN3E!v zKcxi8R*RM9Ps>2E)S{31GhsUuwzCc9I~%sMVM{{6XBwL+{Kc8_=cPpcJVW>^tLHCB ziu?uOT;!2?F>DvZcBxD4GT1J|_fH*aS0o^LYJtl9RdGnJTKg}5Z48p5R^7{A58HLH zEwq^LM%Wg@_H&ck&r8^BwIFsrm4RfbRfO}uOhYo&0rJCO~au-ysUT^_Yx zr?44nwYB`cNs+%7=zR`t_a`8EYK8gyL$Eyr^bu)$6t+iTd(0;D@i-(`EfSl5G6u;} zi*4r9B}lef$1wj4Y)`|s#ALoDuq}b@IfL4B8ElqXy*~eZ8j`6N70>@UCGvkZ)Jn|x zX4slxd&y(I<*+S>ZKX@?$Iw>Z>RCm?xhb-MhzI3!oC%az{%TL!kQO=b?ZENlgf zS}_L6Q7by<+e?sawODSxBLm4&i;m`Bf$bI8UNxBSRoGsI?Qc-4OL+rqXjYy+fi z0Bi$bi+Qx|kiurDl>!SpCq-drpu0M>eJcUU6aL!_3WJbukf|1rFC2t?2N|Mph)X8U zJ%u>F<+-PTb58;19)D5T!mv0bSFN{M7zx`5*hbmRcNlD=U>j{w!?~w`bC3U~gaXbz zg$7#`#u>EX+*820r!di^HW9Xou;GjYZ8B_=VVed8pJ{BSD9rGv;oMWexyN7Ouz+(< zVHVIi(smSVN5O_O4zOckI~L#a+*820r*OO{{8h#aIQJBA?kUJ~PvKM(k|X?ua0{nf zkV?W|W3+G4Hc>dwgOpSY`4=vP?E=^?MmORUwu@oA zEJp1z7h6L33-lJQa75vXxLW(PaFs0zSH(o(ni84U!geie*JY?(58L&yElg9p(SlS` zF9|5zWJ1aae`WQ;FAPX&;V)WUxD~cv!ggDd`EG~pHrVb=P+N@c&Xih#vhZsUQc@J| zjnQ_mi!Gtvuu-@lw)H z4BPTJwdEeRr0|!VExhbPN(g@?&cbR(6jsNDzwlvU9c=4h%aoXJ18f^$%VwzMY-};% zFNR+zT98V@UuV3q(S(#y>opfTVe5eHl@#-Nu)PA?t4V6F8IaOyQN_aRK>n6eZ#yZx z;Xz7@!oLz^zKQK$u)P(h_7-e!!S+s!+B+_`gjx%_@V+Ao@5e>q!whZzv9ZO3zxrt5 z6WBh1?K5fn9JbG4`!WqCZ`l>TED8TzA;n%Mq>Or-La~nlDXkW&FZP3NYuL6;GGBk# zwuNm#g4*`j2BbuBhd8wzJZwp|xMXo>7g9p_@6IWH3$|~;wnvQ2Jz(1dw!KQ!_I9wv zg}>%|(Xd6)hzWn~?Bc!_q>}KLB`$s+w(rBXUz+*$hiyOD4oFcu(1er`#e17*MDdKcS|PeP-xkIBF|}}R@f_IBf$hf`<~tX*b74C_P3_4FOYtHTQbrUn zNz!(y0Vyqtm#3)x6t>G@v!(4y*lgIYPSAD@wyRTW;osuVJV;65FN|7TRDzTc{%ehR zE4z5JBZ{|}%y$cHx7fmeFGlfK8Az5W-T@On)7VVmFWp|eDGEoAX%dLCzH%S!S*NE*2#S9k#C(N{C7bXJup2m-|@(NH-*hm zFLUC}|F&M9dI1jSzO?nlUjqFcFgZJ>tsiXtZED-aA-QT{d(N6u?jcwb6lxUk^sJCbG55cxcupMiWd0Y&Vqh27~c4ktv zoeA`8X*|7Z>M0_IOk4^O=-?sBJkSz5+-L?y1yAU>9X~DcCh0Rbe@8qA7Z3}Jn z&f>Pa5|BLgO5?VBr(lbar@rLcaV$v+Hp>8KN#P27Pa~qBuBIlg@VsCHdC|@_o$6XVKda5 zh}%aY-zcDmOWSDJM#DDUA#+9olBeDS-EPGoIl_NWbo<;iBvZ7{lg!h=oCoF^F7shb zv|~*8?>}xo8@BndonzCMj6-tOJDNF-sNJ^Jd!^g2&Oox%0&MNqr6HMWy}0&;Dbc>r zP|Lfu|2!tz7dh(v;haR#ezUFK72duq0m)NKR<*y3d@mzki_6+qC$ZsstwU`cm}@=J zp0TNIh(mJKi;vq8OSESl_0Hq=B5VcN+AT6S!qyI3he@rYgw0lOwQheU1IZHpa%SzX zrXiW?b<6F4gY9pyy@71_gzXL3{^?QsR|=bNc%M_R!g$XI6-m1K@mjNlQ-o?DJj|mC3t&?Q-gKcZr zwoOp$k8RtOT2zWNA~z05VhT!(wjEq-3E{uimw)$fd?X|MrKLEvx8ojLy~L5TAv^A~ z)RGXKDcA9!p`KahY|Rdw&;5mpIv$Th0`jDV8ajR#gXE~SfjXWlL9*34LLEyokSw({ zP{-0VBvY+n)bS#0FT&Q0LhuP&Gi=K}YAaIM4B@YY)X|a@9W6lRDCoc_=veECjtwrE zt+2J?JMT~{z?O%t-KMrN4#`!^6m@jPAUSFgqK?0oAlYhhSI$lB_#13*7|i!Z2Aic8 zH|@Zl?!cb*mqYD%D*?$99VLs*caRVJyyHEoy$|g@XdfE1eVD;!sg+DSK2C{_j}5ho zX~$;?NS<13w&P3W`@&J{kaqTrL4wwsrF8brV6)VUq@8_JqO-4|RyFO!wW_n9r`9Iz z+z$ErJHlTBwKE27duTh#Qg+NhvecTTox7w&=Prg?dbD%51SC%_P1?C9^6i0qdt0o1 zA830+Gh``729l*#7VX?OB|7&t)XJls-%mjD)Ec9m`y=0e$ajD&`NkpNL|Mv_&?Z8gEK8Z3fn*8)iJi`ADbYF2P>=O=CK8Z5^?*+2 zEaaPsd~;+eM?sqd4e_MFX9k<4p6=>AJ|#MjH`MbDK&oD&iSq^KMpMd14r-C}qLB0i!dbu>GzI0v*+X~5C32gR$Jxt#IA3HInYHuPE73j9=-=z z)DDP2a@5MnoQ&8NH`OAloQBvn%us8rasp!4C|50)%BhE44VGF>wQFKhbWId$<E!3_X1f-Pk7gO!J$%B+s%c6GOYKyL0 zW5Qo~wQI2fDXmsO?Yh^6lu!$#c0FW4Dyj8xyB;?oWz_1qU1<+eQmvKSwZs-(OJZuJ z-LB^iNNKfBZda2FDWO);?ON%Gu9b1MK5f@p6H-R43ftA{K}xE{SG(G5(bX1HORsiy z8IaQ0cbF_WUo^G(bL}rq^NDxN7`fDhW#12u+x7}lgqp+J1M^dgo}87hGrv1_uYvFT zObi+%G7Fg+%0bLT<9Ayq4YyQdbKYH!|Z2Ta$l!R&9(tlO0g!IKjbYyWey26Nl(+ID}P z44kxFev$d2RfDT z@rz~tDPDuw_m3yu_**h?lC=3n=2D{uvrm4_=NFP8coIb-^SM|J=2l02^7b?`aB_9| zMdlwx4QB7*|2$|F894De{33HnsRpyxlz-knlMKNVGZLB4dNr6mR~~ciHDus~?eUAu zXY3lx9zWdq?H91%f(0|91+Uu1T~YA}0VeB#PkWFYuV z@r%sAlxi@0oN~H}Lk5Y#DH55_h#E{Wweaj^WC#YXNM!!L(xOFPFqZa>Uog%;AFGGO zdV-m*w5Y=T?&v{Bcq~i)Si={Y_#qlxVJgh;^ql|PK%a>pa*ByVr90E;k?YadXTBv{ z#4?|#w7pX1x1UMA-{mvkku4(gaj!<1`)qg7st0@~ejFl^i9oP>?Rz(#Ji5+j;)kPR z{>H7r+-v#IM*qQQew+%JkEUxd_k3iZOY=SxKRA(C`y);b<{pJJ?^^0JzbyOtaHiq$N5bBh*iu7lQo#%8u6Hw_L+TC0rLT?26NZRPu#tu z&%}>kB(~`OL=EOH_nm&bI^MU71s2m^*b$NIgdeeoSZh z#oF&RYA|=);l(Z-3`qR3jYQ@>u^P-BCUxv|3mJkx#F5Cn8(%7>tBktXD@(uduUh<( zu9$a~YB0CoI{ELxWXK=zin-XU!5px%bXwMD8s!$0je_M3W{x+36)fhzMhrv`JI1&8f$xL@XhW#+F^HJJV0xPHz} zJ`*<@RGGKhHJDr9dfRvEeP&%MVE!^$gV}e<=vT)1%pr+@d5cwp+2_S^M?dT{aq|L+ zd-E5G8qBROcsZte{?IZrW!7N!-v63e+xul6mI=zdIbMU=>%K*!#`;X$5J6(?HyJgU zJ?onPb%xIzlL(lL+#1Xt(+fNN)Mt(_`+0*^gDL*8BpYDJ*mpPCRT&F&8xSaStJ9uEz|sBi!iiedQKs1V>v})7;VoTN=8YC5B(=-{>$y>uL+rs6O~n4;Q9@En z0RWTh{{+hb;LiNP?K1#wFPi=h({vBAOg%*K2sZDrmBC<@iZ{J5cC8DI|9Yq-t9cKB zkpGlU(|h)7&);z*j4{#lPStbf!D<7_YnFic$$$2o5AdiYi~P_j7g-X$PWf&Jj5~;? z_q|Pc?uYvXZeqJh=$-7~7s@pS!}xtF*{ zb{0)v*t+NcZ`Fi4qufCoKDC0XWi`DrK{eR5kKcd5p|I{EntNJ$H9-f}EV9xXS!ADZ zkx$2O{{ThqDw?<2M3Ge;BnKcL4N*xJxs88QQGR z&n!Vuw@3o4!6fG23<^CrK9Bz zaC{79zl0L5wf;)WRaiV70w9v--|MR25=&HcN)mHwV5RO@y;%cG)xf;&*wA!$Y?uy7 zUk<8K-a$3WJ2N1e zN`6OA!^q%MVJb22fesWtnWmD&O2pPUVeBB2*t13$i^quF?P-`r>}ULx3FV3AMfNux zubuY(2^KurLo}bZCBUWqj*idWu>ZL{@2B8e3Dcp?zaQdQ1~=!2=n8$H&PnLTzslG z%yWo6m(j2ivHLZijMw;twMwj4#%p}aJB(RS@+XAzislX9c)WJpn|JkvV|$9`CzASo z!vE9v*Cr-a*N5V@Y2|&ybKPMc7;2Iqxy1Z5>S{QuU%w>u_#`P;x&$!LpegLV>7D;mhO&4_t(@LEu!T_F@|<8W{UIlvrN97Xly> z+v4x96+X9vR+mEw>uWaYwBH^ zRec)$ABs1q)fg|){k7=+8e@z9v;T*N-m}DZ$asyb$*3e_F3McdCX6MBEz)@ovC6#> znU@T%E~Jv|D-#M=I8uq2jMuozQW&$KaHS`ez!S@k|4Q*1UTf#iU-N~{GJkEax5VD? zWN)!-*p?KpMf2CNdcLiOn*2RI$$}QWt_OXvF%#~WG1Q{h^>m9nhnwh^s<>%*ss`qD z$D;Xb(d&BnduDJPVIi zm+>0c_6}kG|Dmw}^6$ANpmL3Km6-QH2MX6&S4m7Ry|B3yRmG`D@YrH4N*jc|2omVA1`xZn4?068q{=6Q45i zS{vP86HVh2=#J=h*qGBcI;Dn&yjMSlSFz}I*g==bZ^jIDJdQ$%SiKnnAWE#AFqAl1g&Nt$aszK)=`O=KYwktqhSeXe8-SVlIK9-dyZ6+823lvT1P>$@BR5} z3yd%(<2Al>N+ss?=dbyT?GgqiUc!T}Utv9u|Hl2bo914gBiKYe*9TLTB!LX3uyq?!N z8YE+ZC|(myhq&GQ1!0bs*ne_8558?oC5fe>@ZD%3OvY<`KU)|}K;b*$!k7bv@2OLX zTUE5&Os{W#&zU-N~{GJowapDg+z zJo%1z36C9YIq_OFe=UmFFs!3^t$S?duwSBh4R29Qq9Am*RZ^OlJHIweW?AWz0?`D-J64x)H1iq{y^ z99)iEFh-Dw{deNE|JbquRfj)+%`?N8jMoY;yBfwQs_=VT!yICZ14#NT{|_x(Wd~q6 zUMrkshA|nh6%r{8v!E45YVq3gBb;yg{#yU~-7bV<1I6+^wxoD1n!gssYZ%s1yw*K7 zbJ#CYycWf4-N!)`uSN0N*BY<2?O4Jnl!^7qc&+%XhRJxXcuzXaJCIRS@tOegV>5@? zd^-SHo{ZOubIdU2&tE&-4P!E1D}LYBFbi67`v77cV)->+DPCKCt>@2Q^M&b~#f`&9tR zI{f)-m3dXDLo8oa`YQL={u}XHdj8HYz?1KbU5E5j`Ku^JMgVC}KFq2cg9HAbLJ@Gdyol7?2LSm3`{RYyXLd zF@OHrDZ`6<*9AdW{8pa5$aD@}bWV}{5-3nteUMoyXYZw`Y zVet?qR?PawIxn>p;4C|--=weI5}ir1oe z?Q4zK+F~(`LYd0(TJdQO^XISKl?wCx`D<4i8s!!+}F}DYQ_FW2oo#rjDMB?Lsu+L`t#R(VYAF%E0x}ChfDj573Xb9@me&0EsEDL ztfP3Xdu-;gU!r&|ir2c2gD75$;r zzt*AgKYvYI<3p_6_ZyGbuJeBO z8C*X=toUL}ir1p~Yf-$0VI9S5-D5L{{Sw_@i|(&=9|uvq7R75{Z@l)7i%}?3IbJJl zG!+z@zqTxZD)-k4kJ|yryazha3b&dX#(1r8nH$DryjD2X3}Z50D@^VluN4M|<2teO z^lv;~dwahJ>E?lA<=8DLUW?|hMe!Pjbri34kIfwROBAm~@mlwB5XEazy!N%mYi--b zF$!fW$7{v3hROSD#XHhrp1i+S{Hdv74z%Jt7oRf87_J1dIK%Xj(EAOFBLYaqe==Sx z8g>9Oue`rj>}Q5BvGTWHDPCLor0dUL^M%baf9<;6Ub+D;9VAv>za_pl*mcrA+8zSejxuRVXM{tw;L#>kXO#$1&0y-f+=Lt+UCxi?)6 zbBN__4P(5Pdoh4yUVr}D!x~S_95{_VP7=Jb(ULvzGTjhrGw*q%%n#bLD@j@npP~zbq+9is#Q?JB4hS znAe}brmgWIULNs{$7`2Ay#H+Yc!+p;CpbCX^Bv~p_k6@4Y*p1UJ=*P#9TBU6Sv9b9 zcgzG-U5A;dfjQkVxjJ%{e}|dibw%^nqIiwtWd|3ZGBJi5V?%7+nDG%m#vDT}S}(WT zF&M3v8?BeiW2V8w@zFjyr5!VIVlxt9Y=0g{omXktVDdtV*TKPd2!JRt<_#rcLyQmr zQDVs&nA;s28moa>-LZJOJ65MdvfgOD-2c}5L*Md(?&kiL@mis1g)teg6b4cE`rC^lU>0pEA+sK{BuYI|Wmd zyWf8{fK}BS-Cv9DuQ68n+U~ElZIh4#7a!$#t@v9Flkr;dSD7%+pTBmgp=Et{|Nqb)mWDB+%i)DgDoLILCD&>xNXCB$GG5F5$p~XIUduh;gfV~q+9FHCsO3&^ zLYP?9*ZL~+*Zv#vn)B*+FM&(-;^kKpThRTrX#KS)Uc<1C;9^uqDN7(fqY2Uc<1C z;e}LNuG??3J0Y^m{|3TZ#-T*^xfQJ zaA}BGH9xfl-Cv95uSM}1hIJILMe&+}aS+98(flJCQYwMc~b_w@~ zyuVg_EFQ-E`D?e7G%NwFc#)}L4zZI0$dBuot2oIHK-S^UU#kxwKQ@bzw}%^ma%@<{ zdYK_itSWq^cx_eD^XISm!e*JjHvfWuHNvH#V%6`rqCB1^Vb|VjQR7|ZqRu0{#x#&Fi*7XS^6sfhyFL>wSUZeA_doniB%tZThRTrX#QFh zuVGk6@mdtG85jpqycWf47REs+Me*AIf_SaalM$6n+#mk@wSOhUn2gu*xwwWUpyi)W zYnVgqAw6%2*w6VX6YKEjuU(+={P}BDYkXM9lAoCNbIQIFE!H<4uXP=>UZ4R@mT#F&VEFmKqwC zfL6HQ(=dnFjh2QnQY)P2g)teg6^`v5uN4}|mPw8c3u0kV+DBBp_CP}P>?NAsPK%ZY zGWf4^R!KA;9sm6k>yHF&glKU}`aQ3$gnM%erq+*RK{=Q|>n<{(ZTQp*V>f%y^flkz zTS?H@G;|laz&idK_Eog}#;fJm@dJ0-ALNmu-metlXlXY=^gRc<;nh9@6;M!Q+NCG?(pjf z(Xw_^`*GKD`!-L1tw?G6F<*0hJ-knkKZ9n9maM&r@wHbhC};EdrLA=?S~_a($M(?^ zS!;u6Y2VcN+NZR6{dzGIlqdJ&t2OuIz!9VRfi_08c$?af12?l@OVgTPxNO$A4!yr~ z;{l+J6)mrCYCry<+`i4TAD5KNll}F!QOmFGpRyLguW_Q~%}wpc$~^JS{+9zh9@OU-Io%wPLNddcALU5`kag7;wY8 zJ0(!T8a#5v5;`MYYq#>bS9q z{rJanIh)6?HOZiz(yx&<_v5-DuM7ZfhFCppQ{!uCDJW;N_|-1TG=r9 zS3;~lY*YKuO>b7e+CEPN%GqV>h)Qmt}%-Hcx-m$Aa>tUsK## zruO5iR8Y?5@$2AZP@eQ_X3g>Soq6xZL7OF3&u}&|?knST^Y}G4 zt@(9K&HZ>u`mA?An=Mw)+0^)|{I=UXepxZiuM=zT$A3+}ZY^kY#Of0^wIB0|pq$O) z*VUPzJh>lnxv3E|-ucb7<&Z+6wgxh{j*3n}1{QsxB zGXZm&>i++kK|~Ot;D+$Z8x;i?v@RfmOH@R~tuHD9ZV?b=QLCZ|E`$+O6tyC5xK$KH zRIDxkIh&gfhnmS=^|d)aPI>Zboe}b?D!L!1Kljdh3>yJ8Q$6;h(N?m@DNkOV z?cvyUhfs5uT&(Wb9@^a`zxX6$jwlb1hgk4Lw zlvj1p{a87B=!qCJ5^7%cs;@y|J_`K?0{`1ai(c=~QSI?4ujNJeniXFAai~2E`^|_l@VZ2`2P9s2D!Rx#r?u~b zHW+p})U5V6Z{j-7k(4Hx*sP`Sew9@u~74w*M4-)bCf5q!79%RUr$lge48y+UndQ`>~ZWJ2Q}Y# z?MLT4M|tuZA?B4WT3?$Q*FBGUjfa{aG>`pQ=Fg9=-%lrA8;b77$A0bh9p*IwYJT;o zFV}gF^6;7>^4eTYIm(mQA~COyMeA$I>OI_)fjdQ#D;tAN9X)YdGbn$^>ta% z{n%^mayHMo6=Iiq?MLVQOIh+t?yU*DuE-Xvuc`aC+Y7^PgV^O>`%#wcamtg|E@FLM zU9`R~I`fb3akEn)HeU0n`;(RO=5Xh>v*xg zrWDG>`sV1<*^?d9q#4HE29Z{EmG{dcVB}xX5bF& zb@eWYEiA~(z6LGPEk6#+>;4ReH}|^wWKB@WArdN; z(sK~6agHrji{XtJT;b`ubKt1s8>BqirC|noyjs)uBLoYFb04+=SCiq?ZPN537z9)MVr zM?EClv>=CHRUwD3v+s+^Hjm&4F0fqaKn2g7!Eib6C?#ve%^?HWsaik>fsG zk6{l%Y=c)lh<{5e={;-Bju((c9e5A(Ko#sPX;HlEq-c+{5!ni1U$@c^JNR5p* zRn0Br{=@Q!PW5l?sX_D_|7N37!#Jot#NQlzy@NS?y@UFi{S934QK;=1E{@N2#~`6% z$)=iov`u^EP7@rG49-r&3$vnQsKeM=XYRn^iy7OvE_y&YZ#5aG+ z_$@f(V^DiEc;H*(&ux{X3gA-8Vvp3eJ3beJJU-V=lzDuv8yFpYzWnnbe1pR!;%m3) z>zfh7T&OKP_h=uysm5TAlnb9T&)xp`90U$N=l#*aC!G7qRo`BI`?(Rm;mvyOa~-@( zPD`DEBRmeZ=Sv>dhu3uE8lhtQE43;lcx+*N9lW}Oz`?g`K4%Bt9xQWe%;N{|zCM=+ zwRl#e8E+jfe1Xx`Ki~*YK<(&)ceZ@>t&G^4aPY0r1dlCjH+&8P2j9QkSom9q-aTvQ z?BF{n|J95J_mt=uZMt&-g)(& z`J5emM~3X@LHO>JO2pSWHE9`+@D$Wmg*@=RmQTgO_lnQn?Dog!AaL;Yc4Ns^pFd*f z?BE-qxjd+SxYUWuu+Um|3gKy}eZXtt@}l3~L>^zk9IuK6Y`5xj5IFey2JM^~D$jYJ zeoVj>2etF%=2V|++I-AQllR9F!cdE6@r0|v?AN}6c^XZd3q6)Yfk)HkLQg3Y=iAy- zrLDbfGHuq6&*GM-(ZO3?>b&o**i|!e^=F{=m1uE%1s4Wzd<$j1QP;G&V0umX3Z~c7 z6kMwiX-o0B5ag@RP7eS7pQ~?_-wq{w@0U97J8!|b`IG*&IE7%HXqTb6)#g^S+x`-!KkGcn)gQ9`n9t;}fUX9N(&togRB?+XkP5pmcl_ z#OljxCF1LQ$5HG;^!ZS`PHy4BD^9ODK5=@zZSXk=O2>D#Pb!5@usP=;z6DVG zXP|}n#OXE1Cr+=o4L%1!>G-bI?4zR+MP0{I=Y8k)>T)Em_&n6L^O*NF``{I)*BqZX zz1}wX90aA~n-~%Bb#KBub4{D4AMpV@UHSsl_41ha6(I1O_Z7tbbNCztd3^e$5Zj}y;X&#B zse^~uaZq=xQX;-jyC2Q2AzB1=M{6Fhed5XW|689rZgpdZx-&|h_dV17u<3~JMW`E; zDUPq;(*)rw_%u<)_Fuv6SyX+6o`P{@|J30i$X6fBC)fVR>eK10A4Osu)Qu>0-nZ_` zx`T1Wm!NK_$GorL(*(ySewx_6_#6bKShN$@QI%$I6m>y#P-GKASfN*{XRN!@qrHB1D52ujEIKvux_da%TUw?h}$3rBbj>LOkf7xB{s;VYOT6Fz;8 zW~aiQ#y>Z0b`X?~Z*D$ks=g1@=HPRE>bU#!nV;daUIKORW{V%Zg{T4;Ug$Ay1>Qf0 z&q0vKr$6GZs^)*{&_gBSyP@hmcD}C~>gu5cd#9-oT5^ey{%jCOphngAd9x-iuIgLScUcNoe;w-Zs-L2NDoPyT&uziENTcA(7wF*K zZq?@?aH{Xgh@CTH$^D@+9CCa7Dub5-E)VK{YSzTXh41_ooi4=@mO|YR9ut?uxS+iW zmL9$KC7$*R9$VOM_#6Zdz6Am*{%7(R^3Q`I<@jFDKMz)Y^*BH?F4Az}YwXbRYh3XS zsN3u@aY;NLV02h>@M%8oxc<_%-S9aG9DJ|1vE-`nwS3MFzIQbHc@RFIuS9(9Du)b<4+r0>h|7cee@i9eGnRk5 zFOIMb>br(K@ck9AH{swrBA*_4EMU9ga}YTAqHZj?>U&?apNE65KL6a%gZe|8HScpB zy!WoSA&Dz4hx!A<#qlL^%v^KujmoFD{qZ>n9DE;z?3@`YUVY9{I^`qEk3Mi1&Q z443%YH+jO$Z*j$Up#B1nd0zn*j!&HWdgBx0rYX3of~jwdFAZ+a~yQ2Z2+4-}vpE5lim1?>nI)`N6wI zb;Uvblv3w?ZKrgv#u46y`WyVk@fCc%2vuLfS9@$ z2lX>co%ii<(FXiFQiwwRbdPyo!MBZYe7no`W?9PH6`u=19-r$QZ}ZjX`nFl-aeVl9 z@mxa>>K`q2-q-K%&J%IP_n`hkk9l9gmyd9KJ;J%I@=qdcq2M+0AA9RC90U%&E~=fM zgU|W$SqES5uqzJgpZAye+P7ix`=8+mE1`Z~*yG?8zl((9JIdbb{{nmt0ta8ujGdr^ z@1UsLr!+1p_0aeOB$g2xuNTlF~z9DL5# z<2v~IOLopq^_{7>JgEP$)Op{G!6Rnj2p>RwH0pux9M#^0gKtPw@Yuq3!{;Dy@HyX> z>)>;~sn)@Fp<+J|^q}4-b>4SChfmt#iXTEfoWA7@c|5VxvjVfW6afgDB)M(Qh zO5=8wJA9s3O`aMSJQiWx8WlV?(=w)n-QJQ6^Cm?FFT}jjVZoD`cUjc!(I2Q>-{HaX zTg>9(8I}<>=Di$68L#(ohvz(ldFj*g9-;Y8a-sPyd&7E+`7hLewe>ruDq)xLWCkCp zvCYuQZsT#=qL+M*=8=qTvbbs$mkc(U;f*{$YGbyPvuIt8=6+f5LbzniQUp(C-c0sL zjg8lGG^hCN@d(W?K^K~{m3J3m?`o+3e(RTWRl+Xgb@oV&rNhy@Cg&^{npYITQ*p_7 zi9J$t(7X`NSuQjSRKX)O&x&y}Mi}8JK2j?Wnv(+dc!cH;&4uPtUy2=2A3^Vs&d}V%&@~ZjFwp>-yB*ay#7-D=P z<0CcpRH_M0LdjXKsxei;BQ%X-oQx4hy^4?2%7f-u%^r`T(RTE?&hbqu~*NdG4mrjRb#dn;;L0#%WP+_%H#aZYa`hv z8;{VKJII0;!X>jp5j+`}jKA3Y|4xoqg^ zQPr3|Ww%FFWA;!4PsJs3ANEL%Jrz2j%ZaEbCHlDubSch+=!Gm zM>AB2t5z|>JU1xBv9-)V$n9}7XGH{$MVN!dIGK5;3UMt*bEsx-m(cXcy3n+$>eCT> zHP9r*1I_h9iX2T)NRgwtD!&;zcvSXO#%1kII|tMiLL5gkT8N{UVRNL+DeS&WQ?EuvS%{}?m+0)}_?h;buXl4j;)hZ5aR*7+J$($O% zM{0ENWKf#71i8Z}2prAikl@KI?nWW57;ulr z52*P;x7Tts`-SZB2+eU37ndxg>MR|O<}V>dj%Je(SFPf(=I>%0TQYwQ;3G9Q9!K+2Q1C*y zWUgnA)F@6yX?`!nwH!@1AKwDM>RAi;Txg_Y8-K>$IA~|69%%Lq*sJH!Si1=+5*n*h z$Q@U$;*!-NB6xo0?X0;yLSyYD#8K5)t;IN*ty`IOuiJ7oT>|!aR5e3`E;Ow&Q_sZS z1Ze*Wd!P|#xl}dQv3`3FujOcbK6^YubEW1& z^V+(b&&J+QKpQQ4pt(!6S9hvrM%eA~1FA|DJho&_4GSKjxh3lMIGV{B!3*J%HOaP| zkC)c>{GMI_pAX?zB|F6jGp#Q#{Z-9mN1@4w@%2Im`KYY0b4Q?y0zFJtEmA zRTrU|BfCA0<^ds&SIvE599y#PQSgx(8?WVP+6C z?bRJL)r#BWRr9JUcx=g9tOy>Vd6D%{W8-l&3&S~!S4aq#toc#FlbJU!s~BN@n88PCPSw0`TO30M z7pw!jnIUHE;LKlj5qvq2j|N+oWnBH0R5Lr{Yq4h$47|<{a7W@dN5iA&#RtT@~VFT#640ySo);=zXEL@c%ZpXwO4nl=9;kE;|J6PA+DM&#m9#6ks2W+G-ION zalH)3M`Z*rgiGQWy%)E;;w#mk8IhvnDG%rP5Xr7vQ4f_k@*PtzwJkZR_y3^sW zHFpRpay0CVQg|Z_D(d(&2_LDEM`&)7bC&CXx>*uDLUWTC$ClzZDELUNJZRPj?D42- zmiSy~cD`iZ`PlmnXp3bJG|vU?)mb_m%`+@{YV?#fl<}t`Ig7vksLXp@jPo<^Q6Y{W zP!DPDb@>4`J1clHTQ}r$do8b;??d)@gl3uFg=YQGoG~d>q zDsAo2ZYx+y7q{d>^XY<=)@d{_H&Tc8T!_{On~Q)4MtF_jo0#8LGnPLJ@YZCHIS1bKXklk?kk z@C^#txj6XdX)X`6^=gUuPT6tUxj4c)(7w$UKX?o8BoAPC;VsiFhs51k_8)6hX%Q5O zDIqd!PeD;|0JiwxO*jY~d=oW$yAHldEF)?TKHcqs_E)nm6?awN+`D&T@2Wq5_6K<2 z(|ozDx~eZ06g;+&cw30$)%T(hH%-B~vg30QIQZsASW2up_!fq^(Id*f+MVlhMj!H@fF@l9>DO#U+j?@OSy`v#JVhZ+|`!~37&#W<;Lei;NY|J z+ja0IBX%y#qu0Jg!piK+w*`!H4Jea*goy_mzmR z>%;FX!vTJRhCZrC_1&)7n{cX6%?ch{DEI+X!dH0jhk|isuRaHXgU^{6IQXs#uryh7 z@ZIa<4*%nL!|BbM_qo2?;Ensbg>ZzQp<$rMysrQORbQdUawzcrIeZQR2jAW7Nm0w= zvkm+|k5BZVVVJ);)#t)DvfuczILI&1aIVL^uK)`_c<;~JTc(O+3k7lf;1%PhDJ-tw zlL*`Y1)eHx?QN4!9m&;h%+PR!+#GzacitQ7UTuT9{t68vBOV8DO%Ma95vl;nWF32? zmdBUA#^?43UwVQNw{7q_2v{zjpE~U1@c(@EB^&&?$&&p}W+zRx2pb=C;qoKoj~ zOXqgD5?B5m8bV(4zOO`70Sr&B&2N^FvhAlYVLj9wd>4iUPr;?K<8u&{j_>LtpS;m!w15*vRU&!*E_GY>AZ2c@+N3_Cs6#g&-L5Bd3>(l+9i+WkUlHK{$q_$ z5x&71cXFPBOXbGrLQp!swvwG6;lnrLW_+KY3*U~PcjVqq(AZWZuPrq0#Z^0KJdmrMp%FhPveq6N2XnOx zG>+h^12m4~sv|T`;mQY%cL!*VPSA*d{bsE*G%n(5S7=<$)o#%EF;}}o;~ItH_JGFk zxY`pM*Ja4-0*yaLN$mxVKZi+mg~s2w*9{ssa_?Wz_@_#}d*iPhE2O%EULlkEH|RTX zPXfIS_x1t3okYF+g1!q0*bnrM8InCf-<5m&gT6cW4gkGNl+2!>cMFs11$uXt)PbPy z!@Yw*@4>x;LGP&mYlnb-kW8vK=)EOUhl1|s-eI60$vr>leW?=;2fbg0;kLTVopkK|s{-9s0Q11ZHC(5Lb1$~l4 z>NwD+aPN4~Z{^+zpsUmgGU!zqk|%;bBTDKd(C^~j$)MlEy@8J z0%PY8sjI>0zK^>^4DQXsuh!t+z4*l&+`A79m3#N&mv;DQjSv_!vZNjW<1UTVY%uQO z-h*J=$GtgVJP@JYhrpN)hKJ&NCh!M(@us}=&(I~R;+{iGfTV}Xy< z6JWf+y(jT29=P`u7>l#i`!pD@YNWzoR7XfX1I8QNn+L{n?mY{}J0a?Q4!`*zNNPTQ zFG7IS0x(u_?|Cpj;@(0qVt(p<0dMN}ky->sLzdKwV3^!{35+=RUIt^0M!k!{NJmJ$ z0!Ai8DgwqA+VD5Q69N z%A_{q4TKV@zwq`#67V;eA7@Bz0kbyB6wV+`EkmjTOg&1f5=<*hsuh??mDCP+*PTMD zHJG1rZ$~gc=Uy8yzmlnUCotDaq}t*QWlTUtJG|XYBDFJ^KQRFn?ZNydLuwZ=H$+Kw z0P~M9sg7W7=AIACE!^vbcVVg2+Zn9Z3aMSe+DRt08{Xf=z1{KdFYfIDRws#i_XKM< zCZM7VSbJnh?FH6BGO4a$^_EC=!`q&ifQo;C#gCbay}{}eC9gYJ{W7Hf4b}keNnr6~ zreYtk_%TzlFIfDTsn`$i6;f%99(X5_LTZ1!&qyY90N#Zpk?IN7xlBMsFR+G6qz=TJ zWSD@8gTUg)OvS-q@nfdq5U}_$Q_&l&E3y=KC|Kh(Qip+cRfLoutYC=L;b2`KBy|K> zH*)VtuqJcwD6nn`P;Vc+qs33EFIZJRQb&U|gM0nJx{G_qfOU74di#TQuSRMBSfL21 zW5Ifmd&hzGF!zoJ>#-2^o&eSpK~geUPX|byi1#pX?Kw3Y{G$1q26I& zeH9`#9IUlLQX|0no_iPK<>}nJ2rpg_Q18WfX}h1)C1CyTBXucQo4BWd^%wUp18Yl` zdPhRMQX@4A;;kd3Mnilj?p+S?ow+v#;vGWNdj-Th1xZ~A@!bNX#^S}y+#83NI&*J4 zUI^`{-U$$we59^|_`X?ES3~>&?p*`%Ufc^p{9uiGuZ8%b5mMJd{O}N|>mhy=_a;L8 zXztwr@%};Ty%DcV4v?AzaoJDmCWxQRy~%jlEcd2BoX^}UZie_7S&F*_;%955ZiV=N zxOW@G&*R=yh+hz)UKQdaLZqhQwWdK*RS;LWcRR#Kac?@r#{{T%243UpCv^wJC-_L+ z3Gr*VcNfI3{#9xS#dK}^}aqkI;zrwvIA^uvJdY^*$>nf?IA^xU9Dh%%!#CgLp^C8iO38+{AiMAP1&qJbpl+;2KP8 z1c`16^}dW3smY`kL*mddsaGIzc$8EG5`7d>uR=oR>NQB5ER(kc5`!dC)sQ%yd#^)c zc$mDUkQm1VRJ;L+tHPw-#7oX(Qp+GQQ6aS)5|g<17GAO>k@q%U(4>-j2NH8Lq*g%U zsVJ#;Au&%O6@|onnbdobSSXQN35ge(fQt7a@m84B2at#{0TmxYqCQM&6(n?-)PEsi zNu*XoBFVjvAd%wU$9Q>*O1(9Z*qk91!<%TiR}0A<6!PjI*+wQ+56N~CDGieRTt-C$ zUge>X*NE4EFaZ@hBo7ahG9cMUCS^jhpG3-nKjPbFaZ^7Az7Ot^(`c|D5>utsfS5@4@rxA>mZrr-VcyW zsnok3|HPz_`Vo?!%cQc9{7ND96aEE-dp|?+dx^YXAi16isQ49<*$k=QAo;tW)CNdy z@{#%-lAE)nHbQcXM(Ph(QyC$(3D&gc-k-2$C+=;AH9Lo>_b*t}AxP?PJQo=twFTBZ zuQ64L_kKR5lB$5zGYY9nNIfT!Y6Yq1X^qMqAjM~@m8~JQI841eLh3b@R2xVwRY>gw zspT@MwvbxEy>^gV$-SK+wMqhPmF*$*F%wX^3#2&l$_|if(liCeZYZX$vLyFU_+yhcSWXRhSQrR%6E|B_#tGyt#K_Razr2ddd zb%WHO8B+g()ZbxJdqcW{tL~8IM@r?tA>BrzUJ26tHLP+UNVn&4`$D=SSNlP_vqEt_ zAicXpYJW)YnIUxmq`QVm^@Q}^T=jyqq>y(Yr1z6Z9R%t9Go%iNbgwX}Lm+)HSG^&9 zs6yVMkUm@@br__N%#iX!x^I}&;gCLtt0N$NtU}(AkUl{obrhse%#i8>>49NVeIb1+ zS4Ts7utHux{Ih{X>KI6$lOfd~(nG?e20;3Ju8ze&ZYks)2k8qXQpZF3;tZ)1AgzQ+ z$&en!)rpWEqmXwJq{m96PKNaO45@*TzB)|m6i83w-XKV?;_6gLf5dxFgLF&*Y?Y@& z)2E8;eGofjJCZO^xXgVlN>TGE8%cRbMroIxX05lDVlKKxcov4r+ z0!^nf0hQ-M)0tsX=RwmDmDKsrG)y8j6q+uMlDYtzMk%C*LDN_!pmI1g@tH#92xyuV zA@4$Hx-~%RB5110lDZh0?h28*1e)#(lDZU{=J-e{(DYb@)Me1bXVR4;q3O9Sd843d zkw$7XG)01>E{CS2K2l?#>8%KDU0I4gX>A!wbW1%Ugks1e0jX_f5p()`bH36E^ Gk^cuGae#0D literal 0 HcmV?d00001 diff --git a/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.vhd b/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.vhd new file mode 100644 index 0000000..ab8fb13 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.vhd @@ -0,0 +1,3166 @@ +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.4.0.80 +-- Module Version: 5.0 +--/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n fifo_36x16k_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 16384 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill -fdc /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg.fdc + +-- Wed Mar 18 14:42:08 2015 + +library IEEE; +use IEEE.std_logic_1164.all; +library ecp5um; +use ecp5um.components.all; + +entity fifo_36x16k_oreg is + port ( + Data: in std_logic_vector(35 downto 0); + Clock: in std_logic; + WrEn: in std_logic; + RdEn: in std_logic; + Reset: in std_logic; + AmFullThresh: in std_logic_vector(13 downto 0); + Q: out std_logic_vector(35 downto 0); + WCNT: out std_logic_vector(14 downto 0); + Empty: out std_logic; + Full: out std_logic; + AlmostFull: out std_logic); +end fifo_36x16k_oreg; + +architecture Structure of fifo_36x16k_oreg is + + -- internal signal declarations + signal invout_2: std_logic; + signal invout_1: std_logic; + signal rden_i_inv: std_logic; + signal invout_0: std_logic; + signal r_nw: std_logic; + signal fcnt_en: std_logic; + signal empty_i: std_logic; + signal empty_d: std_logic; + signal full_i: std_logic; + signal full_d: std_logic; + signal wptr_0: std_logic; + signal wptr_1: std_logic; + signal wptr_2: std_logic; + signal wptr_3: std_logic; + signal wptr_4: std_logic; + signal wptr_5: std_logic; + signal wptr_6: std_logic; + signal wptr_7: std_logic; + signal wptr_8: std_logic; + signal wptr_9: std_logic; + signal wptr_10: std_logic; + signal wptr_11: std_logic; + signal wptr_12: std_logic; + signal wptr_13: std_logic; + signal wptr_14: std_logic; + signal rptr_14: std_logic; + signal rptr_11_ff: std_logic; + signal rptr_12_ff: std_logic; + signal rptr_13_ff: std_logic; + signal ifcount_0: std_logic; + signal ifcount_1: std_logic; + signal bdcnt_bctr_ci: std_logic; + signal ifcount_2: std_logic; + signal ifcount_3: std_logic; + signal co0: std_logic; + signal ifcount_4: std_logic; + signal ifcount_5: std_logic; + signal co1: std_logic; + signal ifcount_6: std_logic; + signal ifcount_7: std_logic; + signal co2: std_logic; + signal ifcount_8: std_logic; + signal ifcount_9: std_logic; + signal co3: std_logic; + signal ifcount_10: std_logic; + signal ifcount_11: std_logic; + signal co4: std_logic; + signal ifcount_12: std_logic; + signal ifcount_13: std_logic; + signal co5: std_logic; + signal ifcount_14: std_logic; + signal co7: std_logic; + signal co6: std_logic; + signal cmp_ci: std_logic; + signal rden_i: std_logic; + signal co0_1: std_logic; + signal co1_1: std_logic; + signal co2_1: std_logic; + signal co3_1: std_logic; + signal co4_1: std_logic; + signal co5_1: std_logic; + signal co6_1: std_logic; + signal cmp_le_1: std_logic; + signal cmp_le_1_c: std_logic; + signal cmp_ci_1: std_logic; + signal fcount_0: std_logic; + signal fcount_1: std_logic; + signal co0_2: std_logic; + signal fcount_2: std_logic; + signal fcount_3: std_logic; + signal co1_2: std_logic; + signal fcount_4: std_logic; + signal fcount_5: std_logic; + signal co2_2: std_logic; + signal fcount_6: std_logic; + signal fcount_7: std_logic; + signal co3_2: std_logic; + signal fcount_8: std_logic; + signal fcount_9: std_logic; + signal co4_2: std_logic; + signal fcount_10: std_logic; + signal fcount_11: std_logic; + signal co5_2: std_logic; + signal fcount_12: std_logic; + signal fcount_13: std_logic; + signal co6_2: std_logic; + signal wren_i_inv: std_logic; + signal fcount_14: std_logic; + signal cmp_ge_d1: std_logic; + signal cmp_ge_d1_c: std_logic; + signal iwcount_0: std_logic; + signal iwcount_1: std_logic; + signal w_ctr_ci: std_logic; + signal iwcount_2: std_logic; + signal iwcount_3: std_logic; + signal co0_3: std_logic; + signal iwcount_4: std_logic; + signal iwcount_5: std_logic; + signal co1_3: std_logic; + signal iwcount_6: std_logic; + signal iwcount_7: std_logic; + signal co2_3: std_logic; + signal iwcount_8: std_logic; + signal iwcount_9: std_logic; + signal co3_3: std_logic; + signal iwcount_10: std_logic; + signal iwcount_11: std_logic; + signal co4_3: std_logic; + signal iwcount_12: std_logic; + signal iwcount_13: std_logic; + signal co5_3: std_logic; + signal iwcount_14: std_logic; + signal co7_1: std_logic; + signal co6_3: std_logic; + signal wcount_14: std_logic; + signal ircount_0: std_logic; + signal ircount_1: std_logic; + signal r_ctr_ci: std_logic; + signal rcount_0: std_logic; + signal rcount_1: std_logic; + signal ircount_2: std_logic; + signal ircount_3: std_logic; + signal co0_4: std_logic; + signal rcount_2: std_logic; + signal rcount_3: std_logic; + signal ircount_4: std_logic; + signal ircount_5: std_logic; + signal co1_4: std_logic; + signal rcount_4: std_logic; + signal rcount_5: std_logic; + signal ircount_6: std_logic; + signal ircount_7: std_logic; + signal co2_4: std_logic; + signal rcount_6: std_logic; + signal rcount_7: std_logic; + signal ircount_8: std_logic; + signal ircount_9: std_logic; + signal co3_4: std_logic; + signal rcount_8: std_logic; + signal rcount_9: std_logic; + signal ircount_10: std_logic; + signal ircount_11: std_logic; + signal co4_4: std_logic; + signal rcount_10: std_logic; + signal rcount_11: std_logic; + signal ircount_12: std_logic; + signal ircount_13: std_logic; + signal co5_4: std_logic; + signal rcount_12: std_logic; + signal rcount_13: std_logic; + signal ircount_14: std_logic; + signal co7_2: std_logic; + signal co6_4: std_logic; + signal rcount_14: std_logic; + signal mdout1_7_0: std_logic; + signal mdout1_6_0: std_logic; + signal mdout1_5_0: std_logic; + signal mdout1_4_0: std_logic; + signal mdout1_3_0: std_logic; + signal mdout1_2_0: std_logic; + signal mdout1_1_0: std_logic; + signal mdout1_0_0: std_logic; + signal mdout1_7_1: std_logic; + signal mdout1_6_1: std_logic; + signal mdout1_5_1: std_logic; + signal mdout1_4_1: std_logic; + signal mdout1_3_1: std_logic; + signal mdout1_2_1: std_logic; + signal mdout1_1_1: std_logic; + signal mdout1_0_1: std_logic; + signal mdout1_7_2: std_logic; + signal mdout1_6_2: std_logic; + signal mdout1_5_2: std_logic; + signal mdout1_4_2: std_logic; + signal mdout1_3_2: std_logic; + signal mdout1_2_2: std_logic; + signal mdout1_1_2: std_logic; + signal mdout1_0_2: std_logic; + signal mdout1_7_3: std_logic; + signal mdout1_6_3: std_logic; + signal mdout1_5_3: std_logic; + signal mdout1_4_3: std_logic; + signal mdout1_3_3: std_logic; + signal mdout1_2_3: std_logic; + signal mdout1_1_3: std_logic; + signal mdout1_0_3: std_logic; + signal mdout1_7_4: std_logic; + signal mdout1_6_4: std_logic; + signal mdout1_5_4: std_logic; + signal mdout1_4_4: std_logic; + signal mdout1_3_4: std_logic; + signal mdout1_2_4: std_logic; + signal mdout1_1_4: std_logic; + signal mdout1_0_4: std_logic; + signal mdout1_7_5: std_logic; + signal mdout1_6_5: std_logic; + signal mdout1_5_5: std_logic; + signal mdout1_4_5: std_logic; + signal mdout1_3_5: std_logic; + signal mdout1_2_5: std_logic; + signal mdout1_1_5: std_logic; + signal mdout1_0_5: std_logic; + signal mdout1_7_6: std_logic; + signal mdout1_6_6: std_logic; + signal mdout1_5_6: std_logic; + signal mdout1_4_6: std_logic; + signal mdout1_3_6: std_logic; + signal mdout1_2_6: std_logic; + signal mdout1_1_6: std_logic; + signal mdout1_0_6: std_logic; + signal mdout1_7_7: std_logic; + signal mdout1_6_7: std_logic; + signal mdout1_5_7: std_logic; + signal mdout1_4_7: std_logic; + signal mdout1_3_7: std_logic; + signal mdout1_2_7: std_logic; + signal mdout1_1_7: std_logic; + signal mdout1_0_7: std_logic; + signal mdout1_7_8: std_logic; + signal mdout1_6_8: std_logic; + signal mdout1_5_8: std_logic; + signal mdout1_4_8: std_logic; + signal mdout1_3_8: std_logic; + signal mdout1_2_8: std_logic; + signal mdout1_1_8: std_logic; + signal mdout1_0_8: std_logic; + signal mdout1_7_9: std_logic; + signal mdout1_6_9: std_logic; + signal mdout1_5_9: std_logic; + signal mdout1_4_9: std_logic; + signal mdout1_3_9: std_logic; + signal mdout1_2_9: std_logic; + signal mdout1_1_9: std_logic; + signal mdout1_0_9: std_logic; + signal mdout1_7_10: std_logic; + signal mdout1_6_10: std_logic; + signal mdout1_5_10: std_logic; + signal mdout1_4_10: std_logic; + signal mdout1_3_10: std_logic; + signal mdout1_2_10: std_logic; + signal mdout1_1_10: std_logic; + signal mdout1_0_10: std_logic; + signal mdout1_7_11: std_logic; + signal mdout1_6_11: std_logic; + signal mdout1_5_11: std_logic; + signal mdout1_4_11: std_logic; + signal mdout1_3_11: std_logic; + signal mdout1_2_11: std_logic; + signal mdout1_1_11: std_logic; + signal mdout1_0_11: std_logic; + signal mdout1_7_12: std_logic; + signal mdout1_6_12: std_logic; + signal mdout1_5_12: std_logic; + signal mdout1_4_12: std_logic; + signal mdout1_3_12: std_logic; + signal mdout1_2_12: std_logic; + signal mdout1_1_12: std_logic; + signal mdout1_0_12: std_logic; + signal mdout1_7_13: std_logic; + signal mdout1_6_13: std_logic; + signal mdout1_5_13: std_logic; + signal mdout1_4_13: std_logic; + signal mdout1_3_13: std_logic; + signal mdout1_2_13: std_logic; + signal mdout1_1_13: std_logic; + signal mdout1_0_13: std_logic; + signal mdout1_7_14: std_logic; + signal mdout1_6_14: std_logic; + signal mdout1_5_14: std_logic; + signal mdout1_4_14: std_logic; + signal mdout1_3_14: std_logic; + signal mdout1_2_14: std_logic; + signal mdout1_1_14: std_logic; + signal mdout1_0_14: std_logic; + signal mdout1_7_15: std_logic; + signal mdout1_6_15: std_logic; + signal mdout1_5_15: std_logic; + signal mdout1_4_15: std_logic; + signal mdout1_3_15: std_logic; + signal mdout1_2_15: std_logic; + signal mdout1_1_15: std_logic; + signal mdout1_0_15: std_logic; + signal mdout1_7_16: std_logic; + signal mdout1_6_16: std_logic; + signal mdout1_5_16: std_logic; + signal mdout1_4_16: std_logic; + signal mdout1_3_16: std_logic; + signal mdout1_2_16: std_logic; + signal mdout1_1_16: std_logic; + signal mdout1_0_16: std_logic; + signal mdout1_7_17: std_logic; + signal mdout1_6_17: std_logic; + signal mdout1_5_17: std_logic; + signal mdout1_4_17: std_logic; + signal mdout1_3_17: std_logic; + signal mdout1_2_17: std_logic; + signal mdout1_1_17: std_logic; + signal mdout1_0_17: std_logic; + signal mdout1_7_18: std_logic; + signal mdout1_6_18: std_logic; + signal mdout1_5_18: std_logic; + signal mdout1_4_18: std_logic; + signal mdout1_3_18: std_logic; + signal mdout1_2_18: std_logic; + signal mdout1_1_18: std_logic; + signal mdout1_0_18: std_logic; + signal mdout1_7_19: std_logic; + signal mdout1_6_19: std_logic; + signal mdout1_5_19: std_logic; + signal mdout1_4_19: std_logic; + signal mdout1_3_19: std_logic; + signal mdout1_2_19: std_logic; + signal mdout1_1_19: std_logic; + signal mdout1_0_19: std_logic; + signal mdout1_7_20: std_logic; + signal mdout1_6_20: std_logic; + signal mdout1_5_20: std_logic; + signal mdout1_4_20: std_logic; + signal mdout1_3_20: std_logic; + signal mdout1_2_20: std_logic; + signal mdout1_1_20: std_logic; + signal mdout1_0_20: std_logic; + signal mdout1_7_21: std_logic; + signal mdout1_6_21: std_logic; + signal mdout1_5_21: std_logic; + signal mdout1_4_21: std_logic; + signal mdout1_3_21: std_logic; + signal mdout1_2_21: std_logic; + signal mdout1_1_21: std_logic; + signal mdout1_0_21: std_logic; + signal mdout1_7_22: std_logic; + signal mdout1_6_22: std_logic; + signal mdout1_5_22: std_logic; + signal mdout1_4_22: std_logic; + signal mdout1_3_22: std_logic; + signal mdout1_2_22: std_logic; + signal mdout1_1_22: std_logic; + signal mdout1_0_22: std_logic; + signal mdout1_7_23: std_logic; + signal mdout1_6_23: std_logic; + signal mdout1_5_23: std_logic; + signal mdout1_4_23: std_logic; + signal mdout1_3_23: std_logic; + signal mdout1_2_23: std_logic; + signal mdout1_1_23: std_logic; + signal mdout1_0_23: std_logic; + signal mdout1_7_24: std_logic; + signal mdout1_6_24: std_logic; + signal mdout1_5_24: std_logic; + signal mdout1_4_24: std_logic; + signal mdout1_3_24: std_logic; + signal mdout1_2_24: std_logic; + signal mdout1_1_24: std_logic; + signal mdout1_0_24: std_logic; + signal mdout1_7_25: std_logic; + signal mdout1_6_25: std_logic; + signal mdout1_5_25: std_logic; + signal mdout1_4_25: std_logic; + signal mdout1_3_25: std_logic; + signal mdout1_2_25: std_logic; + signal mdout1_1_25: std_logic; + signal mdout1_0_25: std_logic; + signal mdout1_7_26: std_logic; + signal mdout1_6_26: std_logic; + signal mdout1_5_26: std_logic; + signal mdout1_4_26: std_logic; + signal mdout1_3_26: std_logic; + signal mdout1_2_26: std_logic; + signal mdout1_1_26: std_logic; + signal mdout1_0_26: std_logic; + signal mdout1_7_27: std_logic; + signal mdout1_6_27: std_logic; + signal mdout1_5_27: std_logic; + signal mdout1_4_27: std_logic; + signal mdout1_3_27: std_logic; + signal mdout1_2_27: std_logic; + signal mdout1_1_27: std_logic; + signal mdout1_0_27: std_logic; + signal mdout1_7_28: std_logic; + signal mdout1_6_28: std_logic; + signal mdout1_5_28: std_logic; + signal mdout1_4_28: std_logic; + signal mdout1_3_28: std_logic; + signal mdout1_2_28: std_logic; + signal mdout1_1_28: std_logic; + signal mdout1_0_28: std_logic; + signal mdout1_7_29: std_logic; + signal mdout1_6_29: std_logic; + signal mdout1_5_29: std_logic; + signal mdout1_4_29: std_logic; + signal mdout1_3_29: std_logic; + signal mdout1_2_29: std_logic; + signal mdout1_1_29: std_logic; + signal mdout1_0_29: std_logic; + signal mdout1_7_30: std_logic; + signal mdout1_6_30: std_logic; + signal mdout1_5_30: std_logic; + signal mdout1_4_30: std_logic; + signal mdout1_3_30: std_logic; + signal mdout1_2_30: std_logic; + signal mdout1_1_30: std_logic; + signal mdout1_0_30: std_logic; + signal mdout1_7_31: std_logic; + signal mdout1_6_31: std_logic; + signal mdout1_5_31: std_logic; + signal mdout1_4_31: std_logic; + signal mdout1_3_31: std_logic; + signal mdout1_2_31: std_logic; + signal mdout1_1_31: std_logic; + signal mdout1_0_31: std_logic; + signal mdout1_7_32: std_logic; + signal mdout1_6_32: std_logic; + signal mdout1_5_32: std_logic; + signal mdout1_4_32: std_logic; + signal mdout1_3_32: std_logic; + signal mdout1_2_32: std_logic; + signal mdout1_1_32: std_logic; + signal mdout1_0_32: std_logic; + signal mdout1_7_33: std_logic; + signal mdout1_6_33: std_logic; + signal mdout1_5_33: std_logic; + signal mdout1_4_33: std_logic; + signal mdout1_3_33: std_logic; + signal mdout1_2_33: std_logic; + signal mdout1_1_33: std_logic; + signal mdout1_0_33: std_logic; + signal mdout1_7_34: std_logic; + signal mdout1_6_34: std_logic; + signal mdout1_5_34: std_logic; + signal mdout1_4_34: std_logic; + signal mdout1_3_34: std_logic; + signal mdout1_2_34: std_logic; + signal mdout1_1_34: std_logic; + signal mdout1_0_34: std_logic; + signal rptr_13_ff2: std_logic; + signal rptr_12_ff2: std_logic; + signal rptr_11_ff2: std_logic; + signal mdout1_7_35: std_logic; + signal mdout1_6_35: std_logic; + signal mdout1_5_35: std_logic; + signal mdout1_4_35: std_logic; + signal mdout1_3_35: std_logic; + signal mdout1_2_35: std_logic; + signal mdout1_1_35: std_logic; + signal mdout1_0_35: std_logic; + signal wcnt_sub_0: std_logic; + signal rptr_0: std_logic; + signal cnt_con_inv: std_logic; + signal wcount_0: std_logic; + signal cnt_con: std_logic; + signal precin: std_logic; + signal wcnt_sub_1: std_logic; + signal wcnt_sub_2: std_logic; + signal rptr_2: std_logic; + signal rptr_1: std_logic; + signal wcount_2: std_logic; + signal wcount_1: std_logic; + signal co0_5: std_logic; + signal wcnt_sub_3: std_logic; + signal wcnt_sub_4: std_logic; + signal rptr_4: std_logic; + signal rptr_3: std_logic; + signal wcount_4: std_logic; + signal wcount_3: std_logic; + signal co1_5: std_logic; + signal wcnt_sub_5: std_logic; + signal wcnt_sub_6: std_logic; + signal rptr_6: std_logic; + signal rptr_5: std_logic; + signal wcount_6: std_logic; + signal wcount_5: std_logic; + signal co2_5: std_logic; + signal wcnt_sub_7: std_logic; + signal wcnt_sub_8: std_logic; + signal rptr_8: std_logic; + signal rptr_7: std_logic; + signal wcount_8: std_logic; + signal wcount_7: std_logic; + signal co3_5: std_logic; + signal wcnt_sub_9: std_logic; + signal wcnt_sub_10: std_logic; + signal rptr_10: std_logic; + signal rptr_9: std_logic; + signal wcount_10: std_logic; + signal wcount_9: std_logic; + signal co4_5: std_logic; + signal wcnt_sub_11: std_logic; + signal wcnt_sub_12: std_logic; + signal rptr_12: std_logic; + signal rptr_11: std_logic; + signal wcount_12: std_logic; + signal wcount_11: std_logic; + signal co5_5: std_logic; + signal wcnt_sub_13: std_logic; + signal wcnt_sub_14: std_logic; + signal rptr_13: std_logic; + signal wcnt_sub_msb: std_logic; + signal wcount_13: std_logic; + signal co6_5: std_logic; + signal co7_3d: std_logic; + signal co7_3: std_logic; + signal wren_i: std_logic; + signal cmp_ci_2: std_logic; + signal wcnt_reg_0: std_logic; + signal wcnt_reg_1: std_logic; + signal co0_6: std_logic; + signal wcnt_reg_2: std_logic; + signal wcnt_reg_3: std_logic; + signal co1_6: std_logic; + signal wcnt_reg_4: std_logic; + signal wcnt_reg_5: std_logic; + signal co2_6: std_logic; + signal wcnt_reg_6: std_logic; + signal wcnt_reg_7: std_logic; + signal co3_6: std_logic; + signal wcnt_reg_8: std_logic; + signal wcnt_reg_9: std_logic; + signal co4_6: std_logic; + signal wcnt_reg_10: std_logic; + signal wcnt_reg_11: std_logic; + signal co5_6: std_logic; + signal wcnt_reg_12: std_logic; + signal wcnt_reg_13: std_logic; + signal co6_6: std_logic; + signal wcnt_reg_14: std_logic; + signal af_set: std_logic; + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + signal af_set_c: std_logic; + + attribute MEM_LPC_FILE : string; + attribute MEM_INIT_FILE : string; + attribute GSR : string; + attribute MEM_LPC_FILE of pdp_ram_0_0_31 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_0_31 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_0_1_30 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_1_30 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_0_2_29 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_2_29 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_0_3_28 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_3_28 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_1_0_27 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_0_27 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_1_1_26 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_1_26 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_1_2_25 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_2_25 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_1_3_24 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_3_24 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_2_0_23 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_2_0_23 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_2_1_22 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_2_1_22 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_2_2_21 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_2_2_21 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_2_3_20 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_2_3_20 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_3_0_19 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_3_0_19 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_3_1_18 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_3_1_18 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_3_2_17 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_3_2_17 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_3_3_16 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_3_3_16 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_4_0_15 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_4_0_15 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_4_1_14 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_4_1_14 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_4_2_13 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_4_2_13 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_4_3_12 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_4_3_12 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_5_0_11 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_5_0_11 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_5_1_10 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_5_1_10 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_5_2_9 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_5_2_9 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_5_3_8 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_5_3_8 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_6_0_7 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_6_0_7 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_6_1_6 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_6_1_6 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_6_2_5 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_6_2_5 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_6_3_4 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_6_3_4 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_7_0_3 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_7_0_3 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_7_1_2 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_7_1_2 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_7_2_1 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_7_2_1 : label is ""; + attribute MEM_LPC_FILE of pdp_ram_7_3_0 : label is "fifo_36x16k_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_7_3_0 : label is ""; + attribute GSR of FF_98 : label is "ENABLED"; + attribute GSR of FF_97 : label is "ENABLED"; + attribute GSR of FF_96 : label is "ENABLED"; + attribute GSR of FF_95 : label is "ENABLED"; + attribute GSR of FF_94 : label is "ENABLED"; + attribute GSR of FF_93 : label is "ENABLED"; + attribute GSR of FF_92 : label is "ENABLED"; + attribute GSR of FF_91 : label is "ENABLED"; + attribute GSR of FF_90 : label is "ENABLED"; + attribute GSR of FF_89 : label is "ENABLED"; + attribute GSR of FF_88 : label is "ENABLED"; + attribute GSR of FF_87 : label is "ENABLED"; + attribute GSR of FF_86 : label is "ENABLED"; + attribute GSR of FF_85 : label is "ENABLED"; + attribute GSR of FF_84 : label is "ENABLED"; + attribute GSR of FF_83 : label is "ENABLED"; + attribute GSR of FF_82 : label is "ENABLED"; + attribute GSR of FF_81 : label is "ENABLED"; + attribute GSR of FF_80 : label is "ENABLED"; + attribute GSR of FF_79 : label is "ENABLED"; + attribute GSR of FF_78 : label is "ENABLED"; + attribute GSR of FF_77 : label is "ENABLED"; + attribute GSR of FF_76 : label is "ENABLED"; + attribute GSR of FF_75 : label is "ENABLED"; + attribute GSR of FF_74 : label is "ENABLED"; + attribute GSR of FF_73 : label is "ENABLED"; + attribute GSR of FF_72 : label is "ENABLED"; + attribute GSR of FF_71 : label is "ENABLED"; + attribute GSR of FF_70 : label is "ENABLED"; + attribute GSR of FF_69 : label is "ENABLED"; + attribute GSR of FF_68 : label is "ENABLED"; + attribute GSR of FF_67 : label is "ENABLED"; + attribute GSR of FF_66 : label is "ENABLED"; + attribute GSR of FF_65 : label is "ENABLED"; + attribute GSR of FF_64 : label is "ENABLED"; + attribute GSR of FF_63 : label is "ENABLED"; + attribute GSR of FF_62 : label is "ENABLED"; + attribute GSR of FF_61 : label is "ENABLED"; + attribute GSR of FF_60 : label is "ENABLED"; + attribute GSR of FF_59 : label is "ENABLED"; + attribute GSR of FF_58 : label is "ENABLED"; + attribute GSR of FF_57 : label is "ENABLED"; + attribute GSR of FF_56 : label is "ENABLED"; + attribute GSR of FF_55 : label is "ENABLED"; + attribute GSR of FF_54 : label is "ENABLED"; + attribute GSR of FF_53 : label is "ENABLED"; + attribute GSR of FF_52 : label is "ENABLED"; + attribute GSR of FF_51 : label is "ENABLED"; + attribute GSR of FF_50 : label is "ENABLED"; + attribute GSR of FF_49 : label is "ENABLED"; + attribute GSR of FF_48 : label is "ENABLED"; + attribute GSR of FF_47 : label is "ENABLED"; + attribute GSR of FF_46 : label is "ENABLED"; + attribute GSR of FF_45 : label is "ENABLED"; + attribute GSR of FF_44 : label is "ENABLED"; + attribute GSR of FF_43 : label is "ENABLED"; + attribute GSR of FF_42 : label is "ENABLED"; + attribute GSR of FF_41 : label is "ENABLED"; + attribute GSR of FF_40 : label is "ENABLED"; + attribute GSR of FF_39 : label is "ENABLED"; + attribute GSR of FF_38 : label is "ENABLED"; + attribute GSR of FF_37 : label is "ENABLED"; + attribute GSR of FF_36 : label is "ENABLED"; + attribute GSR of FF_35 : label is "ENABLED"; + attribute GSR of FF_34 : label is "ENABLED"; + attribute GSR of FF_33 : label is "ENABLED"; + attribute GSR of FF_32 : label is "ENABLED"; + attribute GSR of FF_31 : label is "ENABLED"; + attribute GSR of FF_30 : label is "ENABLED"; + attribute GSR of FF_29 : label is "ENABLED"; + attribute GSR of FF_28 : label is "ENABLED"; + attribute GSR of FF_27 : label is "ENABLED"; + attribute GSR of FF_26 : label is "ENABLED"; + attribute GSR of FF_25 : label is "ENABLED"; + attribute GSR of FF_24 : label is "ENABLED"; + attribute GSR of FF_23 : label is "ENABLED"; + attribute GSR of FF_22 : label is "ENABLED"; + attribute GSR of FF_21 : label is "ENABLED"; + attribute GSR of FF_20 : label is "ENABLED"; + attribute GSR of FF_19 : label is "ENABLED"; + attribute GSR of FF_18 : label is "ENABLED"; + attribute GSR of FF_17 : label is "ENABLED"; + attribute GSR of FF_16 : label is "ENABLED"; + attribute GSR of FF_15 : label is "ENABLED"; + attribute GSR of FF_14 : label is "ENABLED"; + attribute GSR of FF_13 : label is "ENABLED"; + attribute GSR of FF_12 : label is "ENABLED"; + attribute GSR of FF_11 : label is "ENABLED"; + attribute GSR of FF_10 : label is "ENABLED"; + attribute GSR of FF_9 : label is "ENABLED"; + attribute GSR of FF_8 : label is "ENABLED"; + attribute GSR of FF_7 : label is "ENABLED"; + attribute GSR of FF_6 : label is "ENABLED"; + attribute GSR of FF_5 : label is "ENABLED"; + attribute GSR of FF_4 : label is "ENABLED"; + attribute GSR of FF_3 : label is "ENABLED"; + attribute GSR of FF_2 : label is "ENABLED"; + attribute GSR of FF_1 : label is "ENABLED"; + attribute GSR of FF_0 : label is "ENABLED"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of Structure : architecture is 1; + +begin + -- component instantiation statements + AND2_t5: AND2 + port map (A=>WrEn, B=>invout_2, Z=>wren_i); + + INV_5: INV + port map (A=>full_i, Z=>invout_2); + + AND2_t4: AND2 + port map (A=>RdEn, B=>invout_1, Z=>rden_i); + + INV_4: INV + port map (A=>empty_i, Z=>invout_1); + + AND2_t3: AND2 + port map (A=>wren_i, B=>rden_i_inv, Z=>cnt_con); + + XOR2_t2: XOR2 + port map (A=>wren_i, B=>rden_i, Z=>fcnt_en); + + INV_3: INV + port map (A=>rden_i, Z=>rden_i_inv); + + INV_2: INV + port map (A=>wren_i, Z=>wren_i_inv); + + LUT4_1: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_le_1, AD1=>wren_i, + AD0=>empty_i, DO0=>empty_d); + + LUT4_0: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_ge_d1, AD1=>rden_i, + AD0=>full_i, DO0=>full_d); + + AND2_t1: AND2 + port map (A=>rden_i, B=>invout_0, Z=>r_nw); + + INV_1: INV + port map (A=>wren_i, Z=>invout_0); + + XOR2_t0: XOR2 + port map (A=>wcount_14, B=>rptr_14, Z=>wcnt_sub_msb); + + INV_0: INV + port map (A=>cnt_con, Z=>cnt_con_inv); + + pdp_ram_0_0_31: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>wptr_13, CSA1=>wptr_12, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, CSB2=>rptr_13, + CSB1=>rptr_12, CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, + DOA16=>open, DOA15=>open, DOA14=>open, DOA13=>open, + DOA12=>open, DOA11=>open, DOA10=>open, DOA9=>open, + DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, DOA4=>open, + DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, DOB17=>open, + DOB16=>open, DOB15=>open, DOB14=>open, DOB13=>open, + DOB12=>open, DOB11=>open, DOB10=>open, DOB9=>open, + DOB8=>mdout1_0_8, DOB7=>mdout1_0_7, DOB6=>mdout1_0_6, + DOB5=>mdout1_0_5, DOB4=>mdout1_0_4, DOB3=>mdout1_0_3, + DOB2=>mdout1_0_2, DOB1=>mdout1_0_1, DOB0=>mdout1_0_0); + + pdp_ram_0_1_30: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_0_17, + DOB7=>mdout1_0_16, DOB6=>mdout1_0_15, DOB5=>mdout1_0_14, + DOB4=>mdout1_0_13, DOB3=>mdout1_0_12, DOB2=>mdout1_0_11, + DOB1=>mdout1_0_10, DOB0=>mdout1_0_9); + + pdp_ram_0_2_29: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_0_26, + DOB7=>mdout1_0_25, DOB6=>mdout1_0_24, DOB5=>mdout1_0_23, + DOB4=>mdout1_0_22, DOB3=>mdout1_0_21, DOB2=>mdout1_0_20, + DOB1=>mdout1_0_19, DOB0=>mdout1_0_18); + + pdp_ram_0_3_28: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_0_35, + DOB7=>mdout1_0_34, DOB6=>mdout1_0_33, DOB5=>mdout1_0_32, + DOB4=>mdout1_0_31, DOB3=>mdout1_0_30, DOB2=>mdout1_0_29, + DOB1=>mdout1_0_28, DOB0=>mdout1_0_27); + + pdp_ram_1_0_27: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>wptr_13, CSA1=>wptr_12, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, CSB2=>rptr_13, + CSB1=>rptr_12, CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, + DOA16=>open, DOA15=>open, DOA14=>open, DOA13=>open, + DOA12=>open, DOA11=>open, DOA10=>open, DOA9=>open, + DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, DOA4=>open, + DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, DOB17=>open, + DOB16=>open, DOB15=>open, DOB14=>open, DOB13=>open, + DOB12=>open, DOB11=>open, DOB10=>open, DOB9=>open, + DOB8=>mdout1_1_8, DOB7=>mdout1_1_7, DOB6=>mdout1_1_6, + DOB5=>mdout1_1_5, DOB4=>mdout1_1_4, DOB3=>mdout1_1_3, + DOB2=>mdout1_1_2, DOB1=>mdout1_1_1, DOB0=>mdout1_1_0); + + pdp_ram_1_1_26: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_1_17, + DOB7=>mdout1_1_16, DOB6=>mdout1_1_15, DOB5=>mdout1_1_14, + DOB4=>mdout1_1_13, DOB3=>mdout1_1_12, DOB2=>mdout1_1_11, + DOB1=>mdout1_1_10, DOB0=>mdout1_1_9); + + pdp_ram_1_2_25: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_1_26, + DOB7=>mdout1_1_25, DOB6=>mdout1_1_24, DOB5=>mdout1_1_23, + DOB4=>mdout1_1_22, DOB3=>mdout1_1_21, DOB2=>mdout1_1_20, + DOB1=>mdout1_1_19, DOB0=>mdout1_1_18); + + pdp_ram_1_3_24: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_1_35, + DOB7=>mdout1_1_34, DOB6=>mdout1_1_33, DOB5=>mdout1_1_32, + DOB4=>mdout1_1_31, DOB3=>mdout1_1_30, DOB2=>mdout1_1_29, + DOB1=>mdout1_1_28, DOB0=>mdout1_1_27); + + pdp_ram_2_0_23: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b010", CSDECODE_A=> "0b010", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>wptr_13, CSA1=>wptr_12, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, CSB2=>rptr_13, + CSB1=>rptr_12, CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, + DOA16=>open, DOA15=>open, DOA14=>open, DOA13=>open, + DOA12=>open, DOA11=>open, DOA10=>open, DOA9=>open, + DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, DOA4=>open, + DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, DOB17=>open, + DOB16=>open, DOB15=>open, DOB14=>open, DOB13=>open, + DOB12=>open, DOB11=>open, DOB10=>open, DOB9=>open, + DOB8=>mdout1_2_8, DOB7=>mdout1_2_7, DOB6=>mdout1_2_6, + DOB5=>mdout1_2_5, DOB4=>mdout1_2_4, DOB3=>mdout1_2_3, + DOB2=>mdout1_2_2, DOB1=>mdout1_2_1, DOB0=>mdout1_2_0); + + pdp_ram_2_1_22: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b010", CSDECODE_A=> "0b010", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_2_17, + DOB7=>mdout1_2_16, DOB6=>mdout1_2_15, DOB5=>mdout1_2_14, + DOB4=>mdout1_2_13, DOB3=>mdout1_2_12, DOB2=>mdout1_2_11, + DOB1=>mdout1_2_10, DOB0=>mdout1_2_9); + + pdp_ram_2_2_21: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b010", CSDECODE_A=> "0b010", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_2_26, + DOB7=>mdout1_2_25, DOB6=>mdout1_2_24, DOB5=>mdout1_2_23, + DOB4=>mdout1_2_22, DOB3=>mdout1_2_21, DOB2=>mdout1_2_20, + DOB1=>mdout1_2_19, DOB0=>mdout1_2_18); + + pdp_ram_2_3_20: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b010", CSDECODE_A=> "0b010", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_2_35, + DOB7=>mdout1_2_34, DOB6=>mdout1_2_33, DOB5=>mdout1_2_32, + DOB4=>mdout1_2_31, DOB3=>mdout1_2_30, DOB2=>mdout1_2_29, + DOB1=>mdout1_2_28, DOB0=>mdout1_2_27); + + pdp_ram_3_0_19: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b011", CSDECODE_A=> "0b011", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>wptr_13, CSA1=>wptr_12, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, CSB2=>rptr_13, + CSB1=>rptr_12, CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, + DOA16=>open, DOA15=>open, DOA14=>open, DOA13=>open, + DOA12=>open, DOA11=>open, DOA10=>open, DOA9=>open, + DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, DOA4=>open, + DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, DOB17=>open, + DOB16=>open, DOB15=>open, DOB14=>open, DOB13=>open, + DOB12=>open, DOB11=>open, DOB10=>open, DOB9=>open, + DOB8=>mdout1_3_8, DOB7=>mdout1_3_7, DOB6=>mdout1_3_6, + DOB5=>mdout1_3_5, DOB4=>mdout1_3_4, DOB3=>mdout1_3_3, + DOB2=>mdout1_3_2, DOB1=>mdout1_3_1, DOB0=>mdout1_3_0); + + pdp_ram_3_1_18: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b011", CSDECODE_A=> "0b011", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_3_17, + DOB7=>mdout1_3_16, DOB6=>mdout1_3_15, DOB5=>mdout1_3_14, + DOB4=>mdout1_3_13, DOB3=>mdout1_3_12, DOB2=>mdout1_3_11, + DOB1=>mdout1_3_10, DOB0=>mdout1_3_9); + + pdp_ram_3_2_17: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b011", CSDECODE_A=> "0b011", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_3_26, + DOB7=>mdout1_3_25, DOB6=>mdout1_3_24, DOB5=>mdout1_3_23, + DOB4=>mdout1_3_22, DOB3=>mdout1_3_21, DOB2=>mdout1_3_20, + DOB1=>mdout1_3_19, DOB0=>mdout1_3_18); + + pdp_ram_3_3_16: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b011", CSDECODE_A=> "0b011", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_3_35, + DOB7=>mdout1_3_34, DOB6=>mdout1_3_33, DOB5=>mdout1_3_32, + DOB4=>mdout1_3_31, DOB3=>mdout1_3_30, DOB2=>mdout1_3_29, + DOB1=>mdout1_3_28, DOB0=>mdout1_3_27); + + pdp_ram_4_0_15: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b100", CSDECODE_A=> "0b100", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>wptr_13, CSA1=>wptr_12, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, CSB2=>rptr_13, + CSB1=>rptr_12, CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, + DOA16=>open, DOA15=>open, DOA14=>open, DOA13=>open, + DOA12=>open, DOA11=>open, DOA10=>open, DOA9=>open, + DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, DOA4=>open, + DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, DOB17=>open, + DOB16=>open, DOB15=>open, DOB14=>open, DOB13=>open, + DOB12=>open, DOB11=>open, DOB10=>open, DOB9=>open, + DOB8=>mdout1_4_8, DOB7=>mdout1_4_7, DOB6=>mdout1_4_6, + DOB5=>mdout1_4_5, DOB4=>mdout1_4_4, DOB3=>mdout1_4_3, + DOB2=>mdout1_4_2, DOB1=>mdout1_4_1, DOB0=>mdout1_4_0); + + pdp_ram_4_1_14: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b100", CSDECODE_A=> "0b100", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_4_17, + DOB7=>mdout1_4_16, DOB6=>mdout1_4_15, DOB5=>mdout1_4_14, + DOB4=>mdout1_4_13, DOB3=>mdout1_4_12, DOB2=>mdout1_4_11, + DOB1=>mdout1_4_10, DOB0=>mdout1_4_9); + + pdp_ram_4_2_13: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b100", CSDECODE_A=> "0b100", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_4_26, + DOB7=>mdout1_4_25, DOB6=>mdout1_4_24, DOB5=>mdout1_4_23, + DOB4=>mdout1_4_22, DOB3=>mdout1_4_21, DOB2=>mdout1_4_20, + DOB1=>mdout1_4_19, DOB0=>mdout1_4_18); + + pdp_ram_4_3_12: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b100", CSDECODE_A=> "0b100", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_4_35, + DOB7=>mdout1_4_34, DOB6=>mdout1_4_33, DOB5=>mdout1_4_32, + DOB4=>mdout1_4_31, DOB3=>mdout1_4_30, DOB2=>mdout1_4_29, + DOB1=>mdout1_4_28, DOB0=>mdout1_4_27); + + pdp_ram_5_0_11: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b101", CSDECODE_A=> "0b101", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>wptr_13, CSA1=>wptr_12, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, CSB2=>rptr_13, + CSB1=>rptr_12, CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, + DOA16=>open, DOA15=>open, DOA14=>open, DOA13=>open, + DOA12=>open, DOA11=>open, DOA10=>open, DOA9=>open, + DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, DOA4=>open, + DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, DOB17=>open, + DOB16=>open, DOB15=>open, DOB14=>open, DOB13=>open, + DOB12=>open, DOB11=>open, DOB10=>open, DOB9=>open, + DOB8=>mdout1_5_8, DOB7=>mdout1_5_7, DOB6=>mdout1_5_6, + DOB5=>mdout1_5_5, DOB4=>mdout1_5_4, DOB3=>mdout1_5_3, + DOB2=>mdout1_5_2, DOB1=>mdout1_5_1, DOB0=>mdout1_5_0); + + pdp_ram_5_1_10: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b101", CSDECODE_A=> "0b101", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_5_17, + DOB7=>mdout1_5_16, DOB6=>mdout1_5_15, DOB5=>mdout1_5_14, + DOB4=>mdout1_5_13, DOB3=>mdout1_5_12, DOB2=>mdout1_5_11, + DOB1=>mdout1_5_10, DOB0=>mdout1_5_9); + + pdp_ram_5_2_9: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b101", CSDECODE_A=> "0b101", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_5_26, + DOB7=>mdout1_5_25, DOB6=>mdout1_5_24, DOB5=>mdout1_5_23, + DOB4=>mdout1_5_22, DOB3=>mdout1_5_21, DOB2=>mdout1_5_20, + DOB1=>mdout1_5_19, DOB0=>mdout1_5_18); + + pdp_ram_5_3_8: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b101", CSDECODE_A=> "0b101", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_5_35, + DOB7=>mdout1_5_34, DOB6=>mdout1_5_33, DOB5=>mdout1_5_32, + DOB4=>mdout1_5_31, DOB3=>mdout1_5_30, DOB2=>mdout1_5_29, + DOB1=>mdout1_5_28, DOB0=>mdout1_5_27); + + pdp_ram_6_0_7: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b110", CSDECODE_A=> "0b110", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>wptr_13, CSA1=>wptr_12, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, CSB2=>rptr_13, + CSB1=>rptr_12, CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, + DOA16=>open, DOA15=>open, DOA14=>open, DOA13=>open, + DOA12=>open, DOA11=>open, DOA10=>open, DOA9=>open, + DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, DOA4=>open, + DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, DOB17=>open, + DOB16=>open, DOB15=>open, DOB14=>open, DOB13=>open, + DOB12=>open, DOB11=>open, DOB10=>open, DOB9=>open, + DOB8=>mdout1_6_8, DOB7=>mdout1_6_7, DOB6=>mdout1_6_6, + DOB5=>mdout1_6_5, DOB4=>mdout1_6_4, DOB3=>mdout1_6_3, + DOB2=>mdout1_6_2, DOB1=>mdout1_6_1, DOB0=>mdout1_6_0); + + pdp_ram_6_1_6: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b110", CSDECODE_A=> "0b110", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_6_17, + DOB7=>mdout1_6_16, DOB6=>mdout1_6_15, DOB5=>mdout1_6_14, + DOB4=>mdout1_6_13, DOB3=>mdout1_6_12, DOB2=>mdout1_6_11, + DOB1=>mdout1_6_10, DOB0=>mdout1_6_9); + + pdp_ram_6_2_5: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b110", CSDECODE_A=> "0b110", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_6_26, + DOB7=>mdout1_6_25, DOB6=>mdout1_6_24, DOB5=>mdout1_6_23, + DOB4=>mdout1_6_22, DOB3=>mdout1_6_21, DOB2=>mdout1_6_20, + DOB1=>mdout1_6_19, DOB0=>mdout1_6_18); + + pdp_ram_6_3_4: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b110", CSDECODE_A=> "0b110", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_6_35, + DOB7=>mdout1_6_34, DOB6=>mdout1_6_33, DOB5=>mdout1_6_32, + DOB4=>mdout1_6_31, DOB3=>mdout1_6_30, DOB2=>mdout1_6_29, + DOB1=>mdout1_6_28, DOB0=>mdout1_6_27); + + pdp_ram_7_0_3: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b111", CSDECODE_A=> "0b111", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>wptr_13, CSA1=>wptr_12, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, CSB2=>rptr_13, + CSB1=>rptr_12, CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, + DOA16=>open, DOA15=>open, DOA14=>open, DOA13=>open, + DOA12=>open, DOA11=>open, DOA10=>open, DOA9=>open, + DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, DOA4=>open, + DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, DOB17=>open, + DOB16=>open, DOB15=>open, DOB14=>open, DOB13=>open, + DOB12=>open, DOB11=>open, DOB10=>open, DOB9=>open, + DOB8=>mdout1_7_8, DOB7=>mdout1_7_7, DOB6=>mdout1_7_6, + DOB5=>mdout1_7_5, DOB4=>mdout1_7_4, DOB3=>mdout1_7_3, + DOB2=>mdout1_7_2, DOB1=>mdout1_7_1, DOB0=>mdout1_7_0); + + pdp_ram_7_1_2: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b111", CSDECODE_A=> "0b111", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_7_17, + DOB7=>mdout1_7_16, DOB6=>mdout1_7_15, DOB5=>mdout1_7_14, + DOB4=>mdout1_7_13, DOB3=>mdout1_7_12, DOB2=>mdout1_7_11, + DOB1=>mdout1_7_10, DOB0=>mdout1_7_9); + + pdp_ram_7_2_1: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b111", CSDECODE_A=> "0b111", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_7_26, + DOB7=>mdout1_7_25, DOB6=>mdout1_7_24, DOB5=>mdout1_7_23, + DOB4=>mdout1_7_22, DOB3=>mdout1_7_21, DOB2=>mdout1_7_20, + DOB1=>mdout1_7_19, DOB0=>mdout1_7_18); + + pdp_ram_7_3_0: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b111", CSDECODE_A=> "0b111", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>wptr_13, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>rptr_13, CSB1=>rptr_12, CSB0=>rptr_11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_7_35, + DOB7=>mdout1_7_34, DOB6=>mdout1_7_33, DOB5=>mdout1_7_32, + DOB4=>mdout1_7_31, DOB3=>mdout1_7_30, DOB2=>mdout1_7_29, + DOB1=>mdout1_7_28, DOB0=>mdout1_7_27); + + FF_98: FD1P3DX + port map (D=>ifcount_0, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_0); + + FF_97: FD1P3DX + port map (D=>ifcount_1, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_1); + + FF_96: FD1P3DX + port map (D=>ifcount_2, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_2); + + FF_95: FD1P3DX + port map (D=>ifcount_3, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_3); + + FF_94: FD1P3DX + port map (D=>ifcount_4, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_4); + + FF_93: FD1P3DX + port map (D=>ifcount_5, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_5); + + FF_92: FD1P3DX + port map (D=>ifcount_6, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_6); + + FF_91: FD1P3DX + port map (D=>ifcount_7, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_7); + + FF_90: FD1P3DX + port map (D=>ifcount_8, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_8); + + FF_89: FD1P3DX + port map (D=>ifcount_9, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_9); + + FF_88: FD1P3DX + port map (D=>ifcount_10, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_10); + + FF_87: FD1P3DX + port map (D=>ifcount_11, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_11); + + FF_86: FD1P3DX + port map (D=>ifcount_12, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_12); + + FF_85: FD1P3DX + port map (D=>ifcount_13, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_13); + + FF_84: FD1P3DX + port map (D=>ifcount_14, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_14); + + FF_83: FD1S3BX + port map (D=>empty_d, CK=>Clock, PD=>Reset, Q=>empty_i); + + FF_82: FD1S3DX + port map (D=>full_d, CK=>Clock, CD=>Reset, Q=>full_i); + + FF_81: FD1P3BX + port map (D=>iwcount_0, SP=>wren_i, CK=>Clock, PD=>Reset, + Q=>wcount_0); + + FF_80: FD1P3DX + port map (D=>iwcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_1); + + FF_79: FD1P3DX + port map (D=>iwcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_2); + + FF_78: FD1P3DX + port map (D=>iwcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_3); + + FF_77: FD1P3DX + port map (D=>iwcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_4); + + FF_76: FD1P3DX + port map (D=>iwcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_5); + + FF_75: FD1P3DX + port map (D=>iwcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_6); + + FF_74: FD1P3DX + port map (D=>iwcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_7); + + FF_73: FD1P3DX + port map (D=>iwcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_8); + + FF_72: FD1P3DX + port map (D=>iwcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_9); + + FF_71: FD1P3DX + port map (D=>iwcount_10, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_10); + + FF_70: FD1P3DX + port map (D=>iwcount_11, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_11); + + FF_69: FD1P3DX + port map (D=>iwcount_12, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_12); + + FF_68: FD1P3DX + port map (D=>iwcount_13, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_13); + + FF_67: FD1P3DX + port map (D=>iwcount_14, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_14); + + FF_66: FD1P3BX + port map (D=>ircount_0, SP=>rden_i, CK=>Clock, PD=>Reset, + Q=>rcount_0); + + FF_65: FD1P3DX + port map (D=>ircount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_1); + + FF_64: FD1P3DX + port map (D=>ircount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_2); + + FF_63: FD1P3DX + port map (D=>ircount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_3); + + FF_62: FD1P3DX + port map (D=>ircount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_4); + + FF_61: FD1P3DX + port map (D=>ircount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_5); + + FF_60: FD1P3DX + port map (D=>ircount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_6); + + FF_59: FD1P3DX + port map (D=>ircount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_7); + + FF_58: FD1P3DX + port map (D=>ircount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_8); + + FF_57: FD1P3DX + port map (D=>ircount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_9); + + FF_56: FD1P3DX + port map (D=>ircount_10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_10); + + FF_55: FD1P3DX + port map (D=>ircount_11, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_11); + + FF_54: FD1P3DX + port map (D=>ircount_12, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_12); + + FF_53: FD1P3DX + port map (D=>ircount_13, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_13); + + FF_52: FD1P3DX + port map (D=>ircount_14, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_14); + + FF_51: FD1P3DX + port map (D=>wcount_0, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_0); + + FF_50: FD1P3DX + port map (D=>wcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_1); + + FF_49: FD1P3DX + port map (D=>wcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_2); + + FF_48: FD1P3DX + port map (D=>wcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_3); + + FF_47: FD1P3DX + port map (D=>wcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_4); + + FF_46: FD1P3DX + port map (D=>wcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_5); + + FF_45: FD1P3DX + port map (D=>wcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_6); + + FF_44: FD1P3DX + port map (D=>wcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_7); + + FF_43: FD1P3DX + port map (D=>wcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_8); + + FF_42: FD1P3DX + port map (D=>wcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_9); + + FF_41: FD1P3DX + port map (D=>wcount_10, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_10); + + FF_40: FD1P3DX + port map (D=>wcount_11, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_11); + + FF_39: FD1P3DX + port map (D=>wcount_12, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_12); + + FF_38: FD1P3DX + port map (D=>wcount_13, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_13); + + FF_37: FD1P3DX + port map (D=>wcount_14, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_14); + + FF_36: FD1P3DX + port map (D=>rcount_0, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_0); + + FF_35: FD1P3DX + port map (D=>rcount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_1); + + FF_34: FD1P3DX + port map (D=>rcount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_2); + + FF_33: FD1P3DX + port map (D=>rcount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_3); + + FF_32: FD1P3DX + port map (D=>rcount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_4); + + FF_31: FD1P3DX + port map (D=>rcount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_5); + + FF_30: FD1P3DX + port map (D=>rcount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_6); + + FF_29: FD1P3DX + port map (D=>rcount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_7); + + FF_28: FD1P3DX + port map (D=>rcount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_8); + + FF_27: FD1P3DX + port map (D=>rcount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_9); + + FF_26: FD1P3DX + port map (D=>rcount_10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_10); + + FF_25: FD1P3DX + port map (D=>rcount_11, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_11); + + FF_24: FD1P3DX + port map (D=>rcount_12, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_12); + + FF_23: FD1P3DX + port map (D=>rcount_13, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_13); + + FF_22: FD1P3DX + port map (D=>rcount_14, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_14); + + FF_21: FD1P3DX + port map (D=>rptr_11, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_11_ff); + + FF_20: FD1P3DX + port map (D=>rptr_12, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_12_ff); + + FF_19: FD1P3DX + port map (D=>rptr_13, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_13_ff); + + FF_18: FD1P3DX + port map (D=>rptr_11_ff, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_11_ff2); + + FF_17: FD1P3DX + port map (D=>rptr_12_ff, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_12_ff2); + + FF_16: FD1P3DX + port map (D=>rptr_13_ff, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_13_ff2); + + FF_15: FD1S3DX + port map (D=>wcnt_sub_0, CK=>Clock, CD=>Reset, Q=>wcnt_reg_0); + + FF_14: FD1S3DX + port map (D=>wcnt_sub_1, CK=>Clock, CD=>Reset, Q=>wcnt_reg_1); + + FF_13: FD1S3DX + port map (D=>wcnt_sub_2, CK=>Clock, CD=>Reset, Q=>wcnt_reg_2); + + FF_12: FD1S3DX + port map (D=>wcnt_sub_3, CK=>Clock, CD=>Reset, Q=>wcnt_reg_3); + + FF_11: FD1S3DX + port map (D=>wcnt_sub_4, CK=>Clock, CD=>Reset, Q=>wcnt_reg_4); + + FF_10: FD1S3DX + port map (D=>wcnt_sub_5, CK=>Clock, CD=>Reset, Q=>wcnt_reg_5); + + FF_9: FD1S3DX + port map (D=>wcnt_sub_6, CK=>Clock, CD=>Reset, Q=>wcnt_reg_6); + + FF_8: FD1S3DX + port map (D=>wcnt_sub_7, CK=>Clock, CD=>Reset, Q=>wcnt_reg_7); + + FF_7: FD1S3DX + port map (D=>wcnt_sub_8, CK=>Clock, CD=>Reset, Q=>wcnt_reg_8); + + FF_6: FD1S3DX + port map (D=>wcnt_sub_9, CK=>Clock, CD=>Reset, Q=>wcnt_reg_9); + + FF_5: FD1S3DX + port map (D=>wcnt_sub_10, CK=>Clock, CD=>Reset, Q=>wcnt_reg_10); + + FF_4: FD1S3DX + port map (D=>wcnt_sub_11, CK=>Clock, CD=>Reset, Q=>wcnt_reg_11); + + FF_3: FD1S3DX + port map (D=>wcnt_sub_12, CK=>Clock, CD=>Reset, Q=>wcnt_reg_12); + + FF_2: FD1S3DX + port map (D=>wcnt_sub_13, CK=>Clock, CD=>Reset, Q=>wcnt_reg_13); + + FF_1: FD1S3DX + port map (D=>wcnt_sub_14, CK=>Clock, CD=>Reset, Q=>wcnt_reg_14); + + FF_0: FD1S3DX + port map (D=>af_set, CK=>Clock, CD=>Reset, Q=>AlmostFull); + + bdcnt_bctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>cnt_con, B0=>scuba_vlo, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>bdcnt_bctr_ci); + + bdcnt_bctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>bdcnt_bctr_ci, S0=>ifcount_0, S1=>ifcount_1, COUT=>co0); + + bdcnt_bctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0, S0=>ifcount_2, S1=>ifcount_3, COUT=>co1); + + bdcnt_bctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1, S0=>ifcount_4, S1=>ifcount_5, COUT=>co2); + + bdcnt_bctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2, S0=>ifcount_6, S1=>ifcount_7, COUT=>co3); + + bdcnt_bctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3, S0=>ifcount_8, S1=>ifcount_9, COUT=>co4); + + bdcnt_bctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_10, A1=>fcount_11, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4, S0=>ifcount_10, S1=>ifcount_11, COUT=>co5); + + bdcnt_bctr_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_12, A1=>fcount_13, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co5, S0=>ifcount_12, S1=>ifcount_13, COUT=>co6); + + bdcnt_bctr_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_14, A1=>scuba_vlo, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co6, S0=>ifcount_14, S1=>open, COUT=>co7); + + e_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci); + + e_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>rden_i, A1=>scuba_vlo, B0=>fcount_0, B1=>fcount_1, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci, S0=>open, S1=>open, COUT=>co0_1); + + e_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_2, + B1=>fcount_3, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_1, S0=>open, S1=>open, COUT=>co1_1); + + e_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_4, + B1=>fcount_5, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_1, S0=>open, S1=>open, COUT=>co2_1); + + e_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_6, + B1=>fcount_7, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_1, S0=>open, S1=>open, COUT=>co3_1); + + e_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_8, + B1=>fcount_9, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_1, S0=>open, S1=>open, COUT=>co4_1); + + e_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_10, + B1=>fcount_11, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_1, S0=>open, S1=>open, COUT=>co5_1); + + e_cmp_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_12, + B1=>fcount_13, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_1, S0=>open, S1=>open, COUT=>co6_1); + + e_cmp_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_14, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co6_1, S0=>open, S1=>open, + COUT=>cmp_le_1_c); + + a0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_le_1_c, S0=>cmp_le_1, S1=>open, + COUT=>open); + + g_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_1); + + g_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci_1, S0=>open, S1=>open, COUT=>co0_2); + + g_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_2, S0=>open, S1=>open, COUT=>co1_2); + + g_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_2, S0=>open, S1=>open, COUT=>co2_2); + + g_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_2, S0=>open, S1=>open, COUT=>co3_2); + + g_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_2, S0=>open, S1=>open, COUT=>co4_2); + + g_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_10, A1=>fcount_11, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4_2, S0=>open, S1=>open, COUT=>co5_2); + + g_cmp_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_12, A1=>fcount_13, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co5_2, S0=>open, S1=>open, COUT=>co6_2); + + g_cmp_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_14, A1=>scuba_vlo, B0=>wren_i_inv, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co6_2, S0=>open, S1=>open, + COUT=>cmp_ge_d1_c); + + a1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_ge_d1_c, S0=>cmp_ge_d1, S1=>open, + COUT=>open); + + w_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>w_ctr_ci); + + w_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_0, A1=>wcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>w_ctr_ci, S0=>iwcount_0, S1=>iwcount_1, + COUT=>co0_3); + + w_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_2, A1=>wcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_3, S0=>iwcount_2, S1=>iwcount_3, + COUT=>co1_3); + + w_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_4, A1=>wcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_3, S0=>iwcount_4, S1=>iwcount_5, + COUT=>co2_3); + + w_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_6, A1=>wcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_3, S0=>iwcount_6, S1=>iwcount_7, + COUT=>co3_3); + + w_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_8, A1=>wcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_3, S0=>iwcount_8, S1=>iwcount_9, + COUT=>co4_3); + + w_ctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_10, A1=>wcount_11, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_3, S0=>iwcount_10, S1=>iwcount_11, + COUT=>co5_3); + + w_ctr_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_12, A1=>wcount_13, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_3, S0=>iwcount_12, S1=>iwcount_13, + COUT=>co6_3); + + w_ctr_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_14, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co6_3, S0=>iwcount_14, S1=>open, + COUT=>co7_1); + + r_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>r_ctr_ci); + + r_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_0, A1=>rcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>r_ctr_ci, S0=>ircount_0, S1=>ircount_1, + COUT=>co0_4); + + r_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_2, A1=>rcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_4, S0=>ircount_2, S1=>ircount_3, + COUT=>co1_4); + + r_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_4, A1=>rcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_4, S0=>ircount_4, S1=>ircount_5, + COUT=>co2_4); + + r_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_6, A1=>rcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_4, S0=>ircount_6, S1=>ircount_7, + COUT=>co3_4); + + r_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_8, A1=>rcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_4, S0=>ircount_8, S1=>ircount_9, + COUT=>co4_4); + + r_ctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_10, A1=>rcount_11, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_4, S0=>ircount_10, S1=>ircount_11, + COUT=>co5_4); + + r_ctr_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_12, A1=>rcount_13, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_4, S0=>ircount_12, S1=>ircount_13, + COUT=>co6_4); + + r_ctr_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_14, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co6_4, S0=>ircount_14, S1=>open, + COUT=>co7_2); + + mux_35: MUX81 + port map (D0=>mdout1_0_0, D1=>mdout1_1_0, D2=>mdout1_2_0, + D3=>mdout1_3_0, D4=>mdout1_4_0, D5=>mdout1_5_0, + D6=>mdout1_6_0, D7=>mdout1_7_0, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(0)); + + mux_34: MUX81 + port map (D0=>mdout1_0_1, D1=>mdout1_1_1, D2=>mdout1_2_1, + D3=>mdout1_3_1, D4=>mdout1_4_1, D5=>mdout1_5_1, + D6=>mdout1_6_1, D7=>mdout1_7_1, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(1)); + + mux_33: MUX81 + port map (D0=>mdout1_0_2, D1=>mdout1_1_2, D2=>mdout1_2_2, + D3=>mdout1_3_2, D4=>mdout1_4_2, D5=>mdout1_5_2, + D6=>mdout1_6_2, D7=>mdout1_7_2, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(2)); + + mux_32: MUX81 + port map (D0=>mdout1_0_3, D1=>mdout1_1_3, D2=>mdout1_2_3, + D3=>mdout1_3_3, D4=>mdout1_4_3, D5=>mdout1_5_3, + D6=>mdout1_6_3, D7=>mdout1_7_3, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(3)); + + mux_31: MUX81 + port map (D0=>mdout1_0_4, D1=>mdout1_1_4, D2=>mdout1_2_4, + D3=>mdout1_3_4, D4=>mdout1_4_4, D5=>mdout1_5_4, + D6=>mdout1_6_4, D7=>mdout1_7_4, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(4)); + + mux_30: MUX81 + port map (D0=>mdout1_0_5, D1=>mdout1_1_5, D2=>mdout1_2_5, + D3=>mdout1_3_5, D4=>mdout1_4_5, D5=>mdout1_5_5, + D6=>mdout1_6_5, D7=>mdout1_7_5, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(5)); + + mux_29: MUX81 + port map (D0=>mdout1_0_6, D1=>mdout1_1_6, D2=>mdout1_2_6, + D3=>mdout1_3_6, D4=>mdout1_4_6, D5=>mdout1_5_6, + D6=>mdout1_6_6, D7=>mdout1_7_6, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(6)); + + mux_28: MUX81 + port map (D0=>mdout1_0_7, D1=>mdout1_1_7, D2=>mdout1_2_7, + D3=>mdout1_3_7, D4=>mdout1_4_7, D5=>mdout1_5_7, + D6=>mdout1_6_7, D7=>mdout1_7_7, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(7)); + + mux_27: MUX81 + port map (D0=>mdout1_0_8, D1=>mdout1_1_8, D2=>mdout1_2_8, + D3=>mdout1_3_8, D4=>mdout1_4_8, D5=>mdout1_5_8, + D6=>mdout1_6_8, D7=>mdout1_7_8, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(8)); + + mux_26: MUX81 + port map (D0=>mdout1_0_9, D1=>mdout1_1_9, D2=>mdout1_2_9, + D3=>mdout1_3_9, D4=>mdout1_4_9, D5=>mdout1_5_9, + D6=>mdout1_6_9, D7=>mdout1_7_9, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(9)); + + mux_25: MUX81 + port map (D0=>mdout1_0_10, D1=>mdout1_1_10, D2=>mdout1_2_10, + D3=>mdout1_3_10, D4=>mdout1_4_10, D5=>mdout1_5_10, + D6=>mdout1_6_10, D7=>mdout1_7_10, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(10)); + + mux_24: MUX81 + port map (D0=>mdout1_0_11, D1=>mdout1_1_11, D2=>mdout1_2_11, + D3=>mdout1_3_11, D4=>mdout1_4_11, D5=>mdout1_5_11, + D6=>mdout1_6_11, D7=>mdout1_7_11, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(11)); + + mux_23: MUX81 + port map (D0=>mdout1_0_12, D1=>mdout1_1_12, D2=>mdout1_2_12, + D3=>mdout1_3_12, D4=>mdout1_4_12, D5=>mdout1_5_12, + D6=>mdout1_6_12, D7=>mdout1_7_12, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(12)); + + mux_22: MUX81 + port map (D0=>mdout1_0_13, D1=>mdout1_1_13, D2=>mdout1_2_13, + D3=>mdout1_3_13, D4=>mdout1_4_13, D5=>mdout1_5_13, + D6=>mdout1_6_13, D7=>mdout1_7_13, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(13)); + + mux_21: MUX81 + port map (D0=>mdout1_0_14, D1=>mdout1_1_14, D2=>mdout1_2_14, + D3=>mdout1_3_14, D4=>mdout1_4_14, D5=>mdout1_5_14, + D6=>mdout1_6_14, D7=>mdout1_7_14, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(14)); + + mux_20: MUX81 + port map (D0=>mdout1_0_15, D1=>mdout1_1_15, D2=>mdout1_2_15, + D3=>mdout1_3_15, D4=>mdout1_4_15, D5=>mdout1_5_15, + D6=>mdout1_6_15, D7=>mdout1_7_15, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(15)); + + mux_19: MUX81 + port map (D0=>mdout1_0_16, D1=>mdout1_1_16, D2=>mdout1_2_16, + D3=>mdout1_3_16, D4=>mdout1_4_16, D5=>mdout1_5_16, + D6=>mdout1_6_16, D7=>mdout1_7_16, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(16)); + + mux_18: MUX81 + port map (D0=>mdout1_0_17, D1=>mdout1_1_17, D2=>mdout1_2_17, + D3=>mdout1_3_17, D4=>mdout1_4_17, D5=>mdout1_5_17, + D6=>mdout1_6_17, D7=>mdout1_7_17, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(17)); + + mux_17: MUX81 + port map (D0=>mdout1_0_18, D1=>mdout1_1_18, D2=>mdout1_2_18, + D3=>mdout1_3_18, D4=>mdout1_4_18, D5=>mdout1_5_18, + D6=>mdout1_6_18, D7=>mdout1_7_18, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(18)); + + mux_16: MUX81 + port map (D0=>mdout1_0_19, D1=>mdout1_1_19, D2=>mdout1_2_19, + D3=>mdout1_3_19, D4=>mdout1_4_19, D5=>mdout1_5_19, + D6=>mdout1_6_19, D7=>mdout1_7_19, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(19)); + + mux_15: MUX81 + port map (D0=>mdout1_0_20, D1=>mdout1_1_20, D2=>mdout1_2_20, + D3=>mdout1_3_20, D4=>mdout1_4_20, D5=>mdout1_5_20, + D6=>mdout1_6_20, D7=>mdout1_7_20, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(20)); + + mux_14: MUX81 + port map (D0=>mdout1_0_21, D1=>mdout1_1_21, D2=>mdout1_2_21, + D3=>mdout1_3_21, D4=>mdout1_4_21, D5=>mdout1_5_21, + D6=>mdout1_6_21, D7=>mdout1_7_21, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(21)); + + mux_13: MUX81 + port map (D0=>mdout1_0_22, D1=>mdout1_1_22, D2=>mdout1_2_22, + D3=>mdout1_3_22, D4=>mdout1_4_22, D5=>mdout1_5_22, + D6=>mdout1_6_22, D7=>mdout1_7_22, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(22)); + + mux_12: MUX81 + port map (D0=>mdout1_0_23, D1=>mdout1_1_23, D2=>mdout1_2_23, + D3=>mdout1_3_23, D4=>mdout1_4_23, D5=>mdout1_5_23, + D6=>mdout1_6_23, D7=>mdout1_7_23, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(23)); + + mux_11: MUX81 + port map (D0=>mdout1_0_24, D1=>mdout1_1_24, D2=>mdout1_2_24, + D3=>mdout1_3_24, D4=>mdout1_4_24, D5=>mdout1_5_24, + D6=>mdout1_6_24, D7=>mdout1_7_24, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(24)); + + mux_10: MUX81 + port map (D0=>mdout1_0_25, D1=>mdout1_1_25, D2=>mdout1_2_25, + D3=>mdout1_3_25, D4=>mdout1_4_25, D5=>mdout1_5_25, + D6=>mdout1_6_25, D7=>mdout1_7_25, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(25)); + + mux_9: MUX81 + port map (D0=>mdout1_0_26, D1=>mdout1_1_26, D2=>mdout1_2_26, + D3=>mdout1_3_26, D4=>mdout1_4_26, D5=>mdout1_5_26, + D6=>mdout1_6_26, D7=>mdout1_7_26, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(26)); + + mux_8: MUX81 + port map (D0=>mdout1_0_27, D1=>mdout1_1_27, D2=>mdout1_2_27, + D3=>mdout1_3_27, D4=>mdout1_4_27, D5=>mdout1_5_27, + D6=>mdout1_6_27, D7=>mdout1_7_27, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(27)); + + mux_7: MUX81 + port map (D0=>mdout1_0_28, D1=>mdout1_1_28, D2=>mdout1_2_28, + D3=>mdout1_3_28, D4=>mdout1_4_28, D5=>mdout1_5_28, + D6=>mdout1_6_28, D7=>mdout1_7_28, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(28)); + + mux_6: MUX81 + port map (D0=>mdout1_0_29, D1=>mdout1_1_29, D2=>mdout1_2_29, + D3=>mdout1_3_29, D4=>mdout1_4_29, D5=>mdout1_5_29, + D6=>mdout1_6_29, D7=>mdout1_7_29, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(29)); + + mux_5: MUX81 + port map (D0=>mdout1_0_30, D1=>mdout1_1_30, D2=>mdout1_2_30, + D3=>mdout1_3_30, D4=>mdout1_4_30, D5=>mdout1_5_30, + D6=>mdout1_6_30, D7=>mdout1_7_30, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(30)); + + mux_4: MUX81 + port map (D0=>mdout1_0_31, D1=>mdout1_1_31, D2=>mdout1_2_31, + D3=>mdout1_3_31, D4=>mdout1_4_31, D5=>mdout1_5_31, + D6=>mdout1_6_31, D7=>mdout1_7_31, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(31)); + + mux_3: MUX81 + port map (D0=>mdout1_0_32, D1=>mdout1_1_32, D2=>mdout1_2_32, + D3=>mdout1_3_32, D4=>mdout1_4_32, D5=>mdout1_5_32, + D6=>mdout1_6_32, D7=>mdout1_7_32, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(32)); + + mux_2: MUX81 + port map (D0=>mdout1_0_33, D1=>mdout1_1_33, D2=>mdout1_2_33, + D3=>mdout1_3_33, D4=>mdout1_4_33, D5=>mdout1_5_33, + D6=>mdout1_6_33, D7=>mdout1_7_33, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(33)); + + mux_1: MUX81 + port map (D0=>mdout1_0_34, D1=>mdout1_1_34, D2=>mdout1_2_34, + D3=>mdout1_3_34, D4=>mdout1_4_34, D5=>mdout1_5_34, + D6=>mdout1_6_34, D7=>mdout1_7_34, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(34)); + + mux_0: MUX81 + port map (D0=>mdout1_0_35, D1=>mdout1_1_35, D2=>mdout1_2_35, + D3=>mdout1_3_35, D4=>mdout1_4_35, D5=>mdout1_5_35, + D6=>mdout1_6_35, D7=>mdout1_7_35, SD1=>rptr_11_ff2, + SD2=>rptr_12_ff2, SD3=>rptr_13_ff2, Z=>Q(35)); + + precin_inst636: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"0000", + INIT0=> X"0000") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>precin); + + wcnt_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>cnt_con, A1=>wcount_0, B0=>cnt_con_inv, B1=>rptr_0, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>precin, S0=>open, S1=>wcnt_sub_0, COUT=>co0_5); + + wcnt_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_1, A1=>wcount_2, B0=>rptr_1, B1=>rptr_2, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_5, S0=>wcnt_sub_1, S1=>wcnt_sub_2, COUT=>co1_5); + + wcnt_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_3, A1=>wcount_4, B0=>rptr_3, B1=>rptr_4, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_5, S0=>wcnt_sub_3, S1=>wcnt_sub_4, COUT=>co2_5); + + wcnt_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_5, A1=>wcount_6, B0=>rptr_5, B1=>rptr_6, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_5, S0=>wcnt_sub_5, S1=>wcnt_sub_6, COUT=>co3_5); + + wcnt_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_7, A1=>wcount_8, B0=>rptr_7, B1=>rptr_8, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_5, S0=>wcnt_sub_7, S1=>wcnt_sub_8, COUT=>co4_5); + + wcnt_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_9, A1=>wcount_10, B0=>rptr_9, B1=>rptr_10, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4_5, S0=>wcnt_sub_9, S1=>wcnt_sub_10, COUT=>co5_5); + + wcnt_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_11, A1=>wcount_12, B0=>rptr_11, B1=>rptr_12, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co5_5, S0=>wcnt_sub_11, S1=>wcnt_sub_12, COUT=>co6_5); + + wcnt_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_13, A1=>wcnt_sub_msb, B0=>rptr_13, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co6_5, S0=>wcnt_sub_13, S1=>wcnt_sub_14, + COUT=>co7_3); + + wcntd: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co7_3, S0=>co7_3d, S1=>open, COUT=>open); + + af_set_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>wren_i, B0=>scuba_vlo, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_2); + + af_set_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_0, A1=>wcnt_reg_1, B0=>AmFullThresh(0), + B1=>AmFullThresh(1), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>cmp_ci_2, S0=>open, + S1=>open, COUT=>co0_6); + + af_set_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_2, A1=>wcnt_reg_3, B0=>AmFullThresh(2), + B1=>AmFullThresh(3), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co0_6, S0=>open, S1=>open, + COUT=>co1_6); + + af_set_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_4, A1=>wcnt_reg_5, B0=>AmFullThresh(4), + B1=>AmFullThresh(5), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co1_6, S0=>open, S1=>open, + COUT=>co2_6); + + af_set_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_6, A1=>wcnt_reg_7, B0=>AmFullThresh(6), + B1=>AmFullThresh(7), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co2_6, S0=>open, S1=>open, + COUT=>co3_6); + + af_set_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_8, A1=>wcnt_reg_9, B0=>AmFullThresh(8), + B1=>AmFullThresh(9), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co3_6, S0=>open, S1=>open, + COUT=>co4_6); + + af_set_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_10, A1=>wcnt_reg_11, B0=>AmFullThresh(10), + B1=>AmFullThresh(11), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co4_6, S0=>open, S1=>open, + COUT=>co5_6); + + af_set_cmp_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_12, A1=>wcnt_reg_13, B0=>AmFullThresh(12), + B1=>AmFullThresh(13), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co5_6, S0=>open, S1=>open, + COUT=>co6_6); + + af_set_cmp_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_14, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co6_6, S0=>open, S1=>open, + COUT=>af_set_c); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + a2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>af_set_c, S0=>af_set, S1=>open, + COUT=>open); + + WCNT(0) <= fcount_0; + WCNT(1) <= fcount_1; + WCNT(2) <= fcount_2; + WCNT(3) <= fcount_3; + WCNT(4) <= fcount_4; + WCNT(5) <= fcount_5; + WCNT(6) <= fcount_6; + WCNT(7) <= fcount_7; + WCNT(8) <= fcount_8; + WCNT(9) <= fcount_9; + WCNT(10) <= fcount_10; + WCNT(11) <= fcount_11; + WCNT(12) <= fcount_12; + WCNT(13) <= fcount_13; + WCNT(14) <= fcount_14; + Empty <= empty_i; + Full <= full_i; +end Structure; diff --git a/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg_ngd.asd b/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg_ngd.asd new file mode 100644 index 0000000..c265c78 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x16k_oreg/fifo_36x16k_oreg_ngd.asd @@ -0,0 +1 @@ +[ActiveSupport NGD] diff --git a/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.cst b/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.cst new file mode 100644 index 0000000..e2ce71a --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.cst @@ -0,0 +1,3 @@ +Date=03/18/2015 +Time=14:40:46 + diff --git a/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.edn b/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.edn new file mode 100644 index 0000000..a1e46fb --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.edn @@ -0,0 +1,3251 @@ +(edif fifo_36x1k_oreg + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timestamp 2015 3 18 14 40 48) + (program "SCUBA" (version "Diamond (64-bit) 3.4.0.80")))) + (comment "/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n fifo_36x1k_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 1024 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill -fdc /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.fdc ") + (library ORCLIB + (edifLevel 0) + (technology + (numberDefinition)) + (cell CCU2C + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A0 + (direction INPUT)) + (port A1 + (direction INPUT)) + (port B0 + (direction INPUT)) + (port B1 + (direction INPUT)) + (port C0 + (direction INPUT)) + (port C1 + (direction INPUT)) + (port D0 + (direction INPUT)) + (port D1 + (direction INPUT)) + (port CIN + (direction INPUT)) + (port S0 + (direction OUTPUT)) + (port S1 + (direction OUTPUT)) + (port COUT + (direction OUTPUT))))) + (cell AND2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell FD1P3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1P3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell INV + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell ROM16X1A + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port AD3 + (direction INPUT)) + (port AD2 + (direction INPUT)) + (port AD1 + (direction INPUT)) + (port AD0 + (direction INPUT)) + (port DO0 + (direction OUTPUT))))) + (cell VHI + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell VLO + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell XOR2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell DP16KD + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port DIA17 + (direction INPUT)) + (port DIA16 + (direction INPUT)) + (port DIA15 + (direction INPUT)) + (port DIA14 + (direction INPUT)) + (port DIA13 + (direction INPUT)) + (port DIA12 + (direction INPUT)) + (port DIA11 + (direction INPUT)) + (port DIA10 + (direction INPUT)) + (port DIA9 + (direction INPUT)) + (port DIA8 + (direction INPUT)) + (port DIA7 + (direction INPUT)) + (port DIA6 + (direction INPUT)) + (port DIA5 + (direction INPUT)) + (port DIA4 + (direction INPUT)) + (port DIA3 + (direction INPUT)) + (port DIA2 + (direction INPUT)) + (port DIA1 + (direction INPUT)) + (port DIA0 + (direction INPUT)) + (port ADA13 + (direction INPUT)) + (port ADA12 + (direction INPUT)) + (port ADA11 + (direction INPUT)) + (port ADA10 + (direction INPUT)) + (port ADA9 + (direction INPUT)) + (port ADA8 + (direction INPUT)) + (port ADA7 + (direction INPUT)) + (port ADA6 + (direction INPUT)) + (port ADA5 + (direction INPUT)) + (port ADA4 + (direction INPUT)) + (port ADA3 + (direction INPUT)) + (port ADA2 + (direction INPUT)) + (port ADA1 + (direction INPUT)) + (port ADA0 + (direction INPUT)) + (port CEA + (direction INPUT)) + (port OCEA + (direction INPUT)) + (port CLKA + (direction INPUT)) + (port WEA + (direction INPUT)) + (port CSA2 + (direction INPUT)) + (port CSA1 + (direction INPUT)) + (port CSA0 + (direction INPUT)) + (port RSTA + (direction INPUT)) + (port DIB17 + (direction INPUT)) + (port DIB16 + (direction INPUT)) + (port DIB15 + (direction INPUT)) + (port DIB14 + (direction INPUT)) + (port DIB13 + (direction INPUT)) + (port DIB12 + (direction INPUT)) + (port DIB11 + (direction INPUT)) + (port DIB10 + (direction INPUT)) + (port DIB9 + (direction INPUT)) + (port DIB8 + (direction INPUT)) + (port DIB7 + (direction INPUT)) + (port DIB6 + (direction INPUT)) + (port DIB5 + (direction INPUT)) + (port DIB4 + (direction INPUT)) + (port DIB3 + (direction INPUT)) + (port DIB2 + (direction INPUT)) + (port DIB1 + (direction INPUT)) + (port DIB0 + (direction INPUT)) + (port ADB13 + (direction INPUT)) + (port ADB12 + (direction INPUT)) + (port ADB11 + (direction INPUT)) + (port ADB10 + (direction INPUT)) + (port ADB9 + (direction INPUT)) + (port ADB8 + (direction INPUT)) + (port ADB7 + (direction INPUT)) + (port ADB6 + (direction INPUT)) + (port ADB5 + (direction INPUT)) + (port ADB4 + (direction INPUT)) + (port ADB3 + (direction INPUT)) + (port ADB2 + (direction INPUT)) + (port ADB1 + (direction INPUT)) + (port ADB0 + (direction INPUT)) + (port CEB + (direction INPUT)) + (port OCEB + (direction INPUT)) + (port CLKB + (direction INPUT)) + (port WEB + (direction INPUT)) + (port CSB2 + (direction INPUT)) + (port CSB1 + (direction INPUT)) + (port CSB0 + (direction INPUT)) + (port RSTB + (direction INPUT)) + (port DOA17 + (direction OUTPUT)) + (port DOA16 + (direction OUTPUT)) + (port DOA15 + (direction OUTPUT)) + (port DOA14 + (direction OUTPUT)) + (port DOA13 + (direction OUTPUT)) + (port DOA12 + (direction OUTPUT)) + (port DOA11 + (direction OUTPUT)) + (port DOA10 + (direction OUTPUT)) + (port DOA9 + (direction OUTPUT)) + (port DOA8 + (direction OUTPUT)) + (port DOA7 + (direction OUTPUT)) + (port DOA6 + (direction OUTPUT)) + (port DOA5 + (direction OUTPUT)) + (port DOA4 + (direction OUTPUT)) + (port DOA3 + (direction OUTPUT)) + (port DOA2 + (direction OUTPUT)) + (port DOA1 + (direction OUTPUT)) + (port DOA0 + (direction OUTPUT)) + (port DOB17 + (direction OUTPUT)) + (port DOB16 + (direction OUTPUT)) + (port DOB15 + (direction OUTPUT)) + (port DOB14 + (direction OUTPUT)) + (port DOB13 + (direction OUTPUT)) + (port DOB12 + (direction OUTPUT)) + (port DOB11 + (direction OUTPUT)) + (port DOB10 + (direction OUTPUT)) + (port DOB9 + (direction OUTPUT)) + (port DOB8 + (direction OUTPUT)) + (port DOB7 + (direction OUTPUT)) + (port DOB6 + (direction OUTPUT)) + (port DOB5 + (direction OUTPUT)) + (port DOB4 + (direction OUTPUT)) + (port DOB3 + (direction OUTPUT)) + (port DOB2 + (direction OUTPUT)) + (port DOB1 + (direction OUTPUT)) + (port DOB0 + (direction OUTPUT))))) + (cell fifo_36x1k_oreg + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port (array (rename Data "Data(35:0)") 36) + (direction INPUT)) + (port Clock + (direction INPUT)) + (port WrEn + (direction INPUT)) + (port RdEn + (direction INPUT)) + (port Reset + (direction INPUT)) + (port (array (rename AmFullThresh "AmFullThresh(9:0)") 10) + (direction INPUT)) + (port (array (rename Q "Q(35:0)") 36) + (direction OUTPUT)) + (port (array (rename WCNT "WCNT(10:0)") 11) + (direction OUTPUT)) + (port Empty + (direction OUTPUT)) + (port Full + (direction OUTPUT)) + (port AlmostFull + (direction OUTPUT))) + (property NGD_DRC_MASK (integer 1)) + (contents + (instance AND2_t5 + (viewRef view1 + (cellRef AND2))) + (instance INV_5 + (viewRef view1 + (cellRef INV))) + (instance AND2_t4 + (viewRef view1 + (cellRef AND2))) + (instance INV_4 + (viewRef view1 + (cellRef INV))) + (instance AND2_t3 + (viewRef view1 + (cellRef AND2))) + (instance XOR2_t2 + (viewRef view1 + (cellRef XOR2))) + (instance INV_3 + (viewRef view1 + (cellRef INV))) + (instance INV_2 + (viewRef view1 + (cellRef INV))) + (instance LUT4_1 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance LUT4_0 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance AND2_t1 + (viewRef view1 + (cellRef AND2))) + (instance INV_1 + (viewRef view1 + (cellRef INV))) + (instance XOR2_t0 + (viewRef view1 + (cellRef XOR2))) + (instance INV_0 + (viewRef view1 + (cellRef INV))) + (instance pdp_ram_0_0_1 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x1k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "18")) + (property DATA_WIDTH_A + (string "18"))) + (instance pdp_ram_0_1_0 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x1k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "18")) + (property DATA_WIDTH_A + (string "18"))) + (instance FF_68 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_67 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_66 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_65 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_64 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_63 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_62 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_61 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_60 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_59 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_58 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_57 + (viewRef view1 + (cellRef FD1S3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_56 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_55 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_54 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_53 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_52 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_51 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_50 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_49 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_48 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_47 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_46 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_45 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_44 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_43 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_42 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_41 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_40 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_39 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_38 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_37 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_36 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_35 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_34 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_33 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_32 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_31 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_30 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_29 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_28 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_27 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_26 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_25 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_24 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_23 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_22 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_21 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_20 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_19 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_18 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_17 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_16 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_15 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_14 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_13 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_12 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_11 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_10 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_9 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_8 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_7 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_6 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_5 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_4 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_3 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_2 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_1 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_0 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance bdcnt_bctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance bdcnt_bctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance e_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance precin_inst288 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x0000")) + (property INIT0 + (string "0x0000"))) + (instance wcnt_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcntd + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance af_set_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance af_set_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance scuba_vhi_inst + (viewRef view1 + (cellRef VHI))) + (instance scuba_vlo_inst + (viewRef view1 + (cellRef VLO))) + (instance a2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (net invout_2 + (joined + (portRef Z (instanceRef INV_5)) + (portRef B (instanceRef AND2_t5)))) + (net invout_1 + (joined + (portRef Z (instanceRef INV_4)) + (portRef B (instanceRef AND2_t4)))) + (net rden_i_inv + (joined + (portRef Z (instanceRef INV_3)) + (portRef B (instanceRef AND2_t3)))) + (net invout_0 + (joined + (portRef Z (instanceRef INV_1)) + (portRef B (instanceRef AND2_t1)))) + (net r_nw + (joined + (portRef Z (instanceRef AND2_t1)))) + (net fcnt_en + (joined + (portRef SP (instanceRef FF_58)) + (portRef Z (instanceRef XOR2_t2)) + (portRef SP (instanceRef FF_68)) + (portRef SP (instanceRef FF_67)) + (portRef SP (instanceRef FF_66)) + (portRef SP (instanceRef FF_65)) + (portRef SP (instanceRef FF_64)) + (portRef SP (instanceRef FF_63)) + (portRef SP (instanceRef FF_62)) + (portRef SP (instanceRef FF_61)) + (portRef SP (instanceRef FF_60)) + (portRef SP (instanceRef FF_59)))) + (net empty_d + (joined + (portRef D (instanceRef FF_57)) + (portRef DO0 (instanceRef LUT4_1)))) + (net full_d + (joined + (portRef D (instanceRef FF_56)) + (portRef DO0 (instanceRef LUT4_0)))) + (net wptr_0 + (joined + (portRef Q (instanceRef FF_33)) + (portRef ADA4 (instanceRef pdp_ram_0_0_1)) + (portRef ADA4 (instanceRef pdp_ram_0_1_0)))) + (net wptr_1 + (joined + (portRef Q (instanceRef FF_32)) + (portRef ADA5 (instanceRef pdp_ram_0_0_1)) + (portRef ADA5 (instanceRef pdp_ram_0_1_0)))) + (net wptr_2 + (joined + (portRef Q (instanceRef FF_31)) + (portRef ADA6 (instanceRef pdp_ram_0_0_1)) + (portRef ADA6 (instanceRef pdp_ram_0_1_0)))) + (net wptr_3 + (joined + (portRef Q (instanceRef FF_30)) + (portRef ADA7 (instanceRef pdp_ram_0_0_1)) + (portRef ADA7 (instanceRef pdp_ram_0_1_0)))) + (net wptr_4 + (joined + (portRef Q (instanceRef FF_29)) + (portRef ADA8 (instanceRef pdp_ram_0_0_1)) + (portRef ADA8 (instanceRef pdp_ram_0_1_0)))) + (net wptr_5 + (joined + (portRef Q (instanceRef FF_28)) + (portRef ADA9 (instanceRef pdp_ram_0_0_1)) + (portRef ADA9 (instanceRef pdp_ram_0_1_0)))) + (net wptr_6 + (joined + (portRef Q (instanceRef FF_27)) + (portRef ADA10 (instanceRef pdp_ram_0_0_1)) + (portRef ADA10 (instanceRef pdp_ram_0_1_0)))) + (net wptr_7 + (joined + (portRef Q (instanceRef FF_26)) + (portRef ADA11 (instanceRef pdp_ram_0_0_1)) + (portRef ADA11 (instanceRef pdp_ram_0_1_0)))) + (net wptr_8 + (joined + (portRef Q (instanceRef FF_25)) + (portRef ADA12 (instanceRef pdp_ram_0_0_1)) + (portRef ADA12 (instanceRef pdp_ram_0_1_0)))) + (net wptr_9 + (joined + (portRef Q (instanceRef FF_24)) + (portRef ADA13 (instanceRef pdp_ram_0_0_1)) + (portRef ADA13 (instanceRef pdp_ram_0_1_0)))) + (net wptr_10 + (joined + (portRef Q (instanceRef FF_23)))) + (net rptr_10 + (joined + (portRef Q (instanceRef FF_12)) + (portRef B (instanceRef XOR2_t0)))) + (net ifcount_0 + (joined + (portRef S0 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_68)))) + (net ifcount_1 + (joined + (portRef S1 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_67)))) + (net bdcnt_bctr_ci + (joined + (portRef CIN (instanceRef bdcnt_bctr_0)) + (portRef COUT (instanceRef bdcnt_bctr_cia)))) + (net ifcount_2 + (joined + (portRef S0 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_66)))) + (net ifcount_3 + (joined + (portRef S1 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_65)))) + (net co0 + (joined + (portRef CIN (instanceRef bdcnt_bctr_1)) + (portRef COUT (instanceRef bdcnt_bctr_0)))) + (net ifcount_4 + (joined + (portRef S0 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_64)))) + (net ifcount_5 + (joined + (portRef S1 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_63)))) + (net co1 + (joined + (portRef CIN (instanceRef bdcnt_bctr_2)) + (portRef COUT (instanceRef bdcnt_bctr_1)))) + (net ifcount_6 + (joined + (portRef S0 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_62)))) + (net ifcount_7 + (joined + (portRef S1 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_61)))) + (net co2 + (joined + (portRef CIN (instanceRef bdcnt_bctr_3)) + (portRef COUT (instanceRef bdcnt_bctr_2)))) + (net ifcount_8 + (joined + (portRef S0 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_60)))) + (net ifcount_9 + (joined + (portRef S1 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_59)))) + (net co3 + (joined + (portRef CIN (instanceRef bdcnt_bctr_4)) + (portRef COUT (instanceRef bdcnt_bctr_3)))) + (net ifcount_10 + (joined + (portRef S0 (instanceRef bdcnt_bctr_5)) + (portRef D (instanceRef FF_58)))) + (net co5 + (joined + (portRef COUT (instanceRef bdcnt_bctr_5)))) + (net co4 + (joined + (portRef CIN (instanceRef bdcnt_bctr_5)) + (portRef COUT (instanceRef bdcnt_bctr_4)))) + (net cmp_ci + (joined + (portRef CIN (instanceRef e_cmp_0)) + (portRef COUT (instanceRef e_cmp_ci_a)))) + (net rden_i + (joined + (portRef A0 (instanceRef e_cmp_0)) + (portRef Z (instanceRef AND2_t4)) + (portRef B (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_3)) + (portRef AD1 (instanceRef LUT4_0)) + (portRef A (instanceRef AND2_t1)) + (portRef CEB (instanceRef pdp_ram_0_0_1)) + (portRef CEB (instanceRef pdp_ram_0_1_0)) + (portRef SP (instanceRef FF_44)) + (portRef SP (instanceRef FF_43)) + (portRef SP (instanceRef FF_42)) + (portRef SP (instanceRef FF_41)) + (portRef SP (instanceRef FF_40)) + (portRef SP (instanceRef FF_39)) + (portRef SP (instanceRef FF_38)) + (portRef SP (instanceRef FF_37)) + (portRef SP (instanceRef FF_36)) + (portRef SP (instanceRef FF_35)) + (portRef SP (instanceRef FF_34)) + (portRef SP (instanceRef FF_22)) + (portRef SP (instanceRef FF_21)) + (portRef SP (instanceRef FF_20)) + (portRef SP (instanceRef FF_19)) + (portRef SP (instanceRef FF_18)) + (portRef SP (instanceRef FF_17)) + (portRef SP (instanceRef FF_16)) + (portRef SP (instanceRef FF_15)) + (portRef SP (instanceRef FF_14)) + (portRef SP (instanceRef FF_13)) + (portRef SP (instanceRef FF_12)))) + (net co0_1 + (joined + (portRef CIN (instanceRef e_cmp_1)) + (portRef COUT (instanceRef e_cmp_0)))) + (net co1_1 + (joined + (portRef CIN (instanceRef e_cmp_2)) + (portRef COUT (instanceRef e_cmp_1)))) + (net co2_1 + (joined + (portRef CIN (instanceRef e_cmp_3)) + (portRef COUT (instanceRef e_cmp_2)))) + (net co3_1 + (joined + (portRef CIN (instanceRef e_cmp_4)) + (portRef COUT (instanceRef e_cmp_3)))) + (net co4_1 + (joined + (portRef CIN (instanceRef e_cmp_5)) + (portRef COUT (instanceRef e_cmp_4)))) + (net cmp_le_1 + (joined + (portRef S0 (instanceRef a0)) + (portRef AD2 (instanceRef LUT4_1)))) + (net cmp_le_1_c + (joined + (portRef CIN (instanceRef a0)) + (portRef COUT (instanceRef e_cmp_5)))) + (net cmp_ci_1 + (joined + (portRef CIN (instanceRef g_cmp_0)) + (portRef COUT (instanceRef g_cmp_ci_a)))) + (net co0_2 + (joined + (portRef CIN (instanceRef g_cmp_1)) + (portRef COUT (instanceRef g_cmp_0)))) + (net co1_2 + (joined + (portRef CIN (instanceRef g_cmp_2)) + (portRef COUT (instanceRef g_cmp_1)))) + (net co2_2 + (joined + (portRef CIN (instanceRef g_cmp_3)) + (portRef COUT (instanceRef g_cmp_2)))) + (net co3_2 + (joined + (portRef CIN (instanceRef g_cmp_4)) + (portRef COUT (instanceRef g_cmp_3)))) + (net co4_2 + (joined + (portRef CIN (instanceRef g_cmp_5)) + (portRef COUT (instanceRef g_cmp_4)))) + (net wren_i_inv + (joined + (portRef B0 (instanceRef g_cmp_5)) + (portRef Z (instanceRef INV_2)))) + (net cmp_ge_d1 + (joined + (portRef S0 (instanceRef a1)) + (portRef AD2 (instanceRef LUT4_0)))) + (net cmp_ge_d1_c + (joined + (portRef CIN (instanceRef a1)) + (portRef COUT (instanceRef g_cmp_5)))) + (net iwcount_0 + (joined + (portRef S0 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_55)))) + (net iwcount_1 + (joined + (portRef S1 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_54)))) + (net w_ctr_ci + (joined + (portRef CIN (instanceRef w_ctr_0)) + (portRef COUT (instanceRef w_ctr_cia)))) + (net iwcount_2 + (joined + (portRef S0 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_53)))) + (net iwcount_3 + (joined + (portRef S1 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_52)))) + (net co0_3 + (joined + (portRef CIN (instanceRef w_ctr_1)) + (portRef COUT (instanceRef w_ctr_0)))) + (net iwcount_4 + (joined + (portRef S0 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_51)))) + (net iwcount_5 + (joined + (portRef S1 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_50)))) + (net co1_3 + (joined + (portRef CIN (instanceRef w_ctr_2)) + (portRef COUT (instanceRef w_ctr_1)))) + (net iwcount_6 + (joined + (portRef S0 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_49)))) + (net iwcount_7 + (joined + (portRef S1 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_48)))) + (net co2_3 + (joined + (portRef CIN (instanceRef w_ctr_3)) + (portRef COUT (instanceRef w_ctr_2)))) + (net iwcount_8 + (joined + (portRef S0 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_47)))) + (net iwcount_9 + (joined + (portRef S1 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_46)))) + (net co3_3 + (joined + (portRef CIN (instanceRef w_ctr_4)) + (portRef COUT (instanceRef w_ctr_3)))) + (net iwcount_10 + (joined + (portRef S0 (instanceRef w_ctr_5)) + (portRef D (instanceRef FF_45)))) + (net co5_1 + (joined + (portRef COUT (instanceRef w_ctr_5)))) + (net co4_3 + (joined + (portRef CIN (instanceRef w_ctr_5)) + (portRef COUT (instanceRef w_ctr_4)))) + (net wcount_10 + (joined + (portRef A0 (instanceRef w_ctr_5)) + (portRef A (instanceRef XOR2_t0)) + (portRef Q (instanceRef FF_45)) + (portRef D (instanceRef FF_23)))) + (net ircount_0 + (joined + (portRef S0 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_44)))) + (net ircount_1 + (joined + (portRef S1 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_43)))) + (net r_ctr_ci + (joined + (portRef CIN (instanceRef r_ctr_0)) + (portRef COUT (instanceRef r_ctr_cia)))) + (net rcount_0 + (joined + (portRef A0 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_44)) + (portRef D (instanceRef FF_22)))) + (net rcount_1 + (joined + (portRef A1 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_43)) + (portRef D (instanceRef FF_21)))) + (net ircount_2 + (joined + (portRef S0 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_42)))) + (net ircount_3 + (joined + (portRef S1 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_41)))) + (net co0_4 + (joined + (portRef CIN (instanceRef r_ctr_1)) + (portRef COUT (instanceRef r_ctr_0)))) + (net rcount_2 + (joined + (portRef A0 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_42)) + (portRef D (instanceRef FF_20)))) + (net rcount_3 + (joined + (portRef A1 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_41)) + (portRef D (instanceRef FF_19)))) + (net ircount_4 + (joined + (portRef S0 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_40)))) + (net ircount_5 + (joined + (portRef S1 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_39)))) + (net co1_4 + (joined + (portRef CIN (instanceRef r_ctr_2)) + (portRef COUT (instanceRef r_ctr_1)))) + (net rcount_4 + (joined + (portRef A0 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_40)) + (portRef D (instanceRef FF_18)))) + (net rcount_5 + (joined + (portRef A1 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_39)) + (portRef D (instanceRef FF_17)))) + (net ircount_6 + (joined + (portRef S0 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_38)))) + (net ircount_7 + (joined + (portRef S1 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_37)))) + (net co2_4 + (joined + (portRef CIN (instanceRef r_ctr_3)) + (portRef COUT (instanceRef r_ctr_2)))) + (net rcount_6 + (joined + (portRef A0 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_38)) + (portRef D (instanceRef FF_16)))) + (net rcount_7 + (joined + (portRef A1 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_37)) + (portRef D (instanceRef FF_15)))) + (net ircount_8 + (joined + (portRef S0 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_36)))) + (net ircount_9 + (joined + (portRef S1 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_35)))) + (net co3_4 + (joined + (portRef CIN (instanceRef r_ctr_4)) + (portRef COUT (instanceRef r_ctr_3)))) + (net rcount_8 + (joined + (portRef A0 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_36)) + (portRef D (instanceRef FF_14)))) + (net rcount_9 + (joined + (portRef A1 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_35)) + (portRef D (instanceRef FF_13)))) + (net ircount_10 + (joined + (portRef S0 (instanceRef r_ctr_5)) + (portRef D (instanceRef FF_34)))) + (net co5_2 + (joined + (portRef COUT (instanceRef r_ctr_5)))) + (net co4_4 + (joined + (portRef CIN (instanceRef r_ctr_5)) + (portRef COUT (instanceRef r_ctr_4)))) + (net rcount_10 + (joined + (portRef A0 (instanceRef r_ctr_5)) + (portRef Q (instanceRef FF_34)) + (portRef D (instanceRef FF_12)))) + (net wcnt_sub_0 + (joined + (portRef S1 (instanceRef wcnt_0)) + (portRef D (instanceRef FF_11)))) + (net rptr_0 + (joined + (portRef B1 (instanceRef wcnt_0)) + (portRef ADB4 (instanceRef pdp_ram_0_0_1)) + (portRef ADB4 (instanceRef pdp_ram_0_1_0)) + (portRef Q (instanceRef FF_22)))) + (net cnt_con_inv + (joined + (portRef B0 (instanceRef wcnt_0)) + (portRef Z (instanceRef INV_0)))) + (net wcount_0 + (joined + (portRef A1 (instanceRef wcnt_0)) + (portRef Q (instanceRef FF_55)) + (portRef D (instanceRef FF_33)) + (portRef A0 (instanceRef w_ctr_0)))) + (net cnt_con + (joined + (portRef A0 (instanceRef wcnt_0)) + (portRef Z (instanceRef AND2_t3)) + (portRef A (instanceRef INV_0)) + (portRef B1 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_cia)) + (portRef B1 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef bdcnt_bctr_4)) + (portRef B1 (instanceRef bdcnt_bctr_5)) + (portRef B0 (instanceRef bdcnt_bctr_5)))) + (net precin + (joined + (portRef CIN (instanceRef wcnt_0)) + (portRef COUT (instanceRef precin_inst288)))) + (net wcnt_sub_1 + (joined + (portRef S0 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_10)))) + (net wcnt_sub_2 + (joined + (portRef S1 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_9)))) + (net rptr_2 + (joined + (portRef B1 (instanceRef wcnt_1)) + (portRef ADB6 (instanceRef pdp_ram_0_0_1)) + (portRef ADB6 (instanceRef pdp_ram_0_1_0)) + (portRef Q (instanceRef FF_20)))) + (net rptr_1 + (joined + (portRef B0 (instanceRef wcnt_1)) + (portRef ADB5 (instanceRef pdp_ram_0_0_1)) + (portRef ADB5 (instanceRef pdp_ram_0_1_0)) + (portRef Q (instanceRef FF_21)))) + (net wcount_2 + (joined + (portRef A1 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_53)) + (portRef D (instanceRef FF_31)) + (portRef A0 (instanceRef w_ctr_1)))) + (net wcount_1 + (joined + (portRef A0 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_54)) + (portRef D (instanceRef FF_32)) + (portRef A1 (instanceRef w_ctr_0)))) + (net co0_5 + (joined + (portRef CIN (instanceRef wcnt_1)) + (portRef COUT (instanceRef wcnt_0)))) + (net wcnt_sub_3 + (joined + (portRef S0 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_8)))) + (net wcnt_sub_4 + (joined + (portRef S1 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_7)))) + (net rptr_4 + (joined + (portRef B1 (instanceRef wcnt_2)) + (portRef ADB8 (instanceRef pdp_ram_0_0_1)) + (portRef ADB8 (instanceRef pdp_ram_0_1_0)) + (portRef Q (instanceRef FF_18)))) + (net rptr_3 + (joined + (portRef B0 (instanceRef wcnt_2)) + (portRef ADB7 (instanceRef pdp_ram_0_0_1)) + (portRef ADB7 (instanceRef pdp_ram_0_1_0)) + (portRef Q (instanceRef FF_19)))) + (net wcount_4 + (joined + (portRef A1 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_51)) + (portRef D (instanceRef FF_29)) + (portRef A0 (instanceRef w_ctr_2)))) + (net wcount_3 + (joined + (portRef A0 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_52)) + (portRef D (instanceRef FF_30)) + (portRef A1 (instanceRef w_ctr_1)))) + (net co1_5 + (joined + (portRef CIN (instanceRef wcnt_2)) + (portRef COUT (instanceRef wcnt_1)))) + (net wcnt_sub_5 + (joined + (portRef S0 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_6)))) + (net wcnt_sub_6 + (joined + (portRef S1 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_5)))) + (net rptr_6 + (joined + (portRef B1 (instanceRef wcnt_3)) + (portRef ADB10 (instanceRef pdp_ram_0_0_1)) + (portRef ADB10 (instanceRef pdp_ram_0_1_0)) + (portRef Q (instanceRef FF_16)))) + (net rptr_5 + (joined + (portRef B0 (instanceRef wcnt_3)) + (portRef ADB9 (instanceRef pdp_ram_0_0_1)) + (portRef ADB9 (instanceRef pdp_ram_0_1_0)) + (portRef Q (instanceRef FF_17)))) + (net wcount_6 + (joined + (portRef A1 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_49)) + (portRef D (instanceRef FF_27)) + (portRef A0 (instanceRef w_ctr_3)))) + (net wcount_5 + (joined + (portRef A0 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_50)) + (portRef D (instanceRef FF_28)) + (portRef A1 (instanceRef w_ctr_2)))) + (net co2_5 + (joined + (portRef CIN (instanceRef wcnt_3)) + (portRef COUT (instanceRef wcnt_2)))) + (net wcnt_sub_7 + (joined + (portRef S0 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_4)))) + (net wcnt_sub_8 + (joined + (portRef S1 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_3)))) + (net rptr_8 + (joined + (portRef B1 (instanceRef wcnt_4)) + (portRef ADB12 (instanceRef pdp_ram_0_0_1)) + (portRef ADB12 (instanceRef pdp_ram_0_1_0)) + (portRef Q (instanceRef FF_14)))) + (net rptr_7 + (joined + (portRef B0 (instanceRef wcnt_4)) + (portRef ADB11 (instanceRef pdp_ram_0_0_1)) + (portRef ADB11 (instanceRef pdp_ram_0_1_0)) + (portRef Q (instanceRef FF_15)))) + (net wcount_8 + (joined + (portRef A1 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_47)) + (portRef D (instanceRef FF_25)) + (portRef A0 (instanceRef w_ctr_4)))) + (net wcount_7 + (joined + (portRef A0 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_48)) + (portRef D (instanceRef FF_26)) + (portRef A1 (instanceRef w_ctr_3)))) + (net co3_5 + (joined + (portRef CIN (instanceRef wcnt_4)) + (portRef COUT (instanceRef wcnt_3)))) + (net wcnt_sub_9 + (joined + (portRef S0 (instanceRef wcnt_5)) + (portRef D (instanceRef FF_2)))) + (net wcnt_sub_10 + (joined + (portRef S1 (instanceRef wcnt_5)) + (portRef D (instanceRef FF_1)))) + (net rptr_9 + (joined + (portRef B0 (instanceRef wcnt_5)) + (portRef ADB13 (instanceRef pdp_ram_0_0_1)) + (portRef ADB13 (instanceRef pdp_ram_0_1_0)) + (portRef Q (instanceRef FF_13)))) + (net wcnt_sub_msb + (joined + (portRef A1 (instanceRef wcnt_5)) + (portRef Z (instanceRef XOR2_t0)))) + (net wcount_9 + (joined + (portRef A0 (instanceRef wcnt_5)) + (portRef Q (instanceRef FF_46)) + (portRef D (instanceRef FF_24)) + (portRef A1 (instanceRef w_ctr_4)))) + (net co4_5 + (joined + (portRef CIN (instanceRef wcnt_5)) + (portRef COUT (instanceRef wcnt_4)))) + (net co5_3d + (joined + (portRef S0 (instanceRef wcntd)))) + (net co5_3 + (joined + (portRef CIN (instanceRef wcntd)) + (portRef COUT (instanceRef wcnt_5)))) + (net wren_i + (joined + (portRef A1 (instanceRef af_set_cmp_ci_a)) + (portRef Z (instanceRef AND2_t5)) + (portRef A (instanceRef AND2_t3)) + (portRef A (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_2)) + (portRef AD1 (instanceRef LUT4_1)) + (portRef A (instanceRef INV_1)) + (portRef OCEA (instanceRef pdp_ram_0_0_1)) + (portRef CEA (instanceRef pdp_ram_0_0_1)) + (portRef OCEA (instanceRef pdp_ram_0_1_0)) + (portRef CEA (instanceRef pdp_ram_0_1_0)) + (portRef SP (instanceRef FF_55)) + (portRef SP (instanceRef FF_54)) + (portRef SP (instanceRef FF_53)) + (portRef SP (instanceRef FF_52)) + (portRef SP (instanceRef FF_51)) + (portRef SP (instanceRef FF_50)) + (portRef SP (instanceRef FF_49)) + (portRef SP (instanceRef FF_48)) + (portRef SP (instanceRef FF_47)) + (portRef SP (instanceRef FF_46)) + (portRef SP (instanceRef FF_45)) + (portRef SP (instanceRef FF_33)) + (portRef SP (instanceRef FF_32)) + (portRef SP (instanceRef FF_31)) + (portRef SP (instanceRef FF_30)) + (portRef SP (instanceRef FF_29)) + (portRef SP (instanceRef FF_28)) + (portRef SP (instanceRef FF_27)) + (portRef SP (instanceRef FF_26)) + (portRef SP (instanceRef FF_25)) + (portRef SP (instanceRef FF_24)) + (portRef SP (instanceRef FF_23)) + (portRef B0 (instanceRef g_cmp_0)) + (portRef B1 (instanceRef g_cmp_0)) + (portRef B0 (instanceRef g_cmp_1)) + (portRef B1 (instanceRef g_cmp_1)) + (portRef B0 (instanceRef g_cmp_2)) + (portRef B1 (instanceRef g_cmp_2)) + (portRef B0 (instanceRef g_cmp_3)) + (portRef B1 (instanceRef g_cmp_3)) + (portRef B0 (instanceRef g_cmp_4)) + (portRef B1 (instanceRef g_cmp_4)) + (portRef B1 (instanceRef af_set_cmp_ci_a)))) + (net x + (joined + (portRef CIN (instanceRef af_set_cmp_ci_a)) + (portRef CIN (instanceRef bdcnt_bctr_cia)) + (portRef CIN (instanceRef e_cmp_ci_a)) + (portRef CIN (instanceRef g_cmp_ci_a)) + (portRef CIN (instanceRef w_ctr_cia)) + (portRef CIN (instanceRef r_ctr_cia)) + (portRef CIN (instanceRef precin_inst288)))) + (net cmp_ci_2 + (joined + (portRef CIN (instanceRef af_set_cmp_0)) + (portRef COUT (instanceRef af_set_cmp_ci_a)))) + (net wcnt_reg_0 + (joined + (portRef A0 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_11)))) + (net wcnt_reg_1 + (joined + (portRef A1 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_10)))) + (net co0_6 + (joined + (portRef CIN (instanceRef af_set_cmp_1)) + (portRef COUT (instanceRef af_set_cmp_0)))) + (net wcnt_reg_2 + (joined + (portRef A0 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_9)))) + (net wcnt_reg_3 + (joined + (portRef A1 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_8)))) + (net co1_6 + (joined + (portRef CIN (instanceRef af_set_cmp_2)) + (portRef COUT (instanceRef af_set_cmp_1)))) + (net wcnt_reg_4 + (joined + (portRef A0 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_7)))) + (net wcnt_reg_5 + (joined + (portRef A1 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_6)))) + (net co2_6 + (joined + (portRef CIN (instanceRef af_set_cmp_3)) + (portRef COUT (instanceRef af_set_cmp_2)))) + (net wcnt_reg_6 + (joined + (portRef A0 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_5)))) + (net wcnt_reg_7 + (joined + (portRef A1 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_4)))) + (net co3_6 + (joined + (portRef CIN (instanceRef af_set_cmp_4)) + (portRef COUT (instanceRef af_set_cmp_3)))) + (net wcnt_reg_8 + (joined + (portRef A0 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_3)))) + (net wcnt_reg_9 + (joined + (portRef A1 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_2)))) + (net co4_6 + (joined + (portRef CIN (instanceRef af_set_cmp_5)) + (portRef COUT (instanceRef af_set_cmp_4)))) + (net wcnt_reg_10 + (joined + (portRef A0 (instanceRef af_set_cmp_5)) + (portRef Q (instanceRef FF_1)))) + (net af_set + (joined + (portRef S0 (instanceRef a2)) + (portRef D (instanceRef FF_0)))) + (net scuba_vhi + (joined + (portRef Z (instanceRef scuba_vhi_inst)) + (portRef OCEB (instanceRef pdp_ram_0_0_1)) + (portRef WEA (instanceRef pdp_ram_0_0_1)) + (portRef ADA1 (instanceRef pdp_ram_0_0_1)) + (portRef ADA0 (instanceRef pdp_ram_0_0_1)) + (portRef OCEB (instanceRef pdp_ram_0_1_0)) + (portRef WEA (instanceRef pdp_ram_0_1_0)) + (portRef ADA1 (instanceRef pdp_ram_0_1_0)) + (portRef ADA0 (instanceRef pdp_ram_0_1_0)) + (portRef C1 (instanceRef bdcnt_bctr_cia)) + (portRef C0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_cia)) + (portRef D0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_0)) + (portRef D0 (instanceRef bdcnt_bctr_0)) + (portRef C1 (instanceRef bdcnt_bctr_0)) + (portRef C0 (instanceRef bdcnt_bctr_0)) + (portRef D1 (instanceRef bdcnt_bctr_1)) + (portRef D0 (instanceRef bdcnt_bctr_1)) + (portRef C1 (instanceRef bdcnt_bctr_1)) + (portRef C0 (instanceRef bdcnt_bctr_1)) + (portRef D1 (instanceRef bdcnt_bctr_2)) + (portRef D0 (instanceRef bdcnt_bctr_2)) + (portRef C1 (instanceRef bdcnt_bctr_2)) + (portRef C0 (instanceRef bdcnt_bctr_2)) + (portRef D1 (instanceRef bdcnt_bctr_3)) + (portRef D0 (instanceRef bdcnt_bctr_3)) + (portRef C1 (instanceRef bdcnt_bctr_3)) + (portRef C0 (instanceRef bdcnt_bctr_3)) + (portRef D1 (instanceRef bdcnt_bctr_4)) + (portRef D0 (instanceRef bdcnt_bctr_4)) + (portRef C1 (instanceRef bdcnt_bctr_4)) + (portRef C0 (instanceRef bdcnt_bctr_4)) + (portRef D1 (instanceRef bdcnt_bctr_5)) + (portRef D0 (instanceRef bdcnt_bctr_5)) + (portRef C1 (instanceRef bdcnt_bctr_5)) + (portRef C0 (instanceRef bdcnt_bctr_5)) + (portRef C1 (instanceRef e_cmp_ci_a)) + (portRef C0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_ci_a)) + (portRef D0 (instanceRef e_cmp_ci_a)) + (portRef B1 (instanceRef e_cmp_ci_a)) + (portRef B0 (instanceRef e_cmp_ci_a)) + (portRef A1 (instanceRef e_cmp_ci_a)) + (portRef A0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_0)) + (portRef D0 (instanceRef e_cmp_0)) + (portRef C1 (instanceRef e_cmp_0)) + (portRef C0 (instanceRef e_cmp_0)) + (portRef D1 (instanceRef e_cmp_1)) + (portRef D0 (instanceRef e_cmp_1)) + (portRef C1 (instanceRef e_cmp_1)) + (portRef C0 (instanceRef e_cmp_1)) + (portRef D1 (instanceRef e_cmp_2)) + (portRef D0 (instanceRef e_cmp_2)) + (portRef C1 (instanceRef e_cmp_2)) + (portRef C0 (instanceRef e_cmp_2)) + (portRef D1 (instanceRef e_cmp_3)) + (portRef D0 (instanceRef e_cmp_3)) + (portRef C1 (instanceRef e_cmp_3)) + (portRef C0 (instanceRef e_cmp_3)) + (portRef D1 (instanceRef e_cmp_4)) + (portRef D0 (instanceRef e_cmp_4)) + (portRef C1 (instanceRef e_cmp_4)) + (portRef C0 (instanceRef e_cmp_4)) + (portRef D1 (instanceRef e_cmp_5)) + (portRef D0 (instanceRef e_cmp_5)) + (portRef C1 (instanceRef e_cmp_5)) + (portRef C0 (instanceRef e_cmp_5)) + (portRef C1 (instanceRef a0)) + (portRef C0 (instanceRef a0)) + (portRef D1 (instanceRef a0)) + (portRef D0 (instanceRef a0)) + (portRef C1 (instanceRef g_cmp_ci_a)) + (portRef C0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_ci_a)) + (portRef D0 (instanceRef g_cmp_ci_a)) + (portRef B1 (instanceRef g_cmp_ci_a)) + (portRef B0 (instanceRef g_cmp_ci_a)) + (portRef A1 (instanceRef g_cmp_ci_a)) + (portRef A0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_0)) + (portRef D0 (instanceRef g_cmp_0)) + (portRef C1 (instanceRef g_cmp_0)) + (portRef C0 (instanceRef g_cmp_0)) + (portRef D1 (instanceRef g_cmp_1)) + (portRef D0 (instanceRef g_cmp_1)) + (portRef C1 (instanceRef g_cmp_1)) + (portRef C0 (instanceRef g_cmp_1)) + (portRef D1 (instanceRef g_cmp_2)) + (portRef D0 (instanceRef g_cmp_2)) + (portRef C1 (instanceRef g_cmp_2)) + (portRef C0 (instanceRef g_cmp_2)) + (portRef D1 (instanceRef g_cmp_3)) + (portRef D0 (instanceRef g_cmp_3)) + (portRef C1 (instanceRef g_cmp_3)) + (portRef C0 (instanceRef g_cmp_3)) + (portRef D1 (instanceRef g_cmp_4)) + (portRef D0 (instanceRef g_cmp_4)) + (portRef C1 (instanceRef g_cmp_4)) + (portRef C0 (instanceRef g_cmp_4)) + (portRef D1 (instanceRef g_cmp_5)) + (portRef D0 (instanceRef g_cmp_5)) + (portRef C1 (instanceRef g_cmp_5)) + (portRef C0 (instanceRef g_cmp_5)) + (portRef C1 (instanceRef a1)) + (portRef C0 (instanceRef a1)) + (portRef D1 (instanceRef a1)) + (portRef D0 (instanceRef a1)) + (portRef C1 (instanceRef w_ctr_cia)) + (portRef C0 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_cia)) + (portRef D0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_cia)) + (portRef A1 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_0)) + (portRef D0 (instanceRef w_ctr_0)) + (portRef C1 (instanceRef w_ctr_0)) + (portRef C0 (instanceRef w_ctr_0)) + (portRef D1 (instanceRef w_ctr_1)) + (portRef D0 (instanceRef w_ctr_1)) + (portRef C1 (instanceRef w_ctr_1)) + (portRef C0 (instanceRef w_ctr_1)) + (portRef D1 (instanceRef w_ctr_2)) + (portRef D0 (instanceRef w_ctr_2)) + (portRef C1 (instanceRef w_ctr_2)) + (portRef C0 (instanceRef w_ctr_2)) + (portRef D1 (instanceRef w_ctr_3)) + (portRef D0 (instanceRef w_ctr_3)) + (portRef C1 (instanceRef w_ctr_3)) + (portRef C0 (instanceRef w_ctr_3)) + (portRef D1 (instanceRef w_ctr_4)) + (portRef D0 (instanceRef w_ctr_4)) + (portRef C1 (instanceRef w_ctr_4)) + (portRef C0 (instanceRef w_ctr_4)) + (portRef D1 (instanceRef w_ctr_5)) + (portRef D0 (instanceRef w_ctr_5)) + (portRef C1 (instanceRef w_ctr_5)) + (portRef C0 (instanceRef w_ctr_5)) + (portRef C1 (instanceRef r_ctr_cia)) + (portRef C0 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_cia)) + (portRef D0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_cia)) + (portRef A1 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_0)) + (portRef D0 (instanceRef r_ctr_0)) + (portRef C1 (instanceRef r_ctr_0)) + (portRef C0 (instanceRef r_ctr_0)) + (portRef D1 (instanceRef r_ctr_1)) + (portRef D0 (instanceRef r_ctr_1)) + (portRef C1 (instanceRef r_ctr_1)) + (portRef C0 (instanceRef r_ctr_1)) + (portRef D1 (instanceRef r_ctr_2)) + (portRef D0 (instanceRef r_ctr_2)) + (portRef C1 (instanceRef r_ctr_2)) + (portRef C0 (instanceRef r_ctr_2)) + (portRef D1 (instanceRef r_ctr_3)) + (portRef D0 (instanceRef r_ctr_3)) + (portRef C1 (instanceRef r_ctr_3)) + (portRef C0 (instanceRef r_ctr_3)) + (portRef D1 (instanceRef r_ctr_4)) + (portRef D0 (instanceRef r_ctr_4)) + (portRef C1 (instanceRef r_ctr_4)) + (portRef C0 (instanceRef r_ctr_4)) + (portRef D1 (instanceRef r_ctr_5)) + (portRef D0 (instanceRef r_ctr_5)) + (portRef C1 (instanceRef r_ctr_5)) + (portRef C0 (instanceRef r_ctr_5)) + (portRef C1 (instanceRef precin_inst288)) + (portRef C0 (instanceRef precin_inst288)) + (portRef D1 (instanceRef precin_inst288)) + (portRef D0 (instanceRef precin_inst288)) + (portRef B1 (instanceRef precin_inst288)) + (portRef B0 (instanceRef precin_inst288)) + (portRef A1 (instanceRef precin_inst288)) + (portRef A0 (instanceRef precin_inst288)) + (portRef C1 (instanceRef wcnt_0)) + (portRef C0 (instanceRef wcnt_0)) + (portRef D1 (instanceRef wcnt_0)) + (portRef D0 (instanceRef wcnt_0)) + (portRef C1 (instanceRef wcnt_1)) + (portRef C0 (instanceRef wcnt_1)) + (portRef D1 (instanceRef wcnt_1)) + (portRef D0 (instanceRef wcnt_1)) + (portRef C1 (instanceRef wcnt_2)) + (portRef C0 (instanceRef wcnt_2)) + (portRef D1 (instanceRef wcnt_2)) + (portRef D0 (instanceRef wcnt_2)) + (portRef C1 (instanceRef wcnt_3)) + (portRef C0 (instanceRef wcnt_3)) + (portRef D1 (instanceRef wcnt_3)) + (portRef D0 (instanceRef wcnt_3)) + (portRef C1 (instanceRef wcnt_4)) + (portRef C0 (instanceRef wcnt_4)) + (portRef D1 (instanceRef wcnt_4)) + (portRef D0 (instanceRef wcnt_4)) + (portRef C1 (instanceRef wcnt_5)) + (portRef C0 (instanceRef wcnt_5)) + (portRef D1 (instanceRef wcnt_5)) + (portRef D0 (instanceRef wcnt_5)) + (portRef C1 (instanceRef wcntd)) + (portRef C0 (instanceRef wcntd)) + (portRef D1 (instanceRef wcntd)) + (portRef D0 (instanceRef wcntd)) + (portRef C1 (instanceRef af_set_cmp_ci_a)) + (portRef C0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_ci_a)) + (portRef D0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_0)) + (portRef D0 (instanceRef af_set_cmp_0)) + (portRef C1 (instanceRef af_set_cmp_0)) + (portRef C0 (instanceRef af_set_cmp_0)) + (portRef D1 (instanceRef af_set_cmp_1)) + (portRef D0 (instanceRef af_set_cmp_1)) + (portRef C1 (instanceRef af_set_cmp_1)) + (portRef C0 (instanceRef af_set_cmp_1)) + (portRef D1 (instanceRef af_set_cmp_2)) + (portRef D0 (instanceRef af_set_cmp_2)) + (portRef C1 (instanceRef af_set_cmp_2)) + (portRef C0 (instanceRef af_set_cmp_2)) + (portRef D1 (instanceRef af_set_cmp_3)) + (portRef D0 (instanceRef af_set_cmp_3)) + (portRef C1 (instanceRef af_set_cmp_3)) + (portRef C0 (instanceRef af_set_cmp_3)) + (portRef D1 (instanceRef af_set_cmp_4)) + (portRef D0 (instanceRef af_set_cmp_4)) + (portRef C1 (instanceRef af_set_cmp_4)) + (portRef C0 (instanceRef af_set_cmp_4)) + (portRef D1 (instanceRef af_set_cmp_5)) + (portRef D0 (instanceRef af_set_cmp_5)) + (portRef C1 (instanceRef af_set_cmp_5)) + (portRef C0 (instanceRef af_set_cmp_5)) + (portRef C1 (instanceRef a2)) + (portRef C0 (instanceRef a2)) + (portRef D1 (instanceRef a2)) + (portRef D0 (instanceRef a2)))) + (net scuba_vlo + (joined + (portRef Z (instanceRef scuba_vlo_inst)) + (portRef AD3 (instanceRef LUT4_1)) + (portRef AD3 (instanceRef LUT4_0)) + (portRef CSB2 (instanceRef pdp_ram_0_0_1)) + (portRef CSA2 (instanceRef pdp_ram_0_0_1)) + (portRef CSB1 (instanceRef pdp_ram_0_0_1)) + (portRef CSA1 (instanceRef pdp_ram_0_0_1)) + (portRef CSB0 (instanceRef pdp_ram_0_0_1)) + (portRef CSA0 (instanceRef pdp_ram_0_0_1)) + (portRef WEB (instanceRef pdp_ram_0_0_1)) + (portRef ADB3 (instanceRef pdp_ram_0_0_1)) + (portRef ADA3 (instanceRef pdp_ram_0_0_1)) + (portRef ADB2 (instanceRef pdp_ram_0_0_1)) + (portRef ADA2 (instanceRef pdp_ram_0_0_1)) + (portRef ADB1 (instanceRef pdp_ram_0_0_1)) + (portRef ADB0 (instanceRef pdp_ram_0_0_1)) + (portRef DIB17 (instanceRef pdp_ram_0_0_1)) + (portRef DIB16 (instanceRef pdp_ram_0_0_1)) + (portRef DIB15 (instanceRef pdp_ram_0_0_1)) + (portRef DIB14 (instanceRef pdp_ram_0_0_1)) + (portRef DIB13 (instanceRef pdp_ram_0_0_1)) + (portRef DIB12 (instanceRef pdp_ram_0_0_1)) + (portRef DIB11 (instanceRef pdp_ram_0_0_1)) + (portRef DIB10 (instanceRef pdp_ram_0_0_1)) + (portRef DIB9 (instanceRef pdp_ram_0_0_1)) + (portRef DIB8 (instanceRef pdp_ram_0_0_1)) + (portRef DIB7 (instanceRef pdp_ram_0_0_1)) + (portRef DIB6 (instanceRef pdp_ram_0_0_1)) + (portRef DIB5 (instanceRef pdp_ram_0_0_1)) + (portRef DIB4 (instanceRef pdp_ram_0_0_1)) + (portRef DIB3 (instanceRef pdp_ram_0_0_1)) + (portRef DIB2 (instanceRef pdp_ram_0_0_1)) + (portRef DIB1 (instanceRef pdp_ram_0_0_1)) + (portRef DIB0 (instanceRef pdp_ram_0_0_1)) + (portRef CSB2 (instanceRef pdp_ram_0_1_0)) + (portRef CSA2 (instanceRef pdp_ram_0_1_0)) + (portRef CSB1 (instanceRef pdp_ram_0_1_0)) + (portRef CSA1 (instanceRef pdp_ram_0_1_0)) + (portRef CSB0 (instanceRef pdp_ram_0_1_0)) + (portRef CSA0 (instanceRef pdp_ram_0_1_0)) + (portRef WEB (instanceRef pdp_ram_0_1_0)) + (portRef ADB3 (instanceRef pdp_ram_0_1_0)) + (portRef ADA3 (instanceRef pdp_ram_0_1_0)) + (portRef ADB2 (instanceRef pdp_ram_0_1_0)) + (portRef ADA2 (instanceRef pdp_ram_0_1_0)) + (portRef ADB1 (instanceRef pdp_ram_0_1_0)) + (portRef ADB0 (instanceRef pdp_ram_0_1_0)) + (portRef DIB17 (instanceRef pdp_ram_0_1_0)) + (portRef DIB16 (instanceRef pdp_ram_0_1_0)) + (portRef DIB15 (instanceRef pdp_ram_0_1_0)) + (portRef DIB14 (instanceRef pdp_ram_0_1_0)) + (portRef DIB13 (instanceRef pdp_ram_0_1_0)) + (portRef DIB12 (instanceRef pdp_ram_0_1_0)) + (portRef DIB11 (instanceRef pdp_ram_0_1_0)) + (portRef DIB10 (instanceRef pdp_ram_0_1_0)) + (portRef DIB9 (instanceRef pdp_ram_0_1_0)) + (portRef DIB8 (instanceRef pdp_ram_0_1_0)) + (portRef DIB7 (instanceRef pdp_ram_0_1_0)) + (portRef DIB6 (instanceRef pdp_ram_0_1_0)) + (portRef DIB5 (instanceRef pdp_ram_0_1_0)) + (portRef DIB4 (instanceRef pdp_ram_0_1_0)) + (portRef DIB3 (instanceRef pdp_ram_0_1_0)) + (portRef DIB2 (instanceRef pdp_ram_0_1_0)) + (portRef DIB1 (instanceRef pdp_ram_0_1_0)) + (portRef DIB0 (instanceRef pdp_ram_0_1_0)) + (portRef B0 (instanceRef bdcnt_bctr_cia)) + (portRef A0 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_5)) + (portRef A1 (instanceRef e_cmp_0)) + (portRef A0 (instanceRef e_cmp_1)) + (portRef A1 (instanceRef e_cmp_1)) + (portRef A0 (instanceRef e_cmp_2)) + (portRef A1 (instanceRef e_cmp_2)) + (portRef A0 (instanceRef e_cmp_3)) + (portRef A1 (instanceRef e_cmp_3)) + (portRef A0 (instanceRef e_cmp_4)) + (portRef A1 (instanceRef e_cmp_4)) + (portRef A0 (instanceRef e_cmp_5)) + (portRef A1 (instanceRef e_cmp_5)) + (portRef B1 (instanceRef e_cmp_5)) + (portRef B1 (instanceRef a0)) + (portRef B0 (instanceRef a0)) + (portRef A1 (instanceRef a0)) + (portRef A0 (instanceRef a0)) + (portRef B1 (instanceRef g_cmp_5)) + (portRef A1 (instanceRef g_cmp_5)) + (portRef B1 (instanceRef a1)) + (portRef B0 (instanceRef a1)) + (portRef A1 (instanceRef a1)) + (portRef A0 (instanceRef a1)) + (portRef B0 (instanceRef w_ctr_cia)) + (portRef A0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_0)) + (portRef B0 (instanceRef w_ctr_0)) + (portRef B1 (instanceRef w_ctr_1)) + (portRef B0 (instanceRef w_ctr_1)) + (portRef B1 (instanceRef w_ctr_2)) + (portRef B0 (instanceRef w_ctr_2)) + (portRef B1 (instanceRef w_ctr_3)) + (portRef B0 (instanceRef w_ctr_3)) + (portRef B1 (instanceRef w_ctr_4)) + (portRef B0 (instanceRef w_ctr_4)) + (portRef B1 (instanceRef w_ctr_5)) + (portRef B0 (instanceRef w_ctr_5)) + (portRef A1 (instanceRef w_ctr_5)) + (portRef B0 (instanceRef r_ctr_cia)) + (portRef A0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_0)) + (portRef B0 (instanceRef r_ctr_0)) + (portRef B1 (instanceRef r_ctr_1)) + (portRef B0 (instanceRef r_ctr_1)) + (portRef B1 (instanceRef r_ctr_2)) + (portRef B0 (instanceRef r_ctr_2)) + (portRef B1 (instanceRef r_ctr_3)) + (portRef B0 (instanceRef r_ctr_3)) + (portRef B1 (instanceRef r_ctr_4)) + (portRef B0 (instanceRef r_ctr_4)) + (portRef B1 (instanceRef r_ctr_5)) + (portRef B0 (instanceRef r_ctr_5)) + (portRef A1 (instanceRef r_ctr_5)) + (portRef B1 (instanceRef wcnt_5)) + (portRef B1 (instanceRef wcntd)) + (portRef B0 (instanceRef wcntd)) + (portRef A1 (instanceRef wcntd)) + (portRef A0 (instanceRef wcntd)) + (portRef B0 (instanceRef af_set_cmp_ci_a)) + (portRef A0 (instanceRef af_set_cmp_ci_a)) + (portRef B0 (instanceRef af_set_cmp_5)) + (portRef B1 (instanceRef af_set_cmp_5)) + (portRef A1 (instanceRef af_set_cmp_5)) + (portRef B1 (instanceRef a2)) + (portRef B0 (instanceRef a2)) + (portRef A1 (instanceRef a2)) + (portRef A0 (instanceRef a2)))) + (net af_set_c + (joined + (portRef CIN (instanceRef a2)) + (portRef COUT (instanceRef af_set_cmp_5)))) + (net partial_full + (joined + (portRef AlmostFull) + (portRef Q (instanceRef FF_0)))) + (net Full + (joined + (portRef Full) + (portRef Q (instanceRef FF_56)) + (portRef A (instanceRef INV_5)) + (portRef AD0 (instanceRef LUT4_0)))) + (net Empty + (joined + (portRef Empty) + (portRef Q (instanceRef FF_57)) + (portRef A (instanceRef INV_4)) + (portRef AD0 (instanceRef LUT4_1)))) + (net WCNT10 + (joined + (portRef (member WCNT 0)) + (portRef A0 (instanceRef g_cmp_5)) + (portRef Q (instanceRef FF_58)) + (portRef A0 (instanceRef bdcnt_bctr_5)) + (portRef B0 (instanceRef e_cmp_5)))) + (net WCNT9 + (joined + (portRef (member WCNT 1)) + (portRef A1 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_59)) + (portRef A1 (instanceRef bdcnt_bctr_4)) + (portRef B1 (instanceRef e_cmp_4)))) + (net WCNT8 + (joined + (portRef (member WCNT 2)) + (portRef A0 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_60)) + (portRef A0 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef e_cmp_4)))) + (net WCNT7 + (joined + (portRef (member WCNT 3)) + (portRef A1 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_61)) + (portRef A1 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef e_cmp_3)))) + (net WCNT6 + (joined + (portRef (member WCNT 4)) + (portRef A0 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_62)) + (portRef A0 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef e_cmp_3)))) + (net WCNT5 + (joined + (portRef (member WCNT 5)) + (portRef A1 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_63)) + (portRef A1 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef e_cmp_2)))) + (net WCNT4 + (joined + (portRef (member WCNT 6)) + (portRef A0 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_64)) + (portRef A0 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef e_cmp_2)))) + (net WCNT3 + (joined + (portRef (member WCNT 7)) + (portRef A1 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_65)) + (portRef A1 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef e_cmp_1)))) + (net WCNT2 + (joined + (portRef (member WCNT 8)) + (portRef A0 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_66)) + (portRef A0 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef e_cmp_1)))) + (net WCNT1 + (joined + (portRef (member WCNT 9)) + (portRef A1 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_67)) + (portRef A1 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef e_cmp_0)))) + (net WCNT0 + (joined + (portRef (member WCNT 10)) + (portRef A0 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_68)) + (portRef A0 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef e_cmp_0)))) + (net dataout35 + (joined + (portRef (member Q 0)) + (portRef DOB17 (instanceRef pdp_ram_0_1_0)))) + (net dataout34 + (joined + (portRef (member Q 1)) + (portRef DOB16 (instanceRef pdp_ram_0_1_0)))) + (net dataout33 + (joined + (portRef (member Q 2)) + (portRef DOB15 (instanceRef pdp_ram_0_1_0)))) + (net dataout32 + (joined + (portRef (member Q 3)) + (portRef DOB14 (instanceRef pdp_ram_0_1_0)))) + (net dataout31 + (joined + (portRef (member Q 4)) + (portRef DOB13 (instanceRef pdp_ram_0_1_0)))) + (net dataout30 + (joined + (portRef (member Q 5)) + (portRef DOB12 (instanceRef pdp_ram_0_1_0)))) + (net dataout29 + (joined + (portRef (member Q 6)) + (portRef DOB11 (instanceRef pdp_ram_0_1_0)))) + (net dataout28 + (joined + (portRef (member Q 7)) + (portRef DOB10 (instanceRef pdp_ram_0_1_0)))) + (net dataout27 + (joined + (portRef (member Q 8)) + (portRef DOB9 (instanceRef pdp_ram_0_1_0)))) + (net dataout26 + (joined + (portRef (member Q 9)) + (portRef DOB8 (instanceRef pdp_ram_0_1_0)))) + (net dataout25 + (joined + (portRef (member Q 10)) + (portRef DOB7 (instanceRef pdp_ram_0_1_0)))) + (net dataout24 + (joined + (portRef (member Q 11)) + (portRef DOB6 (instanceRef pdp_ram_0_1_0)))) + (net dataout23 + (joined + (portRef (member Q 12)) + (portRef DOB5 (instanceRef pdp_ram_0_1_0)))) + (net dataout22 + (joined + (portRef (member Q 13)) + (portRef DOB4 (instanceRef pdp_ram_0_1_0)))) + (net dataout21 + (joined + (portRef (member Q 14)) + (portRef DOB3 (instanceRef pdp_ram_0_1_0)))) + (net dataout20 + (joined + (portRef (member Q 15)) + (portRef DOB2 (instanceRef pdp_ram_0_1_0)))) + (net dataout19 + (joined + (portRef (member Q 16)) + (portRef DOB1 (instanceRef pdp_ram_0_1_0)))) + (net dataout18 + (joined + (portRef (member Q 17)) + (portRef DOB0 (instanceRef pdp_ram_0_1_0)))) + (net dataout17 + (joined + (portRef (member Q 18)) + (portRef DOB17 (instanceRef pdp_ram_0_0_1)))) + (net dataout16 + (joined + (portRef (member Q 19)) + (portRef DOB16 (instanceRef pdp_ram_0_0_1)))) + (net dataout15 + (joined + (portRef (member Q 20)) + (portRef DOB15 (instanceRef pdp_ram_0_0_1)))) + (net dataout14 + (joined + (portRef (member Q 21)) + (portRef DOB14 (instanceRef pdp_ram_0_0_1)))) + (net dataout13 + (joined + (portRef (member Q 22)) + (portRef DOB13 (instanceRef pdp_ram_0_0_1)))) + (net dataout12 + (joined + (portRef (member Q 23)) + (portRef DOB12 (instanceRef pdp_ram_0_0_1)))) + (net dataout11 + (joined + (portRef (member Q 24)) + (portRef DOB11 (instanceRef pdp_ram_0_0_1)))) + (net dataout10 + (joined + (portRef (member Q 25)) + (portRef DOB10 (instanceRef pdp_ram_0_0_1)))) + (net dataout9 + (joined + (portRef (member Q 26)) + (portRef DOB9 (instanceRef pdp_ram_0_0_1)))) + (net dataout8 + (joined + (portRef (member Q 27)) + (portRef DOB8 (instanceRef pdp_ram_0_0_1)))) + (net dataout7 + (joined + (portRef (member Q 28)) + (portRef DOB7 (instanceRef pdp_ram_0_0_1)))) + (net dataout6 + (joined + (portRef (member Q 29)) + (portRef DOB6 (instanceRef pdp_ram_0_0_1)))) + (net dataout5 + (joined + (portRef (member Q 30)) + (portRef DOB5 (instanceRef pdp_ram_0_0_1)))) + (net dataout4 + (joined + (portRef (member Q 31)) + (portRef DOB4 (instanceRef pdp_ram_0_0_1)))) + (net dataout3 + (joined + (portRef (member Q 32)) + (portRef DOB3 (instanceRef pdp_ram_0_0_1)))) + (net dataout2 + (joined + (portRef (member Q 33)) + (portRef DOB2 (instanceRef pdp_ram_0_0_1)))) + (net dataout1 + (joined + (portRef (member Q 34)) + (portRef DOB1 (instanceRef pdp_ram_0_0_1)))) + (net dataout0 + (joined + (portRef (member Q 35)) + (portRef DOB0 (instanceRef pdp_ram_0_0_1)))) + (net AmFullThresh9 + (joined + (portRef (member AmFullThresh 0)) + (portRef B1 (instanceRef af_set_cmp_4)))) + (net AmFullThresh8 + (joined + (portRef (member AmFullThresh 1)) + (portRef B0 (instanceRef af_set_cmp_4)))) + (net AmFullThresh7 + (joined + (portRef (member AmFullThresh 2)) + (portRef B1 (instanceRef af_set_cmp_3)))) + (net AmFullThresh6 + (joined + (portRef (member AmFullThresh 3)) + (portRef B0 (instanceRef af_set_cmp_3)))) + (net AmFullThresh5 + (joined + (portRef (member AmFullThresh 4)) + (portRef B1 (instanceRef af_set_cmp_2)))) + (net AmFullThresh4 + (joined + (portRef (member AmFullThresh 5)) + (portRef B0 (instanceRef af_set_cmp_2)))) + (net AmFullThresh3 + (joined + (portRef (member AmFullThresh 6)) + (portRef B1 (instanceRef af_set_cmp_1)))) + (net AmFullThresh2 + (joined + (portRef (member AmFullThresh 7)) + (portRef B0 (instanceRef af_set_cmp_1)))) + (net AmFullThresh1 + (joined + (portRef (member AmFullThresh 8)) + (portRef B1 (instanceRef af_set_cmp_0)))) + (net AmFullThresh0 + (joined + (portRef (member AmFullThresh 9)) + (portRef B0 (instanceRef af_set_cmp_0)))) + (net reset + (joined + (portRef Reset) + (portRef RSTB (instanceRef pdp_ram_0_0_1)) + (portRef RSTA (instanceRef pdp_ram_0_0_1)) + (portRef RSTB (instanceRef pdp_ram_0_1_0)) + (portRef RSTA (instanceRef pdp_ram_0_1_0)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_58)) + (portRef PD (instanceRef FF_57)) + (portRef CD (instanceRef FF_56)) + (portRef PD (instanceRef FF_55)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_45)) + (portRef PD (instanceRef FF_44)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_0)))) + (net rden + (joined + (portRef RdEn) + (portRef A (instanceRef AND2_t4)))) + (net wren + (joined + (portRef WrEn) + (portRef A (instanceRef AND2_t5)))) + (net clk + (joined + (portRef Clock) + (portRef CLKB (instanceRef pdp_ram_0_0_1)) + (portRef CLKA (instanceRef pdp_ram_0_0_1)) + (portRef CLKB (instanceRef pdp_ram_0_1_0)) + (portRef CLKA (instanceRef pdp_ram_0_1_0)) + (portRef CK (instanceRef FF_68)) + (portRef CK (instanceRef FF_67)) + (portRef CK (instanceRef FF_66)) + (portRef CK (instanceRef FF_65)) + (portRef CK (instanceRef FF_64)) + (portRef CK (instanceRef FF_63)) + (portRef CK (instanceRef FF_62)) + (portRef CK (instanceRef FF_61)) + (portRef CK (instanceRef FF_60)) + (portRef CK (instanceRef FF_59)) + (portRef CK (instanceRef FF_58)) + (portRef CK (instanceRef FF_57)) + (portRef CK (instanceRef FF_56)) + (portRef CK (instanceRef FF_55)) + (portRef CK (instanceRef FF_54)) + (portRef CK (instanceRef FF_53)) + (portRef CK (instanceRef FF_52)) + (portRef CK (instanceRef FF_51)) + (portRef CK (instanceRef FF_50)) + (portRef CK (instanceRef FF_49)) + (portRef CK (instanceRef FF_48)) + (portRef CK (instanceRef FF_47)) + (portRef CK (instanceRef FF_46)) + (portRef CK (instanceRef FF_45)) + (portRef CK (instanceRef FF_44)) + (portRef CK (instanceRef FF_43)) + (portRef CK (instanceRef FF_42)) + (portRef CK (instanceRef FF_41)) + (portRef CK (instanceRef FF_40)) + (portRef CK (instanceRef FF_39)) + (portRef CK (instanceRef FF_38)) + (portRef CK (instanceRef FF_37)) + (portRef CK (instanceRef FF_36)) + (portRef CK (instanceRef FF_35)) + (portRef CK (instanceRef FF_34)) + (portRef CK (instanceRef FF_33)) + (portRef CK (instanceRef FF_32)) + (portRef CK (instanceRef FF_31)) + (portRef CK (instanceRef FF_30)) + (portRef CK (instanceRef FF_29)) + (portRef CK (instanceRef FF_28)) + (portRef CK (instanceRef FF_27)) + (portRef CK (instanceRef FF_26)) + (portRef CK (instanceRef FF_25)) + (portRef CK (instanceRef FF_24)) + (portRef CK (instanceRef FF_23)) + (portRef CK (instanceRef FF_22)) + (portRef CK (instanceRef FF_21)) + (portRef CK (instanceRef FF_20)) + (portRef CK (instanceRef FF_19)) + (portRef CK (instanceRef FF_18)) + (portRef CK (instanceRef FF_17)) + (portRef CK (instanceRef FF_16)) + (portRef CK (instanceRef FF_15)) + (portRef CK (instanceRef FF_14)) + (portRef CK (instanceRef FF_13)) + (portRef CK (instanceRef FF_12)) + (portRef CK (instanceRef FF_11)) + (portRef CK (instanceRef FF_10)) + (portRef CK (instanceRef FF_9)) + (portRef CK (instanceRef FF_8)) + (portRef CK (instanceRef FF_7)) + (portRef CK (instanceRef FF_6)) + (portRef CK (instanceRef FF_5)) + (portRef CK (instanceRef FF_4)) + (portRef CK (instanceRef FF_3)) + (portRef CK (instanceRef FF_2)) + (portRef CK (instanceRef FF_1)) + (portRef CK (instanceRef FF_0)))) + (net datain35 + (joined + (portRef (member Data 0)) + (portRef DIA17 (instanceRef pdp_ram_0_1_0)))) + (net datain34 + (joined + (portRef (member Data 1)) + (portRef DIA16 (instanceRef pdp_ram_0_1_0)))) + (net datain33 + (joined + (portRef (member Data 2)) + (portRef DIA15 (instanceRef pdp_ram_0_1_0)))) + (net datain32 + (joined + (portRef (member Data 3)) + (portRef DIA14 (instanceRef pdp_ram_0_1_0)))) + (net datain31 + (joined + (portRef (member Data 4)) + (portRef DIA13 (instanceRef pdp_ram_0_1_0)))) + (net datain30 + (joined + (portRef (member Data 5)) + (portRef DIA12 (instanceRef pdp_ram_0_1_0)))) + (net datain29 + (joined + (portRef (member Data 6)) + (portRef DIA11 (instanceRef pdp_ram_0_1_0)))) + (net datain28 + (joined + (portRef (member Data 7)) + (portRef DIA10 (instanceRef pdp_ram_0_1_0)))) + (net datain27 + (joined + (portRef (member Data 8)) + (portRef DIA9 (instanceRef pdp_ram_0_1_0)))) + (net datain26 + (joined + (portRef (member Data 9)) + (portRef DIA8 (instanceRef pdp_ram_0_1_0)))) + (net datain25 + (joined + (portRef (member Data 10)) + (portRef DIA7 (instanceRef pdp_ram_0_1_0)))) + (net datain24 + (joined + (portRef (member Data 11)) + (portRef DIA6 (instanceRef pdp_ram_0_1_0)))) + (net datain23 + (joined + (portRef (member Data 12)) + (portRef DIA5 (instanceRef pdp_ram_0_1_0)))) + (net datain22 + (joined + (portRef (member Data 13)) + (portRef DIA4 (instanceRef pdp_ram_0_1_0)))) + (net datain21 + (joined + (portRef (member Data 14)) + (portRef DIA3 (instanceRef pdp_ram_0_1_0)))) + (net datain20 + (joined + (portRef (member Data 15)) + (portRef DIA2 (instanceRef pdp_ram_0_1_0)))) + (net datain19 + (joined + (portRef (member Data 16)) + (portRef DIA1 (instanceRef pdp_ram_0_1_0)))) + (net datain18 + (joined + (portRef (member Data 17)) + (portRef DIA0 (instanceRef pdp_ram_0_1_0)))) + (net datain17 + (joined + (portRef (member Data 18)) + (portRef DIA17 (instanceRef pdp_ram_0_0_1)))) + (net datain16 + (joined + (portRef (member Data 19)) + (portRef DIA16 (instanceRef pdp_ram_0_0_1)))) + (net datain15 + (joined + (portRef (member Data 20)) + (portRef DIA15 (instanceRef pdp_ram_0_0_1)))) + (net datain14 + (joined + (portRef (member Data 21)) + (portRef DIA14 (instanceRef pdp_ram_0_0_1)))) + (net datain13 + (joined + (portRef (member Data 22)) + (portRef DIA13 (instanceRef pdp_ram_0_0_1)))) + (net datain12 + (joined + (portRef (member Data 23)) + (portRef DIA12 (instanceRef pdp_ram_0_0_1)))) + (net datain11 + (joined + (portRef (member Data 24)) + (portRef DIA11 (instanceRef pdp_ram_0_0_1)))) + (net datain10 + (joined + (portRef (member Data 25)) + (portRef DIA10 (instanceRef pdp_ram_0_0_1)))) + (net datain9 + (joined + (portRef (member Data 26)) + (portRef DIA9 (instanceRef pdp_ram_0_0_1)))) + (net datain8 + (joined + (portRef (member Data 27)) + (portRef DIA8 (instanceRef pdp_ram_0_0_1)))) + (net datain7 + (joined + (portRef (member Data 28)) + (portRef DIA7 (instanceRef pdp_ram_0_0_1)))) + (net datain6 + (joined + (portRef (member Data 29)) + (portRef DIA6 (instanceRef pdp_ram_0_0_1)))) + (net datain5 + (joined + (portRef (member Data 30)) + (portRef DIA5 (instanceRef pdp_ram_0_0_1)))) + (net datain4 + (joined + (portRef (member Data 31)) + (portRef DIA4 (instanceRef pdp_ram_0_0_1)))) + (net datain3 + (joined + (portRef (member Data 32)) + (portRef DIA3 (instanceRef pdp_ram_0_0_1)))) + (net datain2 + (joined + (portRef (member Data 33)) + (portRef DIA2 (instanceRef pdp_ram_0_0_1)))) + (net datain1 + (joined + (portRef (member Data 34)) + (portRef DIA1 (instanceRef pdp_ram_0_0_1)))) + (net datain0 + (joined + (portRef (member Data 35)) + (portRef DIA0 (instanceRef pdp_ram_0_0_1)))))))) + (design fifo_36x1k_oreg + (cellRef fifo_36x1k_oreg + (libraryRef ORCLIB))) +) diff --git a/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.fdc b/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.fdc new file mode 100644 index 0000000..6fbcac9 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.fdc @@ -0,0 +1,2 @@ +###==== Start Configuration + diff --git a/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.lpc b/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.lpc new file mode 100644 index 0000000..2dc804f --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.lpc @@ -0,0 +1,50 @@ +[Device] +Family=ecp5um +PartType=LFE5UM-85F +PartName=LFE5UM-85F-8MG285C +SpeedGrade=8 +Package=CSFBGA285 +OperatingCondition=COM +Status=C + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=FIFO +CoreRevision=5.0 +ModuleName=fifo_36x1k_oreg +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=03/18/2015 +Time=14:40:46 + +[Parameters] +Verilog=0 +VHDL=1 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +FIFOImp=EBR Based +Depth=1024 +Width=36 +regout=1 +CtrlByRdEn=0 +EmpFlg=0 +PeMode=Static - Dual Threshold +PeAssert=10 +PeDeassert=12 +FullFlg=1 +PfMode=Dynamic - Single Threshold +PfAssert=508 +PfDeassert=506 +Reset=Async +Reset1=Sync +RDataCount=1 +EnECC=0 +EnFWFT=0 + +[Command] +cmd_line= -w -n fifo_36x1k_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 1024 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill diff --git a/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.ngd b/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg.ngd new file mode 100644 index 0000000000000000000000000000000000000000..f9997bb5a4e198053206732fbe2d0257694ffb6d GIT binary patch literal 146570 zcmeEvd6W}X`gT>bZyE*BZnl7+tWv$Oqog;WWea;ZvF)&k;sOy-agUBOE;DM>nQ?x~ zXmrMLbX+p->zHw0G42~`Xa%uTosKAiAn-l!dv8_Us!q?>=gdFf`OdG-X~=!v=f3y8 z&wHy0~gL0MmW>Z%pzt~qnnWWWEs zQ`VoeX5CtU)tcpJty{awKYV=Im=$X_jPREpS9Y9n+(bjuwm#{jN~_nbUe{1Meq-?& z4eQpQaw_nr;)KthUOjH{oG}x}O()3DP))^x@&$D$KY{w1URAxMrm`Bv#}ibnTu@!U zsJdZM&7A6mi^}H&(XY`tGE^+CnO)VesC>bUYW!0{dm%4Ro}bE?oTBIu%1^NYJh!yUMrkOm*nKZK!EJHkYcp;8C#63Yjt=9h~*X-7Gv%aUzQEh~oNF);6qZKtq61TwxH_ITF8=_u*<*rCM`O3{ANz!f>r6TQSu?CF}MnjZ3_O(-!APRGv8zN_0-4&@9 zU%Mg7!Z+@U6y!H<7WH+zo5da5=B82L+ue`>Xsc6`n)_B18&+cQtz5Gl$PR} z?~xS5caD`B_|CB!C}xCJdIQiT>#=igioUb2g5lxn}{lM z8XY%&QBw#8%DPfU4;poakEj|uv3>~WE7XeVMt}YJAeo$~^kjPA9XBR@kb#);mO*gk6gCIeY zjZvq&yGX?8?&46V4{;&H=@F#ixkmb-4)t(xC=Wed9169ki$k66sJ99GJ{osdOk!2O3BIKAjx6%j5tTT z5R&9*wN?prcBqSE5TbHQDVM`kP6BB*dmC?z9Y9147-i$g(;QjuZ`YLu%Mfa6>g(s;NFp)g0g z5DN1+)eT*`HjZ&2)WNZ?TGYX@E{*}jaB-;1hKmEB%%-ea%VTl0%>Y167b^_vZm~ij zHA@sbX;7lrDVS2lPX0<2I|)*zlz9}6Q!12FV3QSqhrxKI3J<1n3Q%gG%6O#;55Ng3 z0K&0K4IaglRDj}~tOC^WN_Mew9etk0pJ`87v$mL`n5wXOkWEwo9%ECKDm=uds0@m8 zs>+~j9j^d9zK&O`fG|-dP^V8&0aAaOQiDg*1O^aALn$5c0;=604=O+dEfBQ!4TMVF zELQ>QW`zP6L`W$$P_E=MHA()en9Uual5HbTGi9lirUV)*WQjgk%UWe@skSV1`Pw+$ zwvhzWZ5y>V!?sb^XV^Astw!2P*-MSIl{S!NNgJqm2%p{!HU7v5;sOv$yL|vb6GpTb6>=L&o zO9p#cB1WwwizxEO3aiHoib5Xu!4 zl*VhwOC=ray&#RCdT({=L<2LIuWrBvnsr*)IM)lx)0LCG9t?O`&Y6;E>W+U*bT+PGFVve*u`$`GA3kve(9;F8ni!{h8Iy>y zmKeHHub9x)UbKwRGrZ_{M!kL~5PGJUJC4w^yl4rdUJs>&uJxkD2EprWn7-=Iv`aE< z!3kPH(dj|NF45P~xm4;w0S_mkMqq;5iB>?QJKWW4y3ydbJSnD$_2kuVx!6E)z1;|n z&$I0k4dJtGDvk2>c8RjT!Dbp%vTenf!|xQx)A%HGYt-my9!nW4EG4jvSrpG>DTSrx7k?g0F)WKSNw+0Lu?*?~(ADGdC_Ur_ zDJ7JVv9E+Z^og22XJf(xPQvd2H%2_*1pMgn>zoHH!7g{HExQ!0Ow)tg(jMkGFbjdX zI$Nsg)g8SclDAYG@||(kfMKFf8}9Se2Uvem&<#Qt-qZDkhkEEC%1S|9!o#O5_WC;F zK`~7A`is5XiPCrdrC#3?q-FS2Zyg#x^_O}5kGDKUQ=XQ`Nz1^$<~$aR1MR?YzXzpp z)2G1jpfsNAuV{@5ZR&p&^YBZpR+CAOg+^@smEOLTSpEM^(8Dj5mO*2@t@BZ#{sONn zz@k^Z4n{q`XwcSQm1u>^WBEB~TYq;^T@b&-HJ+Oy+LDyCvOlB1&$ zp!x!uR^_i2t?@#tbjLyiyo*7`&t|Lm3+h5q;0aj0{_TKWPeiF*L3W+U@;hpC+yZ1= z7o`BuuW=`MfxN^Uk_5;)UrzKmK<>u^-5BN`sQVNe1`xdtv(8DVx^HHyyX< zewy&IsV9>=hrKWz`{z$+)ss>>W>@QhR&?@SGN_gJQa<-s)S6Gn-}zfx`=>LKLw3cy z{?V*<;Mrg+m`_!P`n-5CDb~N*@5M`~*~xrNbtzdw-9P^PWGg-^|A%lZoHQPJZ)=>? z9J?{zichjF>fE~jB+o*#HJ@ZS{s7##GhR$7A2mAN3I}}beXU`Vr=l>|icZ}xza6m0 zJ#}+w&sZxQ@@NN3(yed`xBBI9E1a|5%@r=r2d6D+&7_3P6Ib}^&@LtchF;)p9z&T~bKU_qdsrH*1QLEwE$ z@>Ps)@u8Cw3az08C!qd&;w}gsJ>iM83SnMVA2!%6f~XH=aTf#$m%2qQhOg4S zeisWpo%@#CJ$gFo?x>3eA;!HPQ6Wrg>Vt1EREVw#y7^TE6RP^r?%{w*SH0pFSA&?| z)T`WkK{13levHd|G2GV_q+ISP89Q1VRT%qzs~SrqOGHf!!Dc-8ui!5Jecg) z4LKJsuTQl7@+4Or(n2MC9xx}Wa=8mnr`?eBdSlQ9TcdCBEddwK1NEka2a`TLTaM5@wz3&K;HLA~o#|4MPY>$XgBxqaB#{CmK~wXzvl6mj7c-k60+7e*O2{^90vhVPBLcx6(t`hNgc`ekK!UNG#! zIm7qIJ($$;LBNU+nPIIl76d+2o^jyXzA5SA(J`R@p|A%NJ|_kfD$dmB;%jB~>T_pQHB>FAY?xENa27Gi*XeUH?J?>Ye9~6Ut!Sv3 zi>VK4^?%5y*UVkgU{HN7&0f5yjDEg3Fox-~msHM~x3FX!0G=hSp1p7ZxPNrGs48xW z&ds)Ni9Rrj>(XtC?4^cseToi7QjHWhja7Oe&#~##?M#36)Z)#~q|; z;6K?V^sn(&Vvehsdt!CvqGJBR>5pXZ3{U9nM10grYUYA?nNmQ_iGj=gJ_D4ut1CbhmTW-+Pt9X?s2dnTH;Tcr|e zZ@bN;7{0Yj)UzFS$w28lpXC#URLXKm9sABA(w!bn8H-5wS2Sg88+FCBZMa?;Fe*r1 zs&LncGQCrRZ#J#zd#*+%IZsP-UrjlxUNF6?I9OUyOYWFO;|qmmGV);Kl5L_;vbN2j z64`pe&B9XpPHJkgtT68XBmiUebR21$ngmWfZZ1dl#&Pqgrw=)nsCqTuE{B;Z@voU5*?ncgtFx*UDT6mT6y2%3y* z+V4eaDzE7$FDfQi&reY|6c;q#*z|+XgVL;F)AwGK<{_JQdL7fOW77{_l;#+lc6&YW z413dVFPWyHwWd9-*faszw9Cupx!IwzZW*l=%uya}<%Bwz(Oa=8%8cHMO;Kh#w1P>hOovuZ5T)L&I%N%360h(sM5IX-QniYK}< z44&xEV=1Lm|52mj`Ao13Ulz_|;X0fAc`Q5|QC=L+XQB&)V?GP!Gx0p-z(hV1UBXNl zoXTV3>)j)qokxk6ekRiAXQKIP!P37vna@JklKtl7>mW~11Rn6`t3_f29*X2MQPTU( zOXo9D#GxfQw+VS9c&WDw^kdlK>)ri8L7ZS>BG(I4!;=k;fs=wT^i# zp2tL)sEOpYkaFc4{rM`<(3}2TGM|Y?{>0bg`Anok$=T_AChGgp3&QzKG{VMB2;?)7 z-eW6L`Aihov~Ed{2{W3NzO)ClZnGHqrdL+XlJ*=3V+I-?ADEl1xYi3I!K3Hq zJWQOCOfK>Ir1{vI!QT2bdwfh`%Hsex*6P#V^18(>yZY2QQ7;#*^&anSjb_G6#qTT1 zSrKmO)k{zIdp%%^c}zc_7scMOE$RVrL$B_CChSGgu@i3a27zl;ebg|&mkVu6#96=E zF$6K@_uhbUUa1EjG`t=V+QhS4`9%;Xo)PwPHT|gHMLZyw!2)l6`VC@H*OZ4!GvAZC z`@ATUHl{h|MWM~~e}%m$;;n5x7@*j&ovm7f3T5MxUJrE?<_@jU?5FE;xYoqoZn$HJ4JKZjePVp6Am9}F%}*7Vr4b0Z!L9V6z<^#l~+ zNzh-y9oU#hNawe;)={WH{K1 zN;3xMJSI#EVh{Us+5_`^blE2{56nLX&o7R6VeaY&;nuKh_Ep1cqLAx9Otyw)c0LY! zP1apPrJGur=s?}A*ypW5huiv3lC5Fc#%F%7$(p;Jxx^be9q>=~?t>w#PxYTiJ+2_2 zXl3HFaj%JDFTW)0g=st)$&?r7VZ0^X8W#Rf_&qGHZ1X8Z{g=_!u#EewwAW+}+RAfV zHBV!|erv#6!x~ZJ_O^1ygP}3*tzpmdegliU!`8^Hnv(XKD77=9K;1Pc9rfGdtzi-3 z;%*VIi3h{CK;4e4UjXWNguNzfFia}&*5cm+W^D*~YETm=cZjw^rR?7&y%uYXjJVk= zyO`I{_`NmkseQARGwbj*x}sGrbWA%?5%)TyL`^=d70k!AY%3?$7@4vl=XJzmq$S!K zmXYVuUXwK#Mh{GSO?+h78E6d)X9K_TrnZ;|!w+e14SVO@)ykQb_4#5J#ra<6TU+Pf z1Xr$MBJMW)Dc%|uojSQ=+GDbQ=gV~T!7|io0hd#q2)4CBGs}Am|Dtov1piC%57KTY3bqMTQkh=1iCp%wZ3aq*ST-(5 zQ#p0`P-!WrmJhWo)I*L1$kefF9zHkyNS_O;YAVS2kuh1IK#sC3l%ON60`=%9 zi$=j0S{9O}$gzCf~T-0VT^uz3Xq)B4ztq78YiJWnwJ`*fs;qfwqmD476?J zg->L-H48y0-O4ji8bzhw(P3f~QNF3d3G?ty zNqp3qB5(VBH*O<*MA9;2g`0((fRVCL!3~h3g35)wcaM=4*%#{G7-=u3@Q<@<8dR_> zMF9`I7a3o2*NB45R##_U-nMhO+(show$-i81^)__DDsxYpS zesMeSX&RqTudD$)f!KQaS@eU~qSMx&a?WX?N!If;nKG(VP)Seu9M~Dg=NXmNvuC50 zLACCvH1M&EK7vF2D5cL=R+5h6=;P^?m5WO%QF(6!rEydulMjVEDsf@ey-N}w3&6-s zAh2V_?0J>5_@~^t(<#dFOyz^@_!!kU6V%v()JNwcUKqg54Q30lR<|Ii0IuRL~JwCyJ7gl)psNZSNDlkWfG ze`ta(3q~Ci&g+5i*qeHJFs~8Mjq1~fz~tUdMRb@u8Tm>Z-NFpYh*J~!>tP!)+O*`sy0+6{5XheD7d> zBVY8q?r+N|6?r3?pI}`8Rs9&qPoN#F{>^k-Ms|;bd<=ww@-xp`cg_aBWkp{c^K%^= zk|!wV#@(=VP)QDEl#8dOGF3j53b@q34;0~F{1&{h8fOe|Cxop++!&OpqMGt+la9O9 zR9BYi^rTv`)0x|JvUjAfS=`t@I)K8s^4W`Fs30PJ8PoB92C=9>hy59rg}yIlS{C|B z36iB_c@;T6Q5yJ)WtPRFE{r7>Ipa&LnO2D^)mS|GBB`+~^j$s6DqwzB%gnZTxK|k^ z+h(A2qAZnDU*||7U)#;Gcto3PStyFR(!y6%^DGO6G|#e7j}}=L1BLlkfqOLH;&D(5 zEE{!ifo-DFLd!&PEVNAqN{guk`G~fJX!y*y{7f~1^jR+*Ke=XZaPgwat_$czG1%@X zC)!e?-N7fhO9(xL&i0nlC#s8+Q>tv;^`~W)5q-3=as2plBGyt-$K%JMk=6$WEmKF7 zj&yfNrk33n7h7(fXG&pQM#ibe#z~XlS?^^UD0ZM8hR9H0Y&5W;SSO36CG?9&CqEVW zG&1DFr;f%7LE92R30vpxsEqvZR)B%7KO{akt_o^hun^}tK{}Ng$wr#KfbX<{bzgp)g$e?`Q)$9^yw*n)_I$!dYY;KfHI@d3%yq=r?uPZatMMmdTFagQ^++dIR9(w#6D}BJCnEE?pZ<6W$4VZBQQY~=vBIy!MCR{A=HVS% zTd~q@YgVk;|CsqRE<73+{WM%JgsOa)ld)=MTY{@AU+RI}Px~F|u8f)>{6Z7AG`%;o-2+ zala2S3wxvVKoBeubdW0GxXLsJr4zF#!Hz5pl{G#Dpec!oj$mw2XCy|AV8Fz=A$+7g zn%2JTEn>wiIX?Uk4nF4vaTLR;A)c%U@&_PA_&~F0nGiUcF+TBumV0Tu24q^7MSKtk z1RxiuFwqjjhf86)3Dp}ffgk!n^LF@>rw?#ghfd*<{tkS;$rJUhj;!}>n12?!if^Cm zP=QAjiX_qoOhCr)$^0h82!nDS(%cqNv0-ROGq=;fykR#Hv!v$soQm=6qxo%2#g3tp zxx-MgV+EE{v15G1`!1qlgPGS9bYaYE%Bk28#xgM#<5LyWG*oN|F-$Y1VtyZC%?TA7 z%2+n2Vn@e_m(8izaKbXL1zea9C9{PrypdM(nP-M21o7qRXC{+WvH4Ut)09@Rg5FQ`;XLsYCbYMj>Td{bSC3qVp7=C(c`!= zcjw3YP|3}sh2W{N-=#i%dg{mg^`JH3@<*7R;4>llA-J|mG zj?Bl)gB_WtZeeRo{37HQP5fNr!TRNCV^AKfsC66SV$_UA)THv&rMWSoVzb!a1wj>? z%~&L-Vik;?P5<&nBXaET-VK;lZRKvye@I2-Nu`O)ED;-P;8yW-dJ_fuj98MLK4PTs@-g&UYVhRZ#nU@Fany2m&d3ZLdAM9_DE30dd7(NNKVCiG4?3^%Nw;@;KN7rv515?znz))I9YfjUT5Y#o=~wa zj6D%lu}(3R%qMax)`hVrQwk;?b26WbD44ioz<7@PLS%o8-M;jxa#Gchv{d_g|{g&rgA$*{W$R|G-u5pwg*s3$`^TLwx_k02l4up&FtmdC`xhpP7peMXnDvd-ycFJ7%dM) z1cVB@vb{9--5imPAUv?1WBqTK*Z3uPn*nRH)^j3HjcTOtYr7 zJnWb61HiQ-N-?>(hTQgTJ}%mFZ;49L~YGY7$ZG9edZHFdw%@{}L_qOB>ctMZy1zz10`aMARvAs1jZdl)FinK)s}2E;noT1v43w%h{Sof%$JuzT?I$1oPh!xr!-WiP7bpSi#in7t~r_2+HO3 z&HgErl5!<~bD&RadC`zB>o5m_`C?qI*KguPzvU&LCRX@22czCgQMvT0c{G?W<>Xrb z=1?$S4$BuFn8Uz)IVIPRHIMaaEw2RR+Xc+wsP{@jzK_5h0p_cIxk#=#3d~ny^34S1 zXfR*XJ`Rs%uUKbF&|>x8QomgSk1TZQaX#nPzA$?`ZPH17-mA-a&jl*j5haJ88MD zu2~6YDhMxZs{%8XgctT%ozPm|HRKBo%;~82F5>IL%$Z=m=aUNyn>Ap*hxnS=b|RSX zQGD!WwxPAWAC|9IFz2A&`ziTC26HZ$9|Yt=%w`bG4-$A|2-lkr=7)%HH~UMzpQFn7KTKQW|~X(hAi3Dk$GMu;}R|lT6Xy4+o;S7awtXRtF6q7P;W<0E~RZ= zjC$XN<;$PUOThdtC10gvUS?=5_-5OhW1msfYf8v>LYbEbwU&%uzTV2b9Q867S=G$^ z73!Iq);NJnSE8O7k&B3%zfPc(mhba2uL83ybjEkC~Vx`^8fN|p^?r!J#-&@q{2?vh zGG*Qd=8r+S+_||4%pa5TeNg6~Q<&8>9no6$BED0Y`8P24M&zQr=HJ2Go0bdqn)iaaFDMuDHSbHHl#~nhn*Tt( zUktfKulWF&zr^M8z2<{p?)S;JSJ0_@%l@c*yM>vEp_G&Fv7poSmIGn=1`hLKA4)0t zwhB5$Z#fu{Z?iBT38Ivc@2#NI@|@@tm&7*H@U2`%sF=7gd;# zCs0bucYv5r1hrg2P`+A%PPuahN%`st^U0K!>tM*2Oweg|u0veDsDe(ga~*y1brW=I zo$DBtueC6r38Ivf?~pK`HMCsUn0&{C`CLTHb<^bAB+P%IUbl#RBZc{V4yCkwi-h@a z)axFUZ<#P(0JD2izCFTx5zHQje7l7CGMGK$@`WVkD-kW%(X+}WFfk%? zhsMxP9%t`?>BHIj3HV1d-;baakvD#sAE2HuEpP2IKLYcxpq81!%#Xo5EUAfGxy(<% zJlv4Cdzqi+P>RDABcGq6UT<8WOyhc6!0a8>#Qj<37hv|zp~SYY!0Z#o_ezTDr6c@1 zf_T%Gxiz5WjtIzC5Sooiln`GiNX&0E@mtGiuJ=s}CB#?8rETzXq^32FW9D`rN)h?O z4w^8|9hsKzxuB`y+)+U=x!w)~r6g>kl+tpAhJ4`#O$_G>Zkh75VTI6=pW& zP>RYIUznK~N;&z06`BCf^$Tl_Q;seg@-vu&qw<9%=H38GIr%yhb06vr3Cow4 znESyTl9I1JF%N)wbU?ny#5@S*(Fyq)lV&Zc<%at4?LPG=^xYz2**J4%6i8 zFq%7pIV>VymeJf9%wZUxlVkV|A=Ejjhq@SI85lj(F{Xz)Ma0?)`m$U^4|PcBv>3A9 zffggC#jWpbJn3}P{l_Le3r#l5@d2bb#0u8Zl*eo64M)Y62dyN7pv=6gMK z1l%9t=XI>532SxVSCzh34;`7nUqBx|HDR@d^}!FV?Y~wJ6{heP<~6ZpEiBP}%rb4B z9_k;(pO2T2mL@Fe{jhoCM|x;r9DfF{Ic=E=wWEK(W3?XgC-E252PTtNTUZ_Z=D@YD z>Y*WN{6%zHzgpJ9BH#CRJpOS#G)&V&Lt{EE^ejzS)O$HI@Lo0%MXn9(vwbG;s>xjPm&5LP0v?_u>u?(3sVV%g6uYJ8q53P>j&(BLj zN)y&U9as^?{&iXce*vAAqm-taZo%)bT;5X;ou0y9Sf}M7r3ovdj$8DXZF=a;9R8v@ ztp_PhSUz?3XTyDZXdV96#(Bw0X~L?hB^O?IsUAAp&_j_VukI*KSU&aK*Us1U&^bXp zv_7rVT9DF&bx0dFKa|u%=SJ{{^+o4IEfbdX%=#YrKo6ZC!=GQLb@5~^ES9;bXw6(b zbU^}t0i70F6DC?Bvu0w)r}fZ9Dg1@`K3Q1{cgR<-J+hk~x+I6cDBqJRO}In%kNxl4 zsE49HJ#<-Ir+ZnY2{-nBI{x9`=%FhNJ#;yChwqb?3HR<^(C3c+dg#ia9{N?9Z!DIz zaI5fnt3SR&5B(;BKXEIlG~uq^HJyKtL*>;m{Q3D7Qfb1?$4j%zeyfLWg(9~G`6fxS3B)&bS)4iF}bnN!zV+)Lo9=g-7hvN9k zxe#A6dgw0!J#<$}EE%td?hflA948x3!&i8KC{NbRoDQwlk!~La+DjWlzis26`{yA-% z?hRM|`1;#==$Qom0{m>ObcpBtTZ{pt_0V%E{DpOT2v(Y~V!_1=PMfZWp3mVA$Lve& zw(xBKvom*MOugXKL;sHJ^t7w2g~b4-eKQOr@g+kKy_nSLu~uoqv;SAExCP_)m7pGa zIjz$Jz0!n*1upyKl0rT7S_FUMK~-tODgeX!UV5S)dLxEEzfMo2N)wh1c>eF7ovw%8 zO5hI%%PDqS6VLWPRCdD?dT4VBe_@>-J(abvK0~M9EFG+eQaSuZb$Vvha@ZVu{`cvX zdgy(h9(oV?8l1CCSPG!I|6gY4p$`o`^g)sz_ms8pxQCg?xI;9EA z3ZzOZaZvd*f-3DOGzAO%SO2jYUpQNH_>1cF@TD-(asd}@f9+yD^o>sseI3^) zM(wt+EXLtGIzZm-h926M)amJe=@1KSgo8J|poew@_0YFzUS2?&utY|5#jg=^Qv`qF znSN=)k{XBg3?O7PhCjbfivUOy*3f83B`fq$Hi5qYKdCQGSV`lZmrkkGL%9_G!a6h#dg9R8v@JyI@BSoNX$>5ka#cKP(sk8z!zB$g&Dn@|#8e1sm_ZRnw& zk~%%0Dot1xBDcNZJw5buP!H`%`3nwe~rx0I9bKjwY`9#EG?#btK;LWGa+$@++#VqDe`Fsv|p!SES1oN4M#oU|E zXaCP*W1bVt=TbH^pU-|5_{~2HCa#yUkxKjWF^kT6?US8?`GU`4?#kDC;r(A8f1O~y zWLV5!@-dG(;l!6J1oM@k&CJ*Ok#B9kvXfxG7O|N7^D+Cr_QJv62<96xi@7gf>qmTA z^whnA`BuVW9?0jjPeJY3Qw4K#%4X*C+57WE;e5eN+yNm@=a9@g889gGxN3X+wtQqmkZ{{LCK`m#9I42G`sfVlLhnBh~-ny*ZLvp;BBu8 z=I1e+na^jhNZ)6+3g#CH$!t29&u7oqul#PJV1AXde0Ipk?D6+YC(IShtvQ>Sul4RP zj9e@u`o<@jwB%sx*t>1`47aplqob_N%-4F?!g%=$g1IATF@MO%>~iJC#+8EE6tS2) z^R?c&d}jU4f{9}wHrnHU$j9uosb}S#f|*T7X5;y(JP~!=y!rfR1v8hD%%-37`Rs7g z&TaDexie=m_vd34{NaUvT`zoM{9;2tGX?pW`iNDRJ}H>H4U5?^A5&X$$dT_0=FdTk zNhfjwyEo4NV?KdS_4qSR_xOKc7jq5D+TCFbqtiwHj8jJbAAOwYv|M3-Z*<$&cf8z( zF3DNU3Hg{^AG!66F~VomXE7(`V|LjYxMYxEUSZfwpPe74_0Ee{-TJ&>UdcTsEhpz= zc6#*8zBdTwZz7gYBOkM4uK$P{!Mr+VF{kG1XNMyTy2)>YTaz|3pU;9by5DxO@VO~% zF^|v3)J^~W3kCBI`j1D)lzgpgKl`qhdfw@m%*HE%mP%N^+Wu~D`SI1Sn1R_0`X48W z^kyfy2P@1W2Y1ZgE|{aj7W0aH%)$3>|HA`U@?D{k2$E% zs^wP_gJwc(X1>-3W(OX6kzkfZE#|NDF$dhzcqe|`C!gcvHZx!A{V)9cwL=ASO3q?l zna^jxfuFo{h+v-JvzWih$1ED!`2GyR3>Y>uU+ab2HXT6(^s^#pF|W$UJnEO&FY@SB z6|tBY{jIZk9-S=9s9( zyf$C!zAYylFOS@Hc0|9+$2|187v88BK0`U%XTH`CnH^nxw_u*^vzXWCWA-|;@2evP zGi+GQ>+-eU^Q+gc{a!Fv1TE(E`ItTaII-jXg1IVUF|W(ldiRqjUi6`0u8vvEOY`~c zR(Sui*97ylgvGodpUJJ6F#9NS^&+ z`U4vs!J_$?o$gG2B#)nG8y54je64r&Ue4(q<&|!PfYJy zOys~iGC0g`x8BwJT*17~?&q3(%&v{MUU(KWG5K%#JR={o%k8T-zAucInF>Y~d24``MN*Cd$?B{agX&q9)cf07!)2Ay!L!TDNHWk5mK@D3 z?xczx!*zeQAg()@d3ysENOcD@Z(mf!hA_6@g1D}q>mCSLAk`hh*ukiZ`Kd1b_$fEy z4P}f{EH=W9rn>Y)sN4t}&REB!3*)+-Er|OvpLleOtK6vW0>&~)6`N0W|MzJhu|q0a zm10(spZ3|d_u^~3&n}!E1k>}d!x$3R^E|_Gd9bl0xSQuZ?X#!jqZ#kB3;pvr>DSuH z|32-5aojJDR)g|jMS1ia%e-hr4g%hbW;1VNLd9k=4<~+dqdjXjW09PSRWNoo{mUEi zW-xY|i#Nju^Z!pz`~3SicdYR4F{@J)B)%KvMq-R+G2GZl7Q>Al*BW!4_DP*|(n#+f zb2wE{HXK#|_h}z!a0m&mY^2NKd9Xftu)cY)?mjr9+vem({(3O>TtvmX#fbN>go^cG z?D?FEb@LJL--e3yV(f*4iuH^U@5P*o^!KUkz?@%`q3ZfAJ2yaYDF5k z9lFu48Epwnv_`k1Qj6Hye7OHEXVHfLtj4G}7XK5DinQhj5u00$%l%LzBD>yvK1z-n z55-kA61eruQbR91Cd@a_!mXl4F03`fA%l(@IB&NwM~yk3`PU0I(2A%aRA)8NmcXPM z4@9^{U~Q^#XUbmI;XkX9J@4*vIO?Z0|1;oKBY~@&M}w9c5BO9y9!C7m*mxwZsxcsNJJ25ukkU>=qxD}YA#&xmh?-6RC6=`(F2HFysRO25xy$~&8Yg>)>j*aKL z_o#xS{#x^+VXqn(7yQ^3?%)tHKXYM&ei_SpCdV*?Jc;o#6nF6nteq}7m^qs9g6t9}t`pcQO% z%HXJhwge{CxEFg0TEy0-8h5pKPdWUTwMjS{s5L(w_p0$!LOiG^)F_LnYCMbhow4y; zKvkm>$Bn&dcvZ*79*hk*WKdNDZUyG35!UwX5o(|nX>`;;TLP16{N2zCE5n4fsm7n% zt43yKZ6`Pyq%}X6^s4b}N<5k<)Hpn+s_{JHx75%JaVD)CMd$eVK?b;0_LRMGtr-p( zRMmi6fjMeC(0G4JsDW0b(Ncrk5|~uuZ#ZtCMQm-V@z?gMv3vEU)8Gh+OQzjw=!H`f z{AeZ*YrU|-rN#?kM-8p{#i**r5(8>b_f$3ZrP(2asv2-BFh`B9d+$3zsDW0b(Ncrk z5|~uuZtN*&5nJ18wD-7CJpQYj;ApVc{1Wy~_c!c|$nlc_(RJhm-xSU_?8}JX8XH>k zD{)ngh9Exx!+o}o8wW5p;E+L84Y(DUqsG1q>v2}~jixoD6=`(TKwAQnYWx*@3R=Y0 zrW$`~@7NeuQL_Y&hG@;N`n_trf*e1Yu+&(bRMmJ5@jGg~o>bL1Ey526ai4894yGX* zr%-ntMd6l-%F;@gtUvl`p$1x!Mn?^_B`~SRUD#95BDOZwxVyb-WdGQMrU8%En%@X` z)p#8_ekx|EadKK!181g+8gHdlHO`LlgHzmROAUIKxfu=_RL2I~3d|WBUxy#KQ>cMf zq|s3WZ3#@Oac4p=M2pzkQiEQXYMq(?=S8*p&IA>2HPD*h4ofwxMYWpWLXIB@TIb@I zyW-#MivL>ZwmU^Vau(IfY{R|*7Yr(yKk21%UBn8H(&)qrzXB7n;&C;-5ZAE@#NBCr^cZdxHT*Gl$e^kQ+zQMY z8($ngj+dX)n$e0hI%=RTfk`!1V7#D3Y;CDQuRm^|8V^Rd(`^*PwdPM_UNt_!h<_<6 zx~>;qgBYE$@j2pm)YxLEW8)!>AB=`uMUBBJtr-p(bktzC0&~>(>hE7YA;tz;kw!-i zv?Va9#u*qJXc1eRYP{0kvGH>J^P}Ntgx0(z;Z@`Fq*yjV95-%u?I~X(eoGC#@QH+~ z#*-LDjbZAaqQ+2+4LD>_RReAX=BP3C@x9*&HPDJQT551x0+VW-jL{;P8U~WrO{}eTbrPv{Zsv2-B zFh`AnxA*o5HPDJQT551x0+VW-12xbhwzkx0{9AkXl$S>rkfTv(CFfQH2uA!X_PG_# zIh8%-8^mvo4Xt@wOjY9zjE!v(a;vB@Jjf0iRMmi6fjMd<6Q7?a)IclJ=%|6V1SZv3 zZ|H?+5nEeoG`-PYHJ*wNI1i3SYt7qzUNyG)#X=!sZ2TJ~=eY4L;&;^8kx?Ae_NQNM*s81;h&)jE2|bNiy_zjg2P6@2HVUscLM=@j?yUXZw6(G{y!TGN`Hnw*qt2 zcxu7KdxaWkMH-#4fwlxD)wm$17otUMZL0A`d)2sl{S=z@JWgvigI+Zey&T{FWMe;WpRV*Y-FsDgn33*uXEI&2Y$|ss`K&%u(Ys^A)+LpcQGf)Zn%RCe^qc zYM@1IZK{Fu{q}vsp0Ts~ARLX=np+}nH8B351{}%j^(|3Vja<%ALu=mYQ^!UNm~U|Z z6g7+>J49RdH5s3a!mYp@HTI>8Hi)r-R;1BVgWD3ARHMwN7otUMZL0BRd({|y$mdtX zk%3lXZZ)*#?~&s-?emT81~2qPoyH#hBjUHlhSt2xP}SIjdT+_B+Iz}4j14%%=BUvH z>(;`pz#KL9j{N>1p$1x!Mn?^_B`~SR#Drdm7O}Od##`-G_+^K8he6@8bvzlZH`g@l(8`>2MLn`wDuHI18$k9oSB<9eJC_^&Hr05oy~mC3d-f=SqY|xoPs*#t?zC8{NvN^MH8%Dlen*Xc5mk-u zsP_(aPgP?|L~Dja230lSR$$K9$d)}mNsJA&B8`q3XiH#HjcJBngch;2sm9ywRijtQ zgShibE7h9!<-BU_)5HQ)qz2B;{OZ`)kN7P$^rGG_HTpz&IVx0A#zp{Yz#)T<8thhJ zjv6;U_{yU~4YVSSmKxlabaG7!A51)rUW690wXH^b_msDmJ$Nh}m1)ffeQq@{{(@ow zE*=|2-4Ww6K_1YWmepd88d_FQsAFRQnC}|YKShml8qh%jI%*)T9Ej^0_NUvEJy;ED zg}o@h4^UeIlVhVgp%(E;&W zV?)b!OsQ%d6XQk3xX<=cbb5{*GN`Hnw*qs<#-2w%JyfWHR;1Ay8{C$_q#7qeX0(W{ zO*L>xZ{Ig;=C0*&I2x~IJ0b5|NMmbl`)tQBqVkEZLw}bVoe{sIMwgtb#@GZevIbYm z*q9kXAYottRW;yNV2&DhT)FfXp$1x!Mn?^_B`~SRY(p`;;TLP16%#Y|rXc1f6YP9#bah`eR1UQFsTOK)lq~Nv9+Z})>?kU%nU3D)UP1UkT`Mhcz>F3#Aan)9|$fu5tLd5T=QIt^CSe@hrh2d6F zV+D?)aLAxKHsDrZjv6JCKYLfGfmWo^Q3GuWOses1R4-bcB&qgJHHIL5YiwxQp*~fOD`;%w zsDFwY=U`8PLk3kf;8tLc8eO)WB7Q;0q7`X$)IeJTv($*|MQ9OQ+iJ9TPpLcVZTjUg zfQVvVHI9z+Y_A*}8`G*9#~^-3jbjZ}jq8)Vpg8y0KHtEBF$;$bs%pTkz#KJhp8HF& z7#nCs8XYyzmcXPMO#!_KEn;g^jcu`Zo|#`Zd{YlND%Y~dCcJ7KgQ#}oMAxCerm8Ul z@jGga4616}0^JfR>Yp+;F2dM=Lk3kf;8tLc8nGo)X9+dXiZnWEpe=z}YNYidw1}-u zHQsIS*tlu$XOF{Cg_a$e@~SZcQSFd(UpPB+sWBSyTWaV<@tCT{oiSce9&QyiF34$F zIAqXKgWU?uQKNGlXWBGpo<%FtXsN+%Nhjhcnn~(KXc1eRYJBT!=h%4srCaWUqe?A1 zCg)ZI;}1u}Ow1Y^x8P{d9JH?J$3`4Aw5;J$<32F|nV|kDYG5*#95U#rfwV>>=BV)x zEwERpfmTEXRT5BuR&Rin(u4jEL{xYG{^MCCiB-y2J^LJhPcjn>%Uwge{C zXx8*1w1}-uHNI`H8eK=fb3YtaYuPfxt43*nmv@&(Q4AsFI%OQQqbksmw0uySOSh27OEn;g^jqUB-Q;zoS zDuAQuT6O~V0{8sJ_^?>sUW|=LFgBjC_Y~~eiW-x0sv0k+c3c!Xbl>8thhJjvD9RGYl1bHI5r_$e^kQ+zQN5WBt>$2ZS1EMH(G7(3ZfY z8fRl{phawLs*!2$*w~#M@C+Qy(z4TYUNxp`Johcf##YzZn2GouHELq28b2iYRSs~g zj1A0VWZ{rORSmcmn4`x3>^ZWxPy?+@1*;jai7_QbRBL#WgneM{o`+gS3wuvkff^huGBP2D=rQqsH2T z4&s-`ELxF9OAT&II=Lo=59S3yy$CI0Yf}xgy=weAvSTb9&C#-R47VB>e+JKe%dzo8 zP*r1Y!cjxZ&Pyp{qhDt*zl~G>l(9im+i=LBIyT@|V2&EMeEIjw#n?bA(rBr{Z3#?{ zjg1MNTEy0-8cprpQ@$|5Z@|%9EgKBF)j+#pp8J++?AKH^X5%~68XH=6K|oQXb`$C~ z$vEt>u>i*nIK-xo4WyMI!W=c8KI!=*gc@i?8XYyzmcXPM(TGkhVrx^4W@l{t=liCM zW5wUY(L61?Fe25k?wiiePx0$-sGHbRrl!^S7v1Yy>t5eG zH;P!{Q5v0C;a6ZX)@y@$ExcoEGuCW-W1adz@$qmJ)Urzg?pXDHy?p#y9vSQ6xEgD% zp~iYQMn#K{dZfg9a)ezlsKjbM{q+kXR(O;~Csz0sn2hzeF})Vvv9%RzV?1boJO1aq z?nzER4vyw)**fb8XuVLo_OCIV4~pTZ>9w~Zlg_wa7E@!r7vs7mM?F$vZOG~5fycM@$JS=7ccj`G>))zkr^3+!EgMRE^V%uRFGG@ft##$K zKBdO`K$2gd14l}%tC3f@U{HzmhrPFV6nTY5X>?+RUxCS3Z$Mt*9b22R#@id~gF~*P z-^Lee*^?8VSZg1^F&SUOGS;O@HLqdU7{+yT%l855krL~v33M|kKqc14`rcb4VueSp zq231ozXFr7-sID3#Z`HmvEJR@FlnNXssddSm^2j$c6~V?8;b#=0`1=JoL? zzwC&6Y5j1HH(2Sla3Q)*PH;HJtB3DD;q_xgtnes}PF~?xU^3R5kym)f)>f=dueCSU z9y6;3!qH+ayDI37^>G}VzVTamtq-fQp5hwAPh+(2j8Tu2ysnF&n?3<5c|H5@J1!Kl z!XwvE^9sKLld=90d4+duZN|E}y|Mmv$LLOQv_#9Eim3RC-##{Beye4B(u#FuT8(v$ zPtEJ|0e&?V94WD$hrGfCgG#LTT>sGpB35{mMklZED=-=BEkV5&-m$eA>-+89U+aIm z^A0##s%6*Yys`c(g>xvwj#X1*J;ODIUySpsw%|yK^?c+NE*Ml|{ag22-;lAAjYhUI z%Ll&#ld;~4yuv%SHe>zH*Usbcds}Xzv+i0gdnPjI&g;_=e%+efU)My{Sl1@hyuPOK zi^SkaiS?2khM(1SXMer)cW>S11nEOcez*;XqGdiHoA?6`k-hyLw5?D1 zwe9~(kB%?-?f*ASk`|og7L&Ei;Nssgx)! z;?lbbesN-EJeS^!iPAV9)q6i8n8VoiL6A!aK24MDLj$wMN$tR+K`MRZ)A*IK7pAy` zq4N=bd$@>gA48Xq!{`+hm))gxbGXY4Y8mQ^W}Xz&YtbEvjrS224|6aUX7k>oPrRd1 zh_rGQhbb}YzQ7QI#3*=yrBW6~feGw`poJCt2)hcMMu^zxN`k`T&$S=r=zq+fa&Q9s zn61DvB|adT@8c|p;AxE~w=d~59ysYSchUz;{_I7#3TfGm0dLYjNb*7^8PW>r!fw1J zp=C%BC>d~)u4UKCl1TcNI4}7jlm10iP5PH%HR+9F2*{*=jUn(z+DiI27y^$OLLI$! z8w~-e3rabZBCL;-^am4qExL@2j*uehSP!HY-H{jtA_fA2u`q-qFmzO6PSO#k#GIrf z1c_NmX8|PUBpty?jFZlZLx=(sNyoA<92zh?=^4D^fdUkmO!_Wh5JX@|da7M}dRs}~ z@tgM+z|~1w_ENt$>EBTD3{57zF|8*33&{SHy{Eq^OCss-`FSl5ne-3gg$9e*(=&#e zbkoNrnRF9gkY>53H>a>Z0`_gOr)Lvll@+n4x5Rjr5p-PH)3L-!ExOF0m2@ohQH$b2~Z*gg2m+&;W#1HANzOu89Wlirk6 zlb#BTl1TcONY~yp1`B$QK`ZNtr2hyT4HnWyuib@Iq9l|46H}r0)r0 zK*X)X`_BfLKGHx*I@T_!MVA@0l8zNTYSA5uIY~z_7KV<9q@$w}bCQlQCFUd@AxO+g zIs}lIlXL_pF_Cmi96}VBO!`l0y%wQibCSNtuh$|#fyt!rM_mXaFeLrI+tYWQZuEz% zleO$MNRvD1yVJa;h)nuVel_Vo$~05ldhHiJHR+i+uf8FZ-XiOXq#r;kounT`D($4# zX-MT0DVg*-9X@g1A@=k-J%=>sWYX&jQoJq*I<6!gi;~o$%M4mczZ(Mq-I17+bOd8z z2tXtq9hI1qbc87}C+P@5VouT_fW(}nBRGkPq$6<@qQGR*_r`S!4V#m6tW!b(3QQ#3 z)cxT3B!-uD{+CH#p*;#;4O;ewq$lZhdXyInkx4(8RFi%{6Uh@ve?P4zeOG{&)sRWw zBkOU}>$)P9cGBy*B9%_kyCIcGv)I$?x+k=jrz2Llxt%KhSX{=~!)q zy3C-Jbga2ii|$CwNjieDuoyn@;T;{7n3HsbDKR_g6oSM?aa}s$g8&kz0z3O!@&Ny$5+wl70|L?-`MpOnN6Iy?a7pTH{Io-Qj)17FLp8*Cnnd{c9waQYjAa2hu1-<>9@qK-LpUKMbjKl72W+iIOc)rnx+4s+l8H!qU!PugM4U8Gl8$9W>d<8dt)$Z#J-Q>Y@jiT@1XC~;7PByP zRAP40DNKnuNk<408^v`g=@39-PSO#a#6;35aR^ahBI#ID1%ViZ*?T(K>-zd6CX?PF zt=B;i!P6R#Y~P;V)+O6_#}y8NtL0kuHl)dQc(3bC$wMl~qX&B3;eJsfMR1&oixS0+ zqpBuKobmTQmO!|PRa>-UVFpQ+9t)veM=yd~e5h{}I z_v>|o5~P8Wbcjz~X3$DHVd##;C=kA!qF^ix9T6BhDlsSN2vcHC(h-8hoTNhli8)C} za1s+q$0b`GLKK)tI(@Di6d=rGU=Ve2tO<_yuZ4ANpI`y{bpb6Irv(kW$z4o zlRm&Fe&G^H?}t5aKZbxvdRHWf1_UR)?y!I;iKO>QqGa#s!;rjR;_~dh?ik4wNk7)F zCVjZZX_kBX@HARaT1g*~)aynBt)!2P>vbay(m+Xi7l7z8gI3b9r`Mr75)(^l^TP$)tBfpG(6M(;5f-cYAutNq@K-zE)}32mIcom-uAze3Wz}C@$bc()$}C zd16l=N@=#f)9Z#uWIgDq*G)$9_NJ|*PYDR7NcvPimt@k9*Er2G>Bpy0id#uPA*t7$ zVDIVE;(FaQgEa6Y9bINnO**bx2OO1y-t(h>J%;eh~`cD z)R;`3kCT@Y7jPo!$0S7ZMAFAnn(d^QJULacNgKIb~y1!^EW> zb@cy`cII(%P3ix?C&Cay*>#I35rhOKB8;`{Vhn|duDTVj#4eJG86%dNxrk*fQOt}r zW6BoG46c0(N-Sdu$}+YDm5^AH?!JPEAby{7p7Y%Ebj{DVt6#Z)Bwg=5=RBYD`J8jB zs%yEnoeLe)w9JK$DOl!0hXIzk&@nm7D0CehrbtYLp3iC4HS|KpG8_78&<8`Poj14N z^6m6NC-ylSLmlsROh;&4AIKf4_hHBnt+0e(NOw2a)F)?n19QxRr%8v~=^kXBHKQ;^9jiE!bfiiT+v5Z33 zPwg-nW@Y@a5Kry$5NeqV9n-YTg^np$=0b-7mbuU|Im;+?9UP`eOoTqRrdij}kBuy| zq4&jvVF3#WjdhI>sW2h6nj%VV=(2uFu@Sqf);B>nr;ssN1^NBFhyb_ z^zk{(x`sX>W0?&-Rl@X3mU(lJZEmOk(o?o+*Y0>4#yZjK_)o1d^l@n$UdqH3&@I~O zqp}npg^rVjIK7VvZNIYacSeP7QRtKO?{H3`(9aCaEgSk-SrfAj{p_^LpA~WF=Xh8U zG4J$q>MDPZ@t`4eNXDUXZ=%;9g$X&9QRw;s-^oG3l6DY^L-%!|W15z^&@lzeT*@VWyDPO7gVqWY8?87p~_!~dw9A}zbL@1SbHEs*U!lz*+33mGsv-wLe~tFVFr=( zJRL$UbD?9JmbuU|1F%kL= zp=Moo`qaQO8~QpKmA}4X8Fu>eg7$};rx zOEUD!5cA>`IgRBU(KB;8z2AiJT^keu+wi#cKS5z z^l4hF3|&9}hGYXdbp8Arax8P9V=~P2PbPE-wakT%XnT`rV!k{qDL9{hq3c*@k{^1-B40J$jEqm5-90 zeqVrFvGzdhbp8Ark`3h0_49AYvCM^z$uKM92NODkTINE>G%a(XV+xkJ&|!dOE_6)J zG74P>hba;hp+})+U3dC@fn_%I^)NRWfnxzI5gX8I=+I)qy0LdP^MbD?7jmbuVjfMqUp zOwKY2T?dCL5)+|6p;1HVPt+~5p>Ke>!4Mo~r?0nsp&!5dJKJKY30_AWH-`RbO}@1H zND3j8_<`Kbu?+oRh}ngHZw9wQejxV@!qd03Ybrm(qn6qm+^U;f_R;&ZRTHxf{kaM* z4v^!W{(PwN&nG+mg#fo=?STkgKmUeg137g4^bB$=qtIJQDv!x9E8_?Krpo*?Y5b&5 zz2YewrfHcA9aFH(g$@HObD?8$mQmtm`Glc2h7@2<|u*`~zRD3{~e>P{sgG2D7OtLoLE#rX*T?Yrr zICOAY3WW(dmQm;?VEtRuPM?mb9fVrub~>hMnF}3Lu*`)H11xi)V{(>J=sGw|kr;)( zurt2G-Q6sY{n6y2iG zABibE3cVW9Eeid)4BgUxs{H#oxwh6`U*IP?$U z&WBkJ{iCwVf0Wi9G=vVx26E{7IXUE5=0eA0m_Z~xPlr&;T**46w|F zj>%a@q3h@Am?AN;(?2wQyN3QzS+`3+KAX9Ty?A=EM# zI;Lrv3msFi%!LjEEYlOCMTE&&MxpEAFhyc^r}wL=Jf?;t30+^AC65Ubv#0k>(HDjg z^X4q9HT4$gUpl>Clw0cp4D~y&bLCQF=%4BEavb`6kHVv!{%MxNqtIu@bc;fNuWr6t z&iIK18c;vl=^cnt-w|kURK62Y;+73PMwE!zh8|^{(&@mZirky_JL#SmgbWGDS7dob3nF}2TSmr{<F&lciY^Db0Lf@pO@|Yko8~PS0^ffim&YQDf`9lAFdF@Rx%1ayl+@2HwDgR`M8 zvi(fx1%)V+&HX}I%0rH2E_6(WnYPj_1PHavg^p=jmV~aSU|AA+{*!=N61tuo4t*Cwp(8jw zMPfGeK{=(ThQo!vWeqI0%!a-V7!09y-kdL&FZ7Mh`}`UV^#`xB75lHtPA~MXnD4Hm z&H;T~E_8 z7dob3nF}2TSmr{<zs7YSuX0^u9*XQt3TF1Isic&j*^Hi0>qtKV8>6Y2) zg}wpZ8g5$l_vjXd-oH+_v^T1-an;^bSmt&*Cc_Lu%%klBgj(i8$22W-p<@b`xzJ&NWiE6~&N2!e zPucVoiBahKXG~4AuAy(5wakV-9DQL34!wludds)duQ}}gH89MXUgzMbG4yoCeBq+q z>HV?u+)iH=0qGrULNBZn&@Bpm{kr*TLOQ({1|dA%k3!$lwxrOv%9?&Q^ucKpvpu~J z@$i*?Y2N8W>iAuXL*KfJTOoAI(059~)C@rmeWwTuq0Y>Oj>+Iq`jlVB4`!!BsAVp6 zOw%$KI;LP*61q0PG8Z}~XBmaAgToYw*_}QN`Y<&dN$3lAD60Y{NX&-5t8S+eg|3z_ z^yv@odkBU)%j?{(+!*>0#QYkl_DU7DiYPqV>4Rd5SiE_V8EF^nl`V|18W zinkEoSXh7@%UtM~3^UVCpCusFG8a0gX_*ThQ?N`=p3V|5z%my)CTAIiu7krAiP_M9 z4b7Mu4j1|^B~`!#iP_Ni#yobYSmw=D%XfMoa{Z9EFxJ^#=Z>Yu(BV;Mt;(Sf%Tag~ z`p`0kr{|5Qkceb)68e@k^JRi|r*CchQRurOO5Kt|-;Mg&(050ah}nj|M;2eg7jo#? zG+p9|Lf_Lfm-vBj5&AwbYj{c<<3h((b_$SVnfa~R)x&ZL!vtujL#S=%LLaJsYsPb- zV+xiHHhpmyq7AUjg^tNtMxpEAFhycE^ei-EYB*fzd&a7O2@_v23{R zVnWAcn3b79sAVp6Ow%$KI;LP*61q0PG8Z}~XBmaAgToYw+0gfcW=su-3;lqSDqw=d zZ0I@67UF(fKba^=$NKuE_6)6G8Z}wu*`*y$yr9B z>)OLi6E)W-j!DV^zQeiP_LcVIGHtmU(l2TE5UHT(#r|jP)n4a|A-``aJ!x z*j%Z{hJI)U0VSayQljuEbo?tq-!Gz;`Y{p~t?fsl=Mkj~y?`iP=su!E%r^95+UtD3 z!l94$=xRe0`slhUj4o*pMCeDtEJ!wxL)Z7!kYkw(9g|^J#t$ZR2(`?Gj%ixvLdO&= zbD^7`%-w(s9h0-|D0Cehrbx_&emFE^YB-Y6_3sO#>z3Kjk0_}E48ehR-rQbIh3@lv z>ge6X4YeA#}^o_0uy* zHjqQt400@^&^5zkm_Z0V7#oxHb)jRLww()otnOg~cA>)n%UtNkCVeS%{gmyvoNY&; z>pq1OQkr!Q{e;*u8~W%Brk}CQoAX6;_vsvZq1-j}16I26pBO9jI!D$TLqE1opGc?B z$71KrLK_M_hk*2gpwROvx<#QE%l6ed)KZ005#FqTpR$d&Eh+Tzu?&4e&BSbXdMUy; zN@jScPb{m#L@WUc{j?I!39 zI;Lrv3msFi%!LjEEOVh_a+Xo(Iyg*`m)#jPg;5L!XqU zmK6G#Xo;m`clw!i6SEEdtSY{4GRvW#T`^x4YePRLG+(C*;UaXrj9P$X13C0DB`gGu z%+93HkExl-FoQ@>e}ldjYMBci)3nTmjwx8?LWcpCxzI5=%P4dm9HvOjp5E~#wgpTL zhYS7aSQRiqVm9<~U@(Ljc6w8%_rfpj^gmu!){oxL^E!iaW9Vli%DFW@y`PEQH7DlK z&xmB`hu382ry`)a*xSQR=%;z+JMQftXU?t4(9c6l7y9|3iP?reIly;OCePC^$eM4G zwV_{_Hs7@h;UaXrj9P$X137fO@>YNx%P4eQ6rg~~FoQ^M;fXL6LM?NlW15z^(9f+h zbD_fk+s=i4UWFNju7f*2w9JNnJoH_V)y##CZ-Omcn6}J@{(G>=fo0yD&zCRsogZAZ z5ym>->pUL2vFii5$%t|u_O|v4dQ;{r`R(cb+?))(&?WRqW&7$J{KTR~zfZBCv}>wx zDWXKo_Idhch|-0AIif_&HuNbTzNj+boj#>*zGl{jenr)M5i5j?(D5>A0g?^m(DBM! z0dg#((Dgk9Cc{ksb|Jp=UJJF%g^p=j=0e95EOVh3YRp{dn4E1#q3hrevD-GR(^OVc{g03Za&{&@oNRTsG8Z}~XBmaAgToYw+0g$8&6pYv7y6&k4ihA1L%$$W zh5K@rd2>E!Zm0j!+og8tv-Nn4b)nZe2|Kmx>HWSc#ihN1UXN~RM^xdSEQP1%jmH=W z$c27eX#1Jp-D&&L>HSgLQhTEck0DC*v!OqZC=s&_{c-s5c*vnYQN!;_{OEl;JeZ!< z9*EHOb8<*FkVDrDaxA0JHH$PtXIhyQI)qy0LdP^MbD?7jmbuVjfMqUpOwKY2T?dCL z60@OCE~x^hh9e1G|GqFivW!AE?D5z#Z|=d%7y1PQ{dF+bMPBD0l*rhGM3q${+AS{U$V@bJ9ha_?+1~)@BYYhE4MA?CRW9^kH%n0x;-vK{*kMt5iczWKryUEJXp9stqHWtvM_t)|L z*=xrfdM%Wpzk!w*#fJVSMnTMWr@xiPD9KKL8&%#abLel^RpD*pfe8JQ9867XWAqNz z>(5(wX&E~yU`C;ToH3KJ?dbIWVXO)eYMBci)3nTmjwx8?LWcpCxzI5=%P4dm9HvN2 zg#NZh4WYkXx6FoqIr_p799SIQ+@qV@>A&=pt?z(U^nLnYyw1NwjiJARUD=7aDD)b> z?tJZ#Lw~d?Lw_cep+E1L%Wv4wKS-k=mIv+hS@@k^EVR>S)n(|jt0ra}`iB*aQsdM6 zN1-Zwl;Y4o4sa{h9*EGVW9YMU=6WJF^bg8jycYJoc0?7P&C1YU&&bf~Xa(C39R z^!b4d{WFY-m~H5vr*YMbG>49>KNmhvcKR1}RrsQ$JrJSeA|(Y#HjqRAYZ<#MVy0KG zxA6TK0hbe|e_HrnqzVv1$Rw^ja)K ze;+X~PLb1Ck{NU14jXzWcKVt*4jsizOWNsiU55Tu)x>N=UsS;;B@X@TP!+yTcKSB~ zZfV^z^s7^<0Lcb&=-1?o9Lw}XyoK+U%w(9AHG3zc3J_|U3mwz6%!O`HL+CKTwsWCl za+Xo(Iyg*`mrVz#0G zl*TAk4t*Jx-A~C*UshLzWm>BY{rVJ4%@O3#Z^&VHC4o@rZ$}8Y%%a@q3hr;-CrfZtyp^?PVcz(Ndb}#ydU=CEnvE;Ufv4cf>CAMz(s)#!aq<9 z%{yTXZ^7Iw{xyf8bC1j6#)7$ZVtPo;m%WawMv1g=o6`E}y)2sVtsidRP*Z&uW`6#0 z$=}f73U9%wRd2yK{FV=W1v3ayecz}t!q9ZV%Dyk91zRu_?Ytk32nAa<1h-V*S2BW` z3kIpaGZO|oo0Ym^y1dElB@;4uW>^#E9;E9 zU{+RCX4V5)aB#x3A?Kp!RjQ?L*;{Z{iAPCfc?*6QF=Sb)?`x7-S?2_zot5=)jae_O z!@1Yxc>T}48o!Zmw0LUxvZv7YSKfk25Mu84O;^;!#-a6JEc0lfSa@coFDxWlu+s61 zpZAZkWyE=D|Cm<#&)e18FZq5i^!%%8Sv&R?oSVka_P~NMMHZYLGt$%5TTJzRByddi z`BfFS7W}d97!uU&TGk2#GxAUn;_7W}#EDPR>W2s_z- z##}*fYmC?KEd5=>cq2zmIuEV;cndBJyI9)CjaL!s^mubmaQaTjb=7yppkvO$c&mB~ zF77&BZ+D6smSTM-B72JJ$abN2F7!f^{teA#!9Hd7=6_C)=Ux_fqT%Y^f=hE<<|0my zbE|qReaPD9RSaTkYhRRMO109vpVy7Jr%7v9u0S*o(Y)wVO-_m?x=Y^|(bKWlmMzFn(`8M<8L4CnAvC|Ns0cRH{$ zJlf5$aqWJ1&^mv`AZvT=f56@qrx&qy|G%{x?{w|FKM7fqt&K+__OAVZ+#NCM!voNI z9n8DdrM7U_rDf)AtGIcSyK}E`Gi+R?eFvR27FE{u+Rv)|Qk8}oS|3|VVlF8Ef1N-J zwW~Kl>%V#JzxTZMKjyfnxyPjw=%sar7>iB-?dMb+vnSAY^9adc-A6BK6!fBbMs5pCOr*dT&Lvvr?m~V08cAvU$L)XHx$$_1k06^BUE%P0nk- zFUz9{SEC$5C-v@>U{>n=Rl%&(2kL@Zsasc=^-Su3kG}c_J+D*`~Sv@9$2@iapxb=QRTOzPp|J11bY>s8A>8L$2E3Xd#YeJo<=qz)?yW~EL~ z3udK0nH9{sx_7{=XHsuo+;Ka4-k@53Tldhu~zCv~k@Fe~-xtYAi}YB?w+ zn3ek5g!N47wD-ongVCm{mLqYpt=4(uM5=1pC1U8L?)CqXIwKJ6tgFw4f?27fGR%4= z^_t$B{|P<+p<05p*Zv$2g8!3~)w78UPU=AwZV6^xeLfV-N_|1Lvr_T!!5%%6`uTIy z*GI=2Rm(|Pul+x19z{rfA#uS;J)+7DozxdAf?26A$#z!ii6w5=GpWZfd0|cT{HJO; zE%4f3&hjWi>Pv|WPU=y0Zs??Txd*dSXUcX~>clel?U~ftUD3hlSy3&QVgbAw@F?z? zY5UB?1t;s=DmMhPvRf9T82kX98@hQCd@fF zG0o6PeN!?cRkd7I5$&whzb32)QgMI3RkUm?jCQkX`3LTq-byAcq+XTiXQ?*TBb!_`#U8bC6Vg2|2Jglq`naeW~II>Wm&23 zr$jp|^|m^*o=JVNGW;6!yj8W_Tl3oAFY_ou>U$N2ELFAqr7oD2O5Zc4IoesNvooTd zm3l8DJW=k+1HOlbUa4KZO|?80dhN5LWEgi2omFM%r2bV(uu?yg%&yf(k^yz!ztr?! zKpst4&!of^kx>qf?l^8jx_ml;*Qs?9Zv#!qV(wC$@ll1MG z)Qg6%aw{s_u3BD!t8-(nCKkZlggL2?W*LH6sh>(&R_eS&mhMYZUyitM&!p}%BFjmAtH$H?OzIPNUArB6-lg^dM5SLOOC3b=UuAhGu#C%%<(8f>Vklw zlR7nN=%ltwSw^aAnOBj0X#vbnSkI(h_}-=Zj{^TvEpg6k?=11iiB#3{R>06nogE5h zU5#VGtgDMsg4wm&ne^?M)K~tnk$wT>->T(%xVos!BPUY5_OB`ooz(dm!K~D8q%14- zTiMP^U6PE~GpYC0AKV31?^dn7%3k}o5i1Zt?<7A7UO^Nc|yUEEV?^X@+1{>Q7RZk*ZqPmW&oa>pBVRnbemCZ8Qy4Bh}g; zQh!ddf<&rnRXK(%Rkij>$|X`eys~IVu6C$MFe`PVq;JopUR?TGFM#`0>lV1)Zm$fF zoJjRLRF*^{}mX*3{O0=`C?o?yeGpR$DJ~kIUA5^V-XS|M8%RGvZ+NZ*hrF!ihRl%&(zEYNv zs#^C9L^~^WuY~nX>K_hhc?P3Bq*@Px)YT&%IgzSbx6LwSsj79SKrkycl@ZLkx@Jx= zEA=-?-=0ZbE&3_MXb-E_BXVBHnl&Cdk?M7%>I|LKJ!8SF)U~B7E7hHtbYI$5BSY@n zGpU;%d+6!t`G{&g3f~aEPNG0a?XuhH50tG3CJiCMN?otS62Pp~^~-`;siP~*dM0(z z^&hW+o{y^56Utu41}PpzNL@e8(78G?Y3QW3NLf~DYb5)UtH)QF^-Ss))7~A3o{y>4 ziCM2>!wioiq_$=mvQ)2qSs<8owV#w_q^i~lCDG2hT1r^Yr0y1#{*2KcSFLBIy^j7l z9yyV!T1RIXvQ*W2eA3Xlx^Ydkv#zFN!K~CXlfFHZI_Bb4HpggBsMho0>Lw)~Ig#ph zq(g>Is%z(@4v?~})PX74mlnWzN#~wPol;omB#bs)wO)a>IVnw? zu(_0FrEZZC?X0U)s?2&O^~o_O9)g}vs@7{GuVagdM-fstuQGH}&toL+Shtk2tkkV? z+)(!=SFfov>zUN8_uBCu^n6OS-W+%xTh(|JA$7}SXqJlmiliNwb#;i8Wu&UsN|_rv zsW&C8XHwT%xcC%|_OxpK7uM?5F^`-`RjpH`1bbe+ro;`M)NLiRu4c-joptrDq+QRX z9x?KU(HO0&S|5a~+ogEqM5@=3Ni$?uRqIWXSy#sQ zFI2pa9dkUgkUBhI=%hZ77R5#Awf|)>_W%*tx_b z3#q>j8M0KbW21^-R_ZQNmfcpbb?Hm4zMin2NxkEpS3XA7=Tz(a8LwlvGAl@=s@CaQ zhAdUJJ|75XrS6du%r1azMlkE@dr9A(N&WOs4_%DWo>#4N;c7PGkrSz2#~xLNPU`DY zmX*4flx3yvos)gZ)j1)vo=JV_s9$}8o-e4@1tqUz?;4LHr0!K`=%l_I3udM6D`i=! z`;|mH>*|*kW<8U-(vMFpMbH1J)^EyQ$9{LE43Na}_wC4GA)^_T(Q zPQz#~tG1~%uOpX?l1TMB4hdwrGtfk}{=`V!1soJIgnTRYu&iJtwQXv^tY=cUezEgU z==q9jyD8;$9A4s4+>4YQht?Q62Y0OtW@U|x1+%g$6=pq?)hD%JFnZ2ZZMR{!kIM2W zi7d5Ym*?_!4IWY9hR&_Fj9^yQZH#*Odeo6KcRUbPYwM>bZG)b#tG4^gUdK@pkK$yt-JN6TWF1)*%(@k11hca4Pc!S8td-W=KzFFA zwufV{I5sgx$a*-% zLpxcc1Hr7U<7$FgS&!G5^-R`Ei+BGLJ>OJqPe)$I327ch$ht3L=wywp3ua}VB;{LK zPiMJZ&t#o=^}Vm6=Ub}nxs=y&Qp}?WSx-q9><|APS(VfU{==5fSGoysgG5STr%!3w0%dly@v-LrzHcp4@mVQ=DJI&_U4QhL0%N|J46+P$31iEW=| zm^sJhr6eQA=EZ_F=U8#^rhB2&d#Vk6JN}gB0fb|pRv0?R=GG-6$39G|vtz35l`f1N zdowNjl4Jj^NJfq=$Vf(xElde!9a|`CSjXCH+|D`H5lKdlb(RHd&aq(1QyZYu`>O5x ziq|oj`{RH92yM z&N{XjBhZ2ItYhDmm^sImO6pO?7IY-8K(3M z7pJ+QX5`p6fn?;^hiFZQZbyzSs7OX@tTQbcIkv1M89DZIPB3yT?`0%2=drv>>L!lm zRm|-)GmhnZ)dXwKvAeGxumqiEsr+hnuj7g;58xcjtB|2{?B}GRb8HzmGv_vP?5#RO z=h(Md$;h!KRmqHF`IVDd>%PXZ{L0C!!K`DeB(v6x9P1PDc+Rm^%aV~}tCa+6&apEm zuc#ll%vSmJLa*cR6&^r1wrZN8Rz5t1HI`o`kj$*H{I4pK4Te6|_DNMTT4T%Vk{QSH zy#mR|u{9&f$g#CBDjm+TwM&wbW9#IYImgz`NJfsWmlCWw#|j5dJqn#ZRQYt->$oB0 z0fb{~*BLs;)=Eo8j(HWy$g%GjnRRCz%lFALG~<oMV;MeyXEWUFCCWujB4y0O8os6p!j0 z%j6^@$NFU?qct`#mW&)5RFaI=*v^4u z^b`*u96K`2&^dNQAQ?HfT~b}QBgeYDq-7o3tt9)BV@G#kw8ny*Y)6hABWqa4#-v0$ z>)4o>nR9GxO)_%q*hsME92-^J|8MB@iONsF8ha)wBpf@Y!lOFJj;>2aj_s0EcaDt= zWjorH`Vli7X1uaKkGVhLXhx2mT$Am{u~TFX>)1Gqkc?*?JGI2jIW|5g896o~BUp2e zz4Z9>&C%&omH%VT>-bNN2M~^pOEYwiog7F;j*ZGnMr-V7M&i-Mn2@1!?2L+Jogvy;$0k=L zBgZbNFmsMw7)nNK?4m%h<{TR|>0fiuX}-!|g*En8nFkP#P0lgYvl$MrRQ|lAp=RXR zDOuT$96KW;8STomyYwZ;F006Ph+VvSu{XNY#q zIaa7#Fdl6`Q~9Y_WAB%E0O8n_8bjyUgD>sexe4IrjR1C$>eW&sF|*tg(-CJb-ZQx&%7M zt|@avx5mydNk)!cmXVAcyCRZ|9J{F^898=yC>gD>TLQtXW4C4{Bgbw_GjonjVPRwj?D~vksLZ>fPemd6Jg2V{n z*gZKO)j9U>vSj4gwI#{Ov70iIkz=<;l96K%S0p3H9tkC*HTGyAn04&2tYqZa<7sBj zu_qXbHTFcEVbg1D)!xspicSku{spYDIOYL_V~^GtI>#PKNk)#{oOIUh$gzJ#vK={g zZ$>h5?3uJ=GVvWtHGjwb0*{WpZ*mD(T&avl1$!Lwe5D3=X8e1G5mqVw8D*txq zbu6y&0K&0nbpvx~Cx)l=edRM{$;h$0OOlae4`(DJ#~zC$BgbB;NJfs$3?-vA_G%!Q zU1M*^y7m$5n>lXh9D6I#q8T~%c1pBs&atCUJpM*>YFGJ>J+I@(hzAgky;@`F9GjU` z(u^E?B$n;Sv1&4)ZbyzimyzwrvG;S5kz*fpX-AIDN{M#Xv01TXw8m!Fm^sHjj3gt+ zJ}L{=oMY{6zgvt>9V-7t=ymo=1`v+T3VBrL*!xw<$gvqE$;h!+GLn&FZ+7WRj(t*- z?Z~lDBgx3Id1b+@WAjUrkz=3bm^sHj&qzj&eUTEZImc$ddHHeZ)T#1cr@hXVlj6d$ z&#FACb8J2%bB-a$KFu=J?Z~m2v1H`fdv(dkvDtxSVuaaQo zSYd^nWX7>V?+mlVvBHWe$&6!#6=T7gbL`X$Fa0$-Em8%H*V&p3>KrTduJEXdV})LI z$&6zKm6nVg`=BBjIkqH_j2!!+E*UwtYDO}0Y_&unv{=Vhi$yzHV}-soX3nwIBgx3I zHOhiD=h)(5JMM)}U#mh(#p~QSDJ~paJTnDL-l z6;=!-BgfXMNk)#X8wq9|TMr}9f$^+k>z9~0$2Q1GMvk>)1Z&Q*{f}DnYjpZX6*k2e zTDuoKgk$UTpyn(;Jf&y2ZkC~*H959IRWfpHX<9OJtZyKhS!0F%j7$x3tbd)Mu0f7% zToueZmaa%fyK<9|nR9GFAQ?HfX;!f296Mt1gm=;DTUFQwU-~^b;sJzX=_CiOoE+<4 z;)a@$W2;sqGmaJ32_z%O)~`!OjtvSWBgeK3BqPVR$_i#38=RJm92>&OJR>@*rc)kL zXQ*8y$F{Bt)|_Kcz8zhSPK#Ax$C}rij&JcC2W5cSFkz+eln7K7JJd}(a+c6NVImf=;@3JN6^qnf~ zS@Jq}Eb#!sG3^l@ZjBAgNk(gIXjwAjSUwIVBgeL^Nk)!s-DNy-Y}blxM{8`iP%!J* z?tx_F*dAGC&arG-GIDHBMtUta=h!LF?er?zE>VTwq`c1E65+zJJt7{~IksCY89BCV zMlxDsgHn=_W80S`Bgck!8IK&>FDu)TWBaECvyL6WNUueE=0Bj$&^b1uDj7L;U`4Rz z96P=DL%&6*?{Ovj$m`rYnY3_hM2<&wj_qHTj2zpyCK)-lahJa2*lsD=jvUK&8IK%0 zq%PZ$W4Wqe*0Dn?lF=GFEM(>!J3Nq#92=PxtU1TF{4!h_otCP?Q8}-3L^2uS*kLh` z>Kr>XBN;h%NJ%nsO#e%V?n{pC7fD8r9oS_&ax5RpcH~$g5X?H}XC))YifLxfvC)j& z-^U80>kOOTm6O`ir=Zghs&FD6^B)}Z0Kzf9>kL!+ekY$a)J~9N2b8$8X5`rJHOa`a z!!QCJCV{dZZ%iZ^IW`u*aqY;lV@rZr$IPFr490kNS010?cFwUAQj*acJ0TXVxivPg z?cEvZ^dl~jTsK#Q=Mj>35!frNYi?$Ux_mWtX5?5d$9<i=0Prj-B0Q*27aqWr^GA*^pyr zM3RwXhu0({$4;$CMr-UeM#8Z!U#MvvJ5TntYwY}xJ3GfF2h5yf7i1+P$1Y3@)|_L( zdQVP4r=L}!k~J6d<`JA@le^5C96LY7?VMxh<|HG>3SIh=W0T6V9XWPRm+@$gT^h)C zw8k#W3T7R3}SjVQ-L_51HZ%@W^j@?n_ zcAAl6ca{We&arXZ%-A1}DO|BQG?$R(5!@QPBhAb?HZ72h9J{q5896qgOFMGxQaM9= z!LloIvM)JyPb3*Rc5hiQ>sVBhjMmtF$#~AO`!n3mIrczGu;v`w_|Y+A;aD$KcrtCS zzsw^z$L^~#bB;xf%z1|#yC=&~wmB$gyX-^rba6qbA#tW6wr{S;w9$OGb`8Ut;DQdm$$oIrg87 zV9h!9`%#C4aICi~{1=b;&#&QhO(~caQRcDBH*0I@D$;hz} zE6ki@ABB?98v8g9tU1TL^=9JT>1ria_!4XEiZTx%*4XSEL+98BNkiw@vsu}W9D6+@ z89DZ5BpEsOX+<(}Y+fiCIW|8K%sTd2Rx)zz^E5N(*cXh%8vCNou<14S^ng=l!?9nf z!Z%oBe=qR>!m;@^hT5IsDKyttZncMIw8m<&WaQZUk!0l9hZ)JpvCgz)tO`G4jopyr0fb{;CD1w6S>}e$ zu~{X_$gxi|l96MdMUs(Y-&Z6f$CieY(Hi?95X?IEV^%V9?58v{=h!kvVvQ}UGi-W| zZ8B(^b~v^Qu1twFc2hDz;n)u~9@ROvG$k3Wv3ap%xUFB z@M&hOuLWnCcnfMd(+q<`vTe8$*bgZnEm`fosNSTML)xyp7#&ts{_6EcDc@HirN$NR ziE)|MlCB`70HT?J+^~09`S-+{X(~(yuNh#xYp8f+m^i}?vL8Fv=LP&{hg(5C>S@=HF zC?$deVOJ?jV}-_=X(61| z3n{&8x}lb`S)WRi0R^@BkD^0L`RjQNt`vJ^z0Re)F^Vg}fc3Mwf?Ku=Z!5t83t7tg zkwRnLP)pepe}ignGatHNcnLbJsr(JnjZ%6CkWyk-R)O4>Kvxh_rp0i;LYC4}Q^i$M zx}kAp)q0asMzkIXFV$MgZ_PGJSt*2+I!jqKqx^oRf{=1Y1`dR}tUIst3ssTc$e^VR z9uhVw<+Gcg#NV#e+9(q@N?Ez$b^efJDSdOw@1LQn$y&Lyq>5 zve~tAeeS8N&|w|rZ=7nB(x(b3RhF_wN%?70LAY{Pq>5;U!?}{Ks^S_Y&9szFw$C={ zO6Sz;k4J}fmA^@*QOas{uk*(YOIfq5`~jwdkn*os710cblQN*LiffiN(^5wKm~K+a zB`@4lMThm2ziF;f${HS|R9MQ|k@5$c3PQ@=2nfw^I4PTXs-;IjQr4|0e{)koNVx|Ap&1S*Ws9^bu3OVgOBtMLHlVrlw>SkI zHc16fK20rk&mW~9t%R?4TRO??l8_fvl6e*)UD>UI8{Whv>B z^0$krYI2IZKT<_B!{GuNih$B3&9syeBb!|-okRNHj}99tf9U@Nv{4;WB9=0sto&gq zs+vf7Ag79GhQmo27OLWavSyItEt}r#L#LCrI_V*d(;sEx#SIY(RHMFX=~d8!LauRHKvuX-Fxvl+A0(-zC%)gp>!% za3D?4HuQIayv=KxX(_}19yGaZbK{mRL5H;RcMTe)49wy!vK~trf;PKVbOj;hp%NU( z5@ad6r&MuBS~D$Ww==3uN;&Yt>;8fcn<#(xuu;n90i={z$~IZ$?@`qigp`L-7R?M~ zDcOuFZj;qaOWEqqW?dQa;?P0pFhKd)N~4s)5v0^vN+wYLo^@S8N{Lhv%?xBId*xIy z6KJNT?0inM%XZY#Pd7k^O_je_wNc8}H81X!V<|&JF|UMk(9IkWytS!z#+(FRd#GS00H~5zP!_Df^XGaact&EoIwD zl_uB9pZ;|AdFZg2^7r=|r3}S|u~*2jl;Kt7ACT1*gp@~Xa3DpHr5q5c;_#|wTFT)I zo0aly+vI1^VRPk=NHSZj`c92~t9qvRhjDht_ljDFtDmnSm_ju&gTXmex$WvKyW}HupSe!pKuw z&|ypE9~L!A*|`iUF-zGatNg=bT|r2BBBzRIW*|!$8K~kOS zR({+6EZf~{Uc8FOQuYp&pEnhRlqXB7h-NsFdk8;YQ^mbQ%^=15d1$k1W!ITg{(y0Y zD8KMO%QhQBN{OZHTT#AmDhMf0MXHEqIGhweR>gfQnrT;#T&>)sE3<~*wiq3@R(>&P z3~28Zq|{l;{#E6VHWh@Fr%@Koa5yPPrBreMs%BcszRw3uN?Eei#h;_YHp)LLY?QKZ z#*6#pSjvdH@{cwZgp_A8a3G`0Iw=9zh`MH4%APkiy@M7Va2+~qtNftSC}sZ~q*PhT zL7wuDF%^WA84(=F>9S7Bn4Bseq>+(w$RW+%2VGQud=kdVD1S_~QObyt7voO?^oPgd z!D;0mYbppS&&F_|q^Xl~Y)PT9X4;iKMm8JJ+yQUgfezcDOubRcZ_1ETVJW$+@{cza zgp}tqs)%MdoRs6ss+h}arloAux7p3Su=4AT&|#?ZPw*P0{5JC9)lw|wut51InhHY7 z3vd9+)!GV-6>!eIb zsbX7IGcD!d_oF7IJi6@IEzx1P@+X9iQbuJUrN&YUb>){#1tH~?2o7X)Stn&;MimQn z&9szZdo;Uje|ykzL(pMIe^@#<8XPd62Wl-INaB~6`_(@Uy&bXqg*%Ie>i zn+)j9eOA)T_SYy=Z7-fet$>A1B=fv-Je+b8}TZDuR?UOBov||4dUsNU6o98G1P>XF}fC0LVxgcwVz> zWyXx_#$cRXlz&#bQA$wr;*`fyjtiB4wy7YbyqQr&G{fPfoLy7J<3i1}D~JAr&nw=t z5jD8C41W5`lYs6d!@4OQ7V|BrBGOiYj(dJEqwsUjNV z&{Fmtp0NRSCuNVeCEKCHZp!~t&?x1&l=A;vVJRn7A*HS>2q|F-4!}7LS<2gyDxxtC zEhTe$wn-_Q*Y7jHW&GL1=!+G}jHQo<8Q zjm0>7qG-KQ%Bf}LUz}!FPRl|{g{me}E-tI$sbzxf%KNb@qA?B~Q0Ap_ldcq39|yhbS#BIRG7V=1_Yjn__5)kMnmRaKl25o9T|GOCEiR>~Ih!X~9`|J1CfAZ2gm z-;imPGO?!osU?0bMM-5gOq=Ia6mJ$Rz5^PXpBQk>Gy22YbE#crg$WY7X|!(mKvp8 zm{xuzVplG~xp$pFR}eesrZgN#6J%FDMnGtcLrWPFHtS0K+>Ps_!+y%YsoW^#V(hD% zYb@om7*b3HA?21V9LRQ+QZK0@8e1vedATM7y7;R8=%w~o{w-0XluH8T-x{-&D^gy( zuC1D^mD>V15a_aQGtYrMG{&J_Iq=(Nr4$}5ypIkCDF3!vqm;`+<=>uSDOYA7C8DZ{ zl-ol%5EA5o=2le^jd5rxgH~+zY`fVuj~t8+BTzJMlrp8F{5vx&<*zv}UN22m6DfCA zRB=j$AWQkwQ$;k!p`{F-nrdKEQ?8>z`+hT>|5X<(ylqz0TC&*If zVcDWF4lQN#51L&o7kpV>866H%{(WJil&Lty-Ct!XH-?a6CM%>okWs~{wXRa;LmnDi zDSK{LX)>VaZv6L5NcpYuAE-1+xg}8kgLRg2YaLSRx`L4MP)-$Z2?(+)^J7&+V;tI* z5&fekrR;IoiYKAN!ODNA+9(CD^81f?EahKmFWw+WRg<;yNJ$m%v{tc{&&sNZ##YKI z`_`J2;!nAAT}U|uMeB`HB2W2`rCACBj5nz23c{7gP&U#`oL4^2s3IEU(602`x7p1+ zXymN#&>^S%$Gt`=4CX5D!PJ@@+8VmuM%V_UzAi4jd5rx8~(Q09kf<@`{C$t znDWuP;d#)rY2`m1vXtj=UTIBH)nu*cKMOvaCdg90%&8(8W?Qf60_ z|DwlIK8zuyrYneL`(jBIXIBU&QfjJ*#yGT;jRrTnY+s*z=fmi5B#PD>rF@c7{>y2W z@@e43{jyXwapmQ*Dt?k8$Wq!PRYYSPTFQ2RiJElf{NgtcqC=bVU-25Hd=V;tW|pOV zS%Z{_swPrqqU;wTL6*{yQ$;k!p{4BbL9@&DnBIpxj}Cd|znX58(itoNwSc93h4acr zX{wq?d99|3ohA&ogF0eWL}MIU%7{|4cZaiYy-&MRQ2y)LMk$N4%CChi<-0PZlpCbz zzppGdWfLh2Ud*Z@8e1s?P7a$4sNc{r=%sw+zY#P_`7u)dn-!L_%=6;@x`14^Z>3c6 z$A};Y)LB+VG{&J_+3(3}lT!AaUVjrEipqa0Y>+Z~g|zbDu39OhdzT=kL{*c3{+m%~ zMv$e%p3;qRXeoP6N;fHGjpDf}bQrDt|5h5MtWs9~J9U=Qrw%D~s+vf7H>XCgQYOe! zzRIf6XpBQk+3BTb*UJ2zPhNlyM=Af^YNM1j>dJrLV<~H9ym;drRZXP4Us9vjFlCdq zvT#wTMx(Kna>Oysu9d9@u8v8mqfxZpC}q8z@@J)4%K8;Zsp<;i6gR7^Mz3e}u`6F! z)o3)vp0(A4Y0)zp5_lT=^Dx zqcILGW#E}XlTxng$ghA7$0+}!bfc6_Gs^!sU?~GbNU7)wLdwTAHF{I4k6l@efY2C+ zma^?$wI-z$-~PG}I*d_%J=-W{%TW1qLYA^s&5JiNlND0t#A@`GrmS=2I|PKrR?5(c z^(LkK_p*M|AZ4ub=LU^ZwvCnlNrk0smxUBtHCeWwrqt+dV_nus`5pnGF%Ip@&{C#J zDYwL@{}COIRsN@8qmORWBZx))j;+aZQaLRU&9zY4@sXG#ca3QU(vMG`UvpJ?io!(cxs}3Rpl=VS;|oRa_x$KAFXXxdO`)U2u5r8W3hXdt)U7gSewBux6T>E3j^jS$75UcMSOt3Y?>g8wBQ4Kitt3SUbzi6<9xJ z)?I;z54?3M3j7fty$Q`{dAOr1ux`N26`;RvYTjLemo{k0qQIZgbokp3alS8 za|QZkm~~fRcQa03Q{J%x6!yqbsm^z|0lcCd;h50-Fr4KY{`m z;sYQx^NAAf=nBxMMKpH>G6A>iuE5-WyXc4I7vVz8a*$?$0bVU^B3IF6&Rjl z<_he>2+jWQN7oB?I$WRZF2jc)%H~rQq9;B0wV#;K+!fd@&F#7?&}+#<`r-TK_-I39 zJ}bc;-3)dvGjj#@$TI7$z_qfk3)eg z@Fx7&e6E2zx&ph`n7IObhs?SwP(U|3hbX_<_a9d2+jWQeel!JJ;tNJ-|(jWviTH%=xO)Ch!Qhb z;NUc~?h0HsslQ&Pf5%(#BlF&V?&xOln=&(3AeUv!M_1sG8Z%d5WXP<$0^i?p)O9FuttuXwGViYE zj;_F=F*8?SRE1f01#WI#rvDOnohs%t<~{S=(G?h(V&)38Rhe~Hpnsp;^&QUjs_5s; zJK?#bD?smZ*W48-)VW=E1&WXN*&97?z}wMF=Kby5(G_URF>?iQA7YR03S3z~`XLmU ziZ_{;&AZmQqbpD-F>?iuPBZJSz|((Qwj~Pu18*IV%zM$fqbpD>Gjj!w$ujG%z;)>r z@W)kkBi;~RGw(3xj;;XSWNr^vU~Iswy8>rk{k8sk(LeDf=h(b&oIAP#L5-O!a9qgj z|17XnRkP8M^|7>%*+)yp~9@Y0-2rXoQeWBsp82Q^B!;R=n5Q{ zV&)2*RAts(fq55B-W~;RR>g5S^UiJV=n9;WVde^)QfJm(ffsI``#1{Rg11kX%=@vq zqboq~wbtAfz$xAy-4%GYa=89O@U3`LblJSinmf7zr<9nv0;M#w?h2@ZpS*wqx2fWJ zRrB6y?&u1fT4v@7oR($QU4durFMNgq)A07)x_L)5cXS0NM9f@)$;qs`EAX3@pYT!O zc2&H{Gw*Ze!CV1)53}a3z=g@Ix-0Nl*uE)x-l2+rNt<^s|8D`jC&nJGz{Sa|x-IbN zyO*NCovL_g*1RW~2XiyHFwM*rxTMCcy8?rkuBZQIcb6(&9+-C;b4OR;;w&>)fZj!{ zd3Ocoy!Pa56!;h3?i-r-5A$HIz$F1QSAgCdta*0@{;<_eV^HAVcoT2MyepUoa|JF7 znYjX2W|(zXVERgH=s$+sjko4j&3k>hqbo3_!ps$*_wj1pU4h#U-eD>V+=DmV{=de~ zJl?75+T(j2xQK`vC$tsuh$D^>!BT4>uPtcpVC12acsDOv2h?h#MXJSO9I8lBqtzz` zgn*)gD3N&*q6`882AM_R02dkMgn5vmYyHkS*?IWRC*6PC&v*Y=zwss)Vi zbA$YRFp6qND4dK9j3!`MI?znO2X>%U3)oWXaU}s2of_=IYA z^5?;PJMdM!Ox^O+VFGrLRk3%$-YWJscLNYL#N8p`)6x{@PryoVD7BFbj z8^2-aG(M*2;M}8_u*z>0-kCgtl$y&=MP0Q0gW8g@P}#vT`%d?jh&y7J~e`qhk?;N zf|JV^GXbak$DhedAGOADz;x1QWpJMGhXLMMLD5aXnYo~@+9T-JyLDG~&fr6RC7cor zjOGzEEd-hgXch^yY5`sLPL>n-Gf7{V!r8yTXac-*eWIIy3o^2oRV`r6z1?4B=Pc5f z<#D3Vv%%?o(bp|9fo1}{(|V#;3z*WN`|}*|Iq8-*&glggGmqf^`Srek2Jb%!xS{U# zQ#fEY={8xMzWZUowW&ZekH9-w_n!p(bjVdBIbaUyb_!?ef{U3)aDDlW%>=ZygSu)@ z(eE}*>dyglNw<&Vq+DP$0lzF?%mn-@9n@6|cxlM6<{a<^>E9G^{w*+?0Pk#@=qBJM zC#b6yu&?hyuBCaTJ4SJ;EijsZcv&(5-ibB&gK7c8hCk4X1Ll+NoWohQ^3}@kMcz3y z(M`bZg`lolz=PNS`gL|L-~(eNoIneV<`LAEFJ=O~(`8ayE#PR+lXi2!m!$7W;au4d z1H3b1qMLxbGqRUeE#QHh-r^D}l76s=(_)?tPX9Cc{#>A$0PiH2=v4#Sd?jz!3rRm3 z!x^yPV&*AIm*3b-z$2-k&QccX$8xfzuSj>d{ZnN4b85(s`rxo4|JfZ4$}bj69Ve#0 zL}6OQlnyi1&*D1#!8bh)ffkc~Jos;{$0l*F>|;z%ltiEjnw%`J>oDe zCEX(%W_l?rHL`rulX=>8B%MrluJvz*k(@{^v;%7(rn{cJJJWEZ4H zmT!8lBpX^q`tQ*&Q*%dZWcjA&KM~2C73pl&PKybtun`$UX8=_E$LUYVWw+y$CzHr z!?cm~Yo##L&u}+V=l|ut8G~sP={JMpwIckVS47(gB>v!=`sPHS&7}J}VM(#5)X4Hp z{ji}er2ECfOuvYu$e8-4M4+vt`{%+;mEQ*7&2m5irfsC(QembJS*elb-`>EKZ0I}E z14EN;%u9_d-!!No8`@4f7n*caQEFuQropys$R#~E6?S`Z)JUCg8j_I>l}HaMgqb?V zq(+u+dfSl=?I8V*9cJp3k{VgQX=p|^w3GDE(4;F+BXz#%T}L*wi}ZV;Nr_{hi1%YK z?I!(xChYd^C`pYhKWSJ_HnfNIFel8^B_cJleA5Tm&|cCX#KKIeD2j|}L{0?SM|wnP z(p_<>k>w|SgbnQ{{ZVMr-DwmV)5w$vbb$28T-fd1o0S?_ep0?58#+k(V-;rVnwJ_` zzG;*#8~UE~s8pEgfuhvN@=c=)vY|ty$Al*Ru_QIJeA8H4HguTu*wCauMWjZSZyJ}8 z4ILppt`K&6k3^+LmTwyG$cB!Ro?wTW{v4MYS-xpv%-fKjmzG;$!4UwK4 zn)H{f)X4HpQ)1ZgH*r%!lb*;+jV#~vNe-KOq(5=OZm&mCYGnDQY2Kzj>1nYr)89%c z;t%9~XIV&zVAYT=P=#rFN;cMUq^E}_Jr|c6S$@)t zf^4jYq-UzI+j~AOHL`ruEL%3#@uX*^!c4ugQX|VZeV&nx)rj=xg)mdUg4D?JO>=D7 zSSOI46Ph%@ks4XPX>LX~R%6n0Lz4zc36^jA!jYYIBI$W{*zFCrrAC%-nje#mbrR|M znK0AasF6C~v>+!N>txakoG{bSl+?)bO~sgOtO)61XwrKbsgdQI7UpDQokDtHXwop$ zNS$w5B&@7cNiT|p-QH~(6!8b&v^XV#bsFi#xiHhm$G*y!6ks}?^imaO8Xc7yS$@*8 zlx(asNG}Ub8XK1yS-xp`K{i$s(knuf#;2u5mT&snmW_2L>912^w>L2>HL`ru%8YER zvq-NjgqbGirAC%-`o@us^&`@&>@d?OMX8bHn^tFJWBr))>d>TVC8?3+o7On8vCby# zgeH9!ks4XPX>Ck4R#VbzGhw$kBPunreABv|Y^-xguXDmov*J=C%Qw|wV>KgP7Yj4Z zPNT?}HsnOG&LzDeG-+;DYGnCI8?mu$(i=mQ=H*djOq)_7Sm%-6lncAP1x2ZmAAEsINyEZ^j2WMf@K+AW0L-iox;$ns4kM>f{Qq<7e1rj=Q#k>#6q#$;n%LV9N= z%(N;mHL`ruE=M+23(~tolhzcaMwV~d6O)Z~Dd|0-Noz||Bg;4K&B?~PjPzb7?Dpy+ zQX|VZ?Z?Ktob>)!nCaUnij3(%N(Ac)(g$*3rcH6Fk>w|SkBxOD>F-06wxm&HOovh; zSXYrg6q>XxD>btGq{9W-SW(ja4@@Dy`PiP98d<*Ss4W}oYSKqjVWv`1YGiq)E-E7% zt0i?&g)r03lGMoZO_nVi>l*4}g(mHZNR2GtR4*eNs}*&r7n-y$DmAivQ+-D^R%_}~ zV~5?|fwk?(jS`OSvD|pn6PMP<`c&0H_+KG_V1a13FH*AI3oqnF^re zmHSZ?)QBkuIzhQ3BcR4i8PJJLCD2LA&8I*oGZjG*<$fFkox+p{ovPeXHs~~_Ea-IQ zjs~bRn9{%|Ob+Nw<&KGi&SENnex%&7QP7W>a-g%7J1zoh%9H_}!&Cw_Q||Z_=v<~E z$X4!z80b8vJgB*HC)%L%nX;e@lsgHaE@VmrFJf{)7b|yi9CQg&0n|ddQ=*_tnR1}Z zl>12pbU9N7bOlohbft2qra)IQ6+t|Ia#{>@HB%ncQn>{ibPZD$)JnOZ0#s|JH1Jv` z2lNx=eijF{VJd)rs@&;O&~;2X(9e`RBLcdfDFgaBQwh{oxieFsc1%T3Ou4gSp#NgZ zgMOjh&u!2znX;f?DR(wNwP#8LJ1{w*8LROr2uu0a#!Sl_bT`625D$SGzKEmXH9#!s|IOxwz1yDETI#JMLOgT_@<*to@{=$?2JEDF=F9xtk)O7nm}jUQ8v>i^|=c0`+Dpg8rf0Eiq6Zrab5+<$B+Bsh63u&|XpQ zHh{`9rGc+9IiS~+`&}ILPo@Isb>(i4g5F@tf&N#yZUpouQwG$RsRa6$a!V;tKc*t6 zzjAlPKm(ZaptqE}(*_M>%7O+dcNakAn9{((Ob%#>a(Bl;Z!;A@?oE!>~f3@t74TGy$XfgeGEAp3o!=suP-wIdMW$Fs4oD z6D3p9WKCttf~F~%5hjb9k5C%)DU$>G3zJ67KY?S|FHpxdw76g1man}c4r zYD>^+R&5PBy{c_NqgC}?(C1Wb588|>H|X-of8&0WO|>KFajA9&Ehg2jpu?lu9W+=} zdxHLkYH!e9Q0)u4`>Fjwb3Jt+=xwJC2Ce1P_d#bjbtq`8rVa;v&D4>gt(ZD$x_XtH zYEikTl~ryjRj=I9seZYkQcby^QG;?jq2tQkd>WRU=^S6~Wz(qKO6G)eCzrk3$%PH}E1at*c3DkxX*(~U%lz7#v`xPbndv)!Zyt+6ghI)0k zP~u&$u9gycuTC?0bzLbj$*a4c5|h2UZj_km)jh`K)jdUtg${C`ro^Hgs1GGp#Xv7n zVzmugLdmlVAcvCYdET{@Y+e+tehW(KG^iCN@6CZ)Q}VtHC`QRgY|smooaAXQQgTWR z+Ut~@>44s#sV@?x(jp zk7Wl#%8h($?^}DY%+Jw*XvMXMk2>Wwme*18P`*N*XYG8G<@Fe(Z7wo&NXS^gHoo{c=TLKfaT2? zNNnU+ww))DTQD5h$QK+u*oNh;7}RUzsV~3Misfw>nrq~FBkJVZ-(i5Qk-u~XFJpN- zhRGVaXT$b;S#~kl)yPZsFZw6Td|2t~%D=S!k7kCmyaNMIP4$Kg?(4?#P7EJ4@}Gav zdk)LHFbLGh51sW!FP3*>D5sHocDh2Isy!HxY2^0lv+J?E7sD!zydhe;j^%wA9BJe` zlgG7Xc|V3Y8o5Di$Bir>z(7SKUo!WypRs%p!wrqRHM23n^7j}tXyi!`Pq>`rLm2vJ z;Gjg9zvGy$2!yq#wPfVY{&q+;v{vW~oJBRWo;6nqBtY^6f1HO!W zQLN(vmK$K$mXY12FAQM$I1Iiral z!Scx%tW%b0@NKvAr&LV@BX5j6Fw*T=mU-~}Q#tcgQ4jmlgDmq9_@@gM@|fAJ_>-iD z2eLowRLIx1X?_pOJRJS`iu6Wmi-`*0Q-=golRri&w~XO}=c$G7s<` zkgkx=EY-@L@G$Lx*$TO1lQz9s=E2sve1-gJmnj`s<{{HViWTx{udOPv%mbg_DOJcj z7C*j)<)#?HV4jms&!y+Fd=ADT82O`K-#1~o8AcNrx$)GS9hT34SE0?ZksD_BeZ_JVEr*Rf;o8+nmU-~s(#q#MePq&gEb|aP{u*Yg zx70SyvdjbVR@xP^JF4SymU%cGe*&{usG7lEp=v2r}?>aP< z-uvEj&pr26)veogyUcVhUlA&=3h~xiw5%{7iOXkH#WihVNHjLN!AwXjV_gdgTNtd*T71Q#rM2ZitP_%q#F3!_>KIoY1b#lxsY@%drVWHTIKpW}Jq_xqF-IK$wIb=LRZvfg zI4Y`Sznf-NR)KOK<)%}Gj*U6=a;THsRI0E2j;xW)PE~y0YY&dwFKBE)GT?yyT*3pu zefwA5EacN8-YlqseX}Uth?!Xz6Sa=6j7$j$1X4 zBdDBh=6xm*4ZAt&gQ!A(_p=sWJ`>;{(>@+WVx8uLsHQ99K8R{^hu<1i|8Bo63c!k} zFC#gd(fkl<)V#!SFw{TO$EDyHq8^;-pK=%kp^6#J55e_JWx~fotusf3eGr*HKJ9~0 z!A!rr4}$BNaMTCE^-OO+gfL_V`yuLEnI3UpPCy%K@(l3-IBVVvRCyo(9Fnu>0E9X@ z=BTJ{^DNf{d}$u$mV!c?kCWCYFB!kx;Jj=c>Lac`3&-z<%VI77dm9W{ znsd6CDT`yBoZG<$FQ0YA%o(*;E?A61{_>@0$urpQ5_yDBH@PoGc9+oc3_t8YyDBx# z*=)67eEyA z0H}g}QOXNYk9sP{h}&JQ0}P2QT84J(Qc&pfLPd0o$VAe)gYAmD0GzUx=SZKmm^xc; zljOOzvlhmwmsri5HgB1%Bphrw1cZ=?&yLqFnX#}|t1ahV{!*S$c}*i9GhtUJyZ^Th?fHot$lNhND9?`|D*>X z#=-~#b7a4wz+Yi{5enheK7^X<+N765(OHu7BGg-!wf;fQ%Hvl3i+e2UW=})Eb|tj&Mjve zu8tbF)OgZSy_ONCn@$ZRhaR$l$nkGHeD3@^3^zk1F~0ILP>+@})5AbDTA&uHfe@k= zEu9P(px)IoPIm#Aus`9Jnzgv9wi1VMOMj%wILuo_eWazI=>ip0#DRtffLAU1L04I* zceZrXJpk1_Y51AH`+b02Rd8Luf7n4dOn_CQ}kb80b^=W9;k0z5Y&Srlz@o}x`Y zoR}-f?86#Lq7?R_Z&+#M!}F%n$cOinN+Ta0HIzm+4=rdK+q}JCMPr)>7D~`+$>#5J zGM~otHCZTBy2kDMa7<~i*|;%H+RWK>sh%l^=rpc)Q<5+WpL=obY!I!dz=IJW3ofQ1 zuDwWO0QqJu#x>raoAT&|d#O~!o2iyA_iyV8V#|y@3rN~VRAD>QHQ@m$EI-S+08UQz z2CEA$#YI`;Bu@>{*_+PJ6Tt(bzgp8dmj|TVktTWb;z3bf(~<0e2SjT$ei1G*ibikh z5HAAZe|^d%aN78Z6}3xYuK8)GzTN{;^E;6u5M?xH*_@}Jp*GE*7)4Cfruh~2#=}HG z8wTWeLN*T+}9X14v|I|sQKtOrRgi?qK%+`NLX3Nf@F(&WIE-27^&QC|`sQB=mHEK5M5D zdRndsts->abP=i%Iv`bqRuEcc7NM1dRupr~2|ZIQ;+B))%olkD;|i477FHTAKbf6r zrQuqW+2R1&Suqg+qY$A$5a3>~&PhZF+5wbZd4vte5?vTSGUWksI`g3$I#2s`*)C+O%9IkmG?wT`m zUZ|2obul2f$Vx6?4%NnSqmuK^%KI>m>5Q}w<8B%=eHeHC^P*m?g7aRK@L}xo^rR1? zMyjt(`7n;j1#ut7{#|JJFpi2Gl{h_v>vpc@M`gJ=aL)4Ccw$@rk7mK1x?8LFm^}|#FRtjT)`=1)CqPuS8!t9hjDPCX&=Uwmg9BD z9tV4D(#PYf@UtC?I*o;PNaUIe)sHyIKcIhicJ5`)Gz z=$r_*8#g=Y#6BR6bTNE*E#2ietRyyRRXEKz#Nq{QAZpQYx=I^}%R~Lyd8CyM=Xu5k zz5twa&ZLPjxGqu11XkmkWI@3-r12S}ppfmcj%_qY!#P?ZX&|oh4LaE-F9s6xd?$@O zoaCe-dJUJy3(P7M(6GQsL(LlIq?8qk)ANc+1ze?)s^DZpDp4Q~AS3rhl}RdYwXQUB z^F}8hS>a=tgGH`9mR3v@*YHbavx-8uHmuSpK~}I0p#Wzj)tVqqbeIU zYiB1Lx%pjMrNNcP?kXGI)Hg0u8r`Ed4paFmkgxG1N2A_xL{wR!=IW!;Xs)2~*n~+qKTr$3T1@mgc{FE7l?G@-ZFAqrxv$8r_FC z&UTpON;TtKCk)O%9N`q$&t2AgmhEwr$8f|oX0TSzZa;IzKg@+61)>)F^w}aC#1U z73+KkzAOUWJ67V0%@QiWi_+z$VV^wEbB2wp9x`SaPS5p=q54>S@rs9wP`)fJq`Y2> zbYZIdJPEXH=*S@mM%_m#R>luO4C}8pp?LZ7DtMz-1Xu6{?&f$g%-6@&$s$;eWqxKB z!+a&aEnN(=?OVw$uP2p!UA)C8hWR2V=SVzb&q}@)UfRkwT@i1J7TKuaSp6hc40EHb z(u-k^_qF+Am`l7qTnw|@H$;kIuDb!$SIG(<5X?7=VRBpceoq}Mxa!vw*HI2qu80-c zC}-2l6U8t`dqt`k=8#;KEQY!6%Zy@}Yjb717^b?{eLxPD4IMuO$9ny|STTpHwlrFd zaxgE~i(#(uJOl7C6bNUKBWG|tv0>si@KAEJeBfvr*L)Oab^H*VAL>3NXUQv^OM3J3Qin#AH@m^9V&TED%IsUR_Z=2Sg1z5>NY0|JWl#JuQV#^jfmh|2=(AKI zg`DL}FXf?nd>xS~)ik49wlMjf`whD~+st8CM#GV@FtNPF$_Hpc;N{ zDvj)Y9akC|+#OaL<@*K-yH445r&JOyfZ+%$7_QfzJ96mc5j4lta6rBY#8|%Wn{Wen3$?NUk5}N(YjG-NL52oR{bR!L{YO~@hr?l)_qgRH3a_F@6IC$ z_6jDbh;MZXRa1SwDWne}pTA8MQm8iH<_ZdxP{y?Cp+o58P=8k#Nuqio4fH2pvgG2+ zFS%f_nl7muLy1*2WN&nw#gXG6f2XmzF{4rSy3r9%z&#n1^Ak{p)!^o;?mGiwSMGS87a*MT%!n9b(5J zW5(Bv=3B{e!|68eKFS$T8@hJZMe|7POmio{hy~Mlz;KTioX8iOd-dQ%zChg_2~OmT znjG0xFIc$v@}=^wDtkZZes6Kq)L)V-MrDieMI=FW2&f=mPVYooP$FOS`=D8D7e{UO(N-4FKeJD^;-WWZ zpMU{>O{q`ZPTa2EDxQG8OqcXf94Te!^Qb`mnj92SJ#Hwb(&0`+SD848=Md8ug_%lP zEl<+nbIh>nNi-qw2r&ye;d1>S^R7+~Jf*oh9b8ErdPgb=ibz2rA1J2?7Zk*jeYh{qx0GzHbOBNI|-ML_z&W!b);xeV0On1}@G;*&)LMg22N+S~; za!R9fM8~w$Xf#pZ(I_NQN~efa=$u~9w~43{UBl};nS~T`ymPFekgqzWh{}Pdj~o}B zGWm4xSgG!cg16*3-&>G5`X#b{pJ>4ZpQrYLLY1d8UHv{ul|)*XLba+XR~KC+k+)rP z1r18wSjeF&V%06zB~J3Pyp&<8jt8VDh3FI0z`N6_X)JkCRZRw~Nu;Xad`ES0XSTX& zl!6&+S;?SYSOEqoOeE~blUAe z6HqiS1|gFy2%8Mi_+b*rW)}WCdGxDfQPiCobqfFx>MkQCGHXl_coP&oNW~bP(_3M& z04z+H&bH`-?2R^CV{`#6=Fupi7^AD0$oxGCQ@oMAQ5s=q)D%X=G+@G~ zOcsNi`3?f}adanM@z7r>D~wMrYKl&{6zj-ncS+rC$Lw>a>Hkr-vPHr3f6UFNrCPO}?5})xz&q=##I+=!A9Yilc{u)cEQXs5HTu-*zQ z+f*K%m5aM4osmW6L!`)!cuP6&5%`oF`9%+R7pjV%3#(R$`AFMW)UAm6tBUg$SIcu! z;p{sSPWGMQxfSLk$lg`dtxT54zQzQBH;&3%rpk^VsMju?un8tNoX~MqBdwF!@i>Ju^SR7bODCOgsgmplQ+^CG*VZu6V zJ}gF9m%JCFdr&;!<->M@fTzHaA~&+PGfG&`xDQJb)=TqYdBS?*Q*M-(Zin%Rm>)|J z);Hzj?!|V4AzF^oycpey;`y<>2jiiUu%ZbcmITk@p$fzBg$Gnv_LTPWXn-WF zfxf&n+!I!1)XSrRp0KpA4~r9a64-L1GHBQ*tP_2CXF9XZ12l>0A{wvJ_`q6u>dU98v8sH zfJFnaWB`_LjnRx&aXoef__w3Ae>}y3RXk>>uu(tlpu?X1X3U2r2>Yvo=v>SqO3Hu>MV|yY-*!6x4=7qf~sX)q$^EVW>DJh`NNeoVcmQU$#&|m01CuXXVjch@ zW2x5IRzJkNtx-Qnd3lQ40~59_z`t##hXj#$AH$x=_HhyzOA>aNsX)f^g!MDL82v0D zvPb%{1YyS@MQ)ULbB?g%6~yJz?*>>iU@DM!pBRLlgipB<_CcDkQ+?Qn5yC2T$&&S; zpG`!zNrw97tYuKQ$5@uv6LoRZri#GO{T;oiabx2^Iz$Kg*g_M)arDRJsP{sbE$x zvl7e-FspKuHZXxbBG+Wo5CwZot^=n*3?wkeGcyWi6wFBx$~OtjNnlRVNSg}gl$>0& zB&_o^NTyscC9DgPb^+3+8^o+d+H|DNh>b!8koILmXyyb5VoA?<3Dv}=%d4Zg2U zl6GAbQcga@F030gNTyt@C9IpmkdpFAdtu#zw40Ik(*)&Pox-lm2bqO+TLODTuKA=> zJa%v%juG>bFr=h>_FGuL(jb}gX>?)z8flLs?FpTjPvo&1^67efrm@H5gWC8^Vb_I~ ziV!n}v=q{w4UzUN(w;@y?{cI)m%tv8&!G$J4{_{3UrbWkOHoKU`Ru*0UPaogKwsmu z*OB%b(%vwL`6msMDIXlCJ4HxI`LH)W^Vki!lu%gfk@gPK(mLf!BQ1@z`Uq+DY3wn% zRuP{m?7Cb8Dl993JtC}Zh?rTVWs&w?ja3#2;4|QGUbv*n(o0Klj}M0na6I(^|JUxS~sNa7lKVpRfz+*%QoOYsbBqSb zl#8W>Js!;QKqrPMZAuQ3F4wvU`#d1i5^_C@urDyMhvnjCVNZ`i$_xJg1!3b%W6#j! z%5ECsVFz<=ifmp2=3Fr6CrGCN@CaKpF;@y79CPr{;2~#bJ*j;zCBFL+fD3I`CkjdzB7p( z`R-0r+C2vLuv~Lb!(K>vxpbWdypR&||0wX8!ycD^S0U`jknb_%TN5WMPedT4k?-j!X({YaBkh?yY0oCHYl8n1L)gF9A*JPCX5cf29r<2P6Y~`V zdszNQg|J^sVn@C|a@re6djn~IP7(9X7^J-5<@|IL04X8(k2-|CK8YRq-sOCChOq09 zuQ5*fnj(-=g8%YD*cOmxHy`8?U&mc*{fKR^+g&N`&DT-_ov-Jo@a)`M(j z_KQGD3I1aVk?9E}oDi7TLrTk4yEOcU zl#t89Y2b}LDi_|;kQ+O+v0<_@7TQ>7y``Zwq=a0WOM_}i zQMu+8pU|cw?+iL8XJ@3aBkwGewApd&_?|=O^6Xp_c(vA^Cf}$UdS$GUYGs_(Z-(k?(Px%6lBzGD^2kzE_d4$eCExxh$BbfwAj?R}IqyFm_Cgb|Nd7`h{d-x|ghA z(igjdiC)&aLF)#M$32+ng`^8!)GIK>iyb)*WGlTiNTyuQOVhg8k*^P1=>x3~w7wxK zp>GPiE|(Y6BrbNKbW=>zw~$Q1OMdZ*e8(7~<#@JoJhbDX^=B*nQ;>AIZdbIN9LFA# z%X&qNra?005?*{FUj_12v6U)lRnP{pl|d;;x?I~UT7DeI9+JzsMax+lBvUT-#wYUW z$XCNwYM|9X8_rgSry%KanYU;e8OI)yi@Zh47!8ss7hmHO`NkpN1hz5(+5~8m*~;V; zBwe&jH7OG3#<2s39GWfaZ%gwpM-@2R;y5g<1v&bTq1Qabl)~=@0&`(MGXt1M<-1&a zlw`_Z6Nq_hDu8+9yUADAN~Zjcftah40nEsw8!voLGQY|5H<-A+q90rRejc%-d&9NN zKu1)}TZ{l^zY{vYxJ@$UuM|}J&G7){;cJKHo|H`a+XXRy5({AV-G5r&MUp9h(IDnc z(E#RQ$DJP|=^agyxt~*`8?^vtpQG>oXpUs|jPo~2zabL9JmfBY z^_!B}OH<72!vV~LpX_+1jC|qu6RMFB3Sjom4w&31ZOUI&h<~8X6=7ANfum7E79_7^NYBPX&!2FpVPnJv?5K*TW^(#^V z%%0WLyAPGj;~nNz$pB_JwsFt`$?Ts}HkT&?nEU5mzlEl%aM+z3SIlKb0CT@DF1)pi zWNMmXUKtNy_Lz5Phe8`wIDTFc3t)DC;>z2%N}E*8*KnUhn>=G;gCGxSdSjzVjm8&}Lr zG?LI0vkffKaS%2c0`{)MJ3Fbc^)41wnEd68^5QoZ74_Rqb=}za;;yfsLR^u(!ztyy zXaKWI-}&ncSD3r7G%RZ4(rC*W@V1}-EYZ(y``L%Jyoa5H0+G(SsYu7(*N@gGWqIvTAi`^Qz z%%ir3pKXxL!%W4T<<`h$9y$5YdE+FrpP`sDT|ZrBWaOZZFG%K*4s(WEcb9p@utf*& zE1AdW^i6)oOsDQHv){da*DjaL_Ws>O?Gwoy>oCvN0+PJykj<%)0A{ZzHlNx;47#bLZ(>df2QUw`v-j*K2HkMdMobK3)!D{v_XGa% z%qcsFp?={Z=EQsev*&lCPAb%BIv;VwoRAA(hAUG;<#hu$v8wcFI)J%<%@==pN0vUD zk2tb9-V9*w_fPHclO%JlrfiN&1u%PDGx3t)k~z=ub8Iqz+5M#vpB0Xy1rBpeB7oWL z?Dvl?9Gi<%s`SxD0JH1OGw&-L?@Qu}IVv8&-1oZXm3;D`8*#-P84F-`Ikxw;qhyVi zIew0a1~B(|^*8o>$z0(u&(Q;zou3|X`|l-lWlEJkTnk`!>hzOykCaR!u9(9j0nCoC z-kqBznHX(jqt+Z64q$fJ()Y1*B=aW6PaJ}+`)Aqy=YKj^GH-F1)yV*+NLSPpt}?iH zDwKYf9>5Hh_v>|>wE6S6VxH;Nz0$dd>)O3J5@jZ)%oOvCh-1@b?pr?br*e#p=`_Xs zv0HbS+2xjdw>&@$OtU2w^K`fFE_0u&KX~gJ$=qcq=Ae8)>75VwsDtbwn4(k6({cgK zPO<2nuM>l&?-X-jI)K^Hn0Zqn@||LeS!D(=JM`?X7b3r}u9yQ-0nD=6v^j(rG$p8* z6+uk#-)~$zO)`7xin(u)pP_?i-hQ5B9u!ebT_=fRyg5bR)Dvl1ls1|grEkI>Fi0Zo zeS^NsAktz8^=xTUANE2=O4(1;|5A5&|bH|cmTho_E7_PlF?blTiv5^;$XJb%(H<}vdZk)t{zwWp~6P269M2Z;LrC^D%$FM1SC#=M8)rQ^BhxnC|OWje+Dr#@urh|wtK zKvDmHOuy&=@3!>*P=eH9xrZ@Qzqm$h2|>*-!1)9q|?S&ny{1O5|P-~ z5yCXhhk-$Jt3J#mOip4DPWE<(36s+m4i+O!PE06lM~W~x1);E=5NPI=blS+?&M09u zek@6toIr4RV9;DF>9kSaT{>Z7GzJuwAWYu=6VALGVe&>_VY^d=O~$9(i1!UdnyK|* z|ND4xNUj|F-^Yv5H!^EXPv780;;k_Bo>mxg6=R=;1F%>Ch8|j+_j5SW3X29{$p9?h z8v893LHx*75r;4Xo%Y{eeb21iP@&Ip;gV3X_8b6ZUG3cxz0k zyz#jy8h|AOuzYLme;+R{{KZBgp7e#Gy!;D|VhnNiZp=%15t(;eJODFWV;@ty;dgqK zLiRpR5+)})2xklo`pw?U+pH5NCodFlbAqsWK6{&UgvkjB#rs4fOin#Gm_eAFWKfuV zyjV^rDD1-s@#MsTgTXWUjh}Sd$mb7D!sKLt!afQUCU5&4EJm2T!FR9}Ve(d9VIM=l zum8R>K1maHE7)?QazBj_CU3+Er6C~wV_RWp+TdA!c~#`oUxLv`Gz`Ll>b*%)%P;Yy zEZLxqjGx+zIe&;akn0fp(~9IIC!k z>iBhGRM}}CzvN9Z*<`=Y(SrP1_uiSefpM5<7@95-ubJWY^-JE?JARFg2l;i@s5gdy z(pNN$4wvw2cD{Z6k`oh-Uy}mc@xMM;)d`ftMZ<(>3BTsIvtM#*#qsO>z;=A=_3wTH zNW`&TTm9j!zEKy&d&h=%E<+VS!P*`Tdm{3=Xukv;Zn zPAaH>l})?s3^1_1b9SkAyfRKUXloz8A>3G(Yacpi8y#53GfAKKWjJHn1%o@;b2 z`XJ_SLdS^)Jm436jZXVw!`J9N@T=rC8qa!@PqeXL_eF#JiuZo;?_eA+8t%@Q@T)1s zM)2YErSIj}ExNMDe*H2Lyez#ueE{w>-GLqsNeS&4S0kzxPNVoD?9BIuNVA&r2^Y=sU2F1 zVt*tWo+{P9KGNFPuixv+9=GFjf$i8QS^YX_Cy9pNmTJdOqRLMD#H-Fw_SmnN1KV-c z@{ROc;mM-mg;MRfEv)Rck6-JP${zdm$7oQ$uO4yHU7(#J8q8Ad_<3I0X&=8f8Ok2} z^_Rf@^-%YkM?pJPG`y*m==ZzY*{?Fq=U07T|60F(*-M~lq5+Ra2Of`Dt)=WZ@hX~2 z3AzrIKHv9r>~Xy8!2WgNXPf9}sdCYy`6{4Y~ zME}CNG-aoK;&r5BkNx@}uz#I6``YJGY^7*;zeNASy0`ZA>*Tny$9`=IY{#kl9b6CE z0MW3yRR20YrR=m%yfnui`}KKXJAU1JAdO9{L_@w*J67h~*RM0u${zc*E3h4(ec;lQ zK^rI(>}f*(1N`uipdw znmqe~S3w&j8at#)oWF+0m7Vs9*LcSs`_(wv&^%=bNUBxt9L#&D^2bng?KczvI7wCAsN*snf;?Raq6zAwYCAB)D`rP|TGPiP;% zjtl$Zbwo6%e_h)3L3)Pg4AI!PR6Dx&3GL(8iBX?l#|HMVPb2Flfp(^7JX$N!@7?=^ z_VMeaw9l`T0^4y{_!c^Uoh2GiEY-i<`-JxKOY^s5MPNJr^NTnC2EWc0ji;7sNB2IV zef+BQx8tC|cKq(6F(1G$T{I3X)sF6cLi_kNFyU**v(iERe%%N6JOx^{Xv9+1;BldQ zpU^&j4fgl1VS)Xt+tMa_=x2y%9Fi*GmwTVkK7Nh$x8vx*cI-8K#wz$#BN|7P>R;}C zLi_j?^|#}Mz;@i)Z~v>|*HFV1dJzE{ATqrpbZy|Slk=j@7?>C_VH^~(&yLg!2Z>*`M>uA z?HtiKQ!C+@d;iiteqFEJ;(0lG8%;pGj@ej$4tOI(<0Yl~mwW%xK7Kvq_v^C2cHHz3 zJ&ze0DH<1*YDf3}rG5PRMNB!y8 z{a%{;AZVjSr*BAy?g)CK7RdGg-iOi zIGezPVS?f@pj^TEd5GBg#v-gvS*!1b88bt<65JH4|gV&p|<JMv`ng7A>fJd1sGyCvLET}&$=>2AY(58sSmrC`A zbvb3H?QuB4P^-`-li4YaAE@pZjKe|Rma?6lp7AH`H&_TlZo{&4T0j_W`> zS2Vs=sz1D8C_8QUp**JYvJdIN{xE&e)s3J{6OHRj^#@Ct`5*L$0lLb}J~RdPhd%4h ze+#tpL}Np#{*cKjJ8h4{=_;!{KWt35@g)n(uN*WVCe9a)?}hwbiHrrbpUF=2~N;Bgl(C zYg8%RgkOaBBz)WPznc7xitW+YXNK>Fg$qREKaJq~B%!+gA*q&5HxZ!i3G$ZVX4WAnVH+=3Be{T4$i>i9K;ai<@F@*2z zd>g|TGVk~p7Gk35$FUOO+mcg7xZzu4da)lid~OKb@ZA(v{;BXOoxa`h-J&U+58m;3 zTTbCGsrT&dP&c2^LI!4trcp)XOQ-kgssz4L@|Cyf>dE0N#$v6nvSU!GcE9p|uYt>L z2~{}`-}JT)T-I+LN(b*u(R5ykflJZUtPI~8Qx)qRyhQ^X9`_XwaP~&{@JG~MU)nbA zdjuZIjl;JvvM0UIGjMt0!ow~@{#l~wl0=Dvca13vK+jR*zKkE^-d9+7Ph1XZ4Bz;- zPSR;(Y_EjR4FQ$Ww($K*litw*jdS01$u@@XfnObX1uV=KP0NzsGkpF5j_iF!1Dw4Q zJ~sqy58to-z3=C#HimEG11lCHd>4zR)sgQPKF{;}PVXxo;Ov#~xgltK_@2o7dfzY2 zHiqxvIm_!|VUB3JU;Ccn+n!XexZx`r;Ov#~xgltK_|~SC&wTK%OSdt6*B!F^VOW?e zntr2y&+z#NIDGIHpCk85_}mb*J$xyD_+HHIN%%akwfy2YzxXr4cZq2Fee`>VZ;c5< zyt%z^jVY16dFgONpu#8c(gs!TzZ&-`4t;a)`>WQ*@SQtnAw9P?Pc;2GRw8^lS?7)1 z`~Pd)_pB$(xboWio`lacaCukj^B06>zG!Mp1&6O_JjdZH9?!vv;(rt2gSY6hvb{2F z#w$Pyt;>U>whdgKOQ^iu`#z4gF?=hZ>9rgdE)`AhnUvGTvVbg0nLH;1n#zbEHD&#-xC$KQT}%$JD`XO|lHtuaC1jog#HPcIJ78g{*s!3)xDPM$QboAod%=hOu;Ft< zK>o?_xeKn{@NJB%dbr`+s<{}#XYA3q&lA3;dncR;3s;B@SC<&~;Z0?#2seCnh8O!` z!{>&;4c|weu*ior=&gpzXE%IbrdN0z|qGO^)-P>FM2nWl<$!dHBr zOVK%Pjp^n6`{w)H5V+ynJsZ5{W$+O^wISXd!8yjg18*DtJ!aKm?G)QkPF;d4XahVLLxSPGX8 z&oX^CeEk!u9(?d-!fibFHS~M>7+APkY-lPq?(?rYk;7&!rHUn&f7tN3A#lTYj3+EA zeD11!H++NhsvaD^&5<^SumAI}Y=(tv#D-0Y;By~drmKo@d!HWmVn1y7+z`0otMr6L zh3_=SXE%H!qpBVpzFln{_g%GQ=x$h8DK>nOED^pjnkvE#-}tx}`(eZ9hQJM9jVCNB ze8U}|-SAytsCrQNn!B`h+;_n4MJ6m46wb5$h@EyD-762(i%4ov?77Xg;vSxUXo|r1Zc=SDwh}fmrMN+r2Vn z@O_@J!dtMF(;U9z_GsYZ`K9fX5z{)sf+3oZDm8Fflv71Gy{~8%hI=1g-Rz?@d_YE$6Bb6+U{Ky4u|Ex$DE+@I4W6c?jRI=$>48 zJ>lCi=i0Mj;ReyHmm0YEC#U4V#Xk!p!{;u~rE19V{UWB^V{EVVJ~sqz`0h-qa^3LV zV|X#1u)@pPT@2xy9BX6vx_q_vELgZvG>=c0xbpfZr)2p2voJDz&nA^CZun9P+Kau< z4S^fJwNANi_}1kV==Q#*h>Ib7v-W7<;^}?m1OIj>EUXgE({m-l=bxOC;q%YJ$ngDD zdE#C=>^EZy+jrnA#lTIDOWs~4tMRF+xxZ}ipW>q>-S{f z(&(8ktr{|NDlGg&G_Nc*a9LxjBFH@sUvXF{e8pHS0NX3!b3@>U?^ETn6Fvukpu*?J z(EAd(JvsMz!nbnC(J#Qt&7%2d;oyU}C@iw~6+KZU}@@O{$OaoxYLZxhWQ zlo#F3ac%QXgT>jj?p7u;xqbFsy=>SYKhqbxu88 zSeHjw>L;u#S-|T*tg9pz5!N*lJ5pHJ=2$#RSl62@9WAU?NtTWg*3A+-R#>Zb#*P!# zZ4s7^$D6iTz@Oq<4<}hXQCN?fEcF-G;}ZLku%0j&J4sk;V=SGFg#kKCrwA)0u~UWh zti&{7Js08Bay(ni0{)2EdNIdhrLbO=*Z^U@Cb24EySLVxV_`MvES(`NE5g#5!pchQEMfgkVrL6$BPWJ*VZEPYv07Lknk)?w z)@F&-2y2VPh6*d62Gc1di6uy#vqq_Dotaq1{x3zMbM!Y(}g zJVw}E493O^yIYKg=d!o+b#loH@JKG##Ul3+&uCOo4 zvvdij8a0;Y3469ooiFUUGWAkn&rfse0{nAEoTY`rUY25Mk+4@JSXwOXl@f~!+mP60 z!d|6u>Jnk!oM!2AVc!~OX{oSpkFs=yuN@XP7sL zvUHoUH)<^XT-ck!EZr{bk5Vipg#Af^r8|VZRi@sFg&`VacZp1=G)s4jOjjx0BQiZw zjNL0T;RH+fiOhi#`-RB#me~Cw(Pw{qrm(Mdsu*OOJ|-Cb3_MOr^vg6PbZ2PJLWt1}9khwaA z_6xK0g2)~zr58o^=rm(5iR^I+mR=Uw6QeA>BC;n*-m4;es+3HTEl+dmYa%-!!P4s@ zds>vGKZ@)qDZL@GW2N*bk&T8q_0J+ZG0oDOB0D9)(pw@sP4fPNxAqx~y^VME=`8&f zZ|Gwo^j{)7$7Jyxyp7LbX+7S-C#CPe{q z@6pp4YsB00SO_(V>_kZM+MQg-}Lh6DEsUk-f`csRd(t zDZMAM_v?)P4Yx@wgmNPLu*u@zap!5Uv{7Uqm(o8(_6eP__i?MwLTHo7t}|KuKxEfT z>_d@#S4tm=Y`skVSY)?LY_rJjkk}_8`<21qry~1}l(vYLkj~gv(b9p1&_6{>CzHjz zXenH0wuzQ*24kOzmcn)BbJ5b1q0kqi?C55iEpu ziIyWx7QYfL#~3VqEn1G3(r&y*S!e7UygiwP(6^%HRFlQ;@TO#gB#aWrEn, B=>invout_2, Z=>wren_i); + + INV_5: INV + port map (A=>full_i, Z=>invout_2); + + AND2_t4: AND2 + port map (A=>RdEn, B=>invout_1, Z=>rden_i); + + INV_4: INV + port map (A=>empty_i, Z=>invout_1); + + AND2_t3: AND2 + port map (A=>wren_i, B=>rden_i_inv, Z=>cnt_con); + + XOR2_t2: XOR2 + port map (A=>wren_i, B=>rden_i, Z=>fcnt_en); + + INV_3: INV + port map (A=>rden_i, Z=>rden_i_inv); + + INV_2: INV + port map (A=>wren_i, Z=>wren_i_inv); + + LUT4_1: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_le_1, AD1=>wren_i, + AD0=>empty_i, DO0=>empty_d); + + LUT4_0: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_ge_d1, AD1=>rden_i, + AD0=>full_i, DO0=>full_d); + + AND2_t1: AND2 + port map (A=>rden_i, B=>invout_0, Z=>r_nw); + + INV_1: INV + port map (A=>wren_i, Z=>invout_0); + + XOR2_t0: XOR2 + port map (A=>wcount_10, B=>rptr_10, Z=>wcnt_sub_msb); + + INV_0: INV + port map (A=>cnt_con, Z=>cnt_con_inv); + + pdp_ram_0_0_1: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 18, + DATA_WIDTH_A=> 18) + port map (DIA17=>Data(17), DIA16=>Data(16), DIA15=>Data(15), + DIA14=>Data(14), DIA13=>Data(13), DIA12=>Data(12), + DIA11=>Data(11), DIA10=>Data(10), DIA9=>Data(9), + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_9, ADA12=>wptr_8, ADA11=>wptr_7, + ADA10=>wptr_6, ADA9=>wptr_5, ADA8=>wptr_4, ADA7=>wptr_3, + ADA6=>wptr_2, ADA5=>wptr_1, ADA4=>wptr_0, ADA3=>scuba_vlo, + ADA2=>scuba_vlo, ADA1=>scuba_vhi, ADA0=>scuba_vhi, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>scuba_vlo, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_9, ADB12=>rptr_8, ADB11=>rptr_7, + ADB10=>rptr_6, ADB9=>rptr_5, ADB8=>rptr_4, ADB7=>rptr_3, + ADB6=>rptr_2, ADB5=>rptr_1, ADB4=>rptr_0, ADB3=>scuba_vlo, + ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vlo, + CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>scuba_vlo, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>Q(17), DOB16=>Q(16), DOB15=>Q(15), + DOB14=>Q(14), DOB13=>Q(13), DOB12=>Q(12), DOB11=>Q(11), + DOB10=>Q(10), DOB9=>Q(9), DOB8=>Q(8), DOB7=>Q(7), DOB6=>Q(6), + DOB5=>Q(5), DOB4=>Q(4), DOB3=>Q(3), DOB2=>Q(2), DOB1=>Q(1), + DOB0=>Q(0)); + + pdp_ram_0_1_0: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 18, + DATA_WIDTH_A=> 18) + port map (DIA17=>Data(35), DIA16=>Data(34), DIA15=>Data(33), + DIA14=>Data(32), DIA13=>Data(31), DIA12=>Data(30), + DIA11=>Data(29), DIA10=>Data(28), DIA9=>Data(27), + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_9, ADA12=>wptr_8, ADA11=>wptr_7, ADA10=>wptr_6, + ADA9=>wptr_5, ADA8=>wptr_4, ADA7=>wptr_3, ADA6=>wptr_2, + ADA5=>wptr_1, ADA4=>wptr_0, ADA3=>scuba_vlo, ADA2=>scuba_vlo, + ADA1=>scuba_vhi, ADA0=>scuba_vhi, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>scuba_vlo, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_9, ADB12=>rptr_8, ADB11=>rptr_7, ADB10=>rptr_6, + ADB9=>rptr_5, ADB8=>rptr_4, ADB7=>rptr_3, ADB6=>rptr_2, + ADB5=>rptr_1, ADB4=>rptr_0, ADB3=>scuba_vlo, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>scuba_vlo, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>Q(35), DOB16=>Q(34), DOB15=>Q(33), + DOB14=>Q(32), DOB13=>Q(31), DOB12=>Q(30), DOB11=>Q(29), + DOB10=>Q(28), DOB9=>Q(27), DOB8=>Q(26), DOB7=>Q(25), + DOB6=>Q(24), DOB5=>Q(23), DOB4=>Q(22), DOB3=>Q(21), + DOB2=>Q(20), DOB1=>Q(19), DOB0=>Q(18)); + + FF_68: FD1P3DX + port map (D=>ifcount_0, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_0); + + FF_67: FD1P3DX + port map (D=>ifcount_1, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_1); + + FF_66: FD1P3DX + port map (D=>ifcount_2, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_2); + + FF_65: FD1P3DX + port map (D=>ifcount_3, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_3); + + FF_64: FD1P3DX + port map (D=>ifcount_4, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_4); + + FF_63: FD1P3DX + port map (D=>ifcount_5, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_5); + + FF_62: FD1P3DX + port map (D=>ifcount_6, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_6); + + FF_61: FD1P3DX + port map (D=>ifcount_7, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_7); + + FF_60: FD1P3DX + port map (D=>ifcount_8, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_8); + + FF_59: FD1P3DX + port map (D=>ifcount_9, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_9); + + FF_58: FD1P3DX + port map (D=>ifcount_10, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_10); + + FF_57: FD1S3BX + port map (D=>empty_d, CK=>Clock, PD=>Reset, Q=>empty_i); + + FF_56: FD1S3DX + port map (D=>full_d, CK=>Clock, CD=>Reset, Q=>full_i); + + FF_55: FD1P3BX + port map (D=>iwcount_0, SP=>wren_i, CK=>Clock, PD=>Reset, + Q=>wcount_0); + + FF_54: FD1P3DX + port map (D=>iwcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_1); + + FF_53: FD1P3DX + port map (D=>iwcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_2); + + FF_52: FD1P3DX + port map (D=>iwcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_3); + + FF_51: FD1P3DX + port map (D=>iwcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_4); + + FF_50: FD1P3DX + port map (D=>iwcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_5); + + FF_49: FD1P3DX + port map (D=>iwcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_6); + + FF_48: FD1P3DX + port map (D=>iwcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_7); + + FF_47: FD1P3DX + port map (D=>iwcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_8); + + FF_46: FD1P3DX + port map (D=>iwcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_9); + + FF_45: FD1P3DX + port map (D=>iwcount_10, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_10); + + FF_44: FD1P3BX + port map (D=>ircount_0, SP=>rden_i, CK=>Clock, PD=>Reset, + Q=>rcount_0); + + FF_43: FD1P3DX + port map (D=>ircount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_1); + + FF_42: FD1P3DX + port map (D=>ircount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_2); + + FF_41: FD1P3DX + port map (D=>ircount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_3); + + FF_40: FD1P3DX + port map (D=>ircount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_4); + + FF_39: FD1P3DX + port map (D=>ircount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_5); + + FF_38: FD1P3DX + port map (D=>ircount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_6); + + FF_37: FD1P3DX + port map (D=>ircount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_7); + + FF_36: FD1P3DX + port map (D=>ircount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_8); + + FF_35: FD1P3DX + port map (D=>ircount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_9); + + FF_34: FD1P3DX + port map (D=>ircount_10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_10); + + FF_33: FD1P3DX + port map (D=>wcount_0, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_0); + + FF_32: FD1P3DX + port map (D=>wcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_1); + + FF_31: FD1P3DX + port map (D=>wcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_2); + + FF_30: FD1P3DX + port map (D=>wcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_3); + + FF_29: FD1P3DX + port map (D=>wcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_4); + + FF_28: FD1P3DX + port map (D=>wcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_5); + + FF_27: FD1P3DX + port map (D=>wcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_6); + + FF_26: FD1P3DX + port map (D=>wcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_7); + + FF_25: FD1P3DX + port map (D=>wcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_8); + + FF_24: FD1P3DX + port map (D=>wcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_9); + + FF_23: FD1P3DX + port map (D=>wcount_10, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_10); + + FF_22: FD1P3DX + port map (D=>rcount_0, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_0); + + FF_21: FD1P3DX + port map (D=>rcount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_1); + + FF_20: FD1P3DX + port map (D=>rcount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_2); + + FF_19: FD1P3DX + port map (D=>rcount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_3); + + FF_18: FD1P3DX + port map (D=>rcount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_4); + + FF_17: FD1P3DX + port map (D=>rcount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_5); + + FF_16: FD1P3DX + port map (D=>rcount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_6); + + FF_15: FD1P3DX + port map (D=>rcount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_7); + + FF_14: FD1P3DX + port map (D=>rcount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_8); + + FF_13: FD1P3DX + port map (D=>rcount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_9); + + FF_12: FD1P3DX + port map (D=>rcount_10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_10); + + FF_11: FD1S3DX + port map (D=>wcnt_sub_0, CK=>Clock, CD=>Reset, Q=>wcnt_reg_0); + + FF_10: FD1S3DX + port map (D=>wcnt_sub_1, CK=>Clock, CD=>Reset, Q=>wcnt_reg_1); + + FF_9: FD1S3DX + port map (D=>wcnt_sub_2, CK=>Clock, CD=>Reset, Q=>wcnt_reg_2); + + FF_8: FD1S3DX + port map (D=>wcnt_sub_3, CK=>Clock, CD=>Reset, Q=>wcnt_reg_3); + + FF_7: FD1S3DX + port map (D=>wcnt_sub_4, CK=>Clock, CD=>Reset, Q=>wcnt_reg_4); + + FF_6: FD1S3DX + port map (D=>wcnt_sub_5, CK=>Clock, CD=>Reset, Q=>wcnt_reg_5); + + FF_5: FD1S3DX + port map (D=>wcnt_sub_6, CK=>Clock, CD=>Reset, Q=>wcnt_reg_6); + + FF_4: FD1S3DX + port map (D=>wcnt_sub_7, CK=>Clock, CD=>Reset, Q=>wcnt_reg_7); + + FF_3: FD1S3DX + port map (D=>wcnt_sub_8, CK=>Clock, CD=>Reset, Q=>wcnt_reg_8); + + FF_2: FD1S3DX + port map (D=>wcnt_sub_9, CK=>Clock, CD=>Reset, Q=>wcnt_reg_9); + + FF_1: FD1S3DX + port map (D=>wcnt_sub_10, CK=>Clock, CD=>Reset, Q=>wcnt_reg_10); + + FF_0: FD1S3DX + port map (D=>af_set, CK=>Clock, CD=>Reset, Q=>AlmostFull); + + bdcnt_bctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>cnt_con, B0=>scuba_vlo, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>bdcnt_bctr_ci); + + bdcnt_bctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>bdcnt_bctr_ci, S0=>ifcount_0, S1=>ifcount_1, COUT=>co0); + + bdcnt_bctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0, S0=>ifcount_2, S1=>ifcount_3, COUT=>co1); + + bdcnt_bctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1, S0=>ifcount_4, S1=>ifcount_5, COUT=>co2); + + bdcnt_bctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2, S0=>ifcount_6, S1=>ifcount_7, COUT=>co3); + + bdcnt_bctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3, S0=>ifcount_8, S1=>ifcount_9, COUT=>co4); + + bdcnt_bctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_10, A1=>scuba_vlo, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4, S0=>ifcount_10, S1=>open, COUT=>co5); + + e_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci); + + e_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>rden_i, A1=>scuba_vlo, B0=>fcount_0, B1=>fcount_1, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci, S0=>open, S1=>open, COUT=>co0_1); + + e_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_2, + B1=>fcount_3, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_1, S0=>open, S1=>open, COUT=>co1_1); + + e_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_4, + B1=>fcount_5, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_1, S0=>open, S1=>open, COUT=>co2_1); + + e_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_6, + B1=>fcount_7, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_1, S0=>open, S1=>open, COUT=>co3_1); + + e_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_8, + B1=>fcount_9, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_1, S0=>open, S1=>open, COUT=>co4_1); + + e_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_10, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_1, S0=>open, S1=>open, + COUT=>cmp_le_1_c); + + a0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_le_1_c, S0=>cmp_le_1, S1=>open, + COUT=>open); + + g_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_1); + + g_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci_1, S0=>open, S1=>open, COUT=>co0_2); + + g_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_2, S0=>open, S1=>open, COUT=>co1_2); + + g_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_2, S0=>open, S1=>open, COUT=>co2_2); + + g_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_2, S0=>open, S1=>open, COUT=>co3_2); + + g_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_2, S0=>open, S1=>open, COUT=>co4_2); + + g_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_10, A1=>scuba_vlo, B0=>wren_i_inv, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_2, S0=>open, S1=>open, + COUT=>cmp_ge_d1_c); + + a1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_ge_d1_c, S0=>cmp_ge_d1, S1=>open, + COUT=>open); + + w_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>w_ctr_ci); + + w_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_0, A1=>wcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>w_ctr_ci, S0=>iwcount_0, S1=>iwcount_1, + COUT=>co0_3); + + w_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_2, A1=>wcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_3, S0=>iwcount_2, S1=>iwcount_3, + COUT=>co1_3); + + w_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_4, A1=>wcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_3, S0=>iwcount_4, S1=>iwcount_5, + COUT=>co2_3); + + w_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_6, A1=>wcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_3, S0=>iwcount_6, S1=>iwcount_7, + COUT=>co3_3); + + w_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_8, A1=>wcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_3, S0=>iwcount_8, S1=>iwcount_9, + COUT=>co4_3); + + w_ctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_10, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_3, S0=>iwcount_10, S1=>open, + COUT=>co5_1); + + r_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>r_ctr_ci); + + r_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_0, A1=>rcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>r_ctr_ci, S0=>ircount_0, S1=>ircount_1, + COUT=>co0_4); + + r_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_2, A1=>rcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_4, S0=>ircount_2, S1=>ircount_3, + COUT=>co1_4); + + r_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_4, A1=>rcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_4, S0=>ircount_4, S1=>ircount_5, + COUT=>co2_4); + + r_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_6, A1=>rcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_4, S0=>ircount_6, S1=>ircount_7, + COUT=>co3_4); + + r_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_8, A1=>rcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_4, S0=>ircount_8, S1=>ircount_9, + COUT=>co4_4); + + r_ctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_10, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_4, S0=>ircount_10, S1=>open, + COUT=>co5_2); + + precin_inst288: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"0000", + INIT0=> X"0000") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>precin); + + wcnt_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>cnt_con, A1=>wcount_0, B0=>cnt_con_inv, B1=>rptr_0, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>precin, S0=>open, S1=>wcnt_sub_0, COUT=>co0_5); + + wcnt_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_1, A1=>wcount_2, B0=>rptr_1, B1=>rptr_2, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_5, S0=>wcnt_sub_1, S1=>wcnt_sub_2, COUT=>co1_5); + + wcnt_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_3, A1=>wcount_4, B0=>rptr_3, B1=>rptr_4, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_5, S0=>wcnt_sub_3, S1=>wcnt_sub_4, COUT=>co2_5); + + wcnt_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_5, A1=>wcount_6, B0=>rptr_5, B1=>rptr_6, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_5, S0=>wcnt_sub_5, S1=>wcnt_sub_6, COUT=>co3_5); + + wcnt_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_7, A1=>wcount_8, B0=>rptr_7, B1=>rptr_8, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_5, S0=>wcnt_sub_7, S1=>wcnt_sub_8, COUT=>co4_5); + + wcnt_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_9, A1=>wcnt_sub_msb, B0=>rptr_9, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_5, S0=>wcnt_sub_9, S1=>wcnt_sub_10, + COUT=>co5_3); + + wcntd: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_3, S0=>co5_3d, S1=>open, COUT=>open); + + af_set_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>wren_i, B0=>scuba_vlo, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_2); + + af_set_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_0, A1=>wcnt_reg_1, B0=>AmFullThresh(0), + B1=>AmFullThresh(1), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>cmp_ci_2, S0=>open, + S1=>open, COUT=>co0_6); + + af_set_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_2, A1=>wcnt_reg_3, B0=>AmFullThresh(2), + B1=>AmFullThresh(3), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co0_6, S0=>open, S1=>open, + COUT=>co1_6); + + af_set_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_4, A1=>wcnt_reg_5, B0=>AmFullThresh(4), + B1=>AmFullThresh(5), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co1_6, S0=>open, S1=>open, + COUT=>co2_6); + + af_set_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_6, A1=>wcnt_reg_7, B0=>AmFullThresh(6), + B1=>AmFullThresh(7), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co2_6, S0=>open, S1=>open, + COUT=>co3_6); + + af_set_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_8, A1=>wcnt_reg_9, B0=>AmFullThresh(8), + B1=>AmFullThresh(9), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co3_6, S0=>open, S1=>open, + COUT=>co4_6); + + af_set_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_10, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_6, S0=>open, S1=>open, + COUT=>af_set_c); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + a2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>af_set_c, S0=>af_set, S1=>open, + COUT=>open); + + WCNT(0) <= fcount_0; + WCNT(1) <= fcount_1; + WCNT(2) <= fcount_2; + WCNT(3) <= fcount_3; + WCNT(4) <= fcount_4; + WCNT(5) <= fcount_5; + WCNT(6) <= fcount_6; + WCNT(7) <= fcount_7; + WCNT(8) <= fcount_8; + WCNT(9) <= fcount_9; + WCNT(10) <= fcount_10; + Empty <= empty_i; + Full <= full_i; +end Structure; diff --git a/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg_ngd.asd b/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg_ngd.asd new file mode 100644 index 0000000..c265c78 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x1k_oreg/fifo_36x1k_oreg_ngd.asd @@ -0,0 +1 @@ +[ActiveSupport NGD] diff --git a/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.cst b/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.cst new file mode 100644 index 0000000..644f757 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.cst @@ -0,0 +1,3 @@ +Date=03/18/2015 +Time=14:41:09 + diff --git a/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.edn b/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.edn new file mode 100644 index 0000000..7dc903a --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.edn @@ -0,0 +1,3557 @@ +(edif fifo_36x2k_oreg + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timestamp 2015 3 18 14 41 11) + (program "SCUBA" (version "Diamond (64-bit) 3.4.0.80")))) + (comment "/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n fifo_36x2k_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 2048 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill -fdc /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.fdc ") + (library ORCLIB + (edifLevel 0) + (technology + (numberDefinition)) + (cell CCU2C + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A0 + (direction INPUT)) + (port A1 + (direction INPUT)) + (port B0 + (direction INPUT)) + (port B1 + (direction INPUT)) + (port C0 + (direction INPUT)) + (port C1 + (direction INPUT)) + (port D0 + (direction INPUT)) + (port D1 + (direction INPUT)) + (port CIN + (direction INPUT)) + (port S0 + (direction OUTPUT)) + (port S1 + (direction OUTPUT)) + (port COUT + (direction OUTPUT))))) + (cell AND2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell FD1P3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1P3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell INV + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell ROM16X1A + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port AD3 + (direction INPUT)) + (port AD2 + (direction INPUT)) + (port AD1 + (direction INPUT)) + (port AD0 + (direction INPUT)) + (port DO0 + (direction OUTPUT))))) + (cell VHI + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell VLO + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell XOR2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell DP16KD + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port DIA17 + (direction INPUT)) + (port DIA16 + (direction INPUT)) + (port DIA15 + (direction INPUT)) + (port DIA14 + (direction INPUT)) + (port DIA13 + (direction INPUT)) + (port DIA12 + (direction INPUT)) + (port DIA11 + (direction INPUT)) + (port DIA10 + (direction INPUT)) + (port DIA9 + (direction INPUT)) + (port DIA8 + (direction INPUT)) + (port DIA7 + (direction INPUT)) + (port DIA6 + (direction INPUT)) + (port DIA5 + (direction INPUT)) + (port DIA4 + (direction INPUT)) + (port DIA3 + (direction INPUT)) + (port DIA2 + (direction INPUT)) + (port DIA1 + (direction INPUT)) + (port DIA0 + (direction INPUT)) + (port ADA13 + (direction INPUT)) + (port ADA12 + (direction INPUT)) + (port ADA11 + (direction INPUT)) + (port ADA10 + (direction INPUT)) + (port ADA9 + (direction INPUT)) + (port ADA8 + (direction INPUT)) + (port ADA7 + (direction INPUT)) + (port ADA6 + (direction INPUT)) + (port ADA5 + (direction INPUT)) + (port ADA4 + (direction INPUT)) + (port ADA3 + (direction INPUT)) + (port ADA2 + (direction INPUT)) + (port ADA1 + (direction INPUT)) + (port ADA0 + (direction INPUT)) + (port CEA + (direction INPUT)) + (port OCEA + (direction INPUT)) + (port CLKA + (direction INPUT)) + (port WEA + (direction INPUT)) + (port CSA2 + (direction INPUT)) + (port CSA1 + (direction INPUT)) + (port CSA0 + (direction INPUT)) + (port RSTA + (direction INPUT)) + (port DIB17 + (direction INPUT)) + (port DIB16 + (direction INPUT)) + (port DIB15 + (direction INPUT)) + (port DIB14 + (direction INPUT)) + (port DIB13 + (direction INPUT)) + (port DIB12 + (direction INPUT)) + (port DIB11 + (direction INPUT)) + (port DIB10 + (direction INPUT)) + (port DIB9 + (direction INPUT)) + (port DIB8 + (direction INPUT)) + (port DIB7 + (direction INPUT)) + (port DIB6 + (direction INPUT)) + (port DIB5 + (direction INPUT)) + (port DIB4 + (direction INPUT)) + (port DIB3 + (direction INPUT)) + (port DIB2 + (direction INPUT)) + (port DIB1 + (direction INPUT)) + (port DIB0 + (direction INPUT)) + (port ADB13 + (direction INPUT)) + (port ADB12 + (direction INPUT)) + (port ADB11 + (direction INPUT)) + (port ADB10 + (direction INPUT)) + (port ADB9 + (direction INPUT)) + (port ADB8 + (direction INPUT)) + (port ADB7 + (direction INPUT)) + (port ADB6 + (direction INPUT)) + (port ADB5 + (direction INPUT)) + (port ADB4 + (direction INPUT)) + (port ADB3 + (direction INPUT)) + (port ADB2 + (direction INPUT)) + (port ADB1 + (direction INPUT)) + (port ADB0 + (direction INPUT)) + (port CEB + (direction INPUT)) + (port OCEB + (direction INPUT)) + (port CLKB + (direction INPUT)) + (port WEB + (direction INPUT)) + (port CSB2 + (direction INPUT)) + (port CSB1 + (direction INPUT)) + (port CSB0 + (direction INPUT)) + (port RSTB + (direction INPUT)) + (port DOA17 + (direction OUTPUT)) + (port DOA16 + (direction OUTPUT)) + (port DOA15 + (direction OUTPUT)) + (port DOA14 + (direction OUTPUT)) + (port DOA13 + (direction OUTPUT)) + (port DOA12 + (direction OUTPUT)) + (port DOA11 + (direction OUTPUT)) + (port DOA10 + (direction OUTPUT)) + (port DOA9 + (direction OUTPUT)) + (port DOA8 + (direction OUTPUT)) + (port DOA7 + (direction OUTPUT)) + (port DOA6 + (direction OUTPUT)) + (port DOA5 + (direction OUTPUT)) + (port DOA4 + (direction OUTPUT)) + (port DOA3 + (direction OUTPUT)) + (port DOA2 + (direction OUTPUT)) + (port DOA1 + (direction OUTPUT)) + (port DOA0 + (direction OUTPUT)) + (port DOB17 + (direction OUTPUT)) + (port DOB16 + (direction OUTPUT)) + (port DOB15 + (direction OUTPUT)) + (port DOB14 + (direction OUTPUT)) + (port DOB13 + (direction OUTPUT)) + (port DOB12 + (direction OUTPUT)) + (port DOB11 + (direction OUTPUT)) + (port DOB10 + (direction OUTPUT)) + (port DOB9 + (direction OUTPUT)) + (port DOB8 + (direction OUTPUT)) + (port DOB7 + (direction OUTPUT)) + (port DOB6 + (direction OUTPUT)) + (port DOB5 + (direction OUTPUT)) + (port DOB4 + (direction OUTPUT)) + (port DOB3 + (direction OUTPUT)) + (port DOB2 + (direction OUTPUT)) + (port DOB1 + (direction OUTPUT)) + (port DOB0 + (direction OUTPUT))))) + (cell fifo_36x2k_oreg + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port (array (rename Data "Data(35:0)") 36) + (direction INPUT)) + (port Clock + (direction INPUT)) + (port WrEn + (direction INPUT)) + (port RdEn + (direction INPUT)) + (port Reset + (direction INPUT)) + (port (array (rename AmFullThresh "AmFullThresh(10:0)") 11) + (direction INPUT)) + (port (array (rename Q "Q(35:0)") 36) + (direction OUTPUT)) + (port (array (rename WCNT "WCNT(11:0)") 12) + (direction OUTPUT)) + (port Empty + (direction OUTPUT)) + (port Full + (direction OUTPUT)) + (port AlmostFull + (direction OUTPUT))) + (property NGD_DRC_MASK (integer 1)) + (contents + (instance AND2_t5 + (viewRef view1 + (cellRef AND2))) + (instance INV_5 + (viewRef view1 + (cellRef INV))) + (instance AND2_t4 + (viewRef view1 + (cellRef AND2))) + (instance INV_4 + (viewRef view1 + (cellRef INV))) + (instance AND2_t3 + (viewRef view1 + (cellRef AND2))) + (instance XOR2_t2 + (viewRef view1 + (cellRef XOR2))) + (instance INV_3 + (viewRef view1 + (cellRef INV))) + (instance INV_2 + (viewRef view1 + (cellRef INV))) + (instance LUT4_1 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance LUT4_0 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance AND2_t1 + (viewRef view1 + (cellRef AND2))) + (instance INV_1 + (viewRef view1 + (cellRef INV))) + (instance XOR2_t0 + (viewRef view1 + (cellRef XOR2))) + (instance INV_0 + (viewRef view1 + (cellRef INV))) + (instance pdp_ram_0_0_3 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x2k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_1_2 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x2k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_2_1 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x2k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_3_0 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x2k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance FF_74 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_73 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_72 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_71 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_70 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_69 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_68 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_67 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_66 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_65 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_64 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_63 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_62 + (viewRef view1 + (cellRef FD1S3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_61 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_60 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_59 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_58 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_57 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_56 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_55 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_54 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_53 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_52 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_51 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_50 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_49 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_48 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_47 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_46 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_45 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_44 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_43 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_42 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_41 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_40 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_39 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_38 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_37 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_36 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_35 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_34 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_33 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_32 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_31 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_30 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_29 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_28 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_27 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_26 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_25 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_24 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_23 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_22 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_21 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_20 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_19 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_18 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_17 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_16 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_15 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_14 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_13 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_12 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_11 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_10 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_9 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_8 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_7 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_6 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_5 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_4 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_3 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_2 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_1 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_0 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance bdcnt_bctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance bdcnt_bctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance e_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance precin_inst299 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x0000")) + (property INIT0 + (string "0x0000"))) + (instance wcnt_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance af_set_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance scuba_vhi_inst + (viewRef view1 + (cellRef VHI))) + (instance scuba_vlo_inst + (viewRef view1 + (cellRef VLO))) + (instance a2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (net invout_2 + (joined + (portRef Z (instanceRef INV_5)) + (portRef B (instanceRef AND2_t5)))) + (net invout_1 + (joined + (portRef Z (instanceRef INV_4)) + (portRef B (instanceRef AND2_t4)))) + (net rden_i_inv + (joined + (portRef Z (instanceRef INV_3)) + (portRef B (instanceRef AND2_t3)))) + (net invout_0 + (joined + (portRef Z (instanceRef INV_1)) + (portRef B (instanceRef AND2_t1)))) + (net r_nw + (joined + (portRef Z (instanceRef AND2_t1)))) + (net fcnt_en + (joined + (portRef SP (instanceRef FF_63)) + (portRef Z (instanceRef XOR2_t2)) + (portRef SP (instanceRef FF_74)) + (portRef SP (instanceRef FF_73)) + (portRef SP (instanceRef FF_72)) + (portRef SP (instanceRef FF_71)) + (portRef SP (instanceRef FF_70)) + (portRef SP (instanceRef FF_69)) + (portRef SP (instanceRef FF_68)) + (portRef SP (instanceRef FF_67)) + (portRef SP (instanceRef FF_66)) + (portRef SP (instanceRef FF_65)) + (portRef SP (instanceRef FF_64)))) + (net empty_d + (joined + (portRef D (instanceRef FF_62)) + (portRef DO0 (instanceRef LUT4_1)))) + (net full_d + (joined + (portRef D (instanceRef FF_61)) + (portRef DO0 (instanceRef LUT4_0)))) + (net wptr_0 + (joined + (portRef Q (instanceRef FF_36)) + (portRef ADA3 (instanceRef pdp_ram_0_0_3)) + (portRef ADA3 (instanceRef pdp_ram_0_1_2)) + (portRef ADA3 (instanceRef pdp_ram_0_2_1)) + (portRef ADA3 (instanceRef pdp_ram_0_3_0)))) + (net wptr_1 + (joined + (portRef Q (instanceRef FF_35)) + (portRef ADA4 (instanceRef pdp_ram_0_0_3)) + (portRef ADA4 (instanceRef pdp_ram_0_1_2)) + (portRef ADA4 (instanceRef pdp_ram_0_2_1)) + (portRef ADA4 (instanceRef pdp_ram_0_3_0)))) + (net wptr_2 + (joined + (portRef Q (instanceRef FF_34)) + (portRef ADA5 (instanceRef pdp_ram_0_0_3)) + (portRef ADA5 (instanceRef pdp_ram_0_1_2)) + (portRef ADA5 (instanceRef pdp_ram_0_2_1)) + (portRef ADA5 (instanceRef pdp_ram_0_3_0)))) + (net wptr_3 + (joined + (portRef Q (instanceRef FF_33)) + (portRef ADA6 (instanceRef pdp_ram_0_0_3)) + (portRef ADA6 (instanceRef pdp_ram_0_1_2)) + (portRef ADA6 (instanceRef pdp_ram_0_2_1)) + (portRef ADA6 (instanceRef pdp_ram_0_3_0)))) + (net wptr_4 + (joined + (portRef Q (instanceRef FF_32)) + (portRef ADA7 (instanceRef pdp_ram_0_0_3)) + (portRef ADA7 (instanceRef pdp_ram_0_1_2)) + (portRef ADA7 (instanceRef pdp_ram_0_2_1)) + (portRef ADA7 (instanceRef pdp_ram_0_3_0)))) + (net wptr_5 + (joined + (portRef Q (instanceRef FF_31)) + (portRef ADA8 (instanceRef pdp_ram_0_0_3)) + (portRef ADA8 (instanceRef pdp_ram_0_1_2)) + (portRef ADA8 (instanceRef pdp_ram_0_2_1)) + (portRef ADA8 (instanceRef pdp_ram_0_3_0)))) + (net wptr_6 + (joined + (portRef Q (instanceRef FF_30)) + (portRef ADA9 (instanceRef pdp_ram_0_0_3)) + (portRef ADA9 (instanceRef pdp_ram_0_1_2)) + (portRef ADA9 (instanceRef pdp_ram_0_2_1)) + (portRef ADA9 (instanceRef pdp_ram_0_3_0)))) + (net wptr_7 + (joined + (portRef Q (instanceRef FF_29)) + (portRef ADA10 (instanceRef pdp_ram_0_0_3)) + (portRef ADA10 (instanceRef pdp_ram_0_1_2)) + (portRef ADA10 (instanceRef pdp_ram_0_2_1)) + (portRef ADA10 (instanceRef pdp_ram_0_3_0)))) + (net wptr_8 + (joined + (portRef Q (instanceRef FF_28)) + (portRef ADA11 (instanceRef pdp_ram_0_0_3)) + (portRef ADA11 (instanceRef pdp_ram_0_1_2)) + (portRef ADA11 (instanceRef pdp_ram_0_2_1)) + (portRef ADA11 (instanceRef pdp_ram_0_3_0)))) + (net wptr_9 + (joined + (portRef Q (instanceRef FF_27)) + (portRef ADA12 (instanceRef pdp_ram_0_0_3)) + (portRef ADA12 (instanceRef pdp_ram_0_1_2)) + (portRef ADA12 (instanceRef pdp_ram_0_2_1)) + (portRef ADA12 (instanceRef pdp_ram_0_3_0)))) + (net wptr_10 + (joined + (portRef Q (instanceRef FF_26)) + (portRef ADA13 (instanceRef pdp_ram_0_0_3)) + (portRef ADA13 (instanceRef pdp_ram_0_1_2)) + (portRef ADA13 (instanceRef pdp_ram_0_2_1)) + (portRef ADA13 (instanceRef pdp_ram_0_3_0)))) + (net wptr_11 + (joined + (portRef Q (instanceRef FF_25)))) + (net rptr_11 + (joined + (portRef Q (instanceRef FF_13)) + (portRef B (instanceRef XOR2_t0)))) + (net ifcount_0 + (joined + (portRef S0 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_74)))) + (net ifcount_1 + (joined + (portRef S1 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_73)))) + (net bdcnt_bctr_ci + (joined + (portRef CIN (instanceRef bdcnt_bctr_0)) + (portRef COUT (instanceRef bdcnt_bctr_cia)))) + (net ifcount_2 + (joined + (portRef S0 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_72)))) + (net ifcount_3 + (joined + (portRef S1 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_71)))) + (net co0 + (joined + (portRef CIN (instanceRef bdcnt_bctr_1)) + (portRef COUT (instanceRef bdcnt_bctr_0)))) + (net ifcount_4 + (joined + (portRef S0 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_70)))) + (net ifcount_5 + (joined + (portRef S1 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_69)))) + (net co1 + (joined + (portRef CIN (instanceRef bdcnt_bctr_2)) + (portRef COUT (instanceRef bdcnt_bctr_1)))) + (net ifcount_6 + (joined + (portRef S0 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_68)))) + (net ifcount_7 + (joined + (portRef S1 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_67)))) + (net co2 + (joined + (portRef CIN (instanceRef bdcnt_bctr_3)) + (portRef COUT (instanceRef bdcnt_bctr_2)))) + (net ifcount_8 + (joined + (portRef S0 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_66)))) + (net ifcount_9 + (joined + (portRef S1 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_65)))) + (net co3 + (joined + (portRef CIN (instanceRef bdcnt_bctr_4)) + (portRef COUT (instanceRef bdcnt_bctr_3)))) + (net ifcount_10 + (joined + (portRef S0 (instanceRef bdcnt_bctr_5)) + (portRef D (instanceRef FF_64)))) + (net ifcount_11 + (joined + (portRef S1 (instanceRef bdcnt_bctr_5)) + (portRef D (instanceRef FF_63)))) + (net co5 + (joined + (portRef COUT (instanceRef bdcnt_bctr_5)))) + (net co4 + (joined + (portRef CIN (instanceRef bdcnt_bctr_5)) + (portRef COUT (instanceRef bdcnt_bctr_4)))) + (net cmp_ci + (joined + (portRef CIN (instanceRef e_cmp_0)) + (portRef COUT (instanceRef e_cmp_ci_a)))) + (net rden_i + (joined + (portRef A0 (instanceRef e_cmp_0)) + (portRef Z (instanceRef AND2_t4)) + (portRef B (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_3)) + (portRef AD1 (instanceRef LUT4_0)) + (portRef A (instanceRef AND2_t1)) + (portRef CEB (instanceRef pdp_ram_0_0_3)) + (portRef CEB (instanceRef pdp_ram_0_1_2)) + (portRef CEB (instanceRef pdp_ram_0_2_1)) + (portRef CEB (instanceRef pdp_ram_0_3_0)) + (portRef SP (instanceRef FF_48)) + (portRef SP (instanceRef FF_47)) + (portRef SP (instanceRef FF_46)) + (portRef SP (instanceRef FF_45)) + (portRef SP (instanceRef FF_44)) + (portRef SP (instanceRef FF_43)) + (portRef SP (instanceRef FF_42)) + (portRef SP (instanceRef FF_41)) + (portRef SP (instanceRef FF_40)) + (portRef SP (instanceRef FF_39)) + (portRef SP (instanceRef FF_38)) + (portRef SP (instanceRef FF_37)) + (portRef SP (instanceRef FF_24)) + (portRef SP (instanceRef FF_23)) + (portRef SP (instanceRef FF_22)) + (portRef SP (instanceRef FF_21)) + (portRef SP (instanceRef FF_20)) + (portRef SP (instanceRef FF_19)) + (portRef SP (instanceRef FF_18)) + (portRef SP (instanceRef FF_17)) + (portRef SP (instanceRef FF_16)) + (portRef SP (instanceRef FF_15)) + (portRef SP (instanceRef FF_14)) + (portRef SP (instanceRef FF_13)))) + (net co0_1 + (joined + (portRef CIN (instanceRef e_cmp_1)) + (portRef COUT (instanceRef e_cmp_0)))) + (net co1_1 + (joined + (portRef CIN (instanceRef e_cmp_2)) + (portRef COUT (instanceRef e_cmp_1)))) + (net co2_1 + (joined + (portRef CIN (instanceRef e_cmp_3)) + (portRef COUT (instanceRef e_cmp_2)))) + (net co3_1 + (joined + (portRef CIN (instanceRef e_cmp_4)) + (portRef COUT (instanceRef e_cmp_3)))) + (net co4_1 + (joined + (portRef CIN (instanceRef e_cmp_5)) + (portRef COUT (instanceRef e_cmp_4)))) + (net cmp_le_1 + (joined + (portRef S0 (instanceRef a0)) + (portRef AD2 (instanceRef LUT4_1)))) + (net cmp_le_1_c + (joined + (portRef CIN (instanceRef a0)) + (portRef COUT (instanceRef e_cmp_5)))) + (net cmp_ci_1 + (joined + (portRef CIN (instanceRef g_cmp_0)) + (portRef COUT (instanceRef g_cmp_ci_a)))) + (net co0_2 + (joined + (portRef CIN (instanceRef g_cmp_1)) + (portRef COUT (instanceRef g_cmp_0)))) + (net co1_2 + (joined + (portRef CIN (instanceRef g_cmp_2)) + (portRef COUT (instanceRef g_cmp_1)))) + (net co2_2 + (joined + (portRef CIN (instanceRef g_cmp_3)) + (portRef COUT (instanceRef g_cmp_2)))) + (net co3_2 + (joined + (portRef CIN (instanceRef g_cmp_4)) + (portRef COUT (instanceRef g_cmp_3)))) + (net co4_2 + (joined + (portRef CIN (instanceRef g_cmp_5)) + (portRef COUT (instanceRef g_cmp_4)))) + (net wren_i_inv + (joined + (portRef B1 (instanceRef g_cmp_5)) + (portRef Z (instanceRef INV_2)))) + (net cmp_ge_d1 + (joined + (portRef S0 (instanceRef a1)) + (portRef AD2 (instanceRef LUT4_0)))) + (net cmp_ge_d1_c + (joined + (portRef CIN (instanceRef a1)) + (portRef COUT (instanceRef g_cmp_5)))) + (net iwcount_0 + (joined + (portRef S0 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_60)))) + (net iwcount_1 + (joined + (portRef S1 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_59)))) + (net w_ctr_ci + (joined + (portRef CIN (instanceRef w_ctr_0)) + (portRef COUT (instanceRef w_ctr_cia)))) + (net iwcount_2 + (joined + (portRef S0 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_58)))) + (net iwcount_3 + (joined + (portRef S1 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_57)))) + (net co0_3 + (joined + (portRef CIN (instanceRef w_ctr_1)) + (portRef COUT (instanceRef w_ctr_0)))) + (net iwcount_4 + (joined + (portRef S0 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_56)))) + (net iwcount_5 + (joined + (portRef S1 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_55)))) + (net co1_3 + (joined + (portRef CIN (instanceRef w_ctr_2)) + (portRef COUT (instanceRef w_ctr_1)))) + (net iwcount_6 + (joined + (portRef S0 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_54)))) + (net iwcount_7 + (joined + (portRef S1 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_53)))) + (net co2_3 + (joined + (portRef CIN (instanceRef w_ctr_3)) + (portRef COUT (instanceRef w_ctr_2)))) + (net iwcount_8 + (joined + (portRef S0 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_52)))) + (net iwcount_9 + (joined + (portRef S1 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_51)))) + (net co3_3 + (joined + (portRef CIN (instanceRef w_ctr_4)) + (portRef COUT (instanceRef w_ctr_3)))) + (net iwcount_10 + (joined + (portRef S0 (instanceRef w_ctr_5)) + (portRef D (instanceRef FF_50)))) + (net iwcount_11 + (joined + (portRef S1 (instanceRef w_ctr_5)) + (portRef D (instanceRef FF_49)))) + (net co5_1 + (joined + (portRef COUT (instanceRef w_ctr_5)))) + (net co4_3 + (joined + (portRef CIN (instanceRef w_ctr_5)) + (portRef COUT (instanceRef w_ctr_4)))) + (net wcount_11 + (joined + (portRef A1 (instanceRef w_ctr_5)) + (portRef A (instanceRef XOR2_t0)) + (portRef Q (instanceRef FF_49)) + (portRef D (instanceRef FF_25)))) + (net ircount_0 + (joined + (portRef S0 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_48)))) + (net ircount_1 + (joined + (portRef S1 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_47)))) + (net r_ctr_ci + (joined + (portRef CIN (instanceRef r_ctr_0)) + (portRef COUT (instanceRef r_ctr_cia)))) + (net rcount_0 + (joined + (portRef A0 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_48)) + (portRef D (instanceRef FF_24)))) + (net rcount_1 + (joined + (portRef A1 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_47)) + (portRef D (instanceRef FF_23)))) + (net ircount_2 + (joined + (portRef S0 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_46)))) + (net ircount_3 + (joined + (portRef S1 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_45)))) + (net co0_4 + (joined + (portRef CIN (instanceRef r_ctr_1)) + (portRef COUT (instanceRef r_ctr_0)))) + (net rcount_2 + (joined + (portRef A0 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_46)) + (portRef D (instanceRef FF_22)))) + (net rcount_3 + (joined + (portRef A1 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_45)) + (portRef D (instanceRef FF_21)))) + (net ircount_4 + (joined + (portRef S0 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_44)))) + (net ircount_5 + (joined + (portRef S1 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_43)))) + (net co1_4 + (joined + (portRef CIN (instanceRef r_ctr_2)) + (portRef COUT (instanceRef r_ctr_1)))) + (net rcount_4 + (joined + (portRef A0 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_44)) + (portRef D (instanceRef FF_20)))) + (net rcount_5 + (joined + (portRef A1 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_43)) + (portRef D (instanceRef FF_19)))) + (net ircount_6 + (joined + (portRef S0 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_42)))) + (net ircount_7 + (joined + (portRef S1 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_41)))) + (net co2_4 + (joined + (portRef CIN (instanceRef r_ctr_3)) + (portRef COUT (instanceRef r_ctr_2)))) + (net rcount_6 + (joined + (portRef A0 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_42)) + (portRef D (instanceRef FF_18)))) + (net rcount_7 + (joined + (portRef A1 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_41)) + (portRef D (instanceRef FF_17)))) + (net ircount_8 + (joined + (portRef S0 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_40)))) + (net ircount_9 + (joined + (portRef S1 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_39)))) + (net co3_4 + (joined + (portRef CIN (instanceRef r_ctr_4)) + (portRef COUT (instanceRef r_ctr_3)))) + (net rcount_8 + (joined + (portRef A0 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_40)) + (portRef D (instanceRef FF_16)))) + (net rcount_9 + (joined + (portRef A1 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_39)) + (portRef D (instanceRef FF_15)))) + (net ircount_10 + (joined + (portRef S0 (instanceRef r_ctr_5)) + (portRef D (instanceRef FF_38)))) + (net ircount_11 + (joined + (portRef S1 (instanceRef r_ctr_5)) + (portRef D (instanceRef FF_37)))) + (net co5_2 + (joined + (portRef COUT (instanceRef r_ctr_5)))) + (net co4_4 + (joined + (portRef CIN (instanceRef r_ctr_5)) + (portRef COUT (instanceRef r_ctr_4)))) + (net rcount_10 + (joined + (portRef A0 (instanceRef r_ctr_5)) + (portRef Q (instanceRef FF_38)) + (portRef D (instanceRef FF_14)))) + (net rcount_11 + (joined + (portRef A1 (instanceRef r_ctr_5)) + (portRef Q (instanceRef FF_37)) + (portRef D (instanceRef FF_13)))) + (net wcnt_sub_0 + (joined + (portRef S1 (instanceRef wcnt_0)) + (portRef D (instanceRef FF_12)))) + (net rptr_0 + (joined + (portRef B1 (instanceRef wcnt_0)) + (portRef ADB3 (instanceRef pdp_ram_0_0_3)) + (portRef ADB3 (instanceRef pdp_ram_0_1_2)) + (portRef ADB3 (instanceRef pdp_ram_0_2_1)) + (portRef ADB3 (instanceRef pdp_ram_0_3_0)) + (portRef Q (instanceRef FF_24)))) + (net cnt_con_inv + (joined + (portRef B0 (instanceRef wcnt_0)) + (portRef Z (instanceRef INV_0)))) + (net wcount_0 + (joined + (portRef A1 (instanceRef wcnt_0)) + (portRef Q (instanceRef FF_60)) + (portRef D (instanceRef FF_36)) + (portRef A0 (instanceRef w_ctr_0)))) + (net cnt_con + (joined + (portRef A0 (instanceRef wcnt_0)) + (portRef Z (instanceRef AND2_t3)) + (portRef A (instanceRef INV_0)) + (portRef B1 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_cia)) + (portRef B1 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef bdcnt_bctr_4)) + (portRef B1 (instanceRef bdcnt_bctr_5)) + (portRef B0 (instanceRef bdcnt_bctr_5)))) + (net precin + (joined + (portRef CIN (instanceRef wcnt_0)) + (portRef COUT (instanceRef precin_inst299)))) + (net wcnt_sub_1 + (joined + (portRef S0 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_11)))) + (net wcnt_sub_2 + (joined + (portRef S1 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_10)))) + (net rptr_2 + (joined + (portRef B1 (instanceRef wcnt_1)) + (portRef ADB5 (instanceRef pdp_ram_0_0_3)) + (portRef ADB5 (instanceRef pdp_ram_0_1_2)) + (portRef ADB5 (instanceRef pdp_ram_0_2_1)) + (portRef ADB5 (instanceRef pdp_ram_0_3_0)) + (portRef Q (instanceRef FF_22)))) + (net rptr_1 + (joined + (portRef B0 (instanceRef wcnt_1)) + (portRef ADB4 (instanceRef pdp_ram_0_0_3)) + (portRef ADB4 (instanceRef pdp_ram_0_1_2)) + (portRef ADB4 (instanceRef pdp_ram_0_2_1)) + (portRef ADB4 (instanceRef pdp_ram_0_3_0)) + (portRef Q (instanceRef FF_23)))) + (net wcount_2 + (joined + (portRef A1 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_58)) + (portRef D (instanceRef FF_34)) + (portRef A0 (instanceRef w_ctr_1)))) + (net wcount_1 + (joined + (portRef A0 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_59)) + (portRef D (instanceRef FF_35)) + (portRef A1 (instanceRef w_ctr_0)))) + (net co0_5 + (joined + (portRef CIN (instanceRef wcnt_1)) + (portRef COUT (instanceRef wcnt_0)))) + (net wcnt_sub_3 + (joined + (portRef S0 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_9)))) + (net wcnt_sub_4 + (joined + (portRef S1 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_8)))) + (net rptr_4 + (joined + (portRef B1 (instanceRef wcnt_2)) + (portRef ADB7 (instanceRef pdp_ram_0_0_3)) + (portRef ADB7 (instanceRef pdp_ram_0_1_2)) + (portRef ADB7 (instanceRef pdp_ram_0_2_1)) + (portRef ADB7 (instanceRef pdp_ram_0_3_0)) + (portRef Q (instanceRef FF_20)))) + (net rptr_3 + (joined + (portRef B0 (instanceRef wcnt_2)) + (portRef ADB6 (instanceRef pdp_ram_0_0_3)) + (portRef ADB6 (instanceRef pdp_ram_0_1_2)) + (portRef ADB6 (instanceRef pdp_ram_0_2_1)) + (portRef ADB6 (instanceRef pdp_ram_0_3_0)) + (portRef Q (instanceRef FF_21)))) + (net wcount_4 + (joined + (portRef A1 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_56)) + (portRef D (instanceRef FF_32)) + (portRef A0 (instanceRef w_ctr_2)))) + (net wcount_3 + (joined + (portRef A0 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_57)) + (portRef D (instanceRef FF_33)) + (portRef A1 (instanceRef w_ctr_1)))) + (net co1_5 + (joined + (portRef CIN (instanceRef wcnt_2)) + (portRef COUT (instanceRef wcnt_1)))) + (net wcnt_sub_5 + (joined + (portRef S0 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_7)))) + (net wcnt_sub_6 + (joined + (portRef S1 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_6)))) + (net rptr_6 + (joined + (portRef B1 (instanceRef wcnt_3)) + (portRef ADB9 (instanceRef pdp_ram_0_0_3)) + (portRef ADB9 (instanceRef pdp_ram_0_1_2)) + (portRef ADB9 (instanceRef pdp_ram_0_2_1)) + (portRef ADB9 (instanceRef pdp_ram_0_3_0)) + (portRef Q (instanceRef FF_18)))) + (net rptr_5 + (joined + (portRef B0 (instanceRef wcnt_3)) + (portRef ADB8 (instanceRef pdp_ram_0_0_3)) + (portRef ADB8 (instanceRef pdp_ram_0_1_2)) + (portRef ADB8 (instanceRef pdp_ram_0_2_1)) + (portRef ADB8 (instanceRef pdp_ram_0_3_0)) + (portRef Q (instanceRef FF_19)))) + (net wcount_6 + (joined + (portRef A1 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_54)) + (portRef D (instanceRef FF_30)) + (portRef A0 (instanceRef w_ctr_3)))) + (net wcount_5 + (joined + (portRef A0 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_55)) + (portRef D (instanceRef FF_31)) + (portRef A1 (instanceRef w_ctr_2)))) + (net co2_5 + (joined + (portRef CIN (instanceRef wcnt_3)) + (portRef COUT (instanceRef wcnt_2)))) + (net wcnt_sub_7 + (joined + (portRef S0 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_5)))) + (net wcnt_sub_8 + (joined + (portRef S1 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_4)))) + (net rptr_8 + (joined + (portRef B1 (instanceRef wcnt_4)) + (portRef ADB11 (instanceRef pdp_ram_0_0_3)) + (portRef ADB11 (instanceRef pdp_ram_0_1_2)) + (portRef ADB11 (instanceRef pdp_ram_0_2_1)) + (portRef ADB11 (instanceRef pdp_ram_0_3_0)) + (portRef Q (instanceRef FF_16)))) + (net rptr_7 + (joined + (portRef B0 (instanceRef wcnt_4)) + (portRef ADB10 (instanceRef pdp_ram_0_0_3)) + (portRef ADB10 (instanceRef pdp_ram_0_1_2)) + (portRef ADB10 (instanceRef pdp_ram_0_2_1)) + (portRef ADB10 (instanceRef pdp_ram_0_3_0)) + (portRef Q (instanceRef FF_17)))) + (net wcount_8 + (joined + (portRef A1 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_52)) + (portRef D (instanceRef FF_28)) + (portRef A0 (instanceRef w_ctr_4)))) + (net wcount_7 + (joined + (portRef A0 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_53)) + (portRef D (instanceRef FF_29)) + (portRef A1 (instanceRef w_ctr_3)))) + (net co3_5 + (joined + (portRef CIN (instanceRef wcnt_4)) + (portRef COUT (instanceRef wcnt_3)))) + (net wcnt_sub_9 + (joined + (portRef S0 (instanceRef wcnt_5)) + (portRef D (instanceRef FF_3)))) + (net wcnt_sub_10 + (joined + (portRef S1 (instanceRef wcnt_5)) + (portRef D (instanceRef FF_2)))) + (net rptr_10 + (joined + (portRef B1 (instanceRef wcnt_5)) + (portRef ADB13 (instanceRef pdp_ram_0_0_3)) + (portRef ADB13 (instanceRef pdp_ram_0_1_2)) + (portRef ADB13 (instanceRef pdp_ram_0_2_1)) + (portRef ADB13 (instanceRef pdp_ram_0_3_0)) + (portRef Q (instanceRef FF_14)))) + (net rptr_9 + (joined + (portRef B0 (instanceRef wcnt_5)) + (portRef ADB12 (instanceRef pdp_ram_0_0_3)) + (portRef ADB12 (instanceRef pdp_ram_0_1_2)) + (portRef ADB12 (instanceRef pdp_ram_0_2_1)) + (portRef ADB12 (instanceRef pdp_ram_0_3_0)) + (portRef Q (instanceRef FF_15)))) + (net wcount_10 + (joined + (portRef A1 (instanceRef wcnt_5)) + (portRef Q (instanceRef FF_50)) + (portRef D (instanceRef FF_26)) + (portRef A0 (instanceRef w_ctr_5)))) + (net wcount_9 + (joined + (portRef A0 (instanceRef wcnt_5)) + (portRef Q (instanceRef FF_51)) + (portRef D (instanceRef FF_27)) + (portRef A1 (instanceRef w_ctr_4)))) + (net co4_5 + (joined + (portRef CIN (instanceRef wcnt_5)) + (portRef COUT (instanceRef wcnt_4)))) + (net wcnt_sub_11 + (joined + (portRef S0 (instanceRef wcnt_6)) + (portRef D (instanceRef FF_1)))) + (net wcnt_sub_msb + (joined + (portRef A0 (instanceRef wcnt_6)) + (portRef Z (instanceRef XOR2_t0)))) + (net co5_3 + (joined + (portRef CIN (instanceRef wcnt_6)) + (portRef COUT (instanceRef wcnt_5)))) + (net wren_i + (joined + (portRef A1 (instanceRef af_set_cmp_ci_a)) + (portRef Z (instanceRef AND2_t5)) + (portRef A (instanceRef AND2_t3)) + (portRef A (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_2)) + (portRef AD1 (instanceRef LUT4_1)) + (portRef A (instanceRef INV_1)) + (portRef OCEA (instanceRef pdp_ram_0_0_3)) + (portRef CEA (instanceRef pdp_ram_0_0_3)) + (portRef OCEA (instanceRef pdp_ram_0_1_2)) + (portRef CEA (instanceRef pdp_ram_0_1_2)) + (portRef OCEA (instanceRef pdp_ram_0_2_1)) + (portRef CEA (instanceRef pdp_ram_0_2_1)) + (portRef OCEA (instanceRef pdp_ram_0_3_0)) + (portRef CEA (instanceRef pdp_ram_0_3_0)) + (portRef SP (instanceRef FF_60)) + (portRef SP (instanceRef FF_59)) + (portRef SP (instanceRef FF_58)) + (portRef SP (instanceRef FF_57)) + (portRef SP (instanceRef FF_56)) + (portRef SP (instanceRef FF_55)) + (portRef SP (instanceRef FF_54)) + (portRef SP (instanceRef FF_53)) + (portRef SP (instanceRef FF_52)) + (portRef SP (instanceRef FF_51)) + (portRef SP (instanceRef FF_50)) + (portRef SP (instanceRef FF_49)) + (portRef SP (instanceRef FF_36)) + (portRef SP (instanceRef FF_35)) + (portRef SP (instanceRef FF_34)) + (portRef SP (instanceRef FF_33)) + (portRef SP (instanceRef FF_32)) + (portRef SP (instanceRef FF_31)) + (portRef SP (instanceRef FF_30)) + (portRef SP (instanceRef FF_29)) + (portRef SP (instanceRef FF_28)) + (portRef SP (instanceRef FF_27)) + (portRef SP (instanceRef FF_26)) + (portRef SP (instanceRef FF_25)) + (portRef B0 (instanceRef g_cmp_0)) + (portRef B1 (instanceRef g_cmp_0)) + (portRef B0 (instanceRef g_cmp_1)) + (portRef B1 (instanceRef g_cmp_1)) + (portRef B0 (instanceRef g_cmp_2)) + (portRef B1 (instanceRef g_cmp_2)) + (portRef B0 (instanceRef g_cmp_3)) + (portRef B1 (instanceRef g_cmp_3)) + (portRef B0 (instanceRef g_cmp_4)) + (portRef B1 (instanceRef g_cmp_4)) + (portRef B0 (instanceRef g_cmp_5)) + (portRef B1 (instanceRef af_set_cmp_ci_a)))) + (net x + (joined + (portRef CIN (instanceRef af_set_cmp_ci_a)) + (portRef CIN (instanceRef bdcnt_bctr_cia)) + (portRef CIN (instanceRef e_cmp_ci_a)) + (portRef CIN (instanceRef g_cmp_ci_a)) + (portRef CIN (instanceRef w_ctr_cia)) + (portRef CIN (instanceRef r_ctr_cia)) + (portRef CIN (instanceRef precin_inst299)))) + (net cmp_ci_2 + (joined + (portRef CIN (instanceRef af_set_cmp_0)) + (portRef COUT (instanceRef af_set_cmp_ci_a)))) + (net wcnt_reg_0 + (joined + (portRef A0 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_12)))) + (net wcnt_reg_1 + (joined + (portRef A1 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_11)))) + (net co0_6 + (joined + (portRef CIN (instanceRef af_set_cmp_1)) + (portRef COUT (instanceRef af_set_cmp_0)))) + (net wcnt_reg_2 + (joined + (portRef A0 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_10)))) + (net wcnt_reg_3 + (joined + (portRef A1 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_9)))) + (net co1_6 + (joined + (portRef CIN (instanceRef af_set_cmp_2)) + (portRef COUT (instanceRef af_set_cmp_1)))) + (net wcnt_reg_4 + (joined + (portRef A0 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_8)))) + (net wcnt_reg_5 + (joined + (portRef A1 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_7)))) + (net co2_6 + (joined + (portRef CIN (instanceRef af_set_cmp_3)) + (portRef COUT (instanceRef af_set_cmp_2)))) + (net wcnt_reg_6 + (joined + (portRef A0 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_6)))) + (net wcnt_reg_7 + (joined + (portRef A1 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_5)))) + (net co3_6 + (joined + (portRef CIN (instanceRef af_set_cmp_4)) + (portRef COUT (instanceRef af_set_cmp_3)))) + (net wcnt_reg_8 + (joined + (portRef A0 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_4)))) + (net wcnt_reg_9 + (joined + (portRef A1 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_3)))) + (net co4_6 + (joined + (portRef CIN (instanceRef af_set_cmp_5)) + (portRef COUT (instanceRef af_set_cmp_4)))) + (net wcnt_reg_10 + (joined + (portRef A0 (instanceRef af_set_cmp_5)) + (portRef Q (instanceRef FF_2)))) + (net wcnt_reg_11 + (joined + (portRef A1 (instanceRef af_set_cmp_5)) + (portRef Q (instanceRef FF_1)))) + (net af_set + (joined + (portRef S0 (instanceRef a2)) + (portRef D (instanceRef FF_0)))) + (net scuba_vhi + (joined + (portRef Z (instanceRef scuba_vhi_inst)) + (portRef OCEB (instanceRef pdp_ram_0_0_3)) + (portRef WEA (instanceRef pdp_ram_0_0_3)) + (portRef OCEB (instanceRef pdp_ram_0_1_2)) + (portRef WEA (instanceRef pdp_ram_0_1_2)) + (portRef OCEB (instanceRef pdp_ram_0_2_1)) + (portRef WEA (instanceRef pdp_ram_0_2_1)) + (portRef OCEB (instanceRef pdp_ram_0_3_0)) + (portRef WEA (instanceRef pdp_ram_0_3_0)) + (portRef C1 (instanceRef bdcnt_bctr_cia)) + (portRef C0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_cia)) + (portRef D0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_0)) + (portRef D0 (instanceRef bdcnt_bctr_0)) + (portRef C1 (instanceRef bdcnt_bctr_0)) + (portRef C0 (instanceRef bdcnt_bctr_0)) + (portRef D1 (instanceRef bdcnt_bctr_1)) + (portRef D0 (instanceRef bdcnt_bctr_1)) + (portRef C1 (instanceRef bdcnt_bctr_1)) + (portRef C0 (instanceRef bdcnt_bctr_1)) + (portRef D1 (instanceRef bdcnt_bctr_2)) + (portRef D0 (instanceRef bdcnt_bctr_2)) + (portRef C1 (instanceRef bdcnt_bctr_2)) + (portRef C0 (instanceRef bdcnt_bctr_2)) + (portRef D1 (instanceRef bdcnt_bctr_3)) + (portRef D0 (instanceRef bdcnt_bctr_3)) + (portRef C1 (instanceRef bdcnt_bctr_3)) + (portRef C0 (instanceRef bdcnt_bctr_3)) + (portRef D1 (instanceRef bdcnt_bctr_4)) + (portRef D0 (instanceRef bdcnt_bctr_4)) + (portRef C1 (instanceRef bdcnt_bctr_4)) + (portRef C0 (instanceRef bdcnt_bctr_4)) + (portRef D1 (instanceRef bdcnt_bctr_5)) + (portRef D0 (instanceRef bdcnt_bctr_5)) + (portRef C1 (instanceRef bdcnt_bctr_5)) + (portRef C0 (instanceRef bdcnt_bctr_5)) + (portRef C1 (instanceRef e_cmp_ci_a)) + (portRef C0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_ci_a)) + (portRef D0 (instanceRef e_cmp_ci_a)) + (portRef B1 (instanceRef e_cmp_ci_a)) + (portRef B0 (instanceRef e_cmp_ci_a)) + (portRef A1 (instanceRef e_cmp_ci_a)) + (portRef A0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_0)) + (portRef D0 (instanceRef e_cmp_0)) + (portRef C1 (instanceRef e_cmp_0)) + (portRef C0 (instanceRef e_cmp_0)) + (portRef D1 (instanceRef e_cmp_1)) + (portRef D0 (instanceRef e_cmp_1)) + (portRef C1 (instanceRef e_cmp_1)) + (portRef C0 (instanceRef e_cmp_1)) + (portRef D1 (instanceRef e_cmp_2)) + (portRef D0 (instanceRef e_cmp_2)) + (portRef C1 (instanceRef e_cmp_2)) + (portRef C0 (instanceRef e_cmp_2)) + (portRef D1 (instanceRef e_cmp_3)) + (portRef D0 (instanceRef e_cmp_3)) + (portRef C1 (instanceRef e_cmp_3)) + (portRef C0 (instanceRef e_cmp_3)) + (portRef D1 (instanceRef e_cmp_4)) + (portRef D0 (instanceRef e_cmp_4)) + (portRef C1 (instanceRef e_cmp_4)) + (portRef C0 (instanceRef e_cmp_4)) + (portRef D1 (instanceRef e_cmp_5)) + (portRef D0 (instanceRef e_cmp_5)) + (portRef C1 (instanceRef e_cmp_5)) + (portRef C0 (instanceRef e_cmp_5)) + (portRef C1 (instanceRef a0)) + (portRef C0 (instanceRef a0)) + (portRef D1 (instanceRef a0)) + (portRef D0 (instanceRef a0)) + (portRef C1 (instanceRef g_cmp_ci_a)) + (portRef C0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_ci_a)) + (portRef D0 (instanceRef g_cmp_ci_a)) + (portRef B1 (instanceRef g_cmp_ci_a)) + (portRef B0 (instanceRef g_cmp_ci_a)) + (portRef A1 (instanceRef g_cmp_ci_a)) + (portRef A0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_0)) + (portRef D0 (instanceRef g_cmp_0)) + (portRef C1 (instanceRef g_cmp_0)) + (portRef C0 (instanceRef g_cmp_0)) + (portRef D1 (instanceRef g_cmp_1)) + (portRef D0 (instanceRef g_cmp_1)) + (portRef C1 (instanceRef g_cmp_1)) + (portRef C0 (instanceRef g_cmp_1)) + (portRef D1 (instanceRef g_cmp_2)) + (portRef D0 (instanceRef g_cmp_2)) + (portRef C1 (instanceRef g_cmp_2)) + (portRef C0 (instanceRef g_cmp_2)) + (portRef D1 (instanceRef g_cmp_3)) + (portRef D0 (instanceRef g_cmp_3)) + (portRef C1 (instanceRef g_cmp_3)) + (portRef C0 (instanceRef g_cmp_3)) + (portRef D1 (instanceRef g_cmp_4)) + (portRef D0 (instanceRef g_cmp_4)) + (portRef C1 (instanceRef g_cmp_4)) + (portRef C0 (instanceRef g_cmp_4)) + (portRef D1 (instanceRef g_cmp_5)) + (portRef D0 (instanceRef g_cmp_5)) + (portRef C1 (instanceRef g_cmp_5)) + (portRef C0 (instanceRef g_cmp_5)) + (portRef C1 (instanceRef a1)) + (portRef C0 (instanceRef a1)) + (portRef D1 (instanceRef a1)) + (portRef D0 (instanceRef a1)) + (portRef C1 (instanceRef w_ctr_cia)) + (portRef C0 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_cia)) + (portRef D0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_cia)) + (portRef A1 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_0)) + (portRef D0 (instanceRef w_ctr_0)) + (portRef C1 (instanceRef w_ctr_0)) + (portRef C0 (instanceRef w_ctr_0)) + (portRef D1 (instanceRef w_ctr_1)) + (portRef D0 (instanceRef w_ctr_1)) + (portRef C1 (instanceRef w_ctr_1)) + (portRef C0 (instanceRef w_ctr_1)) + (portRef D1 (instanceRef w_ctr_2)) + (portRef D0 (instanceRef w_ctr_2)) + (portRef C1 (instanceRef w_ctr_2)) + (portRef C0 (instanceRef w_ctr_2)) + (portRef D1 (instanceRef w_ctr_3)) + (portRef D0 (instanceRef w_ctr_3)) + (portRef C1 (instanceRef w_ctr_3)) + (portRef C0 (instanceRef w_ctr_3)) + (portRef D1 (instanceRef w_ctr_4)) + (portRef D0 (instanceRef w_ctr_4)) + (portRef C1 (instanceRef w_ctr_4)) + (portRef C0 (instanceRef w_ctr_4)) + (portRef D1 (instanceRef w_ctr_5)) + (portRef D0 (instanceRef w_ctr_5)) + (portRef C1 (instanceRef w_ctr_5)) + (portRef C0 (instanceRef w_ctr_5)) + (portRef C1 (instanceRef r_ctr_cia)) + (portRef C0 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_cia)) + (portRef D0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_cia)) + (portRef A1 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_0)) + (portRef D0 (instanceRef r_ctr_0)) + (portRef C1 (instanceRef r_ctr_0)) + (portRef C0 (instanceRef r_ctr_0)) + (portRef D1 (instanceRef r_ctr_1)) + (portRef D0 (instanceRef r_ctr_1)) + (portRef C1 (instanceRef r_ctr_1)) + (portRef C0 (instanceRef r_ctr_1)) + (portRef D1 (instanceRef r_ctr_2)) + (portRef D0 (instanceRef r_ctr_2)) + (portRef C1 (instanceRef r_ctr_2)) + (portRef C0 (instanceRef r_ctr_2)) + (portRef D1 (instanceRef r_ctr_3)) + (portRef D0 (instanceRef r_ctr_3)) + (portRef C1 (instanceRef r_ctr_3)) + (portRef C0 (instanceRef r_ctr_3)) + (portRef D1 (instanceRef r_ctr_4)) + (portRef D0 (instanceRef r_ctr_4)) + (portRef C1 (instanceRef r_ctr_4)) + (portRef C0 (instanceRef r_ctr_4)) + (portRef D1 (instanceRef r_ctr_5)) + (portRef D0 (instanceRef r_ctr_5)) + (portRef C1 (instanceRef r_ctr_5)) + (portRef C0 (instanceRef r_ctr_5)) + (portRef C1 (instanceRef precin_inst299)) + (portRef C0 (instanceRef precin_inst299)) + (portRef D1 (instanceRef precin_inst299)) + (portRef D0 (instanceRef precin_inst299)) + (portRef B1 (instanceRef precin_inst299)) + (portRef B0 (instanceRef precin_inst299)) + (portRef A1 (instanceRef precin_inst299)) + (portRef A0 (instanceRef precin_inst299)) + (portRef C1 (instanceRef wcnt_0)) + (portRef C0 (instanceRef wcnt_0)) + (portRef D1 (instanceRef wcnt_0)) + (portRef D0 (instanceRef wcnt_0)) + (portRef C1 (instanceRef wcnt_1)) + (portRef C0 (instanceRef wcnt_1)) + (portRef D1 (instanceRef wcnt_1)) + (portRef D0 (instanceRef wcnt_1)) + (portRef C1 (instanceRef wcnt_2)) + (portRef C0 (instanceRef wcnt_2)) + (portRef D1 (instanceRef wcnt_2)) + (portRef D0 (instanceRef wcnt_2)) + (portRef C1 (instanceRef wcnt_3)) + (portRef C0 (instanceRef wcnt_3)) + (portRef D1 (instanceRef wcnt_3)) + (portRef D0 (instanceRef wcnt_3)) + (portRef C1 (instanceRef wcnt_4)) + (portRef C0 (instanceRef wcnt_4)) + (portRef D1 (instanceRef wcnt_4)) + (portRef D0 (instanceRef wcnt_4)) + (portRef C1 (instanceRef wcnt_5)) + (portRef C0 (instanceRef wcnt_5)) + (portRef D1 (instanceRef wcnt_5)) + (portRef D0 (instanceRef wcnt_5)) + (portRef C1 (instanceRef wcnt_6)) + (portRef C0 (instanceRef wcnt_6)) + (portRef D1 (instanceRef wcnt_6)) + (portRef D0 (instanceRef wcnt_6)) + (portRef C1 (instanceRef af_set_cmp_ci_a)) + (portRef C0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_ci_a)) + (portRef D0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_0)) + (portRef D0 (instanceRef af_set_cmp_0)) + (portRef C1 (instanceRef af_set_cmp_0)) + (portRef C0 (instanceRef af_set_cmp_0)) + (portRef D1 (instanceRef af_set_cmp_1)) + (portRef D0 (instanceRef af_set_cmp_1)) + (portRef C1 (instanceRef af_set_cmp_1)) + (portRef C0 (instanceRef af_set_cmp_1)) + (portRef D1 (instanceRef af_set_cmp_2)) + (portRef D0 (instanceRef af_set_cmp_2)) + (portRef C1 (instanceRef af_set_cmp_2)) + (portRef C0 (instanceRef af_set_cmp_2)) + (portRef D1 (instanceRef af_set_cmp_3)) + (portRef D0 (instanceRef af_set_cmp_3)) + (portRef C1 (instanceRef af_set_cmp_3)) + (portRef C0 (instanceRef af_set_cmp_3)) + (portRef D1 (instanceRef af_set_cmp_4)) + (portRef D0 (instanceRef af_set_cmp_4)) + (portRef C1 (instanceRef af_set_cmp_4)) + (portRef C0 (instanceRef af_set_cmp_4)) + (portRef D1 (instanceRef af_set_cmp_5)) + (portRef D0 (instanceRef af_set_cmp_5)) + (portRef C1 (instanceRef af_set_cmp_5)) + (portRef C0 (instanceRef af_set_cmp_5)) + (portRef C1 (instanceRef a2)) + (portRef C0 (instanceRef a2)) + (portRef D1 (instanceRef a2)) + (portRef D0 (instanceRef a2)))) + (net scuba_vlo + (joined + (portRef Z (instanceRef scuba_vlo_inst)) + (portRef AD3 (instanceRef LUT4_1)) + (portRef AD3 (instanceRef LUT4_0)) + (portRef CSB2 (instanceRef pdp_ram_0_0_3)) + (portRef CSA2 (instanceRef pdp_ram_0_0_3)) + (portRef CSB1 (instanceRef pdp_ram_0_0_3)) + (portRef CSA1 (instanceRef pdp_ram_0_0_3)) + (portRef CSB0 (instanceRef pdp_ram_0_0_3)) + (portRef CSA0 (instanceRef pdp_ram_0_0_3)) + (portRef WEB (instanceRef pdp_ram_0_0_3)) + (portRef ADB2 (instanceRef pdp_ram_0_0_3)) + (portRef ADA2 (instanceRef pdp_ram_0_0_3)) + (portRef ADB1 (instanceRef pdp_ram_0_0_3)) + (portRef ADA1 (instanceRef pdp_ram_0_0_3)) + (portRef ADB0 (instanceRef pdp_ram_0_0_3)) + (portRef ADA0 (instanceRef pdp_ram_0_0_3)) + (portRef DIB17 (instanceRef pdp_ram_0_0_3)) + (portRef DIA17 (instanceRef pdp_ram_0_0_3)) + (portRef DIB16 (instanceRef pdp_ram_0_0_3)) + (portRef DIA16 (instanceRef pdp_ram_0_0_3)) + (portRef DIB15 (instanceRef pdp_ram_0_0_3)) + (portRef DIA15 (instanceRef pdp_ram_0_0_3)) + (portRef DIB14 (instanceRef pdp_ram_0_0_3)) + (portRef DIA14 (instanceRef pdp_ram_0_0_3)) + (portRef DIB13 (instanceRef pdp_ram_0_0_3)) + (portRef DIA13 (instanceRef pdp_ram_0_0_3)) + (portRef DIB12 (instanceRef pdp_ram_0_0_3)) + (portRef DIA12 (instanceRef pdp_ram_0_0_3)) + (portRef DIB11 (instanceRef pdp_ram_0_0_3)) + (portRef DIA11 (instanceRef pdp_ram_0_0_3)) + (portRef DIB10 (instanceRef pdp_ram_0_0_3)) + (portRef DIA10 (instanceRef pdp_ram_0_0_3)) + (portRef DIB9 (instanceRef pdp_ram_0_0_3)) + (portRef DIA9 (instanceRef pdp_ram_0_0_3)) + (portRef DIB8 (instanceRef pdp_ram_0_0_3)) + (portRef DIB7 (instanceRef pdp_ram_0_0_3)) + (portRef DIB6 (instanceRef pdp_ram_0_0_3)) + (portRef DIB5 (instanceRef pdp_ram_0_0_3)) + (portRef DIB4 (instanceRef pdp_ram_0_0_3)) + (portRef DIB3 (instanceRef pdp_ram_0_0_3)) + (portRef DIB2 (instanceRef pdp_ram_0_0_3)) + (portRef DIB1 (instanceRef pdp_ram_0_0_3)) + (portRef DIB0 (instanceRef pdp_ram_0_0_3)) + (portRef CSB2 (instanceRef pdp_ram_0_1_2)) + (portRef CSA2 (instanceRef pdp_ram_0_1_2)) + (portRef CSB1 (instanceRef pdp_ram_0_1_2)) + (portRef CSA1 (instanceRef pdp_ram_0_1_2)) + (portRef CSB0 (instanceRef pdp_ram_0_1_2)) + (portRef CSA0 (instanceRef pdp_ram_0_1_2)) + (portRef WEB (instanceRef pdp_ram_0_1_2)) + (portRef ADB2 (instanceRef pdp_ram_0_1_2)) + (portRef ADA2 (instanceRef pdp_ram_0_1_2)) + (portRef ADB1 (instanceRef pdp_ram_0_1_2)) + (portRef ADA1 (instanceRef pdp_ram_0_1_2)) + (portRef ADB0 (instanceRef pdp_ram_0_1_2)) + (portRef ADA0 (instanceRef pdp_ram_0_1_2)) + (portRef DIB17 (instanceRef pdp_ram_0_1_2)) + (portRef DIA17 (instanceRef pdp_ram_0_1_2)) + (portRef DIB16 (instanceRef pdp_ram_0_1_2)) + (portRef DIA16 (instanceRef pdp_ram_0_1_2)) + (portRef DIB15 (instanceRef pdp_ram_0_1_2)) + (portRef DIA15 (instanceRef pdp_ram_0_1_2)) + (portRef DIB14 (instanceRef pdp_ram_0_1_2)) + (portRef DIA14 (instanceRef pdp_ram_0_1_2)) + (portRef DIB13 (instanceRef pdp_ram_0_1_2)) + (portRef DIA13 (instanceRef pdp_ram_0_1_2)) + (portRef DIB12 (instanceRef pdp_ram_0_1_2)) + (portRef DIA12 (instanceRef pdp_ram_0_1_2)) + (portRef DIB11 (instanceRef pdp_ram_0_1_2)) + (portRef DIA11 (instanceRef pdp_ram_0_1_2)) + (portRef DIB10 (instanceRef pdp_ram_0_1_2)) + (portRef DIA10 (instanceRef pdp_ram_0_1_2)) + (portRef DIB9 (instanceRef pdp_ram_0_1_2)) + (portRef DIA9 (instanceRef pdp_ram_0_1_2)) + (portRef DIB8 (instanceRef pdp_ram_0_1_2)) + (portRef DIB7 (instanceRef pdp_ram_0_1_2)) + (portRef DIB6 (instanceRef pdp_ram_0_1_2)) + (portRef DIB5 (instanceRef pdp_ram_0_1_2)) + (portRef DIB4 (instanceRef pdp_ram_0_1_2)) + (portRef DIB3 (instanceRef pdp_ram_0_1_2)) + (portRef DIB2 (instanceRef pdp_ram_0_1_2)) + (portRef DIB1 (instanceRef pdp_ram_0_1_2)) + (portRef DIB0 (instanceRef pdp_ram_0_1_2)) + (portRef CSB2 (instanceRef pdp_ram_0_2_1)) + (portRef CSA2 (instanceRef pdp_ram_0_2_1)) + (portRef CSB1 (instanceRef pdp_ram_0_2_1)) + (portRef CSA1 (instanceRef pdp_ram_0_2_1)) + (portRef CSB0 (instanceRef pdp_ram_0_2_1)) + (portRef CSA0 (instanceRef pdp_ram_0_2_1)) + (portRef WEB (instanceRef pdp_ram_0_2_1)) + (portRef ADB2 (instanceRef pdp_ram_0_2_1)) + (portRef ADA2 (instanceRef pdp_ram_0_2_1)) + (portRef ADB1 (instanceRef pdp_ram_0_2_1)) + (portRef ADA1 (instanceRef pdp_ram_0_2_1)) + (portRef ADB0 (instanceRef pdp_ram_0_2_1)) + (portRef ADA0 (instanceRef pdp_ram_0_2_1)) + (portRef DIB17 (instanceRef pdp_ram_0_2_1)) + (portRef DIA17 (instanceRef pdp_ram_0_2_1)) + (portRef DIB16 (instanceRef pdp_ram_0_2_1)) + (portRef DIA16 (instanceRef pdp_ram_0_2_1)) + (portRef DIB15 (instanceRef pdp_ram_0_2_1)) + (portRef DIA15 (instanceRef pdp_ram_0_2_1)) + (portRef DIB14 (instanceRef pdp_ram_0_2_1)) + (portRef DIA14 (instanceRef pdp_ram_0_2_1)) + (portRef DIB13 (instanceRef pdp_ram_0_2_1)) + (portRef DIA13 (instanceRef pdp_ram_0_2_1)) + (portRef DIB12 (instanceRef pdp_ram_0_2_1)) + (portRef DIA12 (instanceRef pdp_ram_0_2_1)) + (portRef DIB11 (instanceRef pdp_ram_0_2_1)) + (portRef DIA11 (instanceRef pdp_ram_0_2_1)) + (portRef DIB10 (instanceRef pdp_ram_0_2_1)) + (portRef DIA10 (instanceRef pdp_ram_0_2_1)) + (portRef DIB9 (instanceRef pdp_ram_0_2_1)) + (portRef DIA9 (instanceRef pdp_ram_0_2_1)) + (portRef DIB8 (instanceRef pdp_ram_0_2_1)) + (portRef DIB7 (instanceRef pdp_ram_0_2_1)) + (portRef DIB6 (instanceRef pdp_ram_0_2_1)) + (portRef DIB5 (instanceRef pdp_ram_0_2_1)) + (portRef DIB4 (instanceRef pdp_ram_0_2_1)) + (portRef DIB3 (instanceRef pdp_ram_0_2_1)) + (portRef DIB2 (instanceRef pdp_ram_0_2_1)) + (portRef DIB1 (instanceRef pdp_ram_0_2_1)) + (portRef DIB0 (instanceRef pdp_ram_0_2_1)) + (portRef CSB2 (instanceRef pdp_ram_0_3_0)) + (portRef CSA2 (instanceRef pdp_ram_0_3_0)) + (portRef CSB1 (instanceRef pdp_ram_0_3_0)) + (portRef CSA1 (instanceRef pdp_ram_0_3_0)) + (portRef CSB0 (instanceRef pdp_ram_0_3_0)) + (portRef CSA0 (instanceRef pdp_ram_0_3_0)) + (portRef WEB (instanceRef pdp_ram_0_3_0)) + (portRef ADB2 (instanceRef pdp_ram_0_3_0)) + (portRef ADA2 (instanceRef pdp_ram_0_3_0)) + (portRef ADB1 (instanceRef pdp_ram_0_3_0)) + (portRef ADA1 (instanceRef pdp_ram_0_3_0)) + (portRef ADB0 (instanceRef pdp_ram_0_3_0)) + (portRef ADA0 (instanceRef pdp_ram_0_3_0)) + (portRef DIB17 (instanceRef pdp_ram_0_3_0)) + (portRef DIA17 (instanceRef pdp_ram_0_3_0)) + (portRef DIB16 (instanceRef pdp_ram_0_3_0)) + (portRef DIA16 (instanceRef pdp_ram_0_3_0)) + (portRef DIB15 (instanceRef pdp_ram_0_3_0)) + (portRef DIA15 (instanceRef pdp_ram_0_3_0)) + (portRef DIB14 (instanceRef pdp_ram_0_3_0)) + (portRef DIA14 (instanceRef pdp_ram_0_3_0)) + (portRef DIB13 (instanceRef pdp_ram_0_3_0)) + (portRef DIA13 (instanceRef pdp_ram_0_3_0)) + (portRef DIB12 (instanceRef pdp_ram_0_3_0)) + (portRef DIA12 (instanceRef pdp_ram_0_3_0)) + (portRef DIB11 (instanceRef pdp_ram_0_3_0)) + (portRef DIA11 (instanceRef pdp_ram_0_3_0)) + (portRef DIB10 (instanceRef pdp_ram_0_3_0)) + (portRef DIA10 (instanceRef pdp_ram_0_3_0)) + (portRef DIB9 (instanceRef pdp_ram_0_3_0)) + (portRef DIA9 (instanceRef pdp_ram_0_3_0)) + (portRef DIB8 (instanceRef pdp_ram_0_3_0)) + (portRef DIB7 (instanceRef pdp_ram_0_3_0)) + (portRef DIB6 (instanceRef pdp_ram_0_3_0)) + (portRef DIB5 (instanceRef pdp_ram_0_3_0)) + (portRef DIB4 (instanceRef pdp_ram_0_3_0)) + (portRef DIB3 (instanceRef pdp_ram_0_3_0)) + (portRef DIB2 (instanceRef pdp_ram_0_3_0)) + (portRef DIB1 (instanceRef pdp_ram_0_3_0)) + (portRef DIB0 (instanceRef pdp_ram_0_3_0)) + (portRef B0 (instanceRef bdcnt_bctr_cia)) + (portRef A0 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef e_cmp_0)) + (portRef A0 (instanceRef e_cmp_1)) + (portRef A1 (instanceRef e_cmp_1)) + (portRef A0 (instanceRef e_cmp_2)) + (portRef A1 (instanceRef e_cmp_2)) + (portRef A0 (instanceRef e_cmp_3)) + (portRef A1 (instanceRef e_cmp_3)) + (portRef A0 (instanceRef e_cmp_4)) + (portRef A1 (instanceRef e_cmp_4)) + (portRef A0 (instanceRef e_cmp_5)) + (portRef A1 (instanceRef e_cmp_5)) + (portRef B1 (instanceRef a0)) + (portRef B0 (instanceRef a0)) + (portRef A1 (instanceRef a0)) + (portRef A0 (instanceRef a0)) + (portRef B1 (instanceRef a1)) + (portRef B0 (instanceRef a1)) + (portRef A1 (instanceRef a1)) + (portRef A0 (instanceRef a1)) + (portRef B0 (instanceRef w_ctr_cia)) + (portRef A0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_0)) + (portRef B0 (instanceRef w_ctr_0)) + (portRef B1 (instanceRef w_ctr_1)) + (portRef B0 (instanceRef w_ctr_1)) + (portRef B1 (instanceRef w_ctr_2)) + (portRef B0 (instanceRef w_ctr_2)) + (portRef B1 (instanceRef w_ctr_3)) + (portRef B0 (instanceRef w_ctr_3)) + (portRef B1 (instanceRef w_ctr_4)) + (portRef B0 (instanceRef w_ctr_4)) + (portRef B1 (instanceRef w_ctr_5)) + (portRef B0 (instanceRef w_ctr_5)) + (portRef B0 (instanceRef r_ctr_cia)) + (portRef A0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_0)) + (portRef B0 (instanceRef r_ctr_0)) + (portRef B1 (instanceRef r_ctr_1)) + (portRef B0 (instanceRef r_ctr_1)) + (portRef B1 (instanceRef r_ctr_2)) + (portRef B0 (instanceRef r_ctr_2)) + (portRef B1 (instanceRef r_ctr_3)) + (portRef B0 (instanceRef r_ctr_3)) + (portRef B1 (instanceRef r_ctr_4)) + (portRef B0 (instanceRef r_ctr_4)) + (portRef B1 (instanceRef r_ctr_5)) + (portRef B0 (instanceRef r_ctr_5)) + (portRef B1 (instanceRef wcnt_6)) + (portRef B0 (instanceRef wcnt_6)) + (portRef A1 (instanceRef wcnt_6)) + (portRef B0 (instanceRef af_set_cmp_ci_a)) + (portRef A0 (instanceRef af_set_cmp_ci_a)) + (portRef B1 (instanceRef af_set_cmp_5)) + (portRef B1 (instanceRef a2)) + (portRef B0 (instanceRef a2)) + (portRef A1 (instanceRef a2)) + (portRef A0 (instanceRef a2)))) + (net af_set_c + (joined + (portRef CIN (instanceRef a2)) + (portRef COUT (instanceRef af_set_cmp_5)))) + (net partial_full + (joined + (portRef AlmostFull) + (portRef Q (instanceRef FF_0)))) + (net Full + (joined + (portRef Full) + (portRef Q (instanceRef FF_61)) + (portRef A (instanceRef INV_5)) + (portRef AD0 (instanceRef LUT4_0)))) + (net Empty + (joined + (portRef Empty) + (portRef Q (instanceRef FF_62)) + (portRef A (instanceRef INV_4)) + (portRef AD0 (instanceRef LUT4_1)))) + (net WCNT11 + (joined + (portRef (member WCNT 0)) + (portRef A1 (instanceRef g_cmp_5)) + (portRef Q (instanceRef FF_63)) + (portRef A1 (instanceRef bdcnt_bctr_5)) + (portRef B1 (instanceRef e_cmp_5)))) + (net WCNT10 + (joined + (portRef (member WCNT 1)) + (portRef A0 (instanceRef g_cmp_5)) + (portRef Q (instanceRef FF_64)) + (portRef A0 (instanceRef bdcnt_bctr_5)) + (portRef B0 (instanceRef e_cmp_5)))) + (net WCNT9 + (joined + (portRef (member WCNT 2)) + (portRef A1 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_65)) + (portRef A1 (instanceRef bdcnt_bctr_4)) + (portRef B1 (instanceRef e_cmp_4)))) + (net WCNT8 + (joined + (portRef (member WCNT 3)) + (portRef A0 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_66)) + (portRef A0 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef e_cmp_4)))) + (net WCNT7 + (joined + (portRef (member WCNT 4)) + (portRef A1 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_67)) + (portRef A1 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef e_cmp_3)))) + (net WCNT6 + (joined + (portRef (member WCNT 5)) + (portRef A0 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_68)) + (portRef A0 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef e_cmp_3)))) + (net WCNT5 + (joined + (portRef (member WCNT 6)) + (portRef A1 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_69)) + (portRef A1 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef e_cmp_2)))) + (net WCNT4 + (joined + (portRef (member WCNT 7)) + (portRef A0 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_70)) + (portRef A0 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef e_cmp_2)))) + (net WCNT3 + (joined + (portRef (member WCNT 8)) + (portRef A1 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_71)) + (portRef A1 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef e_cmp_1)))) + (net WCNT2 + (joined + (portRef (member WCNT 9)) + (portRef A0 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_72)) + (portRef A0 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef e_cmp_1)))) + (net WCNT1 + (joined + (portRef (member WCNT 10)) + (portRef A1 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_73)) + (portRef A1 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef e_cmp_0)))) + (net WCNT0 + (joined + (portRef (member WCNT 11)) + (portRef A0 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_74)) + (portRef A0 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef e_cmp_0)))) + (net dataout35 + (joined + (portRef (member Q 0)) + (portRef DOB8 (instanceRef pdp_ram_0_3_0)))) + (net dataout34 + (joined + (portRef (member Q 1)) + (portRef DOB7 (instanceRef pdp_ram_0_3_0)))) + (net dataout33 + (joined + (portRef (member Q 2)) + (portRef DOB6 (instanceRef pdp_ram_0_3_0)))) + (net dataout32 + (joined + (portRef (member Q 3)) + (portRef DOB5 (instanceRef pdp_ram_0_3_0)))) + (net dataout31 + (joined + (portRef (member Q 4)) + (portRef DOB4 (instanceRef pdp_ram_0_3_0)))) + (net dataout30 + (joined + (portRef (member Q 5)) + (portRef DOB3 (instanceRef pdp_ram_0_3_0)))) + (net dataout29 + (joined + (portRef (member Q 6)) + (portRef DOB2 (instanceRef pdp_ram_0_3_0)))) + (net dataout28 + (joined + (portRef (member Q 7)) + (portRef DOB1 (instanceRef pdp_ram_0_3_0)))) + (net dataout27 + (joined + (portRef (member Q 8)) + (portRef DOB0 (instanceRef pdp_ram_0_3_0)))) + (net dataout26 + (joined + (portRef (member Q 9)) + (portRef DOB8 (instanceRef pdp_ram_0_2_1)))) + (net dataout25 + (joined + (portRef (member Q 10)) + (portRef DOB7 (instanceRef pdp_ram_0_2_1)))) + (net dataout24 + (joined + (portRef (member Q 11)) + (portRef DOB6 (instanceRef pdp_ram_0_2_1)))) + (net dataout23 + (joined + (portRef (member Q 12)) + (portRef DOB5 (instanceRef pdp_ram_0_2_1)))) + (net dataout22 + (joined + (portRef (member Q 13)) + (portRef DOB4 (instanceRef pdp_ram_0_2_1)))) + (net dataout21 + (joined + (portRef (member Q 14)) + (portRef DOB3 (instanceRef pdp_ram_0_2_1)))) + (net dataout20 + (joined + (portRef (member Q 15)) + (portRef DOB2 (instanceRef pdp_ram_0_2_1)))) + (net dataout19 + (joined + (portRef (member Q 16)) + (portRef DOB1 (instanceRef pdp_ram_0_2_1)))) + (net dataout18 + (joined + (portRef (member Q 17)) + (portRef DOB0 (instanceRef pdp_ram_0_2_1)))) + (net dataout17 + (joined + (portRef (member Q 18)) + (portRef DOB8 (instanceRef pdp_ram_0_1_2)))) + (net dataout16 + (joined + (portRef (member Q 19)) + (portRef DOB7 (instanceRef pdp_ram_0_1_2)))) + (net dataout15 + (joined + (portRef (member Q 20)) + (portRef DOB6 (instanceRef pdp_ram_0_1_2)))) + (net dataout14 + (joined + (portRef (member Q 21)) + (portRef DOB5 (instanceRef pdp_ram_0_1_2)))) + (net dataout13 + (joined + (portRef (member Q 22)) + (portRef DOB4 (instanceRef pdp_ram_0_1_2)))) + (net dataout12 + (joined + (portRef (member Q 23)) + (portRef DOB3 (instanceRef pdp_ram_0_1_2)))) + (net dataout11 + (joined + (portRef (member Q 24)) + (portRef DOB2 (instanceRef pdp_ram_0_1_2)))) + (net dataout10 + (joined + (portRef (member Q 25)) + (portRef DOB1 (instanceRef pdp_ram_0_1_2)))) + (net dataout9 + (joined + (portRef (member Q 26)) + (portRef DOB0 (instanceRef pdp_ram_0_1_2)))) + (net dataout8 + (joined + (portRef (member Q 27)) + (portRef DOB8 (instanceRef pdp_ram_0_0_3)))) + (net dataout7 + (joined + (portRef (member Q 28)) + (portRef DOB7 (instanceRef pdp_ram_0_0_3)))) + (net dataout6 + (joined + (portRef (member Q 29)) + (portRef DOB6 (instanceRef pdp_ram_0_0_3)))) + (net dataout5 + (joined + (portRef (member Q 30)) + (portRef DOB5 (instanceRef pdp_ram_0_0_3)))) + (net dataout4 + (joined + (portRef (member Q 31)) + (portRef DOB4 (instanceRef pdp_ram_0_0_3)))) + (net dataout3 + (joined + (portRef (member Q 32)) + (portRef DOB3 (instanceRef pdp_ram_0_0_3)))) + (net dataout2 + (joined + (portRef (member Q 33)) + (portRef DOB2 (instanceRef pdp_ram_0_0_3)))) + (net dataout1 + (joined + (portRef (member Q 34)) + (portRef DOB1 (instanceRef pdp_ram_0_0_3)))) + (net dataout0 + (joined + (portRef (member Q 35)) + (portRef DOB0 (instanceRef pdp_ram_0_0_3)))) + (net AmFullThresh10 + (joined + (portRef (member AmFullThresh 0)) + (portRef B0 (instanceRef af_set_cmp_5)))) + (net AmFullThresh9 + (joined + (portRef (member AmFullThresh 1)) + (portRef B1 (instanceRef af_set_cmp_4)))) + (net AmFullThresh8 + (joined + (portRef (member AmFullThresh 2)) + (portRef B0 (instanceRef af_set_cmp_4)))) + (net AmFullThresh7 + (joined + (portRef (member AmFullThresh 3)) + (portRef B1 (instanceRef af_set_cmp_3)))) + (net AmFullThresh6 + (joined + (portRef (member AmFullThresh 4)) + (portRef B0 (instanceRef af_set_cmp_3)))) + (net AmFullThresh5 + (joined + (portRef (member AmFullThresh 5)) + (portRef B1 (instanceRef af_set_cmp_2)))) + (net AmFullThresh4 + (joined + (portRef (member AmFullThresh 6)) + (portRef B0 (instanceRef af_set_cmp_2)))) + (net AmFullThresh3 + (joined + (portRef (member AmFullThresh 7)) + (portRef B1 (instanceRef af_set_cmp_1)))) + (net AmFullThresh2 + (joined + (portRef (member AmFullThresh 8)) + (portRef B0 (instanceRef af_set_cmp_1)))) + (net AmFullThresh1 + (joined + (portRef (member AmFullThresh 9)) + (portRef B1 (instanceRef af_set_cmp_0)))) + (net AmFullThresh0 + (joined + (portRef (member AmFullThresh 10)) + (portRef B0 (instanceRef af_set_cmp_0)))) + (net reset + (joined + (portRef Reset) + (portRef RSTB (instanceRef pdp_ram_0_0_3)) + (portRef RSTA (instanceRef pdp_ram_0_0_3)) + (portRef RSTB (instanceRef pdp_ram_0_1_2)) + (portRef RSTA (instanceRef pdp_ram_0_1_2)) + (portRef RSTB (instanceRef pdp_ram_0_2_1)) + (portRef RSTA (instanceRef pdp_ram_0_2_1)) + (portRef RSTB (instanceRef pdp_ram_0_3_0)) + (portRef RSTA (instanceRef pdp_ram_0_3_0)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_63)) + (portRef PD (instanceRef FF_62)) + (portRef CD (instanceRef FF_61)) + (portRef PD (instanceRef FF_60)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_49)) + (portRef PD (instanceRef FF_48)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_0)))) + (net rden + (joined + (portRef RdEn) + (portRef A (instanceRef AND2_t4)))) + (net wren + (joined + (portRef WrEn) + (portRef A (instanceRef AND2_t5)))) + (net clk + (joined + (portRef Clock) + (portRef CLKB (instanceRef pdp_ram_0_0_3)) + (portRef CLKA (instanceRef pdp_ram_0_0_3)) + (portRef CLKB (instanceRef pdp_ram_0_1_2)) + (portRef CLKA (instanceRef pdp_ram_0_1_2)) + (portRef CLKB (instanceRef pdp_ram_0_2_1)) + (portRef CLKA (instanceRef pdp_ram_0_2_1)) + (portRef CLKB (instanceRef pdp_ram_0_3_0)) + (portRef CLKA (instanceRef pdp_ram_0_3_0)) + (portRef CK (instanceRef FF_74)) + (portRef CK (instanceRef FF_73)) + (portRef CK (instanceRef FF_72)) + (portRef CK (instanceRef FF_71)) + (portRef CK (instanceRef FF_70)) + (portRef CK (instanceRef FF_69)) + (portRef CK (instanceRef FF_68)) + (portRef CK (instanceRef FF_67)) + (portRef CK (instanceRef FF_66)) + (portRef CK (instanceRef FF_65)) + (portRef CK (instanceRef FF_64)) + (portRef CK (instanceRef FF_63)) + (portRef CK (instanceRef FF_62)) + (portRef CK (instanceRef FF_61)) + (portRef CK (instanceRef FF_60)) + (portRef CK (instanceRef FF_59)) + (portRef CK (instanceRef FF_58)) + (portRef CK (instanceRef FF_57)) + (portRef CK (instanceRef FF_56)) + (portRef CK (instanceRef FF_55)) + (portRef CK (instanceRef FF_54)) + (portRef CK (instanceRef FF_53)) + (portRef CK (instanceRef FF_52)) + (portRef CK (instanceRef FF_51)) + (portRef CK (instanceRef FF_50)) + (portRef CK (instanceRef FF_49)) + (portRef CK (instanceRef FF_48)) + (portRef CK (instanceRef FF_47)) + (portRef CK (instanceRef FF_46)) + (portRef CK (instanceRef FF_45)) + (portRef CK (instanceRef FF_44)) + (portRef CK (instanceRef FF_43)) + (portRef CK (instanceRef FF_42)) + (portRef CK (instanceRef FF_41)) + (portRef CK (instanceRef FF_40)) + (portRef CK (instanceRef FF_39)) + (portRef CK (instanceRef FF_38)) + (portRef CK (instanceRef FF_37)) + (portRef CK (instanceRef FF_36)) + (portRef CK (instanceRef FF_35)) + (portRef CK (instanceRef FF_34)) + (portRef CK (instanceRef FF_33)) + (portRef CK (instanceRef FF_32)) + (portRef CK (instanceRef FF_31)) + (portRef CK (instanceRef FF_30)) + (portRef CK (instanceRef FF_29)) + (portRef CK (instanceRef FF_28)) + (portRef CK (instanceRef FF_27)) + (portRef CK (instanceRef FF_26)) + (portRef CK (instanceRef FF_25)) + (portRef CK (instanceRef FF_24)) + (portRef CK (instanceRef FF_23)) + (portRef CK (instanceRef FF_22)) + (portRef CK (instanceRef FF_21)) + (portRef CK (instanceRef FF_20)) + (portRef CK (instanceRef FF_19)) + (portRef CK (instanceRef FF_18)) + (portRef CK (instanceRef FF_17)) + (portRef CK (instanceRef FF_16)) + (portRef CK (instanceRef FF_15)) + (portRef CK (instanceRef FF_14)) + (portRef CK (instanceRef FF_13)) + (portRef CK (instanceRef FF_12)) + (portRef CK (instanceRef FF_11)) + (portRef CK (instanceRef FF_10)) + (portRef CK (instanceRef FF_9)) + (portRef CK (instanceRef FF_8)) + (portRef CK (instanceRef FF_7)) + (portRef CK (instanceRef FF_6)) + (portRef CK (instanceRef FF_5)) + (portRef CK (instanceRef FF_4)) + (portRef CK (instanceRef FF_3)) + (portRef CK (instanceRef FF_2)) + (portRef CK (instanceRef FF_1)) + (portRef CK (instanceRef FF_0)))) + (net datain35 + (joined + (portRef (member Data 0)) + (portRef DIA8 (instanceRef pdp_ram_0_3_0)))) + (net datain34 + (joined + (portRef (member Data 1)) + (portRef DIA7 (instanceRef pdp_ram_0_3_0)))) + (net datain33 + (joined + (portRef (member Data 2)) + (portRef DIA6 (instanceRef pdp_ram_0_3_0)))) + (net datain32 + (joined + (portRef (member Data 3)) + (portRef DIA5 (instanceRef pdp_ram_0_3_0)))) + (net datain31 + (joined + (portRef (member Data 4)) + (portRef DIA4 (instanceRef pdp_ram_0_3_0)))) + (net datain30 + (joined + (portRef (member Data 5)) + (portRef DIA3 (instanceRef pdp_ram_0_3_0)))) + (net datain29 + (joined + (portRef (member Data 6)) + (portRef DIA2 (instanceRef pdp_ram_0_3_0)))) + (net datain28 + (joined + (portRef (member Data 7)) + (portRef DIA1 (instanceRef pdp_ram_0_3_0)))) + (net datain27 + (joined + (portRef (member Data 8)) + (portRef DIA0 (instanceRef pdp_ram_0_3_0)))) + (net datain26 + (joined + (portRef (member Data 9)) + (portRef DIA8 (instanceRef pdp_ram_0_2_1)))) + (net datain25 + (joined + (portRef (member Data 10)) + (portRef DIA7 (instanceRef pdp_ram_0_2_1)))) + (net datain24 + (joined + (portRef (member Data 11)) + (portRef DIA6 (instanceRef pdp_ram_0_2_1)))) + (net datain23 + (joined + (portRef (member Data 12)) + (portRef DIA5 (instanceRef pdp_ram_0_2_1)))) + (net datain22 + (joined + (portRef (member Data 13)) + (portRef DIA4 (instanceRef pdp_ram_0_2_1)))) + (net datain21 + (joined + (portRef (member Data 14)) + (portRef DIA3 (instanceRef pdp_ram_0_2_1)))) + (net datain20 + (joined + (portRef (member Data 15)) + (portRef DIA2 (instanceRef pdp_ram_0_2_1)))) + (net datain19 + (joined + (portRef (member Data 16)) + (portRef DIA1 (instanceRef pdp_ram_0_2_1)))) + (net datain18 + (joined + (portRef (member Data 17)) + (portRef DIA0 (instanceRef pdp_ram_0_2_1)))) + (net datain17 + (joined + (portRef (member Data 18)) + (portRef DIA8 (instanceRef pdp_ram_0_1_2)))) + (net datain16 + (joined + (portRef (member Data 19)) + (portRef DIA7 (instanceRef pdp_ram_0_1_2)))) + (net datain15 + (joined + (portRef (member Data 20)) + (portRef DIA6 (instanceRef pdp_ram_0_1_2)))) + (net datain14 + (joined + (portRef (member Data 21)) + (portRef DIA5 (instanceRef pdp_ram_0_1_2)))) + (net datain13 + (joined + (portRef (member Data 22)) + (portRef DIA4 (instanceRef pdp_ram_0_1_2)))) + (net datain12 + (joined + (portRef (member Data 23)) + (portRef DIA3 (instanceRef pdp_ram_0_1_2)))) + (net datain11 + (joined + (portRef (member Data 24)) + (portRef DIA2 (instanceRef pdp_ram_0_1_2)))) + (net datain10 + (joined + (portRef (member Data 25)) + (portRef DIA1 (instanceRef pdp_ram_0_1_2)))) + (net datain9 + (joined + (portRef (member Data 26)) + (portRef DIA0 (instanceRef pdp_ram_0_1_2)))) + (net datain8 + (joined + (portRef (member Data 27)) + (portRef DIA8 (instanceRef pdp_ram_0_0_3)))) + (net datain7 + (joined + (portRef (member Data 28)) + (portRef DIA7 (instanceRef pdp_ram_0_0_3)))) + (net datain6 + (joined + (portRef (member Data 29)) + (portRef DIA6 (instanceRef pdp_ram_0_0_3)))) + (net datain5 + (joined + (portRef (member Data 30)) + (portRef DIA5 (instanceRef pdp_ram_0_0_3)))) + (net datain4 + (joined + (portRef (member Data 31)) + (portRef DIA4 (instanceRef pdp_ram_0_0_3)))) + (net datain3 + (joined + (portRef (member Data 32)) + (portRef DIA3 (instanceRef pdp_ram_0_0_3)))) + (net datain2 + (joined + (portRef (member Data 33)) + (portRef DIA2 (instanceRef pdp_ram_0_0_3)))) + (net datain1 + (joined + (portRef (member Data 34)) + (portRef DIA1 (instanceRef pdp_ram_0_0_3)))) + (net datain0 + (joined + (portRef (member Data 35)) + (portRef DIA0 (instanceRef pdp_ram_0_0_3)))))))) + (design fifo_36x2k_oreg + (cellRef fifo_36x2k_oreg + (libraryRef ORCLIB))) +) diff --git a/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.fdc b/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.fdc new file mode 100644 index 0000000..6fbcac9 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.fdc @@ -0,0 +1,2 @@ +###==== Start Configuration + diff --git a/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.lpc b/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.lpc new file mode 100644 index 0000000..9a49b8d --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.lpc @@ -0,0 +1,50 @@ +[Device] +Family=ecp5um +PartType=LFE5UM-85F +PartName=LFE5UM-85F-8MG285C +SpeedGrade=8 +Package=CSFBGA285 +OperatingCondition=COM +Status=C + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=FIFO +CoreRevision=5.0 +ModuleName=fifo_36x2k_oreg +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=03/18/2015 +Time=14:41:09 + +[Parameters] +Verilog=0 +VHDL=1 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +FIFOImp=EBR Based +Depth=2048 +Width=36 +regout=1 +CtrlByRdEn=0 +EmpFlg=0 +PeMode=Static - Dual Threshold +PeAssert=10 +PeDeassert=12 +FullFlg=1 +PfMode=Dynamic - Single Threshold +PfAssert=508 +PfDeassert=506 +Reset=Async +Reset1=Sync +RDataCount=1 +EnECC=0 +EnFWFT=0 + +[Command] +cmd_line= -w -n fifo_36x2k_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 2048 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill diff --git a/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.ngd b/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.ngd new file mode 100644 index 0000000000000000000000000000000000000000..7e0061e52c4c6c4904a4d9735847f5db0d50996e GIT binary patch literal 155884 zcmeFa36v8>^f#JnkWJLMArcwmjtZKYVOKH3Cc_$b5Ou=7$PxiXQ8c0=f=gV$9WpMU zS!SZ52n<6+1Q*n}urEe-5irQ&j_=;ORo&H{4sYIh@BhyCeMim-llt9X-KzVm>h9`F zcU3Z#&J;NEn>qNuQ`v&k)9_83G`Ly7;~D2*ky|{FX5&W=8*=C9vBO%s-FFS2IBE2R z@$UAc2alUDewe#a^QKLPjGla@yYQN(*LbdJ>2a{qT29O~V)Td!C56rJF1Wp9!o=Yt z0k0iKylck}&H8q0(z01cgxnk|ZriJ<*FZ?uZ7zV>~glTaARjP(n;_{)><7Zv^kHb-Y1}pt6 z1*PunGth_8TmT6N8(uPWT%aT$(l_z+#K7c znc+es*%I78@~~c8%joxzYCy?jI6CNsb_^m9wo4prjWeYpf<;( zVQm4)OAKo>Od8e#NM34K3o&U}^D*Mf40ApTa+zX>WJ5|0op2{eg8a*gPXIb>q%yE} zSEvjW67(pX73&wDz3ZMd4s#$nNuTm)(=v5{P09UIF9&BTQKrGmv==d6u zOF&qgCT14axQUrHZqg6{8=FB4^%`?ll;c`6gwb7V&WM%wm{};S$IRjZNT#_Pk=fnkA?iG#tf!qEC~FM)}}hmHoh zq2m{{Le=^zFeLl)T%)HLvJT)#VDQArqX&;I8FA;>u|V@tF1^V760BH1qUvY?zn=HE zaG`?09mKh^ATHZLPLvR~Pd^iaO*p`WU?;lSZ3w6E4 zjG&0O5hPk4^}EgF2stGt4pwnEAtOjsbUloa@pnzQldkZ$kQ?C`DSne1jN(=kg8G!0 za$)R#6M~TrHs#_%4>pl7_#tKzhB1UlR7K7BbW#1bNQ+QbP1RwCKTX@%u4Gcva=i(t)G7ORdo*X_d zF!>&y9#3W*M-Lwl_B+VVPvTg`fDg|zGz=F}-Jw7j)p#Y1l1^087*!&LE(Y}IRZub+ z(~t7>u)&iDj~w4IR4xk-Jr-5X-oW=b>s~#CPgGAP;7_OU;5EWQq!4|Cpj? z!A^Cz3Sc4ks97+Q`&6I+o!qG+g&55}s+U5{HPysH5$`o2SpR!Xxv;J8Ga=|k1qv{v zX(j|inCwGog>?C-!viW(fEAo<%7qoY-^9VlA24yS$`7iXd<^}5699E{-+69;3MVd9|Y872xEPXN)u8U z7|PQs1Kag!m4Ov~MrB|{pH%?f4WCuBU=AhCJJ@q-4y?wDoH29)*5C!jh*3SK=EfS$ zQ3$-F%~2WXVy?=-I?q)Z==6D&fk8a4G9djDCqRw(2xt!_ZL~ zWdssOIICR8pwbw9f`GBN!}oIC1;595hbM;&!@oyxGC_zU)MY{o8f#(D~dC7>0ER`1`TEqq^&ZmRe1RotgTw;t^~lpt1# zcCG)!4%o#ikf7lZ4cTZB<29(nuS?RHMkW^O(DJTwOW+z`5zYWtTKpFQwt}z@_&v!z zDm82cHQY_teM?9!F`{KUACK0L(Gw3{#Fy{E0GCY+F1(0a%@f7CJ#SSzDR+kuF>NhZ2eAa zrBK_0k69}O`tNw%3i3nHg8{4wTZ)9%+k6RNS%)mpwK`{73x%dXc6Go)FLY?tVtxw< z?YZsDv;}PL&{!T|rqY@MINb_DlWVmzAqy2c&_3odgM)Z$HuYOjY_B8ptz7IAr(Kmc zb4xr9?VJNC3kVfxxB)P=u7g_LN@tS2eXJHZA7~9X`YrsH#Mc^bN?I%}gr)k>fW-oR zP;0oworO}#%a>X$*#8=C4O;lkWXapo7E4oMIsHSw#llb1`!s6??8e8#Nedaeu-5Pg zZx+g{sWnW8EtUc*mL2-jXR$z+*Bb5s&?I%f4B#85)gnynmws89u-i6V7q;f`2xn*f zow1mBhMoQ_R9rFE^2+w>R1D{w&*^*D9&ls~!yF}jdw1wnQq;A$s5h9uO9529SBIPU zr3)`7Um~)ow-3Iq4gO>}(zRYay5%D11n`UGXP;x9xgZ|cH=sw|UdS((Uz_sqfy4JE8eXYO z*O?%GMO|;o$Sd}rUce?3R>&`^8%$XXdESj? z9=}p=GV}PQcZ-Qvz(f7Ygz>CfOj!%KNSn&h}R2G+Fx49@N>DxOpkh@Dy zcz|JfBy4qrw>I^3NWHAZ)Eeajs9Bg&GG}X zYmQUHSvdBMU3)xPIEJ*Tg)a+7<^K?;7DcfFynLS4+->FOW9RtyqwIJA-m);0MaQ90 zwOIh08zdilO{R-83&(1%I}y&pd3RbH$igw){dc&ta13kxMF|ye>Cl|9Y$i6y_qUsv z^5#-I^S6xZ0db@seK@GXFxvgBPD(}KzU_|_0TqD}^xjDx6@j7bp5rDC+`1e(>Qp&+ zi?Y2}LWK(8CT90pW~h}z(`K78;ymb%9%(gWAq=Q%n=6GAu=|r<6ALc-t1K)WGzY>a z7K~MPr&R=hxY*tk5Tl(7T0qn9^qL@OgL9uUS03tcuw%%?fSw1F7^mB| z^#H(7KOY+MsJNVq;~J3PnyVqfjRzE9IxP+o_ZIBeApynRAUxgV)%BVo8q{q&!>z)2 z;>$ZNY=$^f%R2*5y^0VqIoSO{MuqY6rk!%!M8)%ZogOKb24@5<&*?WqqMWlVnF(&V zE2*-f9Kv0fI!!owZn(#7!Gw>!K@(mmV%YwSU&Z<9v&M&R6Ncx!W4EVOtdO5hJZ20p zdJXqkF8MKZi|Ral68fKWY-P0IzC4MiMF)tB`lL-wX+_2LUx2 z5pomm_|r_s&fD;i6%#&wNt%4f+t#xjZWE4Y_uWsJYm5D>;bAkcxu`?KUxSwXygYW| z7rzO|t3&0^v4?drdy%o#?qipNi*W z@2~T_+l1k9TDzTJ#c&{@HT)xN!6@FpX^KIdlLlcY$vY>hQf284{{qx#&3ri(@#A5y z3CF(s`yXx-##{LBumux}od8(zD_WTCX`V6Ryfy#zTQKsG=SZ4xdA|5@Y1)M2Aa2u@ zW-gvEPJb_G;_)+v=C)v>tZGRUE?fA=Fu+P2{J=QHZNY?(8bK2-ClpHeU<+R=S_3YM zkWzhOOXk%~TQKgE{rPf2#W}T}+YAx2^oJC{KlX%MgFX1I#8=$Cq;22c#q9?Gv=^%& zq3%W9@EPO1NUPz4DeOqU5PW6r;UNTZ|BSaYt9E(-Ei7(}H{|=#0qfegPgDNe&JUQZ z)xAfrZhWF)UkZ~w`u5@X+DmUrLUJvi9bf(te03Cp37q_dxvKYQ$EU^j$pqZJM;kdg z3%)K-2BDyW9zg#Yy;kqmp<78;U%Qfy#a*%1`w?W_Z)#uCzE``FZbiMjAQOF!=?r1M|5vnQrsQpQJ~by3)6^n?bohbkKP41Bm4`pS#kG%C2&csywDG+E?s-~0?Pqz z;ZcH#9y$NBt9<0VvSAviBZ_;J&{Nu#S76q)#XZ{g#Rt?6>26_6Q~Fa1Y`hRG@}O>m z!9eLj-G)=rhx8O&#w)K%L%zadxMM5LV5Vlp-8*+^*C(IL&gTNjCo+F6r>kYH$`X{IB* zf&>hObJiB~&XbAeP(tc9LJlOz^m$N4oSh=o{{ zmbwiKZzZ!8Vx?Q_DXhwMdJ1)Dt>?qSuhUal_zjYYPnSem%2Xj%`Uaf|iR)zopN@&N zkwmz6ViB*j;gcAV7BYp8HAUL!DYV_F=fi5=sN1lbZFL(~ti4R(9aW^QWa2$hq)4U; zu$t{86N@X734F#R(oPcb8J|ddJ%xpLLZ;RMpRj|}P11%QJIF*ny6lKVJe2dXmL2pI zGUf(p_A4f+vocV@xm7IqVaS{_vY#4LsF3L(h<(bkz&e+rnX2e#;h98 zis^j|1CVCCs`z{K{&{E@X}HygQ_|N79ieklq>B@f9v!+Dwe8vgFPvR5tzI~BzHn(Z zME~s2zPMva*A7Kkm(C#z59c2vovpcXrZUpQ%B6YTi7ATv)~b*mCUE5BR#X&IG-8mZeqsvvyAbC^BfYZNi1xOU z`Fwb!x0TH2rXzi_*j$l5S!`Zn-z+u{vTqifi`_4ajpL+9|121%V>Sg6_|^RY)px@W<>tM$)v z!u#dGESPIJAj=64|K=<<*YM^nHrMc$ESNXMEm=-b!ym3n$#4|G@W!L|eR>APg154f zTXl=j3q7qE5w`J!(;8=V1_Mc^c)}YKZIaK%!tdFCTNAJ|;VnkJ!)ZGcSWfL9w6Q=Q zwl4#QtUB`d5aG7ExsCcO8l_l9jQyc4WhkhaNH00Fa2bQ;F{07VM?9YU*n zN6Ic2WIDlzp1jMcnXoB-ujjYRgg29iHre_^F}^<&GG&QTfXBkt5ADJ#!29{#F-xvN z{MUo?ZqHbp;ZvlW_BkympYz4i*Pj};Gl2$dZ*joJ#HaMPUS{VIr-9hvUz0YuuqDpA z$ZKao<&KoQ?M&E6KjiuCOepH6B3lzqDV+7G*De>%KW%H4wlndwl5rtX+BTo-Ju+yQ z3nSkCw^ehPO|3WC3d-s9!^M7!Cz#N#<_cRtm{>h?ZOQ_|C}zXW2@41fynR~I0>bR= znJcXzJ`i$hZ=Z#VbL@ZYuoi_wxxdx~E!;xz;OK3w0iSH&VfBmi?cW`DTRp&N=;(`C zeqnmM&Lv(e7pkx`Yysh(R%5#XG#xWIggltZLSg*&`yzzZ0~YQFv{R1+tSD5sGM%=d zIB-1ll+%J1z_9I~SlEif@a>;n2`dT#AAiJWL1750o$_+hf&z8RN7lk{5W4NkfQ5?V z$jXr(D+=ONb7rh43|xOtXL*2tkH!;LF8qXW&Sw@6I9<5If7Rtx4THY|H#Udx?_76*0 zEO-^n8y?6)g{Ki#zMP`lx5aAVv-)+9fhV=+4Z<_YPZKj16fdKBqpZcsM~w1DXE_)1 zzj=+*7Ek&lf6cqC?(j!sE$^D3HHR$5ajMs9!YgauwdrhF=#ih5L(cxzc36wgM{R4J z$XJ~5tI45l9xII3pF=-b*?cUrZ)H}ocy-Au2wR*K%30sMreHC*gM5}LubDNMKEq$* zU#}$>Tq3l*+q3E_eiQjd3t0I$k)789P*dSBz#swZUzoI-@RGb|VO9yMZ023(&W44v>yuWK=sN7UF=VI9CjB&U)||982k%jB z+}W@wJbl3``CSyZcsHkqc zwACViP)PeTRuex>b?|1x+!@=~-DfrN=It2HhDGt6z+&oIaFCI+$gS8{E;UBBFdBF+ zU}fWwXG@cm73RajbQX;Lqu~O-l`Vf(%)8lRHOc;Q@ZO}=#5+P(w}FwC2+I;R^Y zdbGuvp4*&Ysny<>-@FS>pp;;;dix%2aXxC0OyG1>iOdC8`^arFfpbiLNyF(UzqH^) z*kEBP;*&~)g{cVL4Uw6+X{esUa)%0HTb!60A`|%QeuQ5vv3`86YnX1s(uV6!Frk}p z&T52iLYE_S8_F?K+IT{kicp(T!cv4KkCGOw;b>_=F-A)Z#y>_{utvAb1fSl!UGlIF zV`PGdHC8904}L&HkVSl^ah%Qs6CccQ6NWWjH=)Z3x)Y3Kg0x{`oG{_{ZuGslE!HqV ztm3v9$Q{yx4HS?GtkE5khQUvi7L;YOwD1PGQ<|_ocS;j#d6%?sDW*shpL?9F+ptP^ z={EF#w{Al(Q}h%@a<|SzG49cAka$p-ijX%+SV+%%qzMa~Doq&WRB1sm?v)l4<6ddO z`0tYztRJ8EfFrAj$3IP)F#c&W6W08GY2oqTFHOAlAJ9`++n~-wCqdnYULMqK81ZyH zg$W|Uk4+`~=NWvjCh`z53=keRjy!~9al zU)R6@d}W*>9wWXws3YQRJ_|E8YsY_8jLgKmFwa(m1w4cnNVLVo3}NBN^$cMV({Wg_ zM`cb7{85<`HJK?Ayg6qPt!o#EuyUaX3K-K4erk-&M*PQi@B?IIHu1Z50k=zgxdHFp zt}7ZILqoH6_cCspqD0t`Qjc7;c_BTt~u>iied$m94u)DHD| z62FdV*RF2?Jjz{p&w*P8KTSlPfQue4U#sFP{*l`!uy}@JOGND-WL*otvvX{(yVr`COU#1oR78 zRy>=$kmVB3J}+i*@dWi!7B?R|&vRL1JXgG!MaC1}%UN9Pr>|t8==bF;570li(TG;n zjd~F8BX~zq^P!Bj%@jsHj)t~Bb}|ay3D&tMa1tjt@s>#f!Q2&+q&_m1x*44i;FdRjUM0~+-ZA0(NMC?yOW*PZ&8Cr5VZDf`{Q z97dSHsnHN@wh}?ltNbmH!w54hHJ(Z3Fv7&$8LM(d46{3Rv|Kr%Q~r5LI)_`Bw>#%1 zcP=Abh)%gZk;96UH0S5YDkp2$(qss-S1;<_mL3*~yyS%RsoC8a^d29uFNr@CTK7_P zWYb>wP$t{&@Z_-a+p7k_oK|sT;Y#OV$XTJo^iXPc#Ru`(p@!ZZ`Q<}-d3oU+40)$? z?t)xCurnX&iNe{%4+jG~*glt)Pw%*Md6u(0c^8FqdZ)q0&#&hyflq&20@-bACvVjA zF7@YN$Q!R}gHyQ}{5I>nU~V(j@#rF8*w%tOxGb5|O8NJ`;Ny(3<0ed+Y&@b9c_joe z^kH~|tc1UM1^>e@+P&e~p~$N!i&ms(ftz7Iz+nbN&Lgj)mmb^;8yX#8F%dz-D!d`S^P99cWqz#u0-2vbsqn0x!d$OQB3|$o$OOLuS*R27 zviGJ)6=7W$3L?L0eM|C?_Lj6@6mQEs7{%Mtf>A`I1*0gF33L#Z30~Q#%*1bqW739| zjp-&##ia=Yj_W4$ST0ORD;E~T%fxrg@eY1xh0R;TXx-PhPiy%cB*==n=ksCvJMUm-4xmot zK$#YTvM^&C&)uzBVOg7sypXJhfxM3f&2zU0{z39xkt{60w=Gf?8L)Oj!~0J7R?9Pl z)1r+bf&Xg2w5QgYzB{yHsN07;!52TM3G2mvmcn5^e98Fmfkyn25?>DcPy#@H7EUNTn(DLPa@gkunl#CRo%mzEe0G5Wh7 z!;~1WKF3CdCB}p2*sO%acwihGos`&Pyxa>hAg{pqYqBi*TM|Pm z{{j}71$prw3W9$%_==CiodQjWjb0prFUO!Ix;W|CA-pBjn|FF*+mnz9Rt;Y570r7J z-8r*OmpfSbi*9-)7*c6gJ~KnNrEF6kH1A$7J>|{CI0TVIRytYvJz>!sQcK-RZ%BRUSK^O+ z>tp4g2C+sAUGePErU7(8pc1RscjZSE>r%Hc^5@8T%Y$EuQOp17WZ)gKT(RO4f-+X` zNn_P+sreQ-g6{f#z!K{w547$lX%TDWtFY46k@aeoku z5gsdelt!GA;jw~Wfr)l?!;w*+W4U6*r^~C;F%R#)!y8n&>=MrhL2Nt%Xb%UT6l`BY#5 z4;vUqHvAk4Gy>^D&Z1+z=tyF`7(4|)Q4-@7iT)AB%1CUS6a6)FVnK-E5sfRXo=vgB zj6Zz&1+HalQ*ai0B?O0)50IY$nE+qlIXS#$!j~B#`T{HVz|n%7O8JEj0YJV@!N@ZN zU%vOT(tRoT@*QyS3rtAjkO96t1P)>BfD0m2!}~D)qXT~`({yI-(sE%uCQ87q5y% z5sO|QP%#{Svgmaw6^lAK=25XY=e72!7!IFVv{gdIaNrD>Q^j!1&7v&>Du%;o7HyF- zVVu`Iqhb-ld@A-v2=g{es2Gl^t?h<`X)A_+TtWm3yU4rgh3{^_)1Xoq|G~xTcyhKA{&Pzf z&!z%*+h9{{{M~JX;m+Z-Nar*e3628{Q~8o((q2Chr&rbf*Mp zCj=J7k^hu5tq#wk`3Y8jw~satV9^2?1mB&66}ox8f($Fa$3shiGrYaQyCc3nI3K&h z02#Kk@nH$gz{}JiZ85{5&B4pm3~g5fDe!WypLVOkp#r2*v<(gpCRq7>UfSmdhZ~Se z(4IIrAYtXx+_WVQi?;SbDg@i`@ba$nu=4vIjBm7q!yZTlXagJ^7(psc+uyM04NkaI z_0gsm4DTf2zLgeZXVDuytUTzUmGfED3;BX!R{AcFy(q!TA9TWU?>yBu1F0Y_JV@;SXq|aIskLJpO%Ve(T>2Jo}$I&S+o-{AM(wbdVQPDOy#RMQ?%Iq*-2A-a9}>+gEf7539!#q`4dT6HJC+50rN=@tzOKc zql1tNGrk`ai;jVOPdRCkTYi^@w|abmTS%qBmZ4o0SaiIbm52PaH3N%I@Ixv^yTGt$ zAk502_R>ZUEIJXGPbX;W2NsyqBWjb^bugb;H5p7Sab$3 zUr5j%3=Ai?_)OU?yo8yM@5K=9E5f4CAIe{H(AFjlXRBat40b-@%-N9dr8H~_!BdYX zS^3L8+Gv7BpL9SfNh?LO=u=)+{)z`SJMm#p4gvF(Fl~LpqR#;HRVQsV!J^Ls^VJ}2 zPQjwj1tFDzql%X>2lCDHv(ipH^*k`=rDzux7JUJj^S!i%1&h82%=ro0XNE;zcChlW zo7Sdg(N`c}I7Iuqu;{D6EOpT4E-X49n56;QbAv_0z${JEE*&gd8f4|K`Dj&l7JUu! z!G~``!=i5hbAg{Wkzvs{fw>?>yI`>B0$?um(#9Dq`j!Jy30miyMc;;eZ@FpxZWfII z^Q{o=8pEP7V7~33{a{!Wrrh}a-PJtycp6e^+W8EJiL5*VHH&j~6Oa!)@m;@IG!bUy zQ4buOJl{KzFB+y@T3GbmAS;hKS!q7Ey_bYkkT$kr(f7TO%FwnyIACSvaX)SI!=j7b zkV?U3UA(--kgv>3TX(VO5(lIbv^qA6E`@whJH9g(i!K9Zc?kC0;`x>X6Y5&(<*7<9 zqyn_B5Q|o(A(f^r#8`9% zAm4jV+UE!d@sJAAzDAHrLn=c%pRwo~$hXK(dzi84r;u+^iq;`#(a#;M{CzKNGRC4` z0Q3C>?Hk6TYk~QJn>K2KTL)l%5TcEg@J51_FLuyYYApJ-7g7P*HjPD7kZ*CC)>voJ zZz11@KH9U4MZW{)he_Hxj77f>vhpP!XlWkpI>@&qO#3|HEe$JQ>ZCo=SabvA1HJi9 zZ7jMG@-59k&r0DDw+Zqs^FvE>FIyatO3{XBEV>n#6<$_4i8HskA(fy_@bGq;l`nV0 znU>prfPBkCa3$eh(q2|x>7aeeSoFsvqynrICkR+{CorK6OW)?HUBF!7qs_!vbaxO^ zN!mw@MfX6yqz77)Gxq^A83vy`^%F2Za?-wJEV>_<9|fURxb1)gQW@IWj71MZzLkF3 z)Qm+B0dr*v)aA?!FhBOvPH8N97?>X?Xp=M+{WZwSSGj48d=@gpsd>CK`Sf^Cfl&g|UgcHj&v z4C0?f4mS~{A=Y6Kva zrZt~gtS039(g&-tVzjZ^!2B{vJF(y(oRxp&p}kIU_zkHr?Q;St$oI9Ac1FP=H>85J zzX^+-=7Cg(_CJACij}AQw5tk>ogRQxinckyp*1W2#!FkAKq>*L1nmsNV$KjNU+1H3 zOIYm86r_^0tqF^r4f)o4Xaf@#JI4p9Fl~auV&_7>4NlsogvHJS=7u0`W5Qzffw>_= z+nli2`M})hr`<|etU(G=DcY%o#V&w+o4mBu3X3%a=B5N~Kf+>pz})Pn?MYb74b06U z+K7b3F7mPREe_hugvBm~d|Lvv0SSv;3d}8O+MtBRE(7LPAMIGfVwVGRYmzp!VX-Sx ztbCh?Hm_l^D=$xjjgm*sxd=U~bRQt}-lkjgOW8;HT|b zSnOKJ_d|-dK4GzZV8T((cVS_%0$`>S^w=AV6$0}|H$3eY!amV71u3|(d4Z>zL%tnw z8}xz`Z7qPgBR~()u~mlDR4?QEtVr_uA zD@;$pvDl5k-0h?%Bjz2j(v!$j4IyfC*>K(mA}=Hv=;hpzTjsY>*#PY1-<9#cqLohkdkH3X9za%)?3A z{~Q(@2FxQF+6#)sh6D4c zpSHeXv5~+$nu2!V^&I7A<;T3BHLvGr$agFO(el*oz&!4z{Z&|OEHICUXpa{b8wbqa zpntyVgy{~~sb0-h7nnyOpXRC^)LeD^u#^Vc8XWU$u3BLY*X?H2a0zN$H@&dY#wA*V zf74on6K=jBHkok2_*VlStlibpd`nY->|l7OpivztdwiHP=NM_yjdvxLi1dh2GD({)ZPe*QJo_Qg||8saraP zh2h`*wBAda>+&Rge0=$KQ5LKOzt@}mLvuAs!zaL(7#9vA{VUJIp>8--_%^!hvDPlYYYk#tnT^7 znEX35SDPe!e0=FYVS+_OJJuhwTXT8S@Cj(Rejk}|)y}`1y9aBob`H(eHl*P~h9VcN z@piCnP2=Vkk1*J-X|zvk+c z;cM@ST(BJI$k?(bnyX6?K2E+=pDe3aqW4!BO>=b%!^gvLwLM{iRX$&SCBZaTk0gA2 z43}RN4q;8unynuL#e1gV6X5ISiCnOP=FHfx(_H=B znyYVuuXQJK{k12#r1}fcMVp1;<6*cop2$^eN~(6y+fs843c<(CSGNfF4qFLbt1KFu{U&DWk2 zCRi}E@f(hBG}o8_d}wV@VLJM7kUjZaPt7$p1RpnFmsFTwQQc)H`rfL!#wXz8L?pKe|S9P4Q^1yOMlSP%`0yv@O;)@1VKv@oBER(|r9(kqg##9oV#}o93Dw zgpZTqVu&IateSe)eOvZvt|y==pMW0qazfS+)=Ete+cHRVJ(YxyPs3+2MJ{+uxLS<_ z$oq5}J^}tpgD49ghMv)DPHoNgoI`Ux8{$vnid?7u<+*QWy@=+T>(*Rz5*j|nD@^c| zbc5gViZs`}Fnm1xky&Aar=wTy@q;OxgpZH?{JK+?1N-<%z3I?gZ-f{=Un(5JW6|#gMxUv<7P>Xp0=O8Ze0Wib1vJ-NaM}8n zr^-T_s|+qUl{_`TtGW88;7PL(GCzRN`*8N%q6-Xbbe#6V&o^kU58aw;aYDm)wMA*Q zclhS_8}YN|S_(dvq+rQwSw~!Tq4BJ9zt>z9e$BNE&b~2OM_go~?YDKI#VUjFak70Y zWiDL7;qWybHfgS87(O2UPPGX7=!?PS+owFJxmG6O<74>#vM|AF5Sw?j9;LZfrQs7` z_=2`D9n3hMyz1B%&9%m%xmJhxTgjsGuqeaRoBwR2xju7iu1^#EJ!X*$)?m2duKjQh z_`<8XK2P!2kA(>qco_Na`NK8WmwwH)HlyK7(ZV6D(Qx9ntKb~)br3#I4PPjhrry4L z7K~}FxxNX*$HQMi77k$rhF{aer)aM4lJN0q_~x)Q#ljz~TmXmAx-@(O{C#v`f;Aq# znz`z3&9%{?xi*B@4tPaam|*dSryn2pgXY@o)?Aws4Bzb)CRnWD>LHcqX|Ana&9x=P zU*Z)eSW4odcgMHTT-*JcYgk}#z~R8KCy!{ZT}k-(7`|02Ot3n{$6cpFC)|^UPk_JcD@?G!!^2iD)w)Q z+yIx3U;LWuP=>F^AWX0jO3mtc_B|YgkCQLpAj?{tTDbL(U7G7i7(O0`YcB{BEPb-{ z)7$22u475~`1s-z!Xd165^nk!IQ%UQp8#JvL6$Wwc}e~Jm742MhvxbN`XQ{fARNLv zBEJ=MzgKhp?bcj>B{Y1IUzlLUjbAoT1$j?+HP=5W{>Ha3!8#Td?=JmOyTIYsTyW2g z?*I!EtXuKRr-6^P3$!47obba9{E|F^;Ywl}{G_uNZg+0QA9?nqz;a2u)mxh&1&?q1 z%V1pUbJ{R3{`Z5L=O6|cmAkEp+$&$ItHbpLI{-0YUj?sh)U3iR>8MFu0<>XpoacFZ%i z9c!N^=61i%v@6|tfx9?L%ydvP2iP&s80B2Wh`A#ynK#>&e)?E9yr}^H@YJX??R=g# zao5g0#N3mX%mH>j>#i(t#fkY7{^5GwV#hqS-d&~N67y%b&a^AN&alY01BrRiE18|` zn6=-S`NQqR{Kc;`?Mkop$k=1ch-ZzM5~ge9|!UFkKdg(n1wc`PZJ zUG12sd~?Kl_^oKMU@@ekLtmz~dQjs?Z%5%X`iWcIUT!uX@w zOk$q!O6DLtCOh}77JE4p&hC)cI@Ql0L+IIxU$`J9RA$X6?!Y4bNGk* z4B0Vjz1sT03yC?`Et${QF>B76`rbRle8DT3PutbA#>JUm_;d3(XqU`q?3ky_sIz7& zGH?tpnG@}p)xQdzI)#|?!jd`HuAbGFH?KdJnBk;k&av~URc=qNC+2HuooVNj&8!!y zP0Tm(57+Z~JEo&uo__-|7rF(rbfZtU5v+W!j{*i=-0l-%76$oW=nETCHq49PK6KYU zVm9|m=0-c_MK^U{A!2Xom&^@zrMt^g&rRnHm_L!sO?J!+Z|ENRkeJtpb*5eEdEM6k zeLXSTBqbAWYQzXgiM`?ZGk$gw)0@_rcBNl1?dCVcIldhd@wxfZ&2~Or^OE6ioB>lY zlDXB6+2GmBFa3g;H+glYUFqkKTs>ICUhJ35ZFbE1p0<~YkwurFWNx-&o;S7oFBfwL zOyfxAb~|Rh-QR1&h}k14ncGq}dY=2hRgI1ivu9c|x7#t#8QOZYP>G*PxSl`SG0z_R z;b~Wq&wg&{^GCaSp4GGYq8h|(7M9E%cFZ%^CLMwaXJPn8ID|x~e^Az-*Fa?y_T^_Tc!377}w9)dK3d)2{ToquRSY z#2i6?fw{|$dFmxc{~AloQ9fDvPP@|UwEuf{1u@42B=dVapS5qj^v)ZJIW{DjU)%Yt zmH2SOL}HFlNalJwX3b&#xla%?kdn-GcBR+Yw0`H~#GIIs%=LE6Q&t3TIE$EfIwfwD*L2K<^JnMpgJ zwWE$bqR%%7O6CeXW-b5fua0sC{MI0uAK5W${`mQu;!ffsy)~0|^{jDoqRlVl^HRg7 z9rF~I3LHQ2UhBSY8gTIH&67}Fm zuh}8-f>Uf4FjptVJ5aH-2Os#vYM9@F0vdtx9VmYg9Z3wwt^660$cD7Uct!A82B?h0 z#yOGKG{B%B#572j_D}sU{|?lX)7uegp5_+?gqR~be6-})V>p>Avh{D-#}_lDpv z{OHO7flb8*gP&ei>^_c-bgS4sJntyKicRC#=&%Xnd2e^C*mRDK^{d!}Jny)$ibWCo z?;R-6;17?g%M+-d`F*F8zXLV*!}6!BZ*;6kp_AYJs^dqwV{>6M?XVE$HSvshpngyG z{lWT1$6Yo~CTBbO?;R*ODsgCJ5tlEiXT>Jj==UtoyDq6V>H^MNA2wl}_mzbB*ks;F zZT?$psPp+Oevaq=pg~{%uxtop&^I8hVl?Qx*{xzU=o{o$F&gyU5>_!9^xft*VY~yB z_*IMseg3eD(V%Z|TE+ew^ubLW>SrEb@dZ%-9}W6^TW@66OW6O|pzoExqmNrJVgC*K zXpLuUOWqmEZr%R|eYAibzR{$f4gP-}^i9hw{>OU6{ErR#J~;kdFY6KW-=GiHV~2B} z`VR*g{|)+R*?)ZRL~3IU`WnLdg#IB$gTB0!iqW9YZOTi7zKeV+j|P1goBYwB?^07< z8uVRe%1eX3%ayz^=cLEqWB2jtP9?;M|r2cGa1XcePD-+89IH0Y~u%1eX3 z^G$ha(AOYk_=7>;QDd3blP_BPL5;;*A*YMQhx?4*vmb^MSnP)+E!*=Y_=W{Eovi#G zk66ewwjX}M-s^?UVw}j_4>o-1_XlLgM|$xUb*zuFXzh(2bV#6Fv=-Jq0=EJ)mhioD zW7i~}4#00l8mXKjKb9q(@Y3*wcqkDuP~3J2-_n{veIeJAt1)uS#V>-R3s`)VT*y_f zIve{5e!&lhMb%@wf{GgPk*XT;F@8mjWnCckr4Q?;sNscQ<9`YhsHg#M1!k!6+PpTs zxf)Q4H0o+VSpp;Naj^g>5wV}LEPlIOgj81}ou-AFc;ASRNU3U! zgWjO`jre$vszxu+ZEYIsr>M~unmR2&MGbIEMBeG(iUzwEC0ieVo75O5`+>2bF_a}T z2sLU1*s@+h#B!=}JXOWM;pu*6J#duA;^PyRBPBjANefkx8l#~%=toLC0P!1Y+~HHz z7zp{+2C#mL8ttJ&k4qA$sxdkvFr#lYi+tIc)CkDP6*cbgNhfrqz# zQsXWexuV7t;aQxsadDDmqrB)iSKlZ-Qq{iE@92z!;OHV2zuRe5V~U#=W23&|hlmX| z?tzq{#?*wW#sgsc!j1J))aaaG@hJjS)xdcbu8`3;?(ck79a01DrO9ZVMW-eNCe9lS zj+6&DFP9pT`c+lq>*@>N1n(EK_`M#h8dIT-9}daBF*2p9aUaBQs4)#}`W1HBOdl>Y zLv_{{$?pn%W2#pM!Uc}v6?SAwV1^ohAAh7Ssd1l-TJODk#=o=3}{DvCAjH<@d0Z_w>^;7yrcjz0_`~<3f z;{mt83^l%4yZRuh@qmn6Q6rd8>#iz^2 z6*V4qN++boKLNJvr4V8{)i}SZYQ*pV1Ybe9jK$%Ypa=fscq9H$m=;_lHJ)~;YRrK6 zbv2f~?p4)z15%&)v3^S5=mmY_p)i4}8vM05JbfB!Y?{7pE%gn2%*1lufU=|$QsZwA zTlNOWa;s6*BV~Q)j3(gyau$CyVNqj~J4Fjlay8<&L;S{(@|Z_aBRIk}y)xV>83=cY=M8WxFhh-Ky=R+}8jpFT(Kw6F@<}J81}vhrEbd1vry4G!Z=8IK zg_WOrO2E+-EIunG)R5ar#2-t;GN?}8XmFqMI%uQ!hWO*A_}>ph{30ybwQzR;aDmk{ zwS0}Wb>8Q(f=6jIVtw2aD=a3q?ENtEa>r`4{K>afIauSnzTl`4i$4*zwEVJIh8EGJ zmVeYi<~!m{|O&5RaTTy;!z9sJ47%5*H%G`YFf3csB!w1j^$8)|`t!ijY~24UOCV zMJ@kSNM_iZ0unCx1Z7E#S{{}?TvnMxET z^@|MR`nklxmaR!5mQxM5eV1E}oLr56F6i|aIJ%0(VQEv#Ir16k8&4-h)t6O5&tL8q zM@4)N#BZoEH>j$SO5+leSU*LLi7=RbCPSd22DlZNp+;G+TV5qK=J=)2P-AXTU_uR8 zuyR={jaW`K8dg<}dp-|d2#&61@#h^@HDJ+JzHBV-8_U+1)OZ2n*VS0IDWs~g8B*^g zv3`mglb~H^o`9N%dJLLkCdac8hsAl z8?*RJZi^br0O1Se(vk9nL+u+chZQyAuOwA9cA^?0Nd1a&XBsa0ZW#!7iECBHpFqfb z-dIrQwOXXc%VB9W`o=3sfr-Ac0{X_zldF+eRW%N^e*o*#gvDR=TGWWY0=?ncfILz* zgEq#IG7sX{`$l|zT2#4 zp~e9>5tWhVEzLXiBsK7Mkq#q6jRkIj2{lsCH%_Dw%dLj9ifR-zE*uJuJS@H-Y*ph; zXyZ9yd8DKQ>XGsm#ILJSQ8TEhQBez0ivv_=y>Cnlv-q0{0#!9qf~ctRc-O}#kQ#5f zMF!(63S~(rbQb;E%b-NWa;lMERW)XA-q8yj<+J$PNsAiGj(KS@aOxW;{HhvJu<2^V zV_?(!M#Y&yTn-rPr}PcD!3Bo|s%o6@3(PoD-v8i{}rb&%=AYwy}cf+b0mq6-;1l3tTQl`UMv|L7RoHr`Yl$fE$#7-OE zB{kmRQKD3a8t;b5vpkE=NVAGdIF?h5!m6rq&A$5h=6X{Wf6r}I<6RFeV^3-{05xW2 zeo|GVF{EBfQ=N4+X2MzYT@Qh>Zw&N6vu3L?b!o$ENR35dkwH=8{iJk4 z=Z)ELq%`JOPBq{uuBwg9pWpH4Q{cTBi+|v?s`0*$7U$<`#9s`kYQT8dP@|$5*o-5k zIiy|*Q=N4+9#69P`#u5{HNdUFjJ~m>`sJ^X8jF+CsP_#hOFAJn;Qp$jImdFU(KJ*= zH7=Xl`gHK#oW+;;EoxL;;-Sq6sBbj(scI}uD{90m9I6^Of*P+nsm{6@PdcDOdt@No zCGM+H(by+2Lyf!hf~!f5rD@B4xG7A#&7w5SnZ z7NRW|NR8$Yv2mnSLdrN&R=8C)I)NJVQdDPMjc2_K9LhipHJT%2K8t=<{|ngl3D2UH zy3tT$gF+mJ_7LjV3idg7^(JR(e%6 zdV-4cy;Nsijpu?8TtWt7sBt4gW;OZ;L(h>KAGxK`P-CT6U_uSJf2`=qWzKn|6jt>} zY4O2nEx>y#7GIgPs_{{ZHqsz9I+@g11@RkdeBxKtxEWL|#VV`gjThm(@llFE<-AeR zNf4DQY`t0IP9Zf`c|`{0Ec%IGU_y;oldR%qE^|&bnpd@N+~NHL@90~z_$O(r8mlt2 zkqB2K{hQx{W=!^%wbWZqNkg-N1?uPGxP@IyzzNZ zQ6v6ESXCncYAg_O=xT)F@b2lBf#_;f-0T&Yp~f{0uDP7l_&g|$x*AZHz(n780~`mq zLOIoFUR5;~EPV?X`naCO*Salg#J}*+_AsQzNYKVm<4cHN?;G*2lByc`o8y8M)mc}g z6wVu8cw``k8YA5TGt}tPr;V8V`Z6qyh8kZb1t!#ZGsr6LIjI_@zox2qg?)WklL6rU z1{R0AAInVOS3cVGhSUg{)POrjMU8LLsv6T1xakemSyy8LoHxGm$v_M>0&amBY7B4v zDZF=%*OXLJ8VxnRNefJ<5rZRTdIGVWeWO)X`^Lx-+XjN8HZ1Swp0owG3)VRl_ z#&;0E(KpsPR5fO&aMK^Ev#!QlaNhVvfa+QF9ybw{vuN=GM^{qgyRn8m*j5h&HbnZOSd0yFx?7q=}LO={rO3y<8mra)N&6KXU|u!=bx%c(}| zs;V*S;){O(?_L()7`CWUG2KfWCQ;v*?N`;nc|l!`_!eLqBlCGdP$NTi*82ub^n*hJ z6*Vem`vqpGQG8UkLCN%oS7TpP5Q=0o&#k?Ro$*D%msvaqxdj7}2Q4x!8Nm|s1 zZ-yRU<`q@1nB!E{*aq<%YCt0^YAg)n)`M6-rEe@wGH^(ss>U3rzzj9&rc3*g8r!_m zXsEH>FEG(JnrB$W!Z2bv)wr&zePiHTjs5{gZCQMK+N#F33~hBqePfsPSV^ zRRa!+@_R+#df)iS!Q$IQhf&p-m#xO}$^pAcjkF)5gmZ*(q{M#=3QVZcBE>2aVZ?H( z(YmUAWAU&{H-e*fEWX2GRpUp9{~e#~8w)*Z-`EN98*1!=_>CiFNs__VlUP5cZ@@%< z{6`tNGQY9VLqz2)`e@zT+@!|NpfnnNV^>&ULXDOIRQmL&1M%x>RICiBYJ3c-`x00`MGctfkMEL^8)|@CA}VUUQgMfq z)Yuc2MqLdkOJG6`cxJcaV~*uiqh(c(lz%^Dzk&A-EWXccQKKS(YUp!cOH69~1nsY@ z5#OIy)mRH^OmkD6^&@2s43ZMrYE&%AR-;vBR;Z_^DyG6aT;yeV$ayF(bUFedDkjK|_t}Jgj2-$<=66)xOd9t>^HrtrLqM4qMg8Bxqwmx~8my zh>g+L5l9(o9QCSd?1g;y2dK`v8XJQwo=M0+jJ~nXEimH>JJ0t>CH0LXy3yzxN4+A0 z=o{CiSjArMICtNusv1W#(R}b;%;HCrRyB^K@RtC27Tu6h)i@6E>uOYF{Hhva44=0s6*~lng{yBfcRcFhh+i&guRFsd3yZjk+38mcWD>*9Ta|VUFcgZrT=>`Ub?V^o>6tVqJ~+pFvfPKf(5ZkLs+eF(VBf+D)K(r0n$y z%ur+D{j;AXHU98Rqpk*&B`~4J4Ng|^C+Fops0(Vu zQ&>M`ya7LZ#Q*USDEkJg@kh2A4~}rWPHOxc78wjRpe*Tx)L0B^)D0q*Q;il?Rb%F; zW&OcXH&({H7B$KoKH9>VtFgSAQ|TLJ8pLm?Q7x^iaW1Oi$NDL1gpv##5~!#FZUtr> zDI-^oT*B3WQlwEoQp&2O1tyM^4}xs@xk1Eos?oNp=Z!W8zP<(=b!TPO{Z=)q1@Lzf zc^2IpQq?#G;@8z!p65~3xDZl_G}cd1;~57ls}>+oQ6s)LBrrpb-#=VeL~5LpmPTC- zC`&q_zEPQG%P-_uPBn_E+Bewm?JfrIJy==Iphb=4b)B>^HT8{iA*G);%4$0lHOlI^ zRW%x+8X|E0Ec(0`IF8OUuudn4!jZANCKE8u)`bA6qC#N*%YrMBk_c$Bj;| zM%${Yv0>K4I^f;M%IbtIYLwMZ;O`=$A(!VRRW<5D{CeLgJI$-Ak?+F|nyJpZ8ZQJH zIFx}HYUCvaW~j04wzX49jk<1W)cXdMB`~4JYUmsJKE!hOjjA3g4O>^A1de*LveS}Q zHR`7DcM++^6{;G`TRK%W&H&xy$Xu&G3sUa|v3|;t0zZ3{)lCto94X7MND9nQ!*$l- zFLBW1N0OGI8yHQHBIjf*?3d>S0}Vr9;>RgE*C#Y^SLe7PsB_Kmar zsv2hpRW+UhH5Oy_R5j+qdE*Q(fvOsww7?8C?mICIUigFil(Mt@(rBo0c2HoVZ+sQj z>OXaIHQH76NIBeK#5>@qiRw>``{MQwE|RDd1LMh8lNv{FQ!dE2C=#Q)YXxEa`;$##aul{v0=Ax%);{)i`+Z z@p5p~hn1b@Hmd>s4`N&(<~KlpNEv6*`e8#2R(5_;RpTW$jOaz+x*Bf=(IHkEf)n!_ z13lKpaL(rEOJ^OFJ-ePccJ4SEnYryAa>s_|}(o5zCpzO1Z)*Q^G_ z=)>PdsOr!r(EklJToAwP8?5Ytw5rB@P-97$>a42)KYM^f8Hnr~=vH8c8r#}uj*=R# zq%<08T#y!+P-9(Et3Th3SWY!M7;2pSeTyq!T8HzZ{a9H;zfeQIZ&7wZ0MtnHTW#o# zFM=9I{CTGMU-#p-^jIUlviZXy_!5n$K_&qz_v@>hUi}QliXJJ4a=%`dmll|a^+$;H zbw6S`W9?YgSkKRU5hq9bvog2G9IIA;9z+)lQmm}39z<@$dNH)FagA7*gjmy9BPG`N zpqlgaaAwPynuiK9^cFLCq#SCj7rO-}V%-TUFH9nqGu94H{g+YadKjua(SFEMSQdNv~c=e4fz|lZfcA52^p|XphUCTqVT`vr&vEsPEXx9Wp zp7dailvtM~8Mq)&iM7GJN4rq07lx$Kh*cjLh*n#m45^*Q>@D!P)z|Uu^za7_D+iRVw+g6 za+4Q1+xQc->!LJbxnhmft7@z#x(&H;dXo5f`)@l2Gh6NjN#6n|s^%~8}n)pQq(XRWUU6*(f%NgqhRqewA3IaHO zyM>iq}iFFlJ^TQN@O051r>$IX+ukcBu z(TA^13QWWbdydv$9!4x@tOcPe_TkU}ZgV3zx|NmXJFT%U3b69`6S7^KK;%Zd7CO{e zS3+u~2ur>w7X1R+bx{DTiA|@UhyQAPl;#1;n&?6pu@)j9Gl*CZfnX~+mNV8C&ML-w z&h;M+0av%Nvcj}A){jCkqIAnx8)wv5oB7pPS3~OK1l3ZHbuCo$qY!~gtnRCt45D^z zoRLN&)@FWziC7OqyRPO~&RB1#YP$~dy?!frFJWcP6V_Oldsz90Nf~QlK#jF!SdI1b zBr9L#rdsN;eh1ZD?jcZ#HLv=}P84flKpKr$TZRQDV*NF!)&D$+Sk746RkdBK9oljw zIP$ZyRzYj5pZj1m=#jA&gw$BCbEvU??PlelV1?A<^?R(Qk3c2X(0!whQLJ#F@f_+{ z;yQ$sK70%W``V3I&RB1%YOL@1qYc2(U{-d$&l>9|8CJe5C1b_4gN);~jaQBJyCB3W zT1ju$Ee@^zCmF1!>3Gd}yEmd(TRElCXxBDgfr)lK4uX9bL@ZaVrIUP)ny@SIXHe58 zo$w{&W2Ha)@C)4g@GpmbEV2kqzw$5eLt8aW)poN5r>EO~u=PH0KZKRt=oSjpWs!HF zZcsAByc&A;lOYJAtfhxik8#`jFr>iWBRsVM6u{IQJhjme&*y|$kVmuJwVK5TIN)m6AS7I0d`aa4h8vUmbZ)VZx_`~ybXl82kpMA7^7d83;4=!JY8W@cZ zYiEI|0RpN2FXR5XIyT%9kHAEux6Nqvk7f`v z8ofQ#`55;Hm}vCk0Pvi|gGPVv|J{+^c;egw@HL#3`I6Q~KN`fvs$`@8np7M8u)}C{ zt^NjRAbF%~_2J=}@-ITN(SH{C{x8zb172z>eg8Md3bwJWcP4W+uDwT4S3xlMA}mZhm{5Ks}th=_v1jtX|+|DL?(&3R{B|G&A* z{d{&|9^UhwC(rks%w*;|k*SHsUsWZZ-hTy@8v1X55-=<1zn9_5Vc-C9r!Ozz7jEHB zU*XFyoRS$Z=&Q&80~86mC`&t}Y3ScYq-p41XPSoott?AV(Hi=%Y54MtK+t6XRHvc; zS|JUgOK`u%N>k7e1kcM$Qq$1;+M;oVuQUbyFc|xL88r!g<#zg%TZUW&z0NV(PKaxv z!{@T_EmQ>kH;+UACFIZ-1RVOeiTu7Mg1$_ROQGji1(b4mq|o!L0m=+|esw?zm=St@ z4Hv!x2VS70(DQ5B_)S_V^n90`{3a=x0fTNpSj#OG74*?7Ak=RY(lqqnJkm7uWtpa- z{}NJN1|1H8G!1=0Kw1VJ4vsX04&dMrm8PH{QWkJ%Qq#~6wFMlY(iHR~L0iK`4WK`_ za?rzp58MR3&NbRjqe~QePS39q$*-4E(DSP|FzESJ9S;4Y5{Le4Ais!-ps!HlWNIS6 zE}+!V*8`LqdJa$mW(7T0fj67!ghg%WB2-LDV&pIx%uQTTKr^g7RIJKwK` zZYq>AEAZGEZ2vY8*n}98hZL-LMP3kjr=X*d<$j zHyC$EK+t!$M1D7TS%=W~fWEtft19SliIq2{mWGd)Y*Je{A`PKSE$@(q(51FPrfKM# z1XQP?Z>}ch&*|TXRHskx-LkO=9WL465LI0YUH%;o#7h4Jmh#}zzvuVBKjF-Qmu$zu z;@Hhe{|yfB$tzaw^nUU$qxwLvfkxXPw-$Q03Vxc0&^Nd60xpH#_&Xqx@KWgcM!=<^ zn+ZIMXs7pt%6Fg=q3>;R==(IJj}-KMU>smpJH1zAv=8 z9X>bBcdMXCI~}gw^JYR?3SC~>5f(?U2sMBXZ<5#Z=FFd6vaQqm<$loX ze538}b}jUM;FK!iA@rVQynsug=L-rRLeB+=5>M~lV;qM&y$`^9+b8G;hFFO&4C0cjfgL79foCAhwxs$HW{3_ZzvxA<*jrqwTs}E%bw6r)%g3+IRtn(7WXj9zyRSF~e>~=)GJVhtOSs_g0CZ zA8BDFLhs*@K2p&8Cn;tH{iw)jztbV;M~5PRbhguv2}J&woXnsG`au;GIrLsGX$T!I z?eYiOq#<;;w9C5=X&QPrH3{0sU45iQbsBn)m^2N&zm(Wcm*Dy*N@LKE4y9HN{g^;$ z3i`=#z(+->0rWvDx6?Npdc^(E>q4XLrb;dJ{yxIPo$i+L0uG_?T|#&Wy^qA4LGK&m zID~#8z?)Vk=qH6(iO^3D(nkvVDPD?MK|j@jN3gf?lI=80q>mKzbCVRaf<7QJ+V8Rm`gx(qpO@|Qfso%o z>AM#C$rThi^rJE$DfINx?j)P)5IS7i<tdtro^mbp zbA5z|(9bU81sp;@vV`yu`iT;A2K|&6$078K0p64fLH9$fMCgNp^pS#oiI-wl&@Xk2 z_PgN#ai?EqiTtJ6PQScC%S*en%T$NZ<)z)l5osFwk!lhS z-4CfwLqE|aO+z0PkcQADxJx{xG3b|BQmcl3xs=#WKRtrOcTj^&Uab%MpIx$@wEd{b z(91X4hL&of52_$Mgznq;2%(>xLwE@NEQvXTKETCFgnl)^n*=Whhd_QJe~pEe2>sfI z^pS#oZIWVE(658zyv`-)*N3nmO7!aehCt+Hhd)3cR6&tLACLh-=<)@hZ&MvYm)g}1 zX&U;;Y7!3p8jI>Q^s{2pH1unw#Gcb7xN8%orO=Jh9vsN^q139O-w-G*-RbGy^VdbF z0rc}%4*D9uJeEMOK}OpMzZUwnJ_17MSC{b-LO-X3j}ZFB5_1Oqk{BN$^xG@=2%*E1 zNLq=|2ba@F3i_QTew}`o4@ix;*t>>&sx|=~!Q7O+!DYM4GgZr}sNz(lqpoUD7o4!DZ5Lr_1YfdDWfzV>|usbZj;B zA-1doO`YD)gt2$|r~!0XV=HsXc5Cl>@-4|rjJD9Ng?=aO%6DLoBJ?{vKw_y++46&O z2oIrO72_j(^?tq0K3dJ+5AftTg#Libp$~;hSS$+quw05+?et+4cm$a7^gcWm`QaWx zf3Pg_4>~df4L!a7zSBZcK~Jy0uTKM%3jv|e1;BTBREN-|c7GbGtkcj3<)}_Wf50V8 zL%%8}O+z1QlZMbGxM9?fK_8xut%m+!S&r4v&vIaF1vP+v;mSduJMWF&(Cbp8?Voln z^r3J{eLErO_a_|sH6;%H_JBjbs{yafai>2D@HF(tVh;WBGKc;I^aRWbdgvSNL$cHR zld#i6SOWNt+(_8zPew8W23=l%4-HWy=<@peE-9tEUqin?Ax%SnG!3;H`ZXo0)6gG_ zNz>484@lF{A1{-J&?UGhs2_v=q$}&Hp^vncrgr+-5gfjc8bH5b<)H8N(^|(tugi?K zmRv3LCoH@&$DRI|$Dt2)IQ07gvp&5K3)n}i`DXy0hCa&W(4VzA^yXZOSwU~Ez@vzE z`si5XM|%Xlr7ZF-j?92Tm)GC;Yxmjd9d4%sJ0!qDzSAG`NYl`tNkgrMKG>l;4SiG! zN!DrT^0F5fmWKXp3NGzef`1z=!8PYp9fLkPmRdFRma@_m^m7|vYy~xdeqnvj>3{a- z%n4VB>!H`>M%#0hTIkP)?4#BEGYNKLL6_Ix&xR<{bGp3#PNkZi{!Bu38v1i-sMXLP zh^S6Oe?BHnLw__NO+$a7Od9TV32sbDX$<;{uGFfbzho;-K_B42;rplo^nok4(;s^C z=XucU3Zw0%xEA^ti+{BGyvLzG?r`YO0A>xnIba{H<~snM-s$6A4t;{np-;@Em=*Mi z6?ha9^e7hj$Rp^ll|}wFM`oa*r`O+OEEEa4y#8(uNRy{51HPX_B7>`C)lJRbO~-^PH7B!6icld`fFvSDd^_~Ft&mkK)+z+cKYy! zvo3{Re>d93musO<2>C~=9SMg%D&o+e1I!xw*arU5>Lh@7Z;oEbO^!MADP<0QYAMC6 zpilE*T!o;&<%;~YgrL7|i~L)W%z#0c*WVLD6bZV#{vIo(nw{Q}kcQ9)Mj}5c4YeBj zsEFz`^vNkCS*M{t7f_vsJ|zX0_AAN7Cc#aGzolLn^tW88RYQN~;GqXy7l zs&A+N*>(D`t2Z^F*Of-w8>L$4Q!PLe(F?iB9zGhJ1Bdw+9E2y2TjVOjd!!+BsZIAulZS|xXD>LUN&Be1=aQzOcSxybr_ZoS!<{a{ z&4kWrT?*Y8eSstLvtp@LLw~=lv=n;!_x#KXY5;xD`gZ!CLGOL?cb7x2tBkg(el7GF zA>xu*K_&@4Lg?cogon^4NzB=G`m_dogwQ{=@DV~!)W0G0BuW2GLH{g*b4iI_z0V8b zb~p|}|2z=+&vOKQz6X!e3~Hdy2xTyGcgd?qgfvY`Y^SGy6Ved6yiWhrB27adACab^ zCsIoLY3P#z()3PG64DU5yiWftQX1Rop9fN_hCbg@n%e1pDDv|{)Bt+Z%0Zvx9r+~m zy4q-a*R6&A8SKi@HtqCJO8^LVEJB~+AWDQjy#XJ|q+#iL={GUruR!M)0z5emp)U%s z5}|($m9SV8^lzLLv)bv4Ex7AXiJ&iOz`JGPPG6G9yG6+i81ym(^O=hxL4PH+Lz>>{ zpO#3|JAGkDnub2bAx%SH6p*H&PnS}<5H$3!J<<@m1ow@jG_}(&vP6D~lrreX=!-qj z5~V5Vm%-S@7HR;!*UFvVo1+a@fnL`bZL{oJ=!*e!n?umQh7+uYz9@(A5c+!&K0@eo zg7hmE74&&Fjzj26Ev!W7%No*03i`4n#jK$J5W($y5`z9?2n(W2JN>6X-Udo$z@Wbr zK`VQk1-WOHU?@mXz<|9G`50XFdV$URl3s)!jkuQk{K}Q6C()FMv-><6wnq`NYgugnHtQY zFUd3w{rfVF)zE*BNYl_)Pe{|ymxrVwbO~-npfm;j@xn{x zhnn2!D|dQ7edx`nLa*zLwxm)6z0eS+U-hVNwlo|BGnt(EcUg!cS0keYMwE=E96A<*S3EsF0p|2Im8#l=e81%^j1QVl3 z&|`qUv_zVQz9L7OKE3~xX&UUyJXL0k*HtDk;{BSJ5%Sq4A^y|8)( z;UV+{DkVyUzTAdy1F5Lrkt-N#9710oP-^Jw14<2j13(Fw74!{5_+C7Rpl=l59jOrd z#-6+*lgxlYf7gLvd=v@#hk?j1&wymm;b5pPgAPVX%b>$ylBS`rFQxRft)VAAX&U{;@rH8&V--;`^i zZNi+~a^E9gxQ z+)gPb=$l%2t0{!OS%bXQlFWcX|G1XuKwrl8*l+NPG&H1wOm^JY?#nu30dB??UrY5;xTmD}mB&+D@z z^a_l&?@G1Mn0>~AjiSK$<#z)OF*fi zZv`kd^sND9cF9)QI)QIFgaeFYIc}Q>{^zO}a)oU}QP|d&88GPZ0=LGr@YQ?44pPi&r|;^)mpNuH z*NVTnR_OKEDS-|L9Nt{!Rt{m7MrzD>9O z{h`-QM%!|?7W%G$`O%Pex-G#H^uo?A!b9jqsMI@sLp%MpNp*VP+Q)GSeNRBCclus{ zQt$L0fD$k(=sg?YTN*vu={*y?KN_Ci_m1TK!DI#udMScnGMIAx8KduSfagLR3-LhG z%d>(F|0)M_=v(`wWzgX;Nz>5x^hndti!o^$`d$uc2wj5fVJS^PzZ*RFOr)lv-vgfa zj+CaL50iCLf;xbH)XF`luQl*=d3wLuXkXQ?h29fzJsuPEy~+qrCL#)ds~|jt-lc?( zaHsELr(Ywfpm$T_5c>XrQbXS#P-^H007}5Dp!W{p22Le{-Y0;C6wppT(1S-6nE`|T zpaa49DAG=UD1g(6O`6{6dzDGk&*|MVO+)`%h3Yi){iT#H7!AEki8Kv;|AaJzF2NlT zDNR9t06g~zq^6+{1k7ug}UA$YI3Kq9Q0F0oiz`7-D0$N&DBEhlS{w#Qk~up zZ~;)Z)AuVOJcPcJkB<=go^ks9kP728C(qnFHpL4OQF?UO^1pg++d3VX(+Y3K*Iq-p4I9Rxpe_iO0;l}OXj`-P-w z=sWqOY3PRrq#<+(?l4bj3i`v~`3OsD8u}yPIkh4+1$`vc9qwSA-03TKdOx%Ihl`=t ztw#HLm0IYBm(#DaRL~Et0H6$dKO5m8^ev%MqD1KX`{@@yD(HRGID~!-pw!Th1(X{4 zaexvqE9l21M*FC2ryn1|LP}_-pAbT&Vw8RT$kPz&;bj?&1c#^he*~hie+EQDKeR%1 z8hW2h)6o0bRHvaI= zu?cDbz5mKVKlRO@M?GxDB=*N}-PzL?z7~vswTY}A?ADWYQx}q0y zN2qZK{Zv4yp`QjQHFOV90%isM^Z*`NwA0V<@E&Bi)6aC|J-B2BY^S$0K!7fa1ickP zJv2v}hJI{`G!6ZTOw-Vhj;T&VKQ$puL$@oWY3Qd#q#<+(&I^^Mp#KxJGd!tj=%e5` z&UBQfpg#-8ae9CnKnGiO{9@!-`pucKrRwjTIdR_7UeN1yqungmLO)%hlv#ma8*#}* zMB!8)A0hM}5^M(jm@+<+dBUPq;}H4)K&hdh2Pif4fq+t9vYl@k?JYTiegSkkAND;$ zhfgUB7x*#*23=luonAqapiAwTGHD21YMxCRLYLYJnWmwi>QkMDJ|G}XL+?={O+!D= zBMqU;OSXZI(o*R1?{FaUj|_VH_re8<(iHUP12}vOHGtl;F6d(j`j{H%n@oB_Lchak z-@H@{{k$0ei*$rOAP4t6$aZ>fg%Y8k=5pv~$Z-51!`!;?p^jl%)wUz1B`^|y8wS)R-wQysE@DTc8fNK(fl~1IQ zXazr=lX;55-O#1gBj`gc4*i}64*i}a#jK#;8^Kp=+O*S4AuNc1px+n3ql(OcL5Gh~ z3%5Eb5_I_F4eXGH&|k7d;pTueg#Kb6jgqFJ-x!gmp%1Z0)6fr#Nz>5pkrEh$$V%|% zXbJ9~L}}{u{sQXCj2XktGKRvxyw!iQ#=yjLT-rcT+{&0*Rks|bma`I*j1bw*6p`Q-_ zrlAih;iq#5{XRJ^Am|~Wl$A0YQFsziYUm>YC16(2pK5?F<#Y-9Q%U-jx(fQ!k^ItG znE`_iAEOo?j!`7&@{>1-3t=MkNeP6n2Gg4}lN{JxnKl^yhR}z*G*&|oJ<>Gv)3dP} z`jZaTA#@3Dq@^?k{SENhkCpYrh|QiT3wS>9!Vpg&RJ&UW3T>X!6!h7k zwS=ev^u1ON`nTJ^zYFvlVzl?F)Ix8~;YXwheY6XYas>U^5{G^ZK+w>`7(bmu=ugAA zS3-jR0-)5;#{fzVeJpeV%nJI8j?vyxCg?9&>6h^;=r1?Oud9_AFzE0xYN0iUB0-m* zyoE7o2>tyK!gonS=(8Zyr!!4Mf3`$*8u|+%X&U-19%&l-n1D2dF2RkZIt6_O_ZKhCaa} z4WUbL@~oPkB^2~I4WbZ5QX}Z;-wUsWN=u=mHZegBpg&jNPXDvth8;a&XKCbKqy3O_ zE%XT`goiu5BgRKE5qMIRDB-yop^wSoBYgG#5{w&f(F?gr2|kjkiNa)nr=d>?(?{xs z+|+DBw&mMFYcmKiYU65IrsBn?%)BRAKBos?-BdPhuk z2wm1qN=VbtAF7b1p-+xT)6mD{NYl`#grp&Kd7VBrP+EFe^6zjUPWmSt>U3BBO=@pj z>7Ut7PaHUW4>c%!dF6KcrFU$$6Z8U1ZmAafR6sewr=338g>SwNXs3^jIrJ8rLyw?x zLPF4|1H3QbX#t_X=WysVEDn8ULyB4L^qC3Vrz3kIC(pmL;E~Kl6yzEDeOqS0pnnD> zQ?u~p`ZGp<4#g1+!G(}QZ*eSGdzqF(Z`s!sh3OvEY3O5P(lqq<9MUxO7MnB;eTGFE zLYLrXN(oHkT9$u@!-~>BtDwIhDovf<=gYAPYEU?~zMcMOmuy!o81X9fy3c4o)~|&= zqa<&SLeQrJ=J_E(A7AFsC;1%u)QY^-2tl6%@aDrKg#K~Fp??x`=yQVKX#4w&tVD3L_}eV&7sGDS)R1<^gh#<_aPzZ3jp5d@NWqH zONT@M%Hq%$Hl&yp^o0p@sSxzV6;W7}?erzFC@e`cV9*x^5Gt6%U5z_^Q3N|A1A@?d zx}xy0OLYjnM@|$LsD^lm2zZK3b<#d+Upk~|=y8QK4gD*NG=wg}EtFEtPG6jkt%klN zmUa4t+&2*U!UQ$B(^u~F{_BEww}V~}80}}+wa~vR$s4N>boj-|_IU|Gf3M7;&jHLD z`rL}VNeMw;nuBpZL0=00CM#t&qOdIH(0?eWm=*LNOK|%ShoJxDi^5NExOjU18MytF zX275?hETsM$zb60sh-o}t|o=KX{b^|=zGQhI1N>52>ov*QCJF=aI9R{2>87+X&U;{ z3TYbp9G^4|eOXKzLYLrvpnhzp|CElchW@iF$7<*m82e)hHAvybmD}lG?snRJ&}*pC z4)3j3f60I02iTQwlnMIM0N_DNG7(Yu#OBbysBq{DeR&5Hg1#K!eO4mqE8yQU=w^e< zpqqxBVn*nu$U&Eqf^Le6Fh!uCo2$gaT*Z?aFzDYp5UPVBLH{m*9g>Esq3@9czyZ}E z^xa?~EKfs~bsG97Hq~k9E3$qX`WF?d%b>%-k%rI#92}z3G;}yLsZ~LT15}ziy?-CU z;pb43&{uA!|LdVXKS8fyM*D@88t7(2ByY(=&{s47JV1%izbtd;--jIfkCwc>iGpr+ z0eF)l1>NjY!OC=}n_c7d5x#mi*MgpaSwUaBWVBDV3Hmy|FxR1-Zm#RXqeN!Fpu>G$ zz%q&i{Re>lqeU9-^zN{$S4b&6Bc#yftw6viX&U;MWzsU}a0sMn=--E=Y3N;J(h#}? zw-)td(AV*0T{ZM|U8O1Ln|Z=qyM&q~l51EMJ}tFExL?QA*qFGPwTdxeCwPlvv1=F; zcJpMv6ZW>QhChcJ6V8M)>x&`$UH#B+AdEk{LQ=LRCfpivElpy=em2+Aqn>bQnQQ5j z=|ud6E~#hd(1|@0UYDjgVL-^WG_eWiMNCTytFeW?i+Az zx(nKbGeWHiqdCkqtb$=RKT)dwpt;8$gI0lBVZ=|;lU+L05Dlvs&E*nwgBu`IHv|4+ zG?!9!*K(Em>$DnoRF2rb{1A+M$Y_42Y&5@>P#0~r`HeD(+Ugb&)6i=CiqAA<)pD4o ztZtrZov;e2K7Z=I^1tVL*m!jVuo`>RIlT>JnridwITEu3<5w-Fp{@93k7;PD`8}7k zPS}D3H$7`z`5J44@oHnmXvWu|*a_}ViLh;+45rom?~tYgt7xnFeUE8q>($0g6R@?Q4h zkyY4AhsSEAU+2z0{2&kcg=u10ebRQ2ns`~939h3Yq6`-3-^st~pBKXN$qTgzykSKV(_ zeTup*A%7_%b&Bf6a)F%Gv3wj*EzaHiK#7j!52wdNceLJs>UE9w-`uKW(Wl3j1IpBo z&E5QQSf#4D=X#{7<6fw9tX-O0e${sas9w)#ndMZ?&6eiRA@yTRlg$mY7_g3?$o=UN z{^y&ui_jxylsAg2W?@ITe@2TP;pkneRds~=$wD3B5>2-D2%i}__7<3XeWT?AuWD{~ zgumqe%Mr4<)e%1a=M4LA)Q~`r4UF=}e$_1c2;m!Cs%BB^ho6G<`q8HYb%bTjuy*av zT7LH-(0N0nnj=&zWnjdP<^MQ=9(ed^L!tUnqXjOwS{4K} zGJVEPPoS@El0~CWpdTbun4Lh&e+ij}C(xGpd@R~)No=Z1PoVIggL)11hv$%nv(9<} zYBw=jzUX8GyO#AF@;Rjbmmn8TxbG?$`sj~nCqRgBgFK6PPM7ltHeR$Jx=Ohc=! z=l;=Z>p+jyq1AEyGOcq~?-}s?_R#GKW86`;(RzM~Iy0+DLSkmM^_-Y#%IbwVreUhB z7uifxR{IB}b&}b&oNC|y_DNhRxgvy(;qoDePuuHbduop zN@up!$!+aZVCYC=+yGdsmsPSh*+od}Wtpa}p1^G>t5iitIv14 z@j)2*lrb)VGr*NO>cUd}yG5e5>TwIo>Q!7*R{`k?z&7j-U#<;Xg-VbEI1(3I8N zxGl6g?kR`WDXULsTIZ}@klSEC=r+n2*XA0nx0k82PIa~wlbBg;J)hfBRtMXxPNjOM z%QR)R)g!HQR^K>2e;|x}))@DqZ?xW-xzgA6t%DP4oh3N#sjLt*W$W($Vry(jV>@9B z-g21Xc6kOyHXGw6mWGF|#^ue84o7;Dm^@PFRJv zA+G#r#uykm+88$ltd_Da+G^{)7PZz^OAgbN)%&@oQhmT z`pI_t@El25s^cdA7po5itPZV?n_Xg>vieb`b6)G zM@&;zpGcUdtbX%9T5WCn>2&B;HpcxB7_Fg4otf1qd=j%%$9--wO>L_u6Q(JvPmv_g z6w2zdGKrmA04;}hJrzbiXN+4B8m&(S)P-3cnWdnueq&LgPW2xoNn6V5KY5+9x;&=B z&RHF`?WqBbeBS8j;u@|045n|pHlohj>bPYNiQ4LNk7;TFjOKMJ)fQf-tgau>*v?sP2=k+0G%Vn+gPJxOW$bCP=l16SKGNQ zJOgyB&oyQBxy%9_lCt`I2%XX&d6?-d{*Em|C3W&T>gS6-Ox&L`W>&|#BxY7yANHB1 zQhh068d~kxo!2R=dt_SYtbS)5ybsLqveDsy)mJ>4OA7_4>#S!=yk$CXIbR`(!@y$GDZHDz_8MWP&wYqjtH zXtn?RA4fvBSB;MTp3yonq|Q3k)(K@2wbgw|Vpdv47%IcBXp?7WpJiO&= zy`bATqvNE&Xnj4R&dlm-F^Sr0Uy_*BHyskS)qiL`lN=#E$&&{;XS$*omzkChd#v2`j!0J?L41dyj zwc|*O)XZwfi4N10)oB6K)B<=bWSX+-|BqI`{c6w0q1yzb<7%+_wnd$_)z-Ic61CNH zvqI36)ptTxr>y=vVw$q5-yG^(s=H2l;vwiZ(df7t*6OYS>vX!Y@c#&*u?RXgsp zJB)n8=okgBtmZ`2g{AsY225KWmZL(@l+{lhrYWm)J*KHtp9x9poYfcXskQXx@Wef+;zO*~eotbP_SO<666q;<~fuqzLiR{{SrI$kXqt@Ee}{7DO- z^)qfuyQ@eR)>b>pf3({1%73xCFrvX= zU!}SzVVbh~_Wx-0tPwjM2HmC@9q)Ta>(?H2)>c~=Wv%sEeIu*WseY4?sI4v`iJezx zl}PMds_tK3x*kSOH9Fuwr)XUgP#0!(an@Q}eLJhuRx4anseWsbD97T-YHrrAb5^%p zvam07n`U%;6&S7GhSZr^t&~aBR%cmEQzxtMxu&cxby%IU`eoLyb5^hHSY-$3_LkA{ zoo%!(ji@uT`h84dX0`Q$3e%L;9~`EsZB_A@rmTLOX`QqB<%r@i==QeJ@he#UF`>@d zYR6oQ#LQ~Pmk!gE)t^14sZ@Unn5L}$lGSz2YT+-lZ-#E~7~@xUjn-eOG5ndGSAWTo zs;yT3ORB#GtWH_|J!BeM9luIe*Ey@l?AGrS==N`8{5oKDxka6s)!%Irwbh^hi`5k& zt3#`84H46n)wKiCI%oB&;%&RZ$ajtLe{qdA!=WzPYFmR#VrF&xDmkX%0%#Kv)0EX! z5~eAu8waFy&gypGZLuMYjE(VDgVDCCM_riJReTaNtF2#_n5L|*mM{&ij^ET~nzFiC zrghHhX(yj^J9L|FjNcBdt`SgYZFT(GITACg<2UA-vf72p^y}5Ot`>=MYGsbtbjJB>Jb=Fqfx|B)ORyU29rmU`QF-#;mUAkf^QNSz%_iZ3BOiNQ0jrabKR=<5{yJ^sEmS`MSGTJtx#_%Vd zSKBtsk(ya;{n2KcS^#=yLtScBG!AuGow7R2BCT_&9({kfDU5tyG>!nPn`B)ws{qWQ z)?^iu;hM7A7_vH*YCd9`vZ_A^>zvgwSHH3&bo)RwJ^@w>4t36~8f|%(L~V6w1`#x6 z)r?r3vRX`-rmQ|;k=8k@?+je^F&O!wXnZO*+L}D-qCZe?EBYjoEz$Tex2kMyny@-$ z>nV@4&e`&tzdH#=&K8ZMY@^MxsY_-{G(OHPsI>mVttwl8wP>(R8h7?H5ow*XwRrx* zb7AC1qOk?QZb41pPxU+eqVXA*R7%TeTRmo)vbANvG-a#BBCT__mfbc>cAFy_%N3(- zt8BK+meIC(nObXGo0XWRY*n2vm94UDp8j;s)@r}4_b3eeSTv4xjkaw=>>>X@ORJnC zQQP|Kf3dZ_#p;x;F$rm%v(+w6+7(8AA{t*Q8*MxI)P>m^T_#c6($BG=skC%< zibGoGY@KxFwwuAoxuS7G&S={?>%wfk98+s;Ya5qo%GNFs)0D08A!(hn_1Rgso)05G z6^*a^M%%7A>cVV|@k!LScH&l*t?pEoUA#imOzWJje%%~7G!c!HVxz5llC{xm>$MVz z+SZO0rYT#ydrVVlP0F;++4}msr@KM7&qU)}w$ZjnnL4wy#@i%nTit!8DO-DnOjEX| zMWl7kR{qd?+hF88(fDr3Xxl5GF3i?6mqcx=TZw7PR!@=`#CI(cJ7??ANzHe_$j?RN zjEd2=cjkcE`d5WoYg>Een5Jy?a+s#lnh}sDZPoQ3QBIlH>ogcNUo_5xmu~x7)S#h3 z{YR8wmCugQi-;M&uv_MykMdsA(;!?htL+3zEZYd55I zb4W_B58jSs-JFC(@PhwsZR4jE(%`>tNdF^B(U`?1R;LnMBN>+xQ2-> zi?vo~V(*8e7s8+~MdOOZXmcZKz!F;;kf;;;E-TcDeM@C>$KZESHGYseml`If-(;2= zCiZnzKTPb`s?-z}~mr2u!EiZ8m6I64UQY$s@#F`I|?DQX8C;E`JHRhP!e>z%@+l+k|VF*fNi6X=3?R%Unwn z%db{q8YY%s-RD}GSbhzcv@Egwnl{(c#PVHoTB|d$$9rERn=cgk4K1VXv@$i&iRIUb zNX!z;uTE0Bp3=nft2!jgI!x@N64x-XUjwdTVk<~W`(a}1N@MAd?1zc1XERMDmdkMs z6U$Xd(}}Gga}5*Qpsckz6SK!WAm2M!B=W_`Xgj+^4On99dnD?_)(g3YiLH}x4HH{L zQkq1XSbjB&MAtdJduPR#Ua4yj>cMOSNe zCg#R_JO_im7WqAVqwV~xDND?xrs+|qyE315NR&r|iER>a4HNr5iF#|?@Bk~TsLLB5~ z9kN#!`EC^wWjdJH<`&n|#2SBhxRxfCZ}hl^iJ1x4FtMHv*D$fYEv{i=`;a8*sl@h4 zNYrbrS45glY~PS;n3xl2tyQiJcU34HG*#V47NEr+8e$HFl~)nojIAi))yeM^gQ3 zY|NT|FBnx3`GK&;?#K>?C3bR!+Umqkvblzd9hBo5CU!*DP>#jKj&gY&CU&;NHB9Ur zi))yee3KJ@XiktJS-iJj6SOiZ65`sfi>csBw zxQ1(NP>ySu*i|vtFtO`1m$DxwcE8W-FtG<*u3=(B`B;_MupFyXula{nNYjZ8kGY14 zJy_OSorx{z9sCM|mWljGSY!XRsR2uDXh@;(i8`^zJg#A4gB`A6V)v7jrh|zM3rN(7J(C@wPHa?mgi^!Ap3ROBG?iF$W?E{v zE1N4chfZvC%r#7`rL46&6WekA83SO@k0SpPtg+{^iCALKhSXI$$E&1g60Tul4@6wU z#2yW}hKYqFu{EYAmUG}5dprhCU@n}BL6n5 zvGG|0me_=lx=QDmSVzJ&Ol(xdHB9WefNQwM#*)O=*reDDhI{{gJAH?qlDVpA>ZDxG6ulRd6sVlOyc z!^ApBVry(-K%(r2YixRUgi;#GA1+RWLBERp{Mcxl>Qe)j*o=@wo!In*Yna&hh-;YGq=0L<#-@?P*4U>Oi8`@_ zq_hqbOEMAaRAQe+R46q}Y+gv3PVDo5Yna%4Piu81w)4rqUj>7H6Z!wRM%%kCHDHN- zmWevCPfJuNHB4-Z!!=B7I!SDe&GJZ;V{wfw47rADY*D~9OzdlqX)3XA9Ijzvi!IW0 zVoOMZDbjgS+zUmP`@YOIOlP+lAYZ;h@uR1Mk<{NF_WX9PV+bBm}bz&R1T*Jh2C9Yv&x}hAKCRSJ@=5?6ZT2=jq zKqpZsguD*dm>DolC06vfhKV&fr0K*qwYY|fZAMc4?~nCfG!Ok8ExNX2h9>| zDpOmXm|5W(CRVVyhKc>)at#w(-{%@8woz3-Ol(Vs*I{B?Sxi%jZB0`8Y=DVvosg&# z)4#>3cjdOBuB&s6O$fd`7ZO`V6uOs;wx6viRD*R9d(*m!OZbG zOl;Gtewf%!39rM%c8<7)iR}_HO(kXrT*Jh6^+?l+b#u6eYplDawK@}f(SG_(NNiP6 z*vB^7mb=t|CAMo!qE5`taShkl&e>pDhlv%d#$sX{+I%b~wzbbSOl(h&Yna$x4%1X( zJuI$aVm(P>Xa1fEiF%Fg9ciu3#0H#k*DjFQYNBu;+#F)n>|j`8J*$o;eXcC*Ri>F_ zI+)nsDqO?Fx|FzviS1%@4HN5D)ejTfpUT*ofB%F;m{%osK*TjntanJ7USoX%uHhOx z(9>F-iJjg&tS= zhnGpzi5;31>csllybcrFvT7_Qw!hEoFtI*W{V=g(9A1Zs9cwX7B_=-$!yoX1ulWnd zC8X)Zj*qy8iJcH?tnyb4ih_~#5GLp)P!r8*l7{dRAOGpHC$t-2c+r5&hWT~iJj?at7tbxrT}LC~*xFJEqJvOzecJewf&R zfY)JS=Xp$1i4AnPhKZeTk){*7fTZ-<028|)A+hc&@t;3j{Uk`NE8Gx0G1@oJ4v-~w zUQAu}8XJ(~8Yb4e>ZmcX(_CJMiJei^4->noYAhypbB>S2#BQ!IO(k{<@27U8YXtTueCbY*lW?YyFp@W!QI3wM*B8d1D4pW4t3Ru-5hWY6T30u8YXsF%(bU; za`z6Xa19f?+u<4}HpJo@CUy@=>8sVZBiZksghcSC61x}v1%Gs6rI2fw*nNT4>P&2v znXmr>iLDJcx-S{+JGs<=C04RY)QR2Wa}5)_yUaCA?6|5rOzftR*I{C}la#)az{G|} zB+7X)u?It@sWtXcz%|^J4|}BP#6~z=!^9r3v{q+g*WYy6Fi312QTT^#w0F0u0ZZ)R zm_(h}LpiQtV#8goVPdCO)nQ^X5B!n+FtPip>M*g;<8_$WlMd5VVk0fCVPa2_#9qNZ zm5?Y?#KfMCv{q+geS?F3g2dK^Tdc?Op7+#%CH9n0qE76|GS@J%Cn{XS#0FN?VPX&3 zybco^QB{YDHB%XT1>2mE2wqfTqa&_iVl5$QdX2RPT*JiLJgwE4*u$sx>J5pl2lprU z8gI1*b5=A!! z>_vw(o!Cnj*D$e{Nvi)9?6z;-6+mKe`@+|A@^0%{hwOJ0)!obGKj_59RH#sDnAi(8 z*D$erU9Mqb%|6#KvDT`7nAmuS*I{B4ET*Z%CX$ps8(?A+6B2b|QN%S&?6pv9b*{1Q zOD}ALDAtENW0&Nu%BcZcV-rdw>cl!?u3=&iRUI`ZHYUgGFtL}a`e9;|5?+UiO^&#R ziA@QarV^VPa19fi=8>iod&}V(Cib?awK@}97JPR(B({Mld;n|A%?^eoHnr-g@fGZ3 zmuAvyY;4RmOsvJ`8YUK1jm5;Kd%O-4d(Yt-CN{%jno4XYNoM*I{CFe6C?)b1PiK z#Fpl`hKVh$s>8&V#jH*x_CuL#xW;}gk){*VZ!qh`es*EqYCc<17*4Tv=IT(NF(>HaaT`B6s zR;VJ>FtIPoB+5EW?E8>wnAndN*V4q)4SA(5$EJy?o9~i_iFJ+HSe4jXd|te+R5#F- zwK}nNd>WgrF}S<1)*6g2A95R3!&}FW-Zs}@{5sTzn_4y{@SP7y^<5hOGwJ7Bz-yyu zoJBW56UKyHJ(#b!iU)Ty4vq0qARny?w<=!SlC#J=Nv0*nXe%v4Q5BkNIkH%4@HUFr z;Ig1jE0+vk7((;BFgN^z6;UzTr{u`WY87F2_2dl9%Fm%FiVBKkrAr`+tEHNJM`zLF zr8=#wn7bRiS!oo6xp7Y2gO5@%U+}?7Kvvew33JV`#>y`szTj6`DJ=6uam}36q?Iop z$<=9P{h6zbfdQs4;rq?-4(DX0xJnFGDrBXr4L1R|aMldaFJ<5uqo@iCD-u!cYD-O8 znfFw^R(|YXIvxfTg}F(o*2)^8(LU89D{H%=FtSu*Zom zgmtEJW#4_@11n9!Z2ZGo=>q$IDtyTrF57i|VHR>YYnICIz9@EyP$Vk_SS#!LQj@8C zadTX!l@HzZN5OziVVX*9Dr+T1`!t8FYzQ~gF4{P2W@R}5S}Q@3tP~-Y4I`;ZD@#78 z)M@4VzZe_AfX#&2l&iI}ZUa~;la-AVVQwDC8Q2b5QGo=cCeK|MpSd|`8z)keRz4n5 zuG7k6+djWG46uaxSGq@Nwrn?ajrO-JveMWf%q?qDX)$a_z?IW#D_cS;jZ#XjeECGZ z%l4wz@0Wl!7v@%fNCj>!+WuCFtQ5fR)@oJ))FJ@T#=e|ZTiH4i#eyX@xop?U)q9G2 zI(Xv)=<`=$Ze!O1f<4v#HsxL{I>Ovm&6-(R1z>DalGAD{+a>~vr6vJ=`-@d4pkBxK zd=&<4AT6^Wp!T^%~(#Wt?Xopq7_I@rm}2uQfDf=Jw7uB1GW+- z+yJBIY}-^Z+TU@>%3nia?yP3btgHcRrKuvP)mC zt^CzC+W&2nm8}zDc2~1zR=NV9zuIzIol5sW6t_;K23ADF*QGjBxqJT4A3>jOVVX*< zl`UPc5|Wke8ict;C}+^8xR$kYkbsM#Dm3>1K-)`Dl~gVqPS}yHMxW4OpWSHNmuo9D%?x8RpA7{<1z>ApT z&J`5Nij#<9_fTrm%9khmby_J5`20c`u(L4tb8D^anlsv`J7i_|NSOPVaMsMqdO1iS zhay=ypg|OOkEAB8{5r|0)5?-jFF|`_7hxXY*IMargOxH_`CB5)-esIsTPZ^VHi~4W zk0pwKOQe834~%iv%u22V3AiYdm4h5n z>>(v-MKr7x)@kLCJ@@(rtn4bxgUYp5{^o;~h^*{w3A1knXU(jvA438@ie%+rPZaUH z9#TNXde63-?|;XAV5OTd4~}cC>{T+_-?zz1FGraDay3>qgtf9)soF}vKoom9Qj=C@ z|IMv4mCMJBydMU1hiNLcR(h7fN=Q~5Pnd_@G~#uC=mn1*`;QrFSUIBYm7Tv$6>Q+P8utSvfKh#onRRz=~My*?M=- zKF|OD1z6cbnEl;aEBoibN`mM#fsxc?Ds!Hx)R~I) z-L&B_;BUe_+OM_J+cw%i^vFuzM40$1DD0Uz4}f~xa$5a*}rBZ~o($`0k0G$L$9hMf#R8}2YuG3264`0H6 zmSgk~=E)7URt_nFl`>g5!V%^v4$hjT(gf2UQmVFcN?8<-NDJj!N#6GBw9@ago%ejtYc%dQfA<%8BCPakZ7xE24N*S}3h78dUGHHRG=GIcOhYp5fJ6aVtjq zoCdOTOeoAV!x}4_yQ1h;s;!&__KrylrIiXiS=9Fq<JRvOvDQGZJ3f zzz^HZTfwx)xhRsAfxakSkR~NlSvk=g3S6jINw2RWDq?JkkDb=}Fh8;coR2ZvcroHYz6}k5az{Jt(6Pm9m-EavT}(pOy8@qvRzITFN~_K z_+amnG%0Cid~?0$m7B{WPlN%zg*nKnwQ@1MLpe7`RxT?E^OB&(%JwBuyf~@0a!ExL zFDpq+T1f`kbpqOc#A|24fIh;!)T^~}3A{r&Hy|rll!bX&h_hxpXa|{q)Y#MEI^B>KNnK zes2H&KCs*R?Qt)Im43o3*|k<~w}g40Pgd@LbMI%CoFTIU|D1^8?MlQ#qoq4QyTg}- z63{13LV*4cE3fXj|D!PAP+{Kh)>;|j3-f^zS-GbIR!VXPW@TuDC=N-drPsDb%WknK z-cunfA3Yz}X=T#$ho1?}4-@84zt+kFfMi$(Xc#;>&XW^xE5j{Od>}@VQrQF6&oEbN zGL=fyP^Xo{HX00Hp=cZ~%;BY4D z%J7CcVKw>9l$W?G0;XtgK6|e7jMuPAd~G+w&c; za+ENiv}>)r3g?xPK3N&(gOyOuz&7*9L=<1OP^46P0ibcd)F2hH$#ZUzIZz{joEf>RpV}$t+ zzt+m+24ViQOjf42U?q?O%GZcsg8r(r*8R<|hbq%paC* z0?T%6wroFxW&5Rrvu1!^Y!Jnygd$n#T@l645~;~$`&Ff(PAh9o=q}$ZJyDo1{$bfJ zNQC)Pwrsz$!HNnXv+^=5(FN(W8c?4|6u+{`%8!%lO{H?`zZQX&lZ5&5AC~Q6ShlZZ zfR@0r#a~urfL;Yai(NUbwsN2+ic3PWQu$}SXWJ`werbK^bFwgBE!VDa%APZL+af@8y)^eZ?JNTFgxN}E5F#noRA|czXnD- z{<9P-8z@;m3zN`1Xwvun6Kq(t*l-V=Ibt5SS69BhfEH65wR9kl#Eah+BMZ2F=+uXw_I!>+Zm4ybSXWM$p5(Z0yVSu-ndCZcH_ z4@FAlV6eAtS!&YC>^`|Vtr$-?^@IVZ3-e!Yt(6U9VNNQMm5nX165*_w6?mFz+AxKo z*UBNDXxiA4h0@ATfAQ+HV%d=i1I`fUWWUym{N=jDxpY#`X!=i^F(Sg zm6>p^sPDx8?DlRC7;u&_-zwKy*``65Z&%35wyx3sO%7+x0KMahrfsCgPI2%KeABkB z)TEUq`tzXw<2mTV_>(Cx;A~;O6W3bV$ron!I|)rYM_?t8GqCf@yMbuhDTSc{9S-(( zj%1;<^6|^{o>$&Kr%7H1odc6rYOQpSggM=&RCdpSm5Q8!S(zS+rtT4n1n3CRc2DDz zR=(RJtP{|;%lBOX1I`uZd%0RGJ#1mlaLG!~z-V9W;j9^;8Ifq}VOLu@(h^NQ1F5B{ zY*p`O-t3{}Z-SKp!klT>T5&>Q&hp91eig71L{+?*sFO5%H`SM`D z&QvbDdxzs-ztQBP)?X1l*me7&uCxb)L8kjK{Oqd!q98ws8BTZ^<*Jf5gTmo z)M=&Lv1fe^Rt5_5L%-I_VV*E&m&wZEWw27NvGS26nhr~+)mDy9MAPAEp-kodwd!6g z&Zt{q!1==bs8nm^s92bDVzP3KWwigts84(tshGd5 zb}tOLK$stwYptB<2=kK)Svjc$R!TKi=6a&(M5o%yaiM5BDJ_&%zHhhcv~vEGll#Gd z3xzp1uC?Nog_-2Y${7ttdqqylH**q*Ca+v=<@iK2oskwwE3@~jHoIRtC6W#jmk44-z;xomQ`v6D`p+z?EWZ<*Rx(bGH${; znDbq-a!~|U5;+4q#m$dI(}l_(78)%ld7|l}NEW764lUIQXoR<$1I=O5FYH)8)3*q?J#0PwKR?$sw;k00RaI^GmnZ%GItg zzbcWHYeKLR$r+fHg$<(VYUPhoIn@$P*MzcATKVGKpiV1GPrOk6rp6`0TA^B9U&FLPI;~FSG*2|$SW#BQ22-3mtt?pj*ll3tQel2wst2w@n6vAGGQ(**IF482y;n= ztlSeD?cb|eGb{gr1cn51T5aX@L^RzKOHBg$bo;o@RIWMvf$w3!<-+_=Tx;cliZH*; zk(FT%SPA3|4A8fMXnG)pp{<;0iKbzWERVLmKL|GUKD)9sw(V7v|Djt(CAW%w;ZF8QEa8 zFZJXMER|)EXbQ_HVxiG;b|RWaN-0fc_IhreRxZf(g|nq`r7(Z6YpuY$Tjr1dud#EF zpJLws_|E&={koL2-|x;CbdxR{x=0uyIS!VDLo%F$RI-PV+p&sV(-INM)FGjoMJ1Jt z(8YD-)*+0Lh^&Z8>i2%XXFl`!=y&Ff&mTQr^V;`oo-@1qz3jKo=kqRzOPN)`OQ{6Z z=vny{v5%X9DK6zA6ZBaH^5|{b^o&3I#oTf8v|)HDS3}*_{O*@Brv!D|O5#%H`kK4N z3aHVgY)^qchhGf&0u8f4pX*a0T}qoD{XhAv%sDl42wuuHP`ACX`=vbVL)~v>aVhh2 zcq!p)5naj-d1W=xpEEGXs!7qlqluI1YR~D&|o|UB??Nm*$!j7c2$aHXpU03{%+Luz3mz+uO zj(_1YxH90nI?4niy4M;zbfS9|Yv#yL0zCOj4;=wFK(>KRtL4PGFe%pVK~K3zyb%|oIkd9v{{zBRYkveZ z$%(=wK=<=kKZby@xV#MCd3%>cPToPd7VVFKX3>=-0gThWt0G_=E}8Oa721CRH1>ok zpQ2!e8Tm9+zzuXdRfyDS%daVM;(-VI@9ABO6^#*Ki9i#wyY3t|%zK z4uX|i^ne5ee}W<}=?)%lH;Jaf-HJ=B%Cu&SGQo(x(BxZ70`Q_tg@m9Bmh4`h9l_?=5#yr3{2jRi-D@NzDnd2KwU+c5?~gEmjr0F z{PoQUxC65Lr)kxc$SDB5DohF3&kRbS?{10=nD6O9I^8?}cs%xCa-nRB1hs$SHs>6=6z1 zkD~CB0QKM6e^VwdCtoSxDT>@^0XpHo>q{EoB}u|Crk+#=nF3iP#&;o00O4rGL8bR z3lTX5Fd#2X3BW(Vh9e2^;_4~O5by{t&M47p50O&<1EbrLfD=tom;@NJcIZf zz{LSoT5ln83gD!QFePA6QFuv!uHI#{5%6!wo}Q*v6e6bp@>O90!Bu6l?0g7Zcsf0JdTSA@Kfe+Uz|$;;Ow4oNWiG*u95)b zEVTQUk4)`;YmOtx~n9>q=7GNLckL^?Hxa5UTTVSDR*#*Crk;r zEV`>CK-YSY{0jk3LiUOjtq&0AQUI6w!jyo~CE+CjYQ`Sk8v##2_9~NR{fnFexGeG{ z;L5TvlK`F0`gR=xW<&OBi{|o+oB|ka3R42cRD_oV_$;+%1_I_l_F9`}=!={JxY81) z1YA=UUJ~H3PSaK+U@lIRFVehwky8L;Y+*{k^=aWH0WSN8(EtH1PJVZ2HoeFxfNP4v zlz%~NxGyifBtV;aL+}epn+MscC7OFKata`rS56N|z(ZwGm;~r;elP=* z^Kk-rm1dNSoC0tnsszkPi^3$p?=xScUw#)r_6d9!Jy;Pr1rW>urw1fpmL&?400+#x zu{kE6gRF~B(bTHQDS$b)FePAaS$IhRbMzAWcD)d%VEZ(uTjUf#FkhP$k2zUV}EaQtj+ZQte)5DV0bA0-O9E_KeKUQtdl|AjY?=iuatdI3PM8u9%1sWGQkkP{D!k}$&`RbR#21-sP?*f z0w$M(-m*mVa79kJgXXp{C7?xFcu99KzL=)ZXe&T(lcL$RBBuaaRfH)4dzr#Z0`$M` z)oT&367+1I=FEzm0;r8nCINL(VG>|yyJ;H`unP43JempnUjSxgO2EFpC``5@7$iJ@M}c+UuZqcWBnC$SHuX1z}1+H&1v;fK$ic zi2tLcy@69lt27r?_4-oHZgM#Z@lfaM5N0*Dm4Nf3 zx+FlCMfN%bya)QlIhs2e1*2ab!+DYPfCLQ7i^3#8pI@@{1Lu9vFLh`}q{u0Ea7jU! z5-`dWUJ_vas28t7zz3jTnWlM;BBuZ@_k}3|S44$LfUeUzZA8F_pkG&{*^A;_3gDW^ zlz?j;QJ4g{Y4aWQUo2}tA6ub0i6W-}iqXj=;Kr&bOaiQU@6PWL@E_1`vuLKF$SHtZ z(!!L0TW#Sb0Y*Q#aU23Z0)1kc<`0UT0+>(~rUcwo5nd8t*}xV1BH&}taZxtT3KTg7 zFsUj`3AoP^UJ_vB)YrNrU@hoV3pCeHUV17;%CIJSG?S2XZJ_mhCk!Hq; zoB~*s7p4R(c7&G%*mvuh*CSvZPEV`Sd^eF(0G=mI30RgEUJ~H^4^EngfGA5=l||t}3P69uqeJaW(BHJed2sx=)(G1!FFFn%1>CQlE&K;k4Jq_io(%0P zRANdYSE!-JMYiytF!Xkr3~fE=(Q+TIP(ru|I$QWp7qX%1WXPZ;`;kF{?=|1kE6s4eamT4R%;eGB>;C+70%vJTbI z2uc6(=s?>D`bVj_(7siwp%I2YHt9h74)l-hxKKNXYG{O^wM9D6z6Tw*SPC{PCgTrJ zLhmN!==)NBp>S|IA zjWD#1540aaUuVUIx?5Z%LtofrXq!R*!ifv@;2Nq6Nnd(&p#22;SE;zr!9}W}5r)>A zbfEnV`g%Jq)XSn88e!<`A{}U3K>yl{3-z(7hDI3L;M0Nj3+UgNaiP9Ns-Y2vzP0E; z`xW$Wi*ccT4%N^ILmM4B(6)lU(T@uq;ZY5ZFoeHl;Lx^#{=F3!vV1PWf5Olvn+$C` z=(yH9<_&u^mGB5dKX`Pc{RTLVEG~4cNi{UW(2ph^Xgfgv(T)opXHgA}FtoWy2iotT zZ}#Fs$J32&5sM6MkPGL&~L#(4d}mFaiP=AAQF*w zIAlOQ(09a=dU6fd1wWs5lE$q`LEjlmQa^a{54Bx3hZ=ys%Za=_Mx4mAU#kr@{nUZ5HpA*r#&2hG7~T#O5iEKv=O zFx157gBD;kjU|mLQw@zU)Xd_8mS8lCB^4@ELn90|claO;Msq*z@-C}V4UI6=GC0@+ zjFwhh=!!HK$xzxR1NH_M(A_@O(9rnH z-N=}90Bym@#FFl%5*}fwwn#^i0i!mSG})vY8eyo;rvs=3BWuQ8-UAlZ&2+vgrR*MI>7Hm2JV9p z^DWHrsD?%u+Mf?#A29Z};zBcgE|Q^kHW^@o(awnrJw_!wLQ;E=j$mIfI;7%4>W5kW zZq(7{(0*Waj3v!3Pz{Zc)XAd**dL4oVo7sLR6`>SbvEe$+JVv8j=Q`wEvlgrh7K&! z0kj9>Krb$|fJ%6Tp)NihK?g9pnsK3pCe_dgL*0sW03E^T7E4-WQ4NhS)ZM28=mbVC zmbApC8X94!heZc)02n=rahJEWNHsLV&_NCzKxZ%x^5a4t*HB#;I)roz4g}*6D=xIm z<0AYg4E1!#fG%M4j3vF|Qw@zU)SC~WD;T|FNh`QU2?f8XLpLz`IB}P^$`q-Pbf`xL zbO)nvDlYW8MKv_S&|xMWKn{$BU{%yB^ih2t&a~0yqSWqs+L_`#vXRsJ}%9^aP`SF)p-* zN_d2%qkTGpUSJ#(OZv#98X95fSc?vzHyFpplGbt!)rFw}4jn)rFb4Q>m-nenH8jG| zKt6y&!5C=8h5RBHMIn8rO$PJ@<8Mw}Xq`hfG(yr@IXZyDz&NWA7YaTLE1}@mXXppU z*<$@9J^F_~Ev)z1A~*O!J)}wo91g}gxj51{A|paW^Bg(?jG?8t&_+|FLejZq4jl=` zxz)JP_ttKq^8%6u#(A-%AMD*i=Le*tz&Jma^kZ?iQ1FX7^atY~`MAsb$=NM*L4gc7 z8jK6daiJ~VZlMb+96AP!i_&qSU;W)e!vfN=U<`{TZ5J8wI$a!)27oaTB-RIaUo&IjkCL?loyvjZhU?HAYos)kJfzwOKVqRbkak zbFZ^lHAhuu)k1TxH(9krRbqwfr2oYtwFjyK%RN!~tXgUA4S80rQF*NP(%fQ>RU1?e ztGzY%#x$$8sEVvIsH&`LHFvDdst#3!RaSGyS*&zaWmbmf-ej`c2UUrcskt|^NbQTN zz;ZuSKCAsTcYK~zJ5(O4_L_T3j#UR#4y%ruduy6iCsajN2cW95>a4l9*{lvkRbkad zb8oj;bwyQX)lGBnFj;j+Rbqv!@9$)h>Vc}j@*q?`tAjOnLY~zjs619ZHTSL@t6r!a zR=qWMVwzPSR7F;YqN=j$tGOkc)nTYAtomv0-4?6EQI%O8p}F^%td2xgVr6OWBo?Wo zP!(AAN9D6RT66EsvpNQq$Ld(ky)VaV04j&oahf|h&1xX3BCF$3RayN-bMLoVoq(#s z>aUvnfW_)WRAp8tY3>x0)yb$ztn!-sAdA!~s0u7kMdh;^q`42}S)GQ;V>MWFr{-9l zj>=(mhUPw;W_2d2BCEfls%^|b7$mP{R5T9>H^K3X|uWzRfW|>n)|rLY8a|AtBW;vmdR>3suHUa zn)?Kc)JRkXmY1ONS&h=%C-bZ>Mdh(7Xzo)vR{uohu)0ihXQx?Rj;hFNG^#4AD>QeG z&FV^26;@Yi?p%x27*u6eS8J|ovbqLUiPg258$6i04po7@>rwfv{-wE3=ULr=%41d3 z+-GvEZbap<8mqa_rdf?cRb+J&sw%6SHFuuPYCNh6t6Ma8zQyWRRApATY3>4()$OQC ztnSd<=UAlfL{(rp0hQ0{F3nw-XEhO($Eu{c&*xa(jmlwlkLE5)vzmme$m(8HRaW{j@5Kj4yzfO8%~D%H>x6gkD{uwdQ5Yd*{o)ws<3)ob6>Vt%|caX^@QfWVzPP? zRf*M8n)@n?)NE7*mUB?~tmbO&@;oaSmB*@#9h`Hlo~B;Tte&A(%B-HHPR6X}Q6phi z^Qn(7s|D0Xm(_FB#g^4VY9h<(dFtWHY7wa&eEv5FEtX`z< zm8?8!9?9w@>fOj{8MRJi^)huXWc3O)4rKKz_3dM|oZ9BGT0vdwSgoX{ajaHR&o)-C zQOh(|uT#e|R&P+lFjj9;zb;m9QM)WwZ&SA_RuyU%#p)gE)x>HwwMt_3E_Etm^&T|} zV)Z`t>0$K&waMY}A$6%?wT7C+u=)@6XkqmcwMb$0F?A?mwU!!$u=<4hbFli9+GDW# zjJi{>@~JrltIw%71FLn^8iCap)R}MOAme`>wh2tW0;*oQu~L2Lt``bO-+ zp88g7f}Ywa_8?DvC$<1jeJ^(4PHhq!V5fc%`%kB;V*BURk7D=b)Ml~yaq1_r_i*ZG zvGs3ii`aQL^^4f}HubC6cQ&{V zsaIOmP4xhc#Aake3>RiZ7wprPbx!+EfLFP4|)l|s5?y;H)nfHpUWd!Se*^EBTB4>K<&r^tBas^yvb@V)V>&aWvG2ANGyih*DCzz z5~vMk;nrILwZV6|dMlwe_`X)}U8oJd3)FiLYJ;!+dY?hRQ(#LYfd6nzaA z0Ci1l#XkF(DaWAQ5b7E^ioJ4?-v@1cW_GG4Y2To97ut=1n#fD!B^{>VFMIWy;BGi+ z#l9}x{Zh1>a&vWAZ7;{rj7jp?dIHcT-r0cEujl+!41Hr-JsnQ7oy#g zn{i9Kly3Y2+BkQqvwDHA-225nXz#&Is%7<|E!NZR?8yzIrQNT^LtmlYikmk}yJ!C` zqtI@RJB6rs+4~u11KN9WQ)5|uU%z|sg{7!-um9~$!OPdLt1IKT>iHQ(5?e&4lC_J{Tp9^c9t8pN_*}2ZI_{~ zbJJ95zxw35*=QTwz*O2Z)(l>RHg>Q-LB09!hFwI@iOG#UW%Z$dZ9fKW?CgGWUb&JJ zcU*Bc+Sq0Nl!9WvG-TWnXk*9oLF#o6U%4%fHg+E$Tvn>vbx74m8#{sb%qjMrjfS3z zHg@GcTYbl#vt!qxXk&-$b1bF$h4FtaqK)0E&$Si%vl4ACULQM0pI21u=i2;0F9UXQ z{=1{tEjKqg3vKMEe1WIfTbF!y4cge<_#$7iYu;E&?^x_KJUpe?Z+Dpa7~0tNcciJ< ztyB~DE%_R&9k>(Iuoh0}71J>-p38>5XK1f9HMw>atW z_t3Tg+pH+|+_vwuK^r^kJz7$1zt4nWXk(YVnPtV^IrG}NXk*8@Srx@DecFXC5WBz4 zH5L2cT{h1|8#}R`p;kZl)~YYi#;$1dRpcH&Py8Hh>`?ZcimY`0`eC%OTiEmJN%m>- z>MFFcbJyakf_$^j!UA}w>@&bFeUf36H?3nXTPO-bR_{c&VyVty%SL`SKFFrvVJITCXQ0zzd zTSBiqc6Ir%q}Z1)9Zm1=Gid8;#eTGK&q}n@2ceA}Io9PA`(*Q!o6*MZ7+>ZU`!Fcb&mHVkvA&?#o7Q&t6m9Gpv7w~c z&d%59{f!+QzAY=Zw|Yirv~AkvRBM-fD_A z?)d*xPO&Gya>DUw)g@{0ZRhQ4&&xD)=b1;uV;w0|6J+?9S?NwM8tvnQgBJH-E1 qR_uj^Z%#xTcWeK>qS!{?npe@rozr(!75l?myVFnC;rQSB>Hh<8de4CX literal 0 HcmV?d00001 diff --git a/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.ngo b/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg.ngo new file mode 100644 index 0000000000000000000000000000000000000000..db3ed08675c695c46d70a609f135ddfadcd3ef70 GIT binary patch literal 62646 zcmeIb37izw)iyp{W~O^)y1FYaD6XYJ#VzS!b4g4Zm_b06c34C}rq~5pT13GOTjN5E znpSbcsO1t_+}b4~;?futH?-Xqw~EGsH;GLeVbusA}w8#apeY%V!~VI%Q(-OfosUN<=@&lRj<6w7IQ4`z-8n zQtRA#Q>Rynx)~DzKV^qZ5c4`}W^YUrVd_a0CssxRTJ{ac#*j%;onIcR9} zh>?AVrjc-wLENV1(S!Oo%OW~=BKoMh?1Ii@n%wA2JhHoahi?B>RVCgKb>|c)-_+L0 zvoo!YRib`UhV%ukljqNCO;!nQ2^Y9v^4wGB%qJk$SYkp(rpH>0vf2x&lgzSuc$J7B zB6H81I;V98QvWDZS#6y$=QJ>yl9XW~5~sOYkEjxQe}fVhfQz)TQlUcoK%L;Khd~|f zs=c8Ova2X3k$XTL=BD<9I@2ttHd1}&cyt6H*4wQgRIMmgS%2h@clH7(UHh)c1|@?k zy=U43peowuUH~3yA7?xaR7l&PdjP~y>z4HZjpWGv-aM$6w#sW2jCfZsgX*ST?3hK< zopS0VZqGtV8g?Km>uWzmRj7ANIV@BnzQ3O}`;bNYR*= z@v$fxGm1V4wTzo3AB0-QMm? z#46D+IakgI+YRTI$|@XcxEvY|jmcL|YcQJwU8Zrx&gBpI1P zXA^n)(0*TJh>msY%9~vk4Hv6PQR*p^Pn|Te^|TWy&nfc(M}U^$S#upY{t0Jx*t6y$ z;^*of;)$q4d?&X6I$vV{}(wAz;(Q^=tam% zBY<)_sCR51>1*qp1yD)`rOt3JR^Zp(!2HaVOzXUfvs;s`jdVq*e>=lgxMzV?zeSeJ zIvq5-zMwv{PrzLS~(*${Za!TflM)p!Vrxc@c_B#D}0`#Qs(Aa;WF+0l>9G{XVwY z@IurVy7~}q`-8zjKBr4jl?N5P2$g@l;YBD2CuY3}f)M*6#fUqA(WY9AIF?aYZFI_u zPzOKK^deOI0k#*Rnw_b85k8_1%X%TI*}|k3p_;{hU^6u5w7I9wr|zhAH@qC8bmca} z0Es9Rvb|+l5&)y_vkc{#&cim!ahlCGrpY6avMe^e2*MS+Jmp0YuGlpI5M(D8HT!k8 z7oh-NW_S^5Ta-IoxHw`Yb8yfRyEIAl-@LP{={)TdmouRp?j|b;lN)2R&|;*g`A&6gLobm#1G`m zljrv4bHMW^k)|LkI#?sxH1RvzgY-ZU62D>h98OOZnE2G2i!yy+c`~8*)MfGnNEgvC ziT7;}0i7xFk?8@@0~1%4JU~xWJF&^|AOw72djRt6YA!{2M#yy;52Yu9m00FQdce2D z&AyVzyPJ~UT<8di+wvZy2RU4_JO~-in;xJCMPa4aOb@Em{f3uAby({|sPHwWmqX!w z$nqjo)?+>nIrx;9(@53&z3s`>NG^Zv%LU*WFVF}F^+hF>X~rB1j($?c1-KQq7z#iS zA%EX6JwPM*`;zTNs2N@~ya?6%Rm+P|o!<3v$g(`dRcEAHZZ^HS;NBy71ypPP)lH5Zoh($9E4)U@^QE3F)XDT~ldcWwWBPgzgKXa5(Yc4+;RT3syVnF&<<^YH zCONv?n}z(k*UO-A+@1GiAxEF}0?;>jav(!&i9s1A&xHfAWz3yC7iGtOYe^i%YG;=m znXkor*-C?bEt`^BFS@yi8xFHqEAqupO{+AtL+lS(rJ*cspQO@IkJz&*rNL(GHwCF7 zMLWrKWVRp4DUIyU$}5fRzh^0p>~~2ijqI;Cltw;}hr)H{8=@~tN+X+-i%KJ#Zx@tC zHZ@aeWOH3gY2@>HmeeSwzj9KjtRW8G$Ys6i_}|F!8JCoK8hOU%OmIDTHh=I338W zsXU;*R+`3DbMjnV^R>%!Opv;~*^CtdA+%1WR=WIuZYqc^GxoBrFkIJ3Aij$pXgBos5qM zM5D(}F9euWX5D^907YSSy^;YCp{(ni3V`SZbzRskPf4g}!(&`ekFNy2lcVe&5H=e& zSpg8;iF)o7YUk%ZVjFp)Uy6N&-A^$~+u{yP7>sW;PS!x*}xu z(P11xjH|5x+K13<@&WWPLa)jN(B6bj3))Q*dW9L__8|1iOaSdk=u$g?HnNH9OF_7i z*f}!@15KD|h0-8re7PJN;7m!XG#YG;$tkT7(N1J^rJ_qEW|gVvONqthG_+GfUi7Jv z(IXQhGb$h5tkhN+P_G(!d>WJKu}GHEMh_VdUao9|O8ZrbQpg4})m)y079*`YbrjSi zR#V`t0|&uoOBQl9ChSKJ>JN2Piq+$XL8-o<4E=OO8dh#DK&w2<>*+&v%9`Y`Uv z59wa42j_hx?ZepRM@=8bjU>;J<<{kxJe>6L*uOO?AI4ExZTK**+wV#~jD21O$PH)@ zj>`kK52Jci-Ana|4jbMwwC@n~j0ZAMk2w}Ca(C8CZsdaUmJefx?ko5(uHgMeAI25D zH}AtZIQQgy7*~2_%7<~V?=*cFSBB5j<$l%34RA-=$KycU0LTplo3l(W#4IZdsN1pK z=`Nc3;}tse*7TrZt^G!g7}PWdP>v`H8rF9xj)-c$3F(|}LUR8klBjG#^cAStpGSk=GYd1&j9bpO@?gND#?xp$s2u?-yEwVjDmivl2bDN+&@Mwg`> z%NkC1we`A6!)da1|ANxsN9}=RgY%KaV<}(tpkaeXwl?*pQ%m&g9FX3Fh7E4+KeCZL zk8UDsWdymR-{d7Sh)#^Pdl}FK*`Tu@+>UN3rxRNgk=|**fYzQk+ec`CD*W5@}!~mYdteuj9GW_TfEim{yWf0ek=$e9)hYTD>EGp_SI2LJ4DvgRd zD6cexD7vt>_<*WI>bI`)X3;^8paNhw93~9*Q&^jvW5a8lQSwG z>JqVXj@FyBi_%IXr_abLCY3HPT&O}Hs=~RtVp3h#+lq;#CFLY?<H$4aT(q|`(i29a zdnhAx(;rQgjUXz~x-t_^M|Uo&0^nG*ds!o!`<9v1kAAExCLD_n%Q+g|zDN72d^8Ia z{Yg2EoPH~>@i(x~u{%2uF!mUWU~qvj^aRic^ZP*K@#7PKQ#*)&%+GRJ`4i7Vd^ zm5-bW6{ibIC%xuHo6?)y8C1T26}fB=N|&(O>3ML6HxKLEZ%8wp@;4L$dHI6)0(Df<976|AGnGP);EYhylyMZCENYqzN5RRc zrm5g4IH}Y$n~p+<%Fv`#`Zm(BGRU#em+EzN&f#&=fRfUvr~zrEQCS0^uopP@)C{mx z5*2oIN@-Nuz@pMfNh$@WrJAE{rIC?=S*6j5X^`P)q#WZE-Ip95oR=wm$*IA*qmWO7 z3XVecA8a}bxpWL<^0qH|G$iLJc*6`yC8d#xV=PA_kA|dF64@AHD-A}fU!rWHX*jtz zETdAO3@s{!syH;OG%`5MP#R||I2tKw$nbDbKPqat=_pi@;ku(x0}anR3OSpmOq7S} z@s}i&PJL(7U~c4z^QNA1;<(0Sd9r1UA*p@ovQ;zElv+P3S>9E{3MUA$W&Ngiq6Qqqfm54r5%NQ8dY)>iq3IaN8xIXwjGT;8l7_#a_G3E;*p8tib{ix z>SbAIy_?9><4u)7H5^k=8rd6@Q5qRMURN6B8w-V9r)xPcmE(cMmmVEn@Z`(GLb|c_0I&sky&1HCDYUg>*VoR4*$+>fJtpruU`#jHRqZCOhMDP72j#ob4z`sa~N&>5UsXQN29F z;-F#Rj88IW$T6r-HP5;9?vGnLD67cSG5v9mRx>{9kWhH_nmm+&{pnOUzQ{OF5uRX3 znJCK(kbC!s57ED5S?oWm2h9SlpuD}w)9Mu_i{$5=6vXO&Lx%T1hVMv+4Zz)C&E^cy zumgs!m(_QbkXlP~Fn`Nd;PD0On{S)LHZ765XRx4?`#B7bTNGwJBY%9I9mr=-FF10C|h|h5Whp3`;Nd3e9b@doMYDP zxu?u`Zop?I%XAP<$gtK#1CCnFWHvWH8oD+@mOJ%j9kr{il4R&mewcR?ssB$Poq7_T z2`5laQs@MCLcvk!R64OT_oY%MJEibNdQwJ` z`cf$qlTHqznK`AfmQos>YD`;ch+fUaqSSa~GBN8UQOYF4Ng=l<>yARTn^bTVs>5W{ zQQ&s<%NZ!5ZxhvLO36u}sp^_3X{B)*6sjwq`=(ebiQJo-QyM8LrI1HcZAXJr{Td~q zC>XDPm5PE)!FrAIL#7NjWg&O!+2_bX!_uQh9_bwhR^L-ZT8vI~E7OpA_oWP$?qtB( zlX8@&+iK^L*(mjrJt0(y4zVN25It%q@$%RK8L2B*z6x3*PQZfnu8oHB)vZ0Z?(=DjjI_-j=t69X)RSp0q zJwvMLOb-g^B;J{3#b~k)!Y17jzR3%?0AvdbAJ)Fog)EBdrD?YS097#=DG~oPt4iub z(Ss7i=nByWGea<)uyYGudvw_eV#^SsHdwYTM%P4<;887`B>$EriMPmR06zj4KV49O zgYjk4hslGylMEjY{`2zhUPfe5eMiwB8mw5L?u2(#@hdkfnl5j6E3V+fGKB4GDNI16 zDJda~!QDTEDH*zzzi`R#_XZ8d?+r9X4KC~{WYoI*%DbP-^ZP&p0HF`SuiVJ52Z^We zp7vr7WXN7)USZ@HO?N22x>;qv&c}mm(5glCYTX})vR@Zvl^rT?YyF}@NvL13lXJ=* z$7{A#_G^w&_Uq86&VC&Xz&HPN+hbJx` zOw;+bqWa04KQ_t-PgktuL&Z2GhA0=%jnO?1ELExQ*}0TTAGj9D5mEhI#$PmgEO$@s zx^i|Z`mPLYXjfzv$R;sU?^Fv9(@?Fw3_9b9@sZ`AMMd?C0o#c7%VZ~TR-iMoh<%z= zwy8WiV+RkB*K)uT!wO#BOPu$|WsLlym*kzQ;%Q|(xrk0Xb)x!>w7;r2Z*jFeCl$^f z!5Iv-sWRv}X`yBPIApIE)f=q}+1+y-N2PmKWye$WV-9(MX7n0F^}9uXc6A=m_VZO- zo(J4MTn>Kx01JkAqhjcd?6~w2^AQk^Ez(++_IwA6x94mh zW)bgX{K}1aCBo+WFuG$F`T|q3=(;FxZiRk^;lpg=orPby5s&Ugg?^6Z#l}Jr`guN# z#!4b~lJ4cvAW6ig`>_&XJTzk}l`%C%*o2}tFOBiAI?adC=niYr{8)yt7C%-XY?u$D z5=Crq&RfQ0ldwLP56ctQ!-q`)A=qv-c z##0LnGtVaMTf@tvn?Rwx=f?_!eSlxNQC_;M6WY401M#s>_j5vf#_(Zz!tU^4bayAT zdkS72-Tn#fQp<-y3&w?R?b=}3wiwOi1TL`r&_CGkX}TzQeC%PjwMR2p!E(oj_&2uH zme(&wW%$OJau&GC-7plF)4wi6!*e-z9K>u70Fih+$L@g{%X$FCE4IaWme>PR-a#1; z;N<1$ZVybn!MX=@m z07T}M+G6}%!2=WT=$r>|@*Zus#Reuruxwk5pYnKMDx=AOPl+X#f*H#Yc8%%73WO~+ zycj*K!BP<0hna-kG?BO)CCQD(YqUhZwlhtA z;^oS`BUl)MF>+JZ5l&2YQm@TmoK=Ne@lWz^UHi&WFr>L-tWLYgMOPx$#S*a{aUge1 zqm0;YB;^DzE5)ykJtLP|(XA!+v|L|EHVptKffEzm}@HX7P!Xk%F$3vDd4 z39L*(9Wbd|O<^eu1>}kaj_qm=_}L0;DbG z(toK#vgEtu_$^`2%9UpLEn+w2YBl^K?Q*0oG04giq%A?(HC3ctlgFNtYt}?;X%4#~ z->(<38#36zp>8T-7Sb%F-BKX!R;1mE|9@+dc6%C|9ci`@24Tza!rDW zeUOAykl$Vqv5#~}mV6_g?jj)}?Q@gzVd5(GInusJk@iIidsZ&0#cvV2DOYvlH;+9f zS90PPX`7L@rHb-lvMaU)X*4S>V&CSl8**W&h<%sAjtg@q3u&52L$c*+0HM`numg>7 zS`=v!q}6AM*^q=(kZTl#7S|zJa?vV&OW3n={j1P+LfVc<>yn~;U69rVXr+NxpG5j`=%k;@+%Aix15j)m=avsVdwR%nN1Njp3VsUR1c3hgI4BulP+z%Q6bftfOi*%xUk zr1iH*Yf3}11usVx+R-`ehFlsew80td;0$5rP%wvpIlMsm(nw3={}ENBjmTq9$%U`@ zEn&|JUQUbOB6d@*Bf&422Qn2W&7rigj2 z38^G_*|^ZoPeCdQ?Lr(#Lc1u7U6f5fP!i0QQEQ;18Dw?Iq-U3He^lk(Jj{kc#qy97223fP{P-)0Fl$_KnE* zZick?koGRp{!k?Cg97%n{BDWRJ~1Jc1b@~AdRNw>E;2uF8G@XblU(aFF&Rt;#CFgY5A=c5wEteCq+DxL%w)41*s@MQ6l0E z2Bf_FQizDhk*?+B#}eo^275++vw?14u&3o$Qbc?=6H-Zjt3|~3LcTqb&mceJ`&ihM zg1@Ciyk7LM%r+swWP_)2<$C6`Rx$=+SoJlvmy8`U{8zqxFj*hA#EJe_~t1- z0cjJEW|k;zqJ=#vSA2>1lq_~#e(prXr<;&U@*5^1J`-s(kv1z&`DP<+7SiVCNXw)k z73Bv|MEn#3QeLin6Yi;?y#PP+tYmmn?2`Ep3hA?->|TY|JDNV_^uR<1E2mE`JW z8hAs>%XQZ@aUL+zyC)yg!$hEx)~;+cllkn(~TCDWi9QbsOX z7KwYI<&l?`C*!w>9eICellEW^yCD}Li^S?QBwO$zWs!IU`PNu+IkHGR4(%~$YuU=$ zA|zArGGvihm&0zzWy&J4J`Ks1>yJg^S>$^L`JOkaybaKvhxQ^{d9et|luL?5;^iE6 zLoOZ`iPzGQY`FkgB;G{6H;`{5TX`GWMriM{m3NDfOu6b@B_W=RrP4H|i#;P(r_*FEcH}#k`aGs_u|qqbtz2M2D#^vp zLSJk^%FET#__eWT}O(8IbaFxifxk>>0VVS?DW}Zw2z*!B*~s zb|^0oEcE*fNO`%g9ltjAj9m3D^i{~W3i(#Em4~4{4DAuN@~8=^B-gtO zy}Ga;4aYHFdsU_b&+6Y|qU&7X4g z6blPt@D^zbci&g&NcrK+s>TMQsOJHlFz>J_8^YZ8osH%Wk~znw|B3l|CWN`q=;-4g zNao3wVt!_ZFpZww-&`%3@`(c3{4^cH-1~;i>PIDWfvIeMk_ut&b^V+BoFbWL7>fCE zGK9J3Q)fJ5N#JqV$dx!rbZe(~g@bnO7T%`F1XZx#P6g z*SARKwYFkz%!V*`Satp*?@8wMmSVn@31R-=qF$fhDVaBxnQxjQO#P~ByZu%&Z#Lx&`Gs6^L?6`={#)^sL}5W zWt%Q@-{X7r9V(gEnEXGOPq==%%zaKf>+Me^bE%=2YpoEQhCSu&agzBPTQLi{5a!;U zYxA;)I8QOi&&RVN%)KsbxvEauyv0&BAIpR=_dIKHmz9z!pLmc>oJmywbZfN7Zhv>K zTF!G2Vm^`%VeWp?w@3d*+LTX6h`A;e!rX04zu6Z{=DpnR#C$jz!tDC?mpk>9%=@|B ziMiScVeb0G$EL%4klUS@59uMyT~2!E=>gK_>NNik=Bla?W|vLHA&VvRk+Pq^D}^w3 zzCSbL2a@@CnThK{+j#DzJ-F#8$y{4hrK4_bnLFMzdfFz*T$fYK`wAh<9S*s8=T{|j zeOfW^&4)05(C_7#)0dtt`gYJN-F+)!rTlM7)c9(`}Yxsv%}QI&poHiQ}9 z_`#7UO6JQs#k?yM!qhH1=&x@}=4)xiyweO}#xCFZkM5HBX4%g>(jm--qfYx=UN;hN zmzgV5AyQo__nxBc;s`(~7xF4`D|B zVozNlnID(^yuB)fS^MA zn70%|n4J%v^w=(v`IYnn$I;D&5T^LWeRB?z%x}tmT4o5d({r`+apQo>CjM4t-T>9P zhjY(MRS)QkKa$KXMgAY9U+>oaa(dRvcY6(gnsV9Kk~zvy%%yhOrpv7V{g5+ql6ibe zF|Tv$?lSAne__-Cl1c9(!9kRMZ6U;FbpAt6I9Hj8L}cbQ`4DF0=S}a-WCkYs6!U5; zgjxIc%A@2+4U>S1c~vfiSre&t#tfJsRLmvW5N7qVceebI8JIj&%que?%+3!#J%MI5 z(MIzv#k|4{VG66)FXe~@la7j+3uAWr;jWEOFe7n^rI^#gB472l9>dO*%v?b+*&Qe} z(W!`7UeB zErv}-^s-5K{&eQ|VC$l`2Gh=7lV#84khg3Sp`y16)K>P~^YS_uQ|}hjxzzuucj&g~ z2ckA=`HLxgF594733njG--^;x9uENU??>T6nMG8iC^`A53X9jFs6DB7Q6n1=`u;)zDX(QfP{73l9EP=!I``4$@Uq)moF8)}JD73|f>misKf>A#W*h80W ziveuIvqG>^TkP0WTWq8rf`$0k%Jy`-%Gv0cF;kRx=YkK*0sH>-X~gARUtGR_ecJiR zhUzT%pT@I;G9Ex-g|^sW^i%Ifc?X+bMA{piZ;Kt{hq&Bh(teQa9b>h{{`bE=EvFc$ z<`jb_vMmS>y{GWKS+@gfZ@!HEdZ(ccGlMl^Q-sU{zm5;|1CdX^?L72ki zcuhX?QkWdC$%k7K!+7n3?LJ<6|Hgs;1$TB6wRnNhHzoQ%dVcK!lVVJpJ6>CCFrY9C zZHc!=eOQUGOOYZs$}7ifIX{M;BJ?XwhsI@$wh6o1@M3bjb}drmM)u@*O}=DCC}H1^ z*S;UGQ9o!Yq7Mb;xkeZvSSAE3w8g%kU&FZ0MqIGYsLI(W&L>d(zW+Qyo4z(S-L?;n zwL-8`TdZFW*!Q0&P~D9Gr?JKN^K1B|Owkt>ISw<>U$8bwrMTlY`GOkZ?s)A%^e(x% zZl^vXaoX@wp55Eaph0@hax5OoE4RQsJDgJ9MSX)+5_UJh+{RIo> zk+j#ZGYa?b1lk@V(mn7Dxa`*glq$E5@#_*x*<-&NlVN@x+hgWZ(DoFOALS~<>+H0$ z(=mR@*Jj8j`*j$N4#j~Y#rm5e1(rpvR@~Jw&NbgpgX|mCL&`iwd2y9<5mZ? zqkIXm?APQ>Sie6}U)Kqg{X}G9rFOJB*stSLs-7IL8KM1amVu8LS5@sVBGc0q`u(jL zWv64>ag?F#v0taS{mb(S&v}3R@MF*p5RsE@zhCMTp7?%_vO|8g?^-yloR@t#BU^4W z&!=CX=&}1~u`LUb2)uj@OdVcD!KLU)O+kh=^QX zsU5$|C_5eF*9*#=Jclj~ZO6gY_fH4!P!YMNLObGnJjzhV__axylYZe;7&12PY|YJr z_ahO(lIqa@rA0f~uTMT|rBV$jS=+3tvQ1b~?tds)Dk|e%&A1j#al0+6A;m5xKWgJMN?_J00WKUdo*8 zU#mmgardV>F9xrNh^(sAj$Mn&P{;VSo2l$^yb5|)zu$TJVV{83Q$!xE)Q)@Pm7Nap z%k$}E_UqZu{x!7M*{e}(FA-T^$*+Uk`sIIC7!JPYu|Lh(09qdrd9_mi`bpVN$N1Hh_WAX0X#cw5 z$aD7w?JyD9Sg9S|r*R$QS32YK3$ILtobN9_xVgrn1NJ`ZgEVzaH(g>(!wBR7Cz!sU2q|m7R|9 z>r_kGBfsd6*@pD{$KETv4%$&7T9v8L?-$z2PRICleoEP6zv@EUadDSi9cVuj(b`J= z>&$|((=mQslvVcFuS94&_TS5V1GJxus8*>R-TSJtU;oMdkmqyi?AOkr?Kp1V{!hZM zl!)$FsU6+>s*drikL8Qk9(q{+TJhy+pMus`M7vgMNB6#}WBeMN^ZB)JX#YBT*yD6O z_7l;)OBMRPdtcQtehn-7{OTUsj&~QAoCjKe5#7I1|8noEI>xUSzh6HNZO5aAt)%|b zB%+5@YDf3Js$={b?f0v9Xgdyl{o9|yuVxYLQK=o>`>KxdYpma|pX9>&eb);&>F{fS zh#pa)9XlnYLQv>nF=hb1M?Re$>-JSzykcbYc)Q;|bRmb>slHae9q3t;R zsflzv4i?dt3hn5*uj&}T=KB2_r-!v;vR^lPU+WkV9aEtlJ%4hl?AL#EKXj>u0(s+h zoEX}_&KUOReZd(bq7zEt{oZrG*D-$GQ;=R^@I~XV>7niT(W_z#XhTJGYK8uVFD)xO z9pl#>hO)`6rWQJpZ{|2_d3R}XZ(K64{gWEM{cC^#BdQkxl%j2 z_j?`V*SahUUGJk?TmI>ax}+EDgusUFtvYlp0B zLb0Pn^qNZT=-%&jj9dAiHhW;-r(0FP4EeT10OS_@$odX%`oi9r9~c z4t4^6HnHN|V0>sw>`E7KanMo%(YWF;+xZSLzRIt^d9c6Z}4`4eby4hu)ZnBF2g6<5q<@ylMRReVAye zyc~!1q5WZsdG)2BjTg};EA@xBl^K3#p?$~2bW>$!A2x*chqqq;@Dk8Y5Ygu<^@pzt z%1-8#6GZf-O8wy*OWA3^51Ew8%Rao759^bUzIn(IptXu9 zJ{|76HmhS3e@8&sX}=Grl=HF=?}zq>!9PtTP=qO>@8&A_5LYhzH~Pcrejh#x?GN_P zx_pZ=CW`2X75YQGTNVV~+8>9rR8~0-{|o+Ltn*iy{(?W)^nd;X$%&o1KMfO;MD&Y{ zKSclT4~`>ZusU~q|>(j!{>&;4d2CCGo#9)wYw9ZGpDOB%!1=A*`!WTm1W)*8*U&wZcP z?S1aMtZw+06jePqe7%zG4Bs79AJc8eG*S2Cz$L02z7q?o2)FmSuXS$!-sgtE4WIjZ ztsB0}Jv~kJz8f;CZXCY;?LGIIPrdLBEKC=5KdW@^o2W|g^u7}e1#bWFxgl`F=e}+0 zhHq(3`Rw+-<^JB+l5S`3`@^%peF9cb6m>%b1A}t!b6-3q_vEEx)c-}{b3@>U&wcsU z4d1Pvo~C-=?P)ipxO8BA-@bv%*!<7_3=15_M-L!^7uaNh%NC0LHy9Fu;-F3k-0! zN%-6lsDoF9g#K3tZxE9MmnYzn+&Fx=;{2ZvUT^Qad2{J-8W2;qwo0WcUID zoNW?5Hw5hu-@1}7d^gzb4Bw>!{;C1$nXUQINKzAZV1{RzGwZt?~(S7`!3BdxDer+E9zEN z821Ivb1T6PtgM$)2KcLiNaBsEA;T9K;B1rdxgltO_=m23hA+V5@CC7L5i%elpZfyiIX-xUqdpYntL|Mvrz*E5RC;rn}g$9;b8sza5Fpr-}M~DvbN^r;63)_P)S)Zo7uh4MF?E_d!zCjlu&_YX zCo>f;9scp0Jog30bCtpu`$$*rQPoyJ>-gDbHw5hu-=?yE9KNRZj{AP|?H%-Bc%i8O zc{V(Jfw3EhFM!DjtH3ObNudg0+a!E$2vqn)?9-%bwtsi!RUG=C!#AS6Fe+BWHZ|IvKk*YI3!9KK0gGw$;an;+b~qy_oU5cMZi z825RW%v0-f_&m$i`Jk*AK7Z)9N%&%J2;AQHMad0|deT-ZdNDa+g>_5L#^F0TyA|g? zZ}<+IFy>1bI8)TmDuo}snt!E-4BtzZDt7ya&kcbazRkW+-EQMZh;7L$pXq?+@M$#} z7sI$On`>wI&cApmz1Vt|s6VT!Lik=#CAi~0ZIch%uID~a2;A^}=Lw6``#cN!-SEYA zRT1uezuua0pSSltJni0BVc~31|0}&h_&zaJ5#*jc_f=b7Z2N}K4M914o^K4OONY=J zlFDZ{d|gT|hTeDM){OhS;ajuQz+GTrk*HrH#eo~LE&qNTJ1dd z6^`7z0v0Y14b_##ee+CJgd4t7Eiblx!{>&;4d1l1^3M&Q`+W#EeCL`j58>M--_GzQ zhy9=nELVGiBhPh2eu&yQiRtd_bEN zzB>#bwoSt4hCqc+c-F+Z;ai?kzPRCg&4VF)Be!PY;tk)?e|lsYtX?b{hF2Q6_$Q}i z@AJ>X$nZU5DEHj(t;_nbZ4y2=1a9~qE2wha@U6AH*m8rK_e&3k@J-&Dfr~eM&tLfb z0$BZ(XlSi8aPd!0$?*ASVPyC|D8LYJZus0)#Efl|@VOyy!}q4)xZ*i@-&PP~9KLuo zr9eLS&9mEi?i>Bhgo2D&hRZ7yhA;#UMd>SHp9<-f$xRL@cCz9IDDRU&gJmMbz8Z- z?ZW4Vpd3EWdcX3)8;>hjJiYIrtOB|BUA{E~7w^IQV)e4$!op>u;gU>+@Eu^OBFH@% zzQ8k#JY7)(m>Gg?i-R}rhQJM9x2*D6g-_}9zq)kzG4wu5--_Pno$vd0^93m-BM(yT{my@OgV*Yj*z^ zP)<%Xtjtvi-_VqF#Pg0zJY6of96o*5oR_!4L@Vn%7k$_^37;DR)%#SL^#8vPA5E9Y zjl=g?d&hk*J^vJ4IxZIttE~#*8)Z8sxZ}R~n1UDMbDzE=f+IH$sY&6}{n$1MpBsYq zhi{zixQsC4;N8&Pao?b6^G||>D@4Q76~=w>2?bRIxhD_aiIx|W;nUJS%%t$u=X}^U z37;E+_J?muR{6)_d#AnQzM9krZ^6QqqT$U7lDF9NBhowvrUzk!?($5=eh5$MNiU)QH zAooFK!vD0NdtY!cvrWS1hM?WyOAIR44ZV*y5u@p2Y@I}`YmOx$VtZs*>MUY=r&+2N zv3-*))reTPJWI7Ac8KIfMC`|s7ZtG{l2<2UeWX+`V$S8RLBxicoHr(7EmG1%Y_yc( zA~se^2@#thC0)cOSyit$660wtwD%y6&+WHhrU9h6wU}+b8 zhJb~tT}A9Ho5ijoc20q%-9+p>iR~_87f5Um5nF6=>Yi9co@Hq-5xdM}X>Sp`Tw;cZ zEs@whB6dxRQ}-3Ir3Ons6tNpvz#n;uSrXe%#BPm_3ENUXPry=Sx7N5uY6VCgUs`=iCu;Ue~l#EuZL&n0%Gh<%ae)Srl0 z$z?2pnw&aVXnUksI!0)F8!QbG+CDZ*Lxt9@z|t_G zbvIcWF0_MFETx6^BZH-5h1Mvs7NPaZGB!eJhZR^FDYPRkmPQHfs4PpPg_e@oaYE~F zGd4zOM;k01FSNld;G;s?5SztuLX8N<3vEP-u@i(gI?K`op&f6s)GD;`DV9v3wMuNF z(3~S>lF+8woH|)(Cl*+mBD9k%mZl2r( zPG{+Cp*@{vX_3%2x(K9{_UFddd;Y_ZV3lDuCE?Hh?*EVRGrocb%F{WH(fB_duWd6$ZKwd7qU;*lb! z{#wNAB`+u9T8^>HMO@FYbcKlToM!1t5${@JX^DvMDS20k_&!O-t`_lbI!o7x_yH2T zR>TjI*mWX)NQqOIig;6=rRzm}kd%HS;zNpz-5}z_C3d5Tx8xYRNyJBGSh7TXOq!*e zMSPsZZV~Yb61!EzCnh=dHW8nqv-DdLpH^b&b`hT`v1KAYOJd7Kd~T6bSBUt$JWDG@ z{L~yvcZm2xiQOsUXG-iY5nq(y)Vnb~pJwSEOe^Rt&(hN(u~PD$5sAFT*s~&Wzsb^bBJrTX((@wmkj>HtkyvA~^nyq{ zCZ!ieVy($oQ6$zGEWIQWPuVQJEE3OHEWIKU&r9i5{LOKbvDZZ6WrL;HMdDSPr8h+4 z4U45WMPj3r-V%v-O~y8ggl(|&wn%(nv-FNgd}Oiou1I_;rT0W)lgZfoBJrick}VP? zo25U9#Mc%}ABe2smSY?d|&Jz=r*C!y~kr7tjHU^4cl(04Uh`m@k?vso$$J!P`=mC*Z3=`Z+BpuyPJ zLO)5i+c!d=Birq-LO(glshfrF^r62A{j?Hee;4}cGRGF7pCxnrL+Izo9RC#hc~bgT z=;xO>$A1a^qC89g!rueWu=E}N3OMsHg4ALm0lN|f432>q%&OPz&&ZHA?4pn zNnS$e4@*fG`XePy{ejRQ&$G0H(4WY#w4>0Ul)RmU{WrEn, B=>invout_2, Z=>wren_i); + + INV_5: INV + port map (A=>full_i, Z=>invout_2); + + AND2_t4: AND2 + port map (A=>RdEn, B=>invout_1, Z=>rden_i); + + INV_4: INV + port map (A=>empty_i, Z=>invout_1); + + AND2_t3: AND2 + port map (A=>wren_i, B=>rden_i_inv, Z=>cnt_con); + + XOR2_t2: XOR2 + port map (A=>wren_i, B=>rden_i, Z=>fcnt_en); + + INV_3: INV + port map (A=>rden_i, Z=>rden_i_inv); + + INV_2: INV + port map (A=>wren_i, Z=>wren_i_inv); + + LUT4_1: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_le_1, AD1=>wren_i, + AD0=>empty_i, DO0=>empty_d); + + LUT4_0: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_ge_d1, AD1=>rden_i, + AD0=>full_i, DO0=>full_d); + + AND2_t1: AND2 + port map (A=>rden_i, B=>invout_0, Z=>r_nw); + + INV_1: INV + port map (A=>wren_i, Z=>invout_0); + + XOR2_t0: XOR2 + port map (A=>wcount_11, B=>rptr_11, Z=>wcnt_sub_msb); + + INV_0: INV + port map (A=>cnt_con, Z=>cnt_con_inv); + + pdp_ram_0_0_3: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>scuba_vlo, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>scuba_vlo, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>Q(8), DOB7=>Q(7), + DOB6=>Q(6), DOB5=>Q(5), DOB4=>Q(4), DOB3=>Q(3), DOB2=>Q(2), + DOB1=>Q(1), DOB0=>Q(0)); + + pdp_ram_0_1_2: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>scuba_vlo, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>scuba_vlo, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>Q(17), DOB7=>Q(16), + DOB6=>Q(15), DOB5=>Q(14), DOB4=>Q(13), DOB3=>Q(12), + DOB2=>Q(11), DOB1=>Q(10), DOB0=>Q(9)); + + pdp_ram_0_2_1: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>scuba_vlo, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>scuba_vlo, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>Q(26), DOB7=>Q(25), + DOB6=>Q(24), DOB5=>Q(23), DOB4=>Q(22), DOB3=>Q(21), + DOB2=>Q(20), DOB1=>Q(19), DOB0=>Q(18)); + + pdp_ram_0_3_0: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>scuba_vlo, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>scuba_vlo, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>Q(35), DOB7=>Q(34), + DOB6=>Q(33), DOB5=>Q(32), DOB4=>Q(31), DOB3=>Q(30), + DOB2=>Q(29), DOB1=>Q(28), DOB0=>Q(27)); + + FF_74: FD1P3DX + port map (D=>ifcount_0, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_0); + + FF_73: FD1P3DX + port map (D=>ifcount_1, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_1); + + FF_72: FD1P3DX + port map (D=>ifcount_2, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_2); + + FF_71: FD1P3DX + port map (D=>ifcount_3, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_3); + + FF_70: FD1P3DX + port map (D=>ifcount_4, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_4); + + FF_69: FD1P3DX + port map (D=>ifcount_5, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_5); + + FF_68: FD1P3DX + port map (D=>ifcount_6, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_6); + + FF_67: FD1P3DX + port map (D=>ifcount_7, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_7); + + FF_66: FD1P3DX + port map (D=>ifcount_8, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_8); + + FF_65: FD1P3DX + port map (D=>ifcount_9, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_9); + + FF_64: FD1P3DX + port map (D=>ifcount_10, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_10); + + FF_63: FD1P3DX + port map (D=>ifcount_11, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_11); + + FF_62: FD1S3BX + port map (D=>empty_d, CK=>Clock, PD=>Reset, Q=>empty_i); + + FF_61: FD1S3DX + port map (D=>full_d, CK=>Clock, CD=>Reset, Q=>full_i); + + FF_60: FD1P3BX + port map (D=>iwcount_0, SP=>wren_i, CK=>Clock, PD=>Reset, + Q=>wcount_0); + + FF_59: FD1P3DX + port map (D=>iwcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_1); + + FF_58: FD1P3DX + port map (D=>iwcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_2); + + FF_57: FD1P3DX + port map (D=>iwcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_3); + + FF_56: FD1P3DX + port map (D=>iwcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_4); + + FF_55: FD1P3DX + port map (D=>iwcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_5); + + FF_54: FD1P3DX + port map (D=>iwcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_6); + + FF_53: FD1P3DX + port map (D=>iwcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_7); + + FF_52: FD1P3DX + port map (D=>iwcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_8); + + FF_51: FD1P3DX + port map (D=>iwcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_9); + + FF_50: FD1P3DX + port map (D=>iwcount_10, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_10); + + FF_49: FD1P3DX + port map (D=>iwcount_11, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_11); + + FF_48: FD1P3BX + port map (D=>ircount_0, SP=>rden_i, CK=>Clock, PD=>Reset, + Q=>rcount_0); + + FF_47: FD1P3DX + port map (D=>ircount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_1); + + FF_46: FD1P3DX + port map (D=>ircount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_2); + + FF_45: FD1P3DX + port map (D=>ircount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_3); + + FF_44: FD1P3DX + port map (D=>ircount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_4); + + FF_43: FD1P3DX + port map (D=>ircount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_5); + + FF_42: FD1P3DX + port map (D=>ircount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_6); + + FF_41: FD1P3DX + port map (D=>ircount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_7); + + FF_40: FD1P3DX + port map (D=>ircount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_8); + + FF_39: FD1P3DX + port map (D=>ircount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_9); + + FF_38: FD1P3DX + port map (D=>ircount_10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_10); + + FF_37: FD1P3DX + port map (D=>ircount_11, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_11); + + FF_36: FD1P3DX + port map (D=>wcount_0, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_0); + + FF_35: FD1P3DX + port map (D=>wcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_1); + + FF_34: FD1P3DX + port map (D=>wcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_2); + + FF_33: FD1P3DX + port map (D=>wcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_3); + + FF_32: FD1P3DX + port map (D=>wcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_4); + + FF_31: FD1P3DX + port map (D=>wcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_5); + + FF_30: FD1P3DX + port map (D=>wcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_6); + + FF_29: FD1P3DX + port map (D=>wcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_7); + + FF_28: FD1P3DX + port map (D=>wcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_8); + + FF_27: FD1P3DX + port map (D=>wcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_9); + + FF_26: FD1P3DX + port map (D=>wcount_10, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_10); + + FF_25: FD1P3DX + port map (D=>wcount_11, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_11); + + FF_24: FD1P3DX + port map (D=>rcount_0, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_0); + + FF_23: FD1P3DX + port map (D=>rcount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_1); + + FF_22: FD1P3DX + port map (D=>rcount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_2); + + FF_21: FD1P3DX + port map (D=>rcount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_3); + + FF_20: FD1P3DX + port map (D=>rcount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_4); + + FF_19: FD1P3DX + port map (D=>rcount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_5); + + FF_18: FD1P3DX + port map (D=>rcount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_6); + + FF_17: FD1P3DX + port map (D=>rcount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_7); + + FF_16: FD1P3DX + port map (D=>rcount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_8); + + FF_15: FD1P3DX + port map (D=>rcount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_9); + + FF_14: FD1P3DX + port map (D=>rcount_10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_10); + + FF_13: FD1P3DX + port map (D=>rcount_11, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_11); + + FF_12: FD1S3DX + port map (D=>wcnt_sub_0, CK=>Clock, CD=>Reset, Q=>wcnt_reg_0); + + FF_11: FD1S3DX + port map (D=>wcnt_sub_1, CK=>Clock, CD=>Reset, Q=>wcnt_reg_1); + + FF_10: FD1S3DX + port map (D=>wcnt_sub_2, CK=>Clock, CD=>Reset, Q=>wcnt_reg_2); + + FF_9: FD1S3DX + port map (D=>wcnt_sub_3, CK=>Clock, CD=>Reset, Q=>wcnt_reg_3); + + FF_8: FD1S3DX + port map (D=>wcnt_sub_4, CK=>Clock, CD=>Reset, Q=>wcnt_reg_4); + + FF_7: FD1S3DX + port map (D=>wcnt_sub_5, CK=>Clock, CD=>Reset, Q=>wcnt_reg_5); + + FF_6: FD1S3DX + port map (D=>wcnt_sub_6, CK=>Clock, CD=>Reset, Q=>wcnt_reg_6); + + FF_5: FD1S3DX + port map (D=>wcnt_sub_7, CK=>Clock, CD=>Reset, Q=>wcnt_reg_7); + + FF_4: FD1S3DX + port map (D=>wcnt_sub_8, CK=>Clock, CD=>Reset, Q=>wcnt_reg_8); + + FF_3: FD1S3DX + port map (D=>wcnt_sub_9, CK=>Clock, CD=>Reset, Q=>wcnt_reg_9); + + FF_2: FD1S3DX + port map (D=>wcnt_sub_10, CK=>Clock, CD=>Reset, Q=>wcnt_reg_10); + + FF_1: FD1S3DX + port map (D=>wcnt_sub_11, CK=>Clock, CD=>Reset, Q=>wcnt_reg_11); + + FF_0: FD1S3DX + port map (D=>af_set, CK=>Clock, CD=>Reset, Q=>AlmostFull); + + bdcnt_bctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>cnt_con, B0=>scuba_vlo, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>bdcnt_bctr_ci); + + bdcnt_bctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>bdcnt_bctr_ci, S0=>ifcount_0, S1=>ifcount_1, COUT=>co0); + + bdcnt_bctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0, S0=>ifcount_2, S1=>ifcount_3, COUT=>co1); + + bdcnt_bctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1, S0=>ifcount_4, S1=>ifcount_5, COUT=>co2); + + bdcnt_bctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2, S0=>ifcount_6, S1=>ifcount_7, COUT=>co3); + + bdcnt_bctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3, S0=>ifcount_8, S1=>ifcount_9, COUT=>co4); + + bdcnt_bctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_10, A1=>fcount_11, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4, S0=>ifcount_10, S1=>ifcount_11, COUT=>co5); + + e_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci); + + e_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>rden_i, A1=>scuba_vlo, B0=>fcount_0, B1=>fcount_1, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci, S0=>open, S1=>open, COUT=>co0_1); + + e_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_2, + B1=>fcount_3, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_1, S0=>open, S1=>open, COUT=>co1_1); + + e_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_4, + B1=>fcount_5, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_1, S0=>open, S1=>open, COUT=>co2_1); + + e_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_6, + B1=>fcount_7, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_1, S0=>open, S1=>open, COUT=>co3_1); + + e_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_8, + B1=>fcount_9, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_1, S0=>open, S1=>open, COUT=>co4_1); + + e_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_10, + B1=>fcount_11, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_1, S0=>open, S1=>open, + COUT=>cmp_le_1_c); + + a0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_le_1_c, S0=>cmp_le_1, S1=>open, + COUT=>open); + + g_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_1); + + g_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci_1, S0=>open, S1=>open, COUT=>co0_2); + + g_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_2, S0=>open, S1=>open, COUT=>co1_2); + + g_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_2, S0=>open, S1=>open, COUT=>co2_2); + + g_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_2, S0=>open, S1=>open, COUT=>co3_2); + + g_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_2, S0=>open, S1=>open, COUT=>co4_2); + + g_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_10, A1=>fcount_11, B0=>wren_i, + B1=>wren_i_inv, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_2, S0=>open, S1=>open, + COUT=>cmp_ge_d1_c); + + a1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_ge_d1_c, S0=>cmp_ge_d1, S1=>open, + COUT=>open); + + w_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>w_ctr_ci); + + w_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_0, A1=>wcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>w_ctr_ci, S0=>iwcount_0, S1=>iwcount_1, + COUT=>co0_3); + + w_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_2, A1=>wcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_3, S0=>iwcount_2, S1=>iwcount_3, + COUT=>co1_3); + + w_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_4, A1=>wcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_3, S0=>iwcount_4, S1=>iwcount_5, + COUT=>co2_3); + + w_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_6, A1=>wcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_3, S0=>iwcount_6, S1=>iwcount_7, + COUT=>co3_3); + + w_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_8, A1=>wcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_3, S0=>iwcount_8, S1=>iwcount_9, + COUT=>co4_3); + + w_ctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_10, A1=>wcount_11, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_3, S0=>iwcount_10, S1=>iwcount_11, + COUT=>co5_1); + + r_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>r_ctr_ci); + + r_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_0, A1=>rcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>r_ctr_ci, S0=>ircount_0, S1=>ircount_1, + COUT=>co0_4); + + r_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_2, A1=>rcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_4, S0=>ircount_2, S1=>ircount_3, + COUT=>co1_4); + + r_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_4, A1=>rcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_4, S0=>ircount_4, S1=>ircount_5, + COUT=>co2_4); + + r_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_6, A1=>rcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_4, S0=>ircount_6, S1=>ircount_7, + COUT=>co3_4); + + r_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_8, A1=>rcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_4, S0=>ircount_8, S1=>ircount_9, + COUT=>co4_4); + + r_ctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_10, A1=>rcount_11, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_4, S0=>ircount_10, S1=>ircount_11, + COUT=>co5_2); + + precin_inst299: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"0000", + INIT0=> X"0000") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>precin); + + wcnt_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>cnt_con, A1=>wcount_0, B0=>cnt_con_inv, B1=>rptr_0, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>precin, S0=>open, S1=>wcnt_sub_0, COUT=>co0_5); + + wcnt_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_1, A1=>wcount_2, B0=>rptr_1, B1=>rptr_2, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_5, S0=>wcnt_sub_1, S1=>wcnt_sub_2, COUT=>co1_5); + + wcnt_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_3, A1=>wcount_4, B0=>rptr_3, B1=>rptr_4, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_5, S0=>wcnt_sub_3, S1=>wcnt_sub_4, COUT=>co2_5); + + wcnt_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_5, A1=>wcount_6, B0=>rptr_5, B1=>rptr_6, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_5, S0=>wcnt_sub_5, S1=>wcnt_sub_6, COUT=>co3_5); + + wcnt_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_7, A1=>wcount_8, B0=>rptr_7, B1=>rptr_8, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_5, S0=>wcnt_sub_7, S1=>wcnt_sub_8, COUT=>co4_5); + + wcnt_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_9, A1=>wcount_10, B0=>rptr_9, B1=>rptr_10, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4_5, S0=>wcnt_sub_9, S1=>wcnt_sub_10, COUT=>co5_3); + + wcnt_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_sub_msb, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_3, S0=>wcnt_sub_11, S1=>open, + COUT=>open); + + af_set_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>wren_i, B0=>scuba_vlo, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_2); + + af_set_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_0, A1=>wcnt_reg_1, B0=>AmFullThresh(0), + B1=>AmFullThresh(1), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>cmp_ci_2, S0=>open, + S1=>open, COUT=>co0_6); + + af_set_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_2, A1=>wcnt_reg_3, B0=>AmFullThresh(2), + B1=>AmFullThresh(3), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co0_6, S0=>open, S1=>open, + COUT=>co1_6); + + af_set_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_4, A1=>wcnt_reg_5, B0=>AmFullThresh(4), + B1=>AmFullThresh(5), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co1_6, S0=>open, S1=>open, + COUT=>co2_6); + + af_set_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_6, A1=>wcnt_reg_7, B0=>AmFullThresh(6), + B1=>AmFullThresh(7), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co2_6, S0=>open, S1=>open, + COUT=>co3_6); + + af_set_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_8, A1=>wcnt_reg_9, B0=>AmFullThresh(8), + B1=>AmFullThresh(9), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co3_6, S0=>open, S1=>open, + COUT=>co4_6); + + af_set_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_10, A1=>wcnt_reg_11, B0=>AmFullThresh(10), + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_6, S0=>open, S1=>open, + COUT=>af_set_c); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + a2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>af_set_c, S0=>af_set, S1=>open, + COUT=>open); + + WCNT(0) <= fcount_0; + WCNT(1) <= fcount_1; + WCNT(2) <= fcount_2; + WCNT(3) <= fcount_3; + WCNT(4) <= fcount_4; + WCNT(5) <= fcount_5; + WCNT(6) <= fcount_6; + WCNT(7) <= fcount_7; + WCNT(8) <= fcount_8; + WCNT(9) <= fcount_9; + WCNT(10) <= fcount_10; + WCNT(11) <= fcount_11; + Empty <= empty_i; + Full <= full_i; +end Structure; diff --git a/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg_ngd.asd b/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg_ngd.asd new file mode 100644 index 0000000..c265c78 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x2k_oreg/fifo_36x2k_oreg_ngd.asd @@ -0,0 +1 @@ +[ActiveSupport NGD] diff --git a/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.cst b/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.cst new file mode 100644 index 0000000..318c0e9 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.cst @@ -0,0 +1,3 @@ +Date=03/18/2015 +Time=14:42:29 + diff --git a/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.edn b/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.edn new file mode 100644 index 0000000..c7477aa --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.edn @@ -0,0 +1,15178 @@ +(edif fifo_36x32k_oreg + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timestamp 2015 3 18 14 42 30) + (program "SCUBA" (version "Diamond (64-bit) 3.4.0.80")))) + (comment "/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n fifo_36x32k_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 32768 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill -fdc /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.fdc ") + (library ORCLIB + (edifLevel 0) + (technology + (numberDefinition)) + (cell CCU2C + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A0 + (direction INPUT)) + (port A1 + (direction INPUT)) + (port B0 + (direction INPUT)) + (port B1 + (direction INPUT)) + (port C0 + (direction INPUT)) + (port C1 + (direction INPUT)) + (port D0 + (direction INPUT)) + (port D1 + (direction INPUT)) + (port CIN + (direction INPUT)) + (port S0 + (direction OUTPUT)) + (port S1 + (direction OUTPUT)) + (port COUT + (direction OUTPUT))))) + (cell AND2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell FD1P3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1P3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell INV + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell MUX161 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D0 + (direction INPUT)) + (port D1 + (direction INPUT)) + (port D2 + (direction INPUT)) + (port D3 + (direction INPUT)) + (port D4 + (direction INPUT)) + (port D5 + (direction INPUT)) + (port D6 + (direction INPUT)) + (port D7 + (direction INPUT)) + (port D8 + (direction INPUT)) + (port D9 + (direction INPUT)) + (port D10 + (direction INPUT)) + (port D11 + (direction INPUT)) + (port D12 + (direction INPUT)) + (port D13 + (direction INPUT)) + (port D14 + (direction INPUT)) + (port D15 + (direction INPUT)) + (port SD1 + (direction INPUT)) + (port SD2 + (direction INPUT)) + (port SD3 + (direction INPUT)) + (port SD4 + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell ROM16X1A + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port AD3 + (direction INPUT)) + (port AD2 + (direction INPUT)) + (port AD1 + (direction INPUT)) + (port AD0 + (direction INPUT)) + (port DO0 + (direction OUTPUT))))) + (cell VHI + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell VLO + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell XOR2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell DP16KD + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port DIA17 + (direction INPUT)) + (port DIA16 + (direction INPUT)) + (port DIA15 + (direction INPUT)) + (port DIA14 + (direction INPUT)) + (port DIA13 + (direction INPUT)) + (port DIA12 + (direction INPUT)) + (port DIA11 + (direction INPUT)) + (port DIA10 + (direction INPUT)) + (port DIA9 + (direction INPUT)) + (port DIA8 + (direction INPUT)) + (port DIA7 + (direction INPUT)) + (port DIA6 + (direction INPUT)) + (port DIA5 + (direction INPUT)) + (port DIA4 + (direction INPUT)) + (port DIA3 + (direction INPUT)) + (port DIA2 + (direction INPUT)) + (port DIA1 + (direction INPUT)) + (port DIA0 + (direction INPUT)) + (port ADA13 + (direction INPUT)) + (port ADA12 + (direction INPUT)) + (port ADA11 + (direction INPUT)) + (port ADA10 + (direction INPUT)) + (port ADA9 + (direction INPUT)) + (port ADA8 + (direction INPUT)) + (port ADA7 + (direction INPUT)) + (port ADA6 + (direction INPUT)) + (port ADA5 + (direction INPUT)) + (port ADA4 + (direction INPUT)) + (port ADA3 + (direction INPUT)) + (port ADA2 + (direction INPUT)) + (port ADA1 + (direction INPUT)) + (port ADA0 + (direction INPUT)) + (port CEA + (direction INPUT)) + (port OCEA + (direction INPUT)) + (port CLKA + (direction INPUT)) + (port WEA + (direction INPUT)) + (port CSA2 + (direction INPUT)) + (port CSA1 + (direction INPUT)) + (port CSA0 + (direction INPUT)) + (port RSTA + (direction INPUT)) + (port DIB17 + (direction INPUT)) + (port DIB16 + (direction INPUT)) + (port DIB15 + (direction INPUT)) + (port DIB14 + (direction INPUT)) + (port DIB13 + (direction INPUT)) + (port DIB12 + (direction INPUT)) + (port DIB11 + (direction INPUT)) + (port DIB10 + (direction INPUT)) + (port DIB9 + (direction INPUT)) + (port DIB8 + (direction INPUT)) + (port DIB7 + (direction INPUT)) + (port DIB6 + (direction INPUT)) + (port DIB5 + (direction INPUT)) + (port DIB4 + (direction INPUT)) + (port DIB3 + (direction INPUT)) + (port DIB2 + (direction INPUT)) + (port DIB1 + (direction INPUT)) + (port DIB0 + (direction INPUT)) + (port ADB13 + (direction INPUT)) + (port ADB12 + (direction INPUT)) + (port ADB11 + (direction INPUT)) + (port ADB10 + (direction INPUT)) + (port ADB9 + (direction INPUT)) + (port ADB8 + (direction INPUT)) + (port ADB7 + (direction INPUT)) + (port ADB6 + (direction INPUT)) + (port ADB5 + (direction INPUT)) + (port ADB4 + (direction INPUT)) + (port ADB3 + (direction INPUT)) + (port ADB2 + (direction INPUT)) + (port ADB1 + (direction INPUT)) + (port ADB0 + (direction INPUT)) + (port CEB + (direction INPUT)) + (port OCEB + (direction INPUT)) + (port CLKB + (direction INPUT)) + (port WEB + (direction INPUT)) + (port CSB2 + (direction INPUT)) + (port CSB1 + (direction INPUT)) + (port CSB0 + (direction INPUT)) + (port RSTB + (direction INPUT)) + (port DOA17 + (direction OUTPUT)) + (port DOA16 + (direction OUTPUT)) + (port DOA15 + (direction OUTPUT)) + (port DOA14 + (direction OUTPUT)) + (port DOA13 + (direction OUTPUT)) + (port DOA12 + (direction OUTPUT)) + (port DOA11 + (direction OUTPUT)) + (port DOA10 + (direction OUTPUT)) + (port DOA9 + (direction OUTPUT)) + (port DOA8 + (direction OUTPUT)) + (port DOA7 + (direction OUTPUT)) + (port DOA6 + (direction OUTPUT)) + (port DOA5 + (direction OUTPUT)) + (port DOA4 + (direction OUTPUT)) + (port DOA3 + (direction OUTPUT)) + (port DOA2 + (direction OUTPUT)) + (port DOA1 + (direction OUTPUT)) + (port DOA0 + (direction OUTPUT)) + (port DOB17 + (direction OUTPUT)) + (port DOB16 + (direction OUTPUT)) + (port DOB15 + (direction OUTPUT)) + (port DOB14 + (direction OUTPUT)) + (port DOB13 + (direction OUTPUT)) + (port DOB12 + (direction OUTPUT)) + (port DOB11 + (direction OUTPUT)) + (port DOB10 + (direction OUTPUT)) + (port DOB9 + (direction OUTPUT)) + (port DOB8 + (direction OUTPUT)) + (port DOB7 + (direction OUTPUT)) + (port DOB6 + (direction OUTPUT)) + (port DOB5 + (direction OUTPUT)) + (port DOB4 + (direction OUTPUT)) + (port DOB3 + (direction OUTPUT)) + (port DOB2 + (direction OUTPUT)) + (port DOB1 + (direction OUTPUT)) + (port DOB0 + (direction OUTPUT))))) + (cell fifo_36x32k_oreg + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port (array (rename Data "Data(35:0)") 36) + (direction INPUT)) + (port Clock + (direction INPUT)) + (port WrEn + (direction INPUT)) + (port RdEn + (direction INPUT)) + (port Reset + (direction INPUT)) + (port (array (rename AmFullThresh "AmFullThresh(14:0)") 15) + (direction INPUT)) + (port (array (rename Q "Q(35:0)") 36) + (direction OUTPUT)) + (port (array (rename WCNT "WCNT(15:0)") 16) + (direction OUTPUT)) + (port Empty + (direction OUTPUT)) + (port Full + (direction OUTPUT)) + (port AlmostFull + (direction OUTPUT))) + (property NGD_DRC_MASK (integer 1)) + (contents + (instance AND2_t5 + (viewRef view1 + (cellRef AND2))) + (instance INV_13 + (viewRef view1 + (cellRef INV))) + (instance AND2_t4 + (viewRef view1 + (cellRef AND2))) + (instance INV_12 + (viewRef view1 + (cellRef INV))) + (instance AND2_t3 + (viewRef view1 + (cellRef AND2))) + (instance XOR2_t2 + (viewRef view1 + (cellRef XOR2))) + (instance INV_11 + (viewRef view1 + (cellRef INV))) + (instance INV_10 + (viewRef view1 + (cellRef INV))) + (instance LUT4_129 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance LUT4_128 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance INV_9 + (viewRef view1 + (cellRef INV))) + (instance INV_8 + (viewRef view1 + (cellRef INV))) + (instance INV_7 + (viewRef view1 + (cellRef INV))) + (instance INV_6 + (viewRef view1 + (cellRef INV))) + (instance LUT4_127 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance INV_5 + (viewRef view1 + (cellRef INV))) + (instance INV_4 + (viewRef view1 + (cellRef INV))) + (instance INV_3 + (viewRef view1 + (cellRef INV))) + (instance INV_2 + (viewRef view1 + (cellRef INV))) + (instance LUT4_126 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_125 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_124 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_123 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_122 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_121 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_120 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_119 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_118 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_117 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_116 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_115 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_114 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_113 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_112 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_111 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_110 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_109 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_108 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_107 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_106 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_105 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_104 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_103 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_102 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_101 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_100 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_99 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_98 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_97 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_96 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_95 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_94 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_93 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_92 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_91 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_90 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_89 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_88 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_87 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_86 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_85 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_84 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_83 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_82 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_81 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_80 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_79 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_78 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_77 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_76 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_75 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_74 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_73 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_72 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_71 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_70 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_69 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_68 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_67 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_66 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_65 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_64 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_63 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_62 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_61 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_60 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_59 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_58 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_57 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_56 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_55 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_54 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_53 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_52 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_51 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_50 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_49 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_48 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_47 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_46 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_45 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_44 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_43 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_42 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_41 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_40 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_39 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_38 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_37 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_36 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_35 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_34 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_33 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_32 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_31 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_30 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_29 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_28 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_27 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_26 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_25 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_24 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_23 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_22 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_21 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_20 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_19 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_18 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_17 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_16 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_15 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_14 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_13 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_12 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_11 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_10 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_9 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_8 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_7 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_6 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_5 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_4 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_3 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_2 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_1 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance LUT4_0 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x8000"))) + (instance AND2_t1 + (viewRef view1 + (cellRef AND2))) + (instance INV_1 + (viewRef view1 + (cellRef INV))) + (instance XOR2_t0 + (viewRef view1 + (cellRef XOR2))) + (instance INV_0 + (viewRef view1 + (cellRef INV))) + (instance pdp_ram_0_0_63 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_1_62 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_2_61 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_3_60 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_0_59 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_1_58 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_2_57 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_3_56 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_2_0_55 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_2_1_54 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_2_2_53 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_2_3_52 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_3_0_51 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_3_1_50 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_3_2_49 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_3_3_48 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_4_0_47 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_4_1_46 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_4_2_45 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_4_3_44 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_5_0_43 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_5_1_42 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_5_2_41 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_5_3_40 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_6_0_39 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_6_1_38 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_6_2_37 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_6_3_36 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_7_0_35 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_7_1_34 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_7_2_33 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_7_3_32 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_8_0_31 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_8_1_30 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_8_2_29 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_8_3_28 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_9_0_27 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_9_1_26 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_9_2_25 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_9_3_24 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_10_0_23 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_10_1_22 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_10_2_21 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_10_3_20 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_11_0_19 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_11_1_18 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_11_2_17 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_11_3_16 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_12_0_15 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_12_1_14 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_12_2_13 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_12_3_12 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_13_0_11 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_13_1_10 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_13_2_9 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_13_3_8 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_14_0_7 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_14_1_6 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_14_2_5 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_14_3_4 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_15_0_3 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_15_1_2 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_15_2_1 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_15_3_0 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x32k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance FF_106 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_105 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_104 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_103 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_102 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_101 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_100 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_99 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_98 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_97 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_96 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_95 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_94 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_93 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_92 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_91 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_90 + (viewRef view1 + (cellRef FD1S3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_89 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_88 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_87 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_86 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_85 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_84 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_83 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_82 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_81 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_80 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_79 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_78 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_77 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_76 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_75 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_74 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_73 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_72 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_71 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_70 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_69 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_68 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_67 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_66 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_65 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_64 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_63 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_62 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_61 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_60 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_59 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_58 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_57 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_56 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_55 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_54 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_53 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_52 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_51 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_50 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_49 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_48 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_47 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_46 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_45 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_44 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_43 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_42 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_41 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_40 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_39 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_38 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_37 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_36 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_35 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_34 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_33 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_32 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_31 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_30 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_29 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_28 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_27 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_26 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_25 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_24 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_23 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_22 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_21 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_20 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_19 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_18 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_17 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_16 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_15 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_14 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_13 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_12 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_11 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_10 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_9 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_8 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_7 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_6 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_5 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_4 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_3 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_2 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_1 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_0 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance bdcnt_bctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance bdcnt_bctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance e_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance mux_35 + (viewRef view1 + (cellRef MUX161))) + (instance mux_34 + (viewRef view1 + (cellRef MUX161))) + (instance mux_33 + (viewRef view1 + (cellRef MUX161))) + (instance mux_32 + (viewRef view1 + (cellRef MUX161))) + (instance mux_31 + (viewRef view1 + (cellRef MUX161))) + (instance mux_30 + (viewRef view1 + (cellRef MUX161))) + (instance mux_29 + (viewRef view1 + (cellRef MUX161))) + (instance mux_28 + (viewRef view1 + (cellRef MUX161))) + (instance mux_27 + (viewRef view1 + (cellRef MUX161))) + (instance mux_26 + (viewRef view1 + (cellRef MUX161))) + (instance mux_25 + (viewRef view1 + (cellRef MUX161))) + (instance mux_24 + (viewRef view1 + (cellRef MUX161))) + (instance mux_23 + (viewRef view1 + (cellRef MUX161))) + (instance mux_22 + (viewRef view1 + (cellRef MUX161))) + (instance mux_21 + (viewRef view1 + (cellRef MUX161))) + (instance mux_20 + (viewRef view1 + (cellRef MUX161))) + (instance mux_19 + (viewRef view1 + (cellRef MUX161))) + (instance mux_18 + (viewRef view1 + (cellRef MUX161))) + (instance mux_17 + (viewRef view1 + (cellRef MUX161))) + (instance mux_16 + (viewRef view1 + (cellRef MUX161))) + (instance mux_15 + (viewRef view1 + (cellRef MUX161))) + (instance mux_14 + (viewRef view1 + (cellRef MUX161))) + (instance mux_13 + (viewRef view1 + (cellRef MUX161))) + (instance mux_12 + (viewRef view1 + (cellRef MUX161))) + (instance mux_11 + (viewRef view1 + (cellRef MUX161))) + (instance mux_10 + (viewRef view1 + (cellRef MUX161))) + (instance mux_9 + (viewRef view1 + (cellRef MUX161))) + (instance mux_8 + (viewRef view1 + (cellRef MUX161))) + (instance mux_7 + (viewRef view1 + (cellRef MUX161))) + (instance mux_6 + (viewRef view1 + (cellRef MUX161))) + (instance mux_5 + (viewRef view1 + (cellRef MUX161))) + (instance mux_4 + (viewRef view1 + (cellRef MUX161))) + (instance mux_3 + (viewRef view1 + (cellRef MUX161))) + (instance mux_2 + (viewRef view1 + (cellRef MUX161))) + (instance mux_1 + (viewRef view1 + (cellRef MUX161))) + (instance mux_0 + (viewRef view1 + (cellRef MUX161))) + (instance precin_inst1073 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x0000")) + (property INIT0 + (string "0x0000"))) + (instance wcnt_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_8 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance af_set_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance scuba_vhi_inst + (viewRef view1 + (cellRef VHI))) + (instance scuba_vlo_inst + (viewRef view1 + (cellRef VLO))) + (instance a2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (net invout_2 + (joined + (portRef Z (instanceRef INV_13)) + (portRef B (instanceRef AND2_t5)))) + (net invout_1 + (joined + (portRef Z (instanceRef INV_12)) + (portRef B (instanceRef AND2_t4)))) + (net rden_i_inv + (joined + (portRef Z (instanceRef INV_11)) + (portRef B (instanceRef AND2_t3)))) + (net wptr_14_inv + (joined + (portRef AD0 (instanceRef LUT4_65)) + (portRef Z (instanceRef INV_6)) + (portRef AD0 (instanceRef LUT4_127)) + (portRef AD0 (instanceRef LUT4_125)) + (portRef AD0 (instanceRef LUT4_123)) + (portRef AD0 (instanceRef LUT4_121)) + (portRef AD0 (instanceRef LUT4_119)) + (portRef AD0 (instanceRef LUT4_117)) + (portRef AD0 (instanceRef LUT4_115)) + (portRef AD0 (instanceRef LUT4_113)) + (portRef AD0 (instanceRef LUT4_111)) + (portRef AD0 (instanceRef LUT4_109)) + (portRef AD0 (instanceRef LUT4_107)) + (portRef AD0 (instanceRef LUT4_105)) + (portRef AD0 (instanceRef LUT4_103)) + (portRef AD0 (instanceRef LUT4_101)) + (portRef AD0 (instanceRef LUT4_99)) + (portRef AD0 (instanceRef LUT4_97)) + (portRef AD0 (instanceRef LUT4_95)) + (portRef AD0 (instanceRef LUT4_93)) + (portRef AD0 (instanceRef LUT4_91)) + (portRef AD0 (instanceRef LUT4_89)) + (portRef AD0 (instanceRef LUT4_87)) + (portRef AD0 (instanceRef LUT4_85)) + (portRef AD0 (instanceRef LUT4_83)) + (portRef AD0 (instanceRef LUT4_81)) + (portRef AD0 (instanceRef LUT4_79)) + (portRef AD0 (instanceRef LUT4_77)) + (portRef AD0 (instanceRef LUT4_75)) + (portRef AD0 (instanceRef LUT4_73)) + (portRef AD0 (instanceRef LUT4_71)) + (portRef AD0 (instanceRef LUT4_69)) + (portRef AD0 (instanceRef LUT4_67)))) + (net rptr_14_inv + (joined + (portRef AD0 (instanceRef LUT4_64)) + (portRef Z (instanceRef INV_2)) + (portRef AD0 (instanceRef LUT4_126)) + (portRef AD0 (instanceRef LUT4_124)) + (portRef AD0 (instanceRef LUT4_122)) + (portRef AD0 (instanceRef LUT4_120)) + (portRef AD0 (instanceRef LUT4_118)) + (portRef AD0 (instanceRef LUT4_116)) + (portRef AD0 (instanceRef LUT4_114)) + (portRef AD0 (instanceRef LUT4_112)) + (portRef AD0 (instanceRef LUT4_110)) + (portRef AD0 (instanceRef LUT4_108)) + (portRef AD0 (instanceRef LUT4_106)) + (portRef AD0 (instanceRef LUT4_104)) + (portRef AD0 (instanceRef LUT4_102)) + (portRef AD0 (instanceRef LUT4_100)) + (portRef AD0 (instanceRef LUT4_98)) + (portRef AD0 (instanceRef LUT4_96)) + (portRef AD0 (instanceRef LUT4_94)) + (portRef AD0 (instanceRef LUT4_92)) + (portRef AD0 (instanceRef LUT4_90)) + (portRef AD0 (instanceRef LUT4_88)) + (portRef AD0 (instanceRef LUT4_86)) + (portRef AD0 (instanceRef LUT4_84)) + (portRef AD0 (instanceRef LUT4_82)) + (portRef AD0 (instanceRef LUT4_80)) + (portRef AD0 (instanceRef LUT4_78)) + (portRef AD0 (instanceRef LUT4_76)) + (portRef AD0 (instanceRef LUT4_74)) + (portRef AD0 (instanceRef LUT4_72)) + (portRef AD0 (instanceRef LUT4_70)) + (portRef AD0 (instanceRef LUT4_68)) + (portRef AD0 (instanceRef LUT4_66)))) + (net wptr_13_inv + (joined + (portRef AD1 (instanceRef LUT4_33)) + (portRef Z (instanceRef INV_7)) + (portRef AD1 (instanceRef LUT4_127)) + (portRef AD1 (instanceRef LUT4_125)) + (portRef AD1 (instanceRef LUT4_123)) + (portRef AD1 (instanceRef LUT4_121)) + (portRef AD1 (instanceRef LUT4_119)) + (portRef AD1 (instanceRef LUT4_117)) + (portRef AD1 (instanceRef LUT4_115)) + (portRef AD1 (instanceRef LUT4_113)) + (portRef AD1 (instanceRef LUT4_111)) + (portRef AD1 (instanceRef LUT4_109)) + (portRef AD1 (instanceRef LUT4_107)) + (portRef AD1 (instanceRef LUT4_105)) + (portRef AD1 (instanceRef LUT4_103)) + (portRef AD1 (instanceRef LUT4_101)) + (portRef AD1 (instanceRef LUT4_99)) + (portRef AD1 (instanceRef LUT4_97)) + (portRef AD1 (instanceRef LUT4_63)) + (portRef AD1 (instanceRef LUT4_61)) + (portRef AD1 (instanceRef LUT4_59)) + (portRef AD1 (instanceRef LUT4_57)) + (portRef AD1 (instanceRef LUT4_55)) + (portRef AD1 (instanceRef LUT4_53)) + (portRef AD1 (instanceRef LUT4_51)) + (portRef AD1 (instanceRef LUT4_49)) + (portRef AD1 (instanceRef LUT4_47)) + (portRef AD1 (instanceRef LUT4_45)) + (portRef AD1 (instanceRef LUT4_43)) + (portRef AD1 (instanceRef LUT4_41)) + (portRef AD1 (instanceRef LUT4_39)) + (portRef AD1 (instanceRef LUT4_37)) + (portRef AD1 (instanceRef LUT4_35)))) + (net rptr_13_inv + (joined + (portRef AD1 (instanceRef LUT4_32)) + (portRef Z (instanceRef INV_3)) + (portRef AD1 (instanceRef LUT4_126)) + (portRef AD1 (instanceRef LUT4_124)) + (portRef AD1 (instanceRef LUT4_122)) + (portRef AD1 (instanceRef LUT4_120)) + (portRef AD1 (instanceRef LUT4_118)) + (portRef AD1 (instanceRef LUT4_116)) + (portRef AD1 (instanceRef LUT4_114)) + (portRef AD1 (instanceRef LUT4_112)) + (portRef AD1 (instanceRef LUT4_110)) + (portRef AD1 (instanceRef LUT4_108)) + (portRef AD1 (instanceRef LUT4_106)) + (portRef AD1 (instanceRef LUT4_104)) + (portRef AD1 (instanceRef LUT4_102)) + (portRef AD1 (instanceRef LUT4_100)) + (portRef AD1 (instanceRef LUT4_98)) + (portRef AD1 (instanceRef LUT4_96)) + (portRef AD1 (instanceRef LUT4_62)) + (portRef AD1 (instanceRef LUT4_60)) + (portRef AD1 (instanceRef LUT4_58)) + (portRef AD1 (instanceRef LUT4_56)) + (portRef AD1 (instanceRef LUT4_54)) + (portRef AD1 (instanceRef LUT4_52)) + (portRef AD1 (instanceRef LUT4_50)) + (portRef AD1 (instanceRef LUT4_48)) + (portRef AD1 (instanceRef LUT4_46)) + (portRef AD1 (instanceRef LUT4_44)) + (portRef AD1 (instanceRef LUT4_42)) + (portRef AD1 (instanceRef LUT4_40)) + (portRef AD1 (instanceRef LUT4_38)) + (portRef AD1 (instanceRef LUT4_36)) + (portRef AD1 (instanceRef LUT4_34)))) + (net wptr_12_inv + (joined + (portRef AD2 (instanceRef LUT4_17)) + (portRef Z (instanceRef INV_8)) + (portRef AD2 (instanceRef LUT4_127)) + (portRef AD2 (instanceRef LUT4_125)) + (portRef AD2 (instanceRef LUT4_123)) + (portRef AD2 (instanceRef LUT4_121)) + (portRef AD2 (instanceRef LUT4_119)) + (portRef AD2 (instanceRef LUT4_117)) + (portRef AD2 (instanceRef LUT4_115)) + (portRef AD2 (instanceRef LUT4_113)) + (portRef AD2 (instanceRef LUT4_95)) + (portRef AD2 (instanceRef LUT4_93)) + (portRef AD2 (instanceRef LUT4_91)) + (portRef AD2 (instanceRef LUT4_89)) + (portRef AD2 (instanceRef LUT4_87)) + (portRef AD2 (instanceRef LUT4_85)) + (portRef AD2 (instanceRef LUT4_83)) + (portRef AD2 (instanceRef LUT4_81)) + (portRef AD2 (instanceRef LUT4_63)) + (portRef AD2 (instanceRef LUT4_61)) + (portRef AD2 (instanceRef LUT4_59)) + (portRef AD2 (instanceRef LUT4_57)) + (portRef AD2 (instanceRef LUT4_55)) + (portRef AD2 (instanceRef LUT4_53)) + (portRef AD2 (instanceRef LUT4_51)) + (portRef AD2 (instanceRef LUT4_49)) + (portRef AD2 (instanceRef LUT4_31)) + (portRef AD2 (instanceRef LUT4_29)) + (portRef AD2 (instanceRef LUT4_27)) + (portRef AD2 (instanceRef LUT4_25)) + (portRef AD2 (instanceRef LUT4_23)) + (portRef AD2 (instanceRef LUT4_21)) + (portRef AD2 (instanceRef LUT4_19)))) + (net rptr_12_inv + (joined + (portRef AD2 (instanceRef LUT4_16)) + (portRef Z (instanceRef INV_4)) + (portRef AD2 (instanceRef LUT4_126)) + (portRef AD2 (instanceRef LUT4_124)) + (portRef AD2 (instanceRef LUT4_122)) + (portRef AD2 (instanceRef LUT4_120)) + (portRef AD2 (instanceRef LUT4_118)) + (portRef AD2 (instanceRef LUT4_116)) + (portRef AD2 (instanceRef LUT4_114)) + (portRef AD2 (instanceRef LUT4_112)) + (portRef AD2 (instanceRef LUT4_94)) + (portRef AD2 (instanceRef LUT4_92)) + (portRef AD2 (instanceRef LUT4_90)) + (portRef AD2 (instanceRef LUT4_88)) + (portRef AD2 (instanceRef LUT4_86)) + (portRef AD2 (instanceRef LUT4_84)) + (portRef AD2 (instanceRef LUT4_82)) + (portRef AD2 (instanceRef LUT4_80)) + (portRef AD2 (instanceRef LUT4_62)) + (portRef AD2 (instanceRef LUT4_60)) + (portRef AD2 (instanceRef LUT4_58)) + (portRef AD2 (instanceRef LUT4_56)) + (portRef AD2 (instanceRef LUT4_54)) + (portRef AD2 (instanceRef LUT4_52)) + (portRef AD2 (instanceRef LUT4_50)) + (portRef AD2 (instanceRef LUT4_48)) + (portRef AD2 (instanceRef LUT4_30)) + (portRef AD2 (instanceRef LUT4_28)) + (portRef AD2 (instanceRef LUT4_26)) + (portRef AD2 (instanceRef LUT4_24)) + (portRef AD2 (instanceRef LUT4_22)) + (portRef AD2 (instanceRef LUT4_20)) + (portRef AD2 (instanceRef LUT4_18)))) + (net wptr_11_inv + (joined + (portRef AD3 (instanceRef LUT4_9)) + (portRef Z (instanceRef INV_9)) + (portRef AD3 (instanceRef LUT4_127)) + (portRef AD3 (instanceRef LUT4_125)) + (portRef AD3 (instanceRef LUT4_123)) + (portRef AD3 (instanceRef LUT4_121)) + (portRef AD3 (instanceRef LUT4_111)) + (portRef AD3 (instanceRef LUT4_109)) + (portRef AD3 (instanceRef LUT4_107)) + (portRef AD3 (instanceRef LUT4_105)) + (portRef AD3 (instanceRef LUT4_95)) + (portRef AD3 (instanceRef LUT4_93)) + (portRef AD3 (instanceRef LUT4_91)) + (portRef AD3 (instanceRef LUT4_89)) + (portRef AD3 (instanceRef LUT4_79)) + (portRef AD3 (instanceRef LUT4_77)) + (portRef AD3 (instanceRef LUT4_75)) + (portRef AD3 (instanceRef LUT4_73)) + (portRef AD3 (instanceRef LUT4_63)) + (portRef AD3 (instanceRef LUT4_61)) + (portRef AD3 (instanceRef LUT4_59)) + (portRef AD3 (instanceRef LUT4_57)) + (portRef AD3 (instanceRef LUT4_47)) + (portRef AD3 (instanceRef LUT4_45)) + (portRef AD3 (instanceRef LUT4_43)) + (portRef AD3 (instanceRef LUT4_41)) + (portRef AD3 (instanceRef LUT4_31)) + (portRef AD3 (instanceRef LUT4_29)) + (portRef AD3 (instanceRef LUT4_27)) + (portRef AD3 (instanceRef LUT4_25)) + (portRef AD3 (instanceRef LUT4_15)) + (portRef AD3 (instanceRef LUT4_13)) + (portRef AD3 (instanceRef LUT4_11)))) + (net rptr_11_inv + (joined + (portRef AD3 (instanceRef LUT4_8)) + (portRef Z (instanceRef INV_5)) + (portRef AD3 (instanceRef LUT4_126)) + (portRef AD3 (instanceRef LUT4_124)) + (portRef AD3 (instanceRef LUT4_122)) + (portRef AD3 (instanceRef LUT4_120)) + (portRef AD3 (instanceRef LUT4_110)) + (portRef AD3 (instanceRef LUT4_108)) + (portRef AD3 (instanceRef LUT4_106)) + (portRef AD3 (instanceRef LUT4_104)) + (portRef AD3 (instanceRef LUT4_94)) + (portRef AD3 (instanceRef LUT4_92)) + (portRef AD3 (instanceRef LUT4_90)) + (portRef AD3 (instanceRef LUT4_88)) + (portRef AD3 (instanceRef LUT4_78)) + (portRef AD3 (instanceRef LUT4_76)) + (portRef AD3 (instanceRef LUT4_74)) + (portRef AD3 (instanceRef LUT4_72)) + (portRef AD3 (instanceRef LUT4_62)) + (portRef AD3 (instanceRef LUT4_60)) + (portRef AD3 (instanceRef LUT4_58)) + (portRef AD3 (instanceRef LUT4_56)) + (portRef AD3 (instanceRef LUT4_46)) + (portRef AD3 (instanceRef LUT4_44)) + (portRef AD3 (instanceRef LUT4_42)) + (portRef AD3 (instanceRef LUT4_40)) + (portRef AD3 (instanceRef LUT4_30)) + (portRef AD3 (instanceRef LUT4_28)) + (portRef AD3 (instanceRef LUT4_26)) + (portRef AD3 (instanceRef LUT4_24)) + (portRef AD3 (instanceRef LUT4_14)) + (portRef AD3 (instanceRef LUT4_12)) + (portRef AD3 (instanceRef LUT4_10)))) + (net invout_0 + (joined + (portRef Z (instanceRef INV_1)) + (portRef B (instanceRef AND2_t1)))) + (net r_nw + (joined + (portRef Z (instanceRef AND2_t1)))) + (net dec1_r10 + (joined + (portRef CSB0 (instanceRef pdp_ram_0_0_63)) + (portRef DO0 (instanceRef LUT4_126)))) + (net dec0_p00 + (joined + (portRef CSA0 (instanceRef pdp_ram_0_0_63)) + (portRef DO0 (instanceRef LUT4_127)))) + (net dec3_r10 + (joined + (portRef CSB0 (instanceRef pdp_ram_0_1_62)) + (portRef DO0 (instanceRef LUT4_124)))) + (net dec2_p00 + (joined + (portRef CSA0 (instanceRef pdp_ram_0_1_62)) + (portRef DO0 (instanceRef LUT4_125)))) + (net dec5_r10 + (joined + (portRef CSB0 (instanceRef pdp_ram_0_2_61)) + (portRef DO0 (instanceRef LUT4_122)))) + (net dec4_p00 + (joined + (portRef CSA0 (instanceRef pdp_ram_0_2_61)) + (portRef DO0 (instanceRef LUT4_123)))) + (net dec7_r10 + (joined + (portRef CSB0 (instanceRef pdp_ram_0_3_60)) + (portRef DO0 (instanceRef LUT4_120)))) + (net dec6_p00 + (joined + (portRef CSA0 (instanceRef pdp_ram_0_3_60)) + (portRef DO0 (instanceRef LUT4_121)))) + (net dec9_r11 + (joined + (portRef CSB0 (instanceRef pdp_ram_1_0_59)) + (portRef DO0 (instanceRef LUT4_118)))) + (net dec8_p01 + (joined + (portRef CSA0 (instanceRef pdp_ram_1_0_59)) + (portRef DO0 (instanceRef LUT4_119)))) + (net dec11_r11 + (joined + (portRef CSB0 (instanceRef pdp_ram_1_1_58)) + (portRef DO0 (instanceRef LUT4_116)))) + (net dec10_p01 + (joined + (portRef CSA0 (instanceRef pdp_ram_1_1_58)) + (portRef DO0 (instanceRef LUT4_117)))) + (net dec13_r11 + (joined + (portRef CSB0 (instanceRef pdp_ram_1_2_57)) + (portRef DO0 (instanceRef LUT4_114)))) + (net dec12_p01 + (joined + (portRef CSA0 (instanceRef pdp_ram_1_2_57)) + (portRef DO0 (instanceRef LUT4_115)))) + (net dec15_r11 + (joined + (portRef CSB0 (instanceRef pdp_ram_1_3_56)) + (portRef DO0 (instanceRef LUT4_112)))) + (net dec14_p01 + (joined + (portRef CSA0 (instanceRef pdp_ram_1_3_56)) + (portRef DO0 (instanceRef LUT4_113)))) + (net dec17_r12 + (joined + (portRef CSB0 (instanceRef pdp_ram_2_0_55)) + (portRef DO0 (instanceRef LUT4_110)))) + (net dec16_p02 + (joined + (portRef CSA0 (instanceRef pdp_ram_2_0_55)) + (portRef DO0 (instanceRef LUT4_111)))) + (net dec19_r12 + (joined + (portRef CSB0 (instanceRef pdp_ram_2_1_54)) + (portRef DO0 (instanceRef LUT4_108)))) + (net dec18_p02 + (joined + (portRef CSA0 (instanceRef pdp_ram_2_1_54)) + (portRef DO0 (instanceRef LUT4_109)))) + (net dec21_r12 + (joined + (portRef CSB0 (instanceRef pdp_ram_2_2_53)) + (portRef DO0 (instanceRef LUT4_106)))) + (net dec20_p02 + (joined + (portRef CSA0 (instanceRef pdp_ram_2_2_53)) + (portRef DO0 (instanceRef LUT4_107)))) + (net dec23_r12 + (joined + (portRef CSB0 (instanceRef pdp_ram_2_3_52)) + (portRef DO0 (instanceRef LUT4_104)))) + (net dec22_p02 + (joined + (portRef CSA0 (instanceRef pdp_ram_2_3_52)) + (portRef DO0 (instanceRef LUT4_105)))) + (net dec25_r13 + (joined + (portRef CSB0 (instanceRef pdp_ram_3_0_51)) + (portRef DO0 (instanceRef LUT4_102)))) + (net dec24_p03 + (joined + (portRef CSA0 (instanceRef pdp_ram_3_0_51)) + (portRef DO0 (instanceRef LUT4_103)))) + (net dec27_r13 + (joined + (portRef CSB0 (instanceRef pdp_ram_3_1_50)) + (portRef DO0 (instanceRef LUT4_100)))) + (net dec26_p03 + (joined + (portRef CSA0 (instanceRef pdp_ram_3_1_50)) + (portRef DO0 (instanceRef LUT4_101)))) + (net dec29_r13 + (joined + (portRef CSB0 (instanceRef pdp_ram_3_2_49)) + (portRef DO0 (instanceRef LUT4_98)))) + (net dec28_p03 + (joined + (portRef CSA0 (instanceRef pdp_ram_3_2_49)) + (portRef DO0 (instanceRef LUT4_99)))) + (net dec31_r13 + (joined + (portRef CSB0 (instanceRef pdp_ram_3_3_48)) + (portRef DO0 (instanceRef LUT4_96)))) + (net dec30_p03 + (joined + (portRef CSA0 (instanceRef pdp_ram_3_3_48)) + (portRef DO0 (instanceRef LUT4_97)))) + (net dec33_r14 + (joined + (portRef CSB0 (instanceRef pdp_ram_4_0_47)) + (portRef DO0 (instanceRef LUT4_94)))) + (net dec32_p04 + (joined + (portRef CSA0 (instanceRef pdp_ram_4_0_47)) + (portRef DO0 (instanceRef LUT4_95)))) + (net dec35_r14 + (joined + (portRef CSB0 (instanceRef pdp_ram_4_1_46)) + (portRef DO0 (instanceRef LUT4_92)))) + (net dec34_p04 + (joined + (portRef CSA0 (instanceRef pdp_ram_4_1_46)) + (portRef DO0 (instanceRef LUT4_93)))) + (net dec37_r14 + (joined + (portRef CSB0 (instanceRef pdp_ram_4_2_45)) + (portRef DO0 (instanceRef LUT4_90)))) + (net dec36_p04 + (joined + (portRef CSA0 (instanceRef pdp_ram_4_2_45)) + (portRef DO0 (instanceRef LUT4_91)))) + (net dec39_r14 + (joined + (portRef CSB0 (instanceRef pdp_ram_4_3_44)) + (portRef DO0 (instanceRef LUT4_88)))) + (net dec38_p04 + (joined + (portRef CSA0 (instanceRef pdp_ram_4_3_44)) + (portRef DO0 (instanceRef LUT4_89)))) + (net dec41_r15 + (joined + (portRef CSB0 (instanceRef pdp_ram_5_0_43)) + (portRef DO0 (instanceRef LUT4_86)))) + (net dec40_p05 + (joined + (portRef CSA0 (instanceRef pdp_ram_5_0_43)) + (portRef DO0 (instanceRef LUT4_87)))) + (net dec43_r15 + (joined + (portRef CSB0 (instanceRef pdp_ram_5_1_42)) + (portRef DO0 (instanceRef LUT4_84)))) + (net dec42_p05 + (joined + (portRef CSA0 (instanceRef pdp_ram_5_1_42)) + (portRef DO0 (instanceRef LUT4_85)))) + (net dec45_r15 + (joined + (portRef CSB0 (instanceRef pdp_ram_5_2_41)) + (portRef DO0 (instanceRef LUT4_82)))) + (net dec44_p05 + (joined + (portRef CSA0 (instanceRef pdp_ram_5_2_41)) + (portRef DO0 (instanceRef LUT4_83)))) + (net dec47_r15 + (joined + (portRef CSB0 (instanceRef pdp_ram_5_3_40)) + (portRef DO0 (instanceRef LUT4_80)))) + (net dec46_p05 + (joined + (portRef CSA0 (instanceRef pdp_ram_5_3_40)) + (portRef DO0 (instanceRef LUT4_81)))) + (net dec49_r16 + (joined + (portRef CSB0 (instanceRef pdp_ram_6_0_39)) + (portRef DO0 (instanceRef LUT4_78)))) + (net dec48_p06 + (joined + (portRef CSA0 (instanceRef pdp_ram_6_0_39)) + (portRef DO0 (instanceRef LUT4_79)))) + (net dec51_r16 + (joined + (portRef CSB0 (instanceRef pdp_ram_6_1_38)) + (portRef DO0 (instanceRef LUT4_76)))) + (net dec50_p06 + (joined + (portRef CSA0 (instanceRef pdp_ram_6_1_38)) + (portRef DO0 (instanceRef LUT4_77)))) + (net dec53_r16 + (joined + (portRef CSB0 (instanceRef pdp_ram_6_2_37)) + (portRef DO0 (instanceRef LUT4_74)))) + (net dec52_p06 + (joined + (portRef CSA0 (instanceRef pdp_ram_6_2_37)) + (portRef DO0 (instanceRef LUT4_75)))) + (net dec55_r16 + (joined + (portRef CSB0 (instanceRef pdp_ram_6_3_36)) + (portRef DO0 (instanceRef LUT4_72)))) + (net dec54_p06 + (joined + (portRef CSA0 (instanceRef pdp_ram_6_3_36)) + (portRef DO0 (instanceRef LUT4_73)))) + (net dec57_r17 + (joined + (portRef CSB0 (instanceRef pdp_ram_7_0_35)) + (portRef DO0 (instanceRef LUT4_70)))) + (net dec56_p07 + (joined + (portRef CSA0 (instanceRef pdp_ram_7_0_35)) + (portRef DO0 (instanceRef LUT4_71)))) + (net dec59_r17 + (joined + (portRef CSB0 (instanceRef pdp_ram_7_1_34)) + (portRef DO0 (instanceRef LUT4_68)))) + (net dec58_p07 + (joined + (portRef CSA0 (instanceRef pdp_ram_7_1_34)) + (portRef DO0 (instanceRef LUT4_69)))) + (net dec61_r17 + (joined + (portRef CSB0 (instanceRef pdp_ram_7_2_33)) + (portRef DO0 (instanceRef LUT4_66)))) + (net dec60_p07 + (joined + (portRef CSA0 (instanceRef pdp_ram_7_2_33)) + (portRef DO0 (instanceRef LUT4_67)))) + (net dec63_r17 + (joined + (portRef CSB0 (instanceRef pdp_ram_7_3_32)) + (portRef DO0 (instanceRef LUT4_64)))) + (net dec62_p07 + (joined + (portRef CSA0 (instanceRef pdp_ram_7_3_32)) + (portRef DO0 (instanceRef LUT4_65)))) + (net dec65_r18 + (joined + (portRef CSB0 (instanceRef pdp_ram_8_0_31)) + (portRef DO0 (instanceRef LUT4_62)))) + (net dec64_p08 + (joined + (portRef CSA0 (instanceRef pdp_ram_8_0_31)) + (portRef DO0 (instanceRef LUT4_63)))) + (net dec67_r18 + (joined + (portRef CSB0 (instanceRef pdp_ram_8_1_30)) + (portRef DO0 (instanceRef LUT4_60)))) + (net dec66_p08 + (joined + (portRef CSA0 (instanceRef pdp_ram_8_1_30)) + (portRef DO0 (instanceRef LUT4_61)))) + (net dec69_r18 + (joined + (portRef CSB0 (instanceRef pdp_ram_8_2_29)) + (portRef DO0 (instanceRef LUT4_58)))) + (net dec68_p08 + (joined + (portRef CSA0 (instanceRef pdp_ram_8_2_29)) + (portRef DO0 (instanceRef LUT4_59)))) + (net dec71_r18 + (joined + (portRef CSB0 (instanceRef pdp_ram_8_3_28)) + (portRef DO0 (instanceRef LUT4_56)))) + (net dec70_p08 + (joined + (portRef CSA0 (instanceRef pdp_ram_8_3_28)) + (portRef DO0 (instanceRef LUT4_57)))) + (net dec73_r19 + (joined + (portRef CSB0 (instanceRef pdp_ram_9_0_27)) + (portRef DO0 (instanceRef LUT4_54)))) + (net dec72_p09 + (joined + (portRef CSA0 (instanceRef pdp_ram_9_0_27)) + (portRef DO0 (instanceRef LUT4_55)))) + (net dec75_r19 + (joined + (portRef CSB0 (instanceRef pdp_ram_9_1_26)) + (portRef DO0 (instanceRef LUT4_52)))) + (net dec74_p09 + (joined + (portRef CSA0 (instanceRef pdp_ram_9_1_26)) + (portRef DO0 (instanceRef LUT4_53)))) + (net dec77_r19 + (joined + (portRef CSB0 (instanceRef pdp_ram_9_2_25)) + (portRef DO0 (instanceRef LUT4_50)))) + (net dec76_p09 + (joined + (portRef CSA0 (instanceRef pdp_ram_9_2_25)) + (portRef DO0 (instanceRef LUT4_51)))) + (net dec79_r19 + (joined + (portRef CSB0 (instanceRef pdp_ram_9_3_24)) + (portRef DO0 (instanceRef LUT4_48)))) + (net dec78_p09 + (joined + (portRef CSA0 (instanceRef pdp_ram_9_3_24)) + (portRef DO0 (instanceRef LUT4_49)))) + (net dec81_r110 + (joined + (portRef CSB0 (instanceRef pdp_ram_10_0_23)) + (portRef DO0 (instanceRef LUT4_46)))) + (net dec80_p010 + (joined + (portRef CSA0 (instanceRef pdp_ram_10_0_23)) + (portRef DO0 (instanceRef LUT4_47)))) + (net dec83_r110 + (joined + (portRef CSB0 (instanceRef pdp_ram_10_1_22)) + (portRef DO0 (instanceRef LUT4_44)))) + (net dec82_p010 + (joined + (portRef CSA0 (instanceRef pdp_ram_10_1_22)) + (portRef DO0 (instanceRef LUT4_45)))) + (net dec85_r110 + (joined + (portRef CSB0 (instanceRef pdp_ram_10_2_21)) + (portRef DO0 (instanceRef LUT4_42)))) + (net dec84_p010 + (joined + (portRef CSA0 (instanceRef pdp_ram_10_2_21)) + (portRef DO0 (instanceRef LUT4_43)))) + (net dec87_r110 + (joined + (portRef CSB0 (instanceRef pdp_ram_10_3_20)) + (portRef DO0 (instanceRef LUT4_40)))) + (net dec86_p010 + (joined + (portRef CSA0 (instanceRef pdp_ram_10_3_20)) + (portRef DO0 (instanceRef LUT4_41)))) + (net dec89_r111 + (joined + (portRef CSB0 (instanceRef pdp_ram_11_0_19)) + (portRef DO0 (instanceRef LUT4_38)))) + (net dec88_p011 + (joined + (portRef CSA0 (instanceRef pdp_ram_11_0_19)) + (portRef DO0 (instanceRef LUT4_39)))) + (net dec91_r111 + (joined + (portRef CSB0 (instanceRef pdp_ram_11_1_18)) + (portRef DO0 (instanceRef LUT4_36)))) + (net dec90_p011 + (joined + (portRef CSA0 (instanceRef pdp_ram_11_1_18)) + (portRef DO0 (instanceRef LUT4_37)))) + (net dec93_r111 + (joined + (portRef CSB0 (instanceRef pdp_ram_11_2_17)) + (portRef DO0 (instanceRef LUT4_34)))) + (net dec92_p011 + (joined + (portRef CSA0 (instanceRef pdp_ram_11_2_17)) + (portRef DO0 (instanceRef LUT4_35)))) + (net dec95_r111 + (joined + (portRef CSB0 (instanceRef pdp_ram_11_3_16)) + (portRef DO0 (instanceRef LUT4_32)))) + (net dec94_p011 + (joined + (portRef CSA0 (instanceRef pdp_ram_11_3_16)) + (portRef DO0 (instanceRef LUT4_33)))) + (net dec97_r112 + (joined + (portRef CSB0 (instanceRef pdp_ram_12_0_15)) + (portRef DO0 (instanceRef LUT4_30)))) + (net dec96_p012 + (joined + (portRef CSA0 (instanceRef pdp_ram_12_0_15)) + (portRef DO0 (instanceRef LUT4_31)))) + (net dec99_r112 + (joined + (portRef CSB0 (instanceRef pdp_ram_12_1_14)) + (portRef DO0 (instanceRef LUT4_28)))) + (net dec98_p012 + (joined + (portRef CSA0 (instanceRef pdp_ram_12_1_14)) + (portRef DO0 (instanceRef LUT4_29)))) + (net dec101_r112 + (joined + (portRef CSB0 (instanceRef pdp_ram_12_2_13)) + (portRef DO0 (instanceRef LUT4_26)))) + (net dec100_p012 + (joined + (portRef CSA0 (instanceRef pdp_ram_12_2_13)) + (portRef DO0 (instanceRef LUT4_27)))) + (net dec103_r112 + (joined + (portRef CSB0 (instanceRef pdp_ram_12_3_12)) + (portRef DO0 (instanceRef LUT4_24)))) + (net dec102_p012 + (joined + (portRef CSA0 (instanceRef pdp_ram_12_3_12)) + (portRef DO0 (instanceRef LUT4_25)))) + (net dec105_r113 + (joined + (portRef CSB0 (instanceRef pdp_ram_13_0_11)) + (portRef DO0 (instanceRef LUT4_22)))) + (net dec104_p013 + (joined + (portRef CSA0 (instanceRef pdp_ram_13_0_11)) + (portRef DO0 (instanceRef LUT4_23)))) + (net dec107_r113 + (joined + (portRef CSB0 (instanceRef pdp_ram_13_1_10)) + (portRef DO0 (instanceRef LUT4_20)))) + (net dec106_p013 + (joined + (portRef CSA0 (instanceRef pdp_ram_13_1_10)) + (portRef DO0 (instanceRef LUT4_21)))) + (net dec109_r113 + (joined + (portRef CSB0 (instanceRef pdp_ram_13_2_9)) + (portRef DO0 (instanceRef LUT4_18)))) + (net dec108_p013 + (joined + (portRef CSA0 (instanceRef pdp_ram_13_2_9)) + (portRef DO0 (instanceRef LUT4_19)))) + (net dec111_r113 + (joined + (portRef CSB0 (instanceRef pdp_ram_13_3_8)) + (portRef DO0 (instanceRef LUT4_16)))) + (net dec110_p013 + (joined + (portRef CSA0 (instanceRef pdp_ram_13_3_8)) + (portRef DO0 (instanceRef LUT4_17)))) + (net dec113_r114 + (joined + (portRef CSB0 (instanceRef pdp_ram_14_0_7)) + (portRef DO0 (instanceRef LUT4_14)))) + (net dec112_p014 + (joined + (portRef CSA0 (instanceRef pdp_ram_14_0_7)) + (portRef DO0 (instanceRef LUT4_15)))) + (net dec115_r114 + (joined + (portRef CSB0 (instanceRef pdp_ram_14_1_6)) + (portRef DO0 (instanceRef LUT4_12)))) + (net dec114_p014 + (joined + (portRef CSA0 (instanceRef pdp_ram_14_1_6)) + (portRef DO0 (instanceRef LUT4_13)))) + (net dec117_r114 + (joined + (portRef CSB0 (instanceRef pdp_ram_14_2_5)) + (portRef DO0 (instanceRef LUT4_10)))) + (net dec116_p014 + (joined + (portRef CSA0 (instanceRef pdp_ram_14_2_5)) + (portRef DO0 (instanceRef LUT4_11)))) + (net dec119_r114 + (joined + (portRef CSB0 (instanceRef pdp_ram_14_3_4)) + (portRef DO0 (instanceRef LUT4_8)))) + (net dec118_p014 + (joined + (portRef CSA0 (instanceRef pdp_ram_14_3_4)) + (portRef DO0 (instanceRef LUT4_9)))) + (net dec121_r115 + (joined + (portRef CSB0 (instanceRef pdp_ram_15_0_3)) + (portRef DO0 (instanceRef LUT4_6)))) + (net dec120_p015 + (joined + (portRef CSA0 (instanceRef pdp_ram_15_0_3)) + (portRef DO0 (instanceRef LUT4_7)))) + (net dec123_r115 + (joined + (portRef CSB0 (instanceRef pdp_ram_15_1_2)) + (portRef DO0 (instanceRef LUT4_4)))) + (net dec122_p015 + (joined + (portRef CSA0 (instanceRef pdp_ram_15_1_2)) + (portRef DO0 (instanceRef LUT4_5)))) + (net dec125_r115 + (joined + (portRef CSB0 (instanceRef pdp_ram_15_2_1)) + (portRef DO0 (instanceRef LUT4_2)))) + (net dec124_p015 + (joined + (portRef CSA0 (instanceRef pdp_ram_15_2_1)) + (portRef DO0 (instanceRef LUT4_3)))) + (net dec127_r115 + (joined + (portRef CSB0 (instanceRef pdp_ram_15_3_0)) + (portRef DO0 (instanceRef LUT4_0)))) + (net dec126_p015 + (joined + (portRef CSA0 (instanceRef pdp_ram_15_3_0)) + (portRef DO0 (instanceRef LUT4_1)))) + (net fcnt_en + (joined + (portRef SP (instanceRef FF_91)) + (portRef Z (instanceRef XOR2_t2)) + (portRef SP (instanceRef FF_106)) + (portRef SP (instanceRef FF_105)) + (portRef SP (instanceRef FF_104)) + (portRef SP (instanceRef FF_103)) + (portRef SP (instanceRef FF_102)) + (portRef SP (instanceRef FF_101)) + (portRef SP (instanceRef FF_100)) + (portRef SP (instanceRef FF_99)) + (portRef SP (instanceRef FF_98)) + (portRef SP (instanceRef FF_97)) + (portRef SP (instanceRef FF_96)) + (portRef SP (instanceRef FF_95)) + (portRef SP (instanceRef FF_94)) + (portRef SP (instanceRef FF_93)) + (portRef SP (instanceRef FF_92)))) + (net empty_d + (joined + (portRef D (instanceRef FF_90)) + (portRef DO0 (instanceRef LUT4_129)))) + (net full_d + (joined + (portRef D (instanceRef FF_89)) + (portRef DO0 (instanceRef LUT4_128)))) + (net wptr_0 + (joined + (portRef Q (instanceRef FF_56)) + (portRef ADA3 (instanceRef pdp_ram_0_0_63)) + (portRef ADA3 (instanceRef pdp_ram_0_1_62)) + (portRef ADA3 (instanceRef pdp_ram_0_2_61)) + (portRef ADA3 (instanceRef pdp_ram_0_3_60)) + (portRef ADA3 (instanceRef pdp_ram_1_0_59)) + (portRef ADA3 (instanceRef pdp_ram_1_1_58)) + (portRef ADA3 (instanceRef pdp_ram_1_2_57)) + (portRef ADA3 (instanceRef pdp_ram_1_3_56)) + (portRef ADA3 (instanceRef pdp_ram_2_0_55)) + (portRef ADA3 (instanceRef pdp_ram_2_1_54)) + (portRef ADA3 (instanceRef pdp_ram_2_2_53)) + (portRef ADA3 (instanceRef pdp_ram_2_3_52)) + (portRef ADA3 (instanceRef pdp_ram_3_0_51)) + (portRef ADA3 (instanceRef pdp_ram_3_1_50)) + (portRef ADA3 (instanceRef pdp_ram_3_2_49)) + (portRef ADA3 (instanceRef pdp_ram_3_3_48)) + (portRef ADA3 (instanceRef pdp_ram_4_0_47)) + (portRef ADA3 (instanceRef pdp_ram_4_1_46)) + (portRef ADA3 (instanceRef pdp_ram_4_2_45)) + (portRef ADA3 (instanceRef pdp_ram_4_3_44)) + (portRef ADA3 (instanceRef pdp_ram_5_0_43)) + (portRef ADA3 (instanceRef pdp_ram_5_1_42)) + (portRef ADA3 (instanceRef pdp_ram_5_2_41)) + (portRef ADA3 (instanceRef pdp_ram_5_3_40)) + (portRef ADA3 (instanceRef pdp_ram_6_0_39)) + (portRef ADA3 (instanceRef pdp_ram_6_1_38)) + (portRef ADA3 (instanceRef pdp_ram_6_2_37)) + (portRef ADA3 (instanceRef pdp_ram_6_3_36)) + (portRef ADA3 (instanceRef pdp_ram_7_0_35)) + (portRef ADA3 (instanceRef pdp_ram_7_1_34)) + (portRef ADA3 (instanceRef pdp_ram_7_2_33)) + (portRef ADA3 (instanceRef pdp_ram_7_3_32)) + (portRef ADA3 (instanceRef pdp_ram_8_0_31)) + (portRef ADA3 (instanceRef pdp_ram_8_1_30)) + (portRef ADA3 (instanceRef pdp_ram_8_2_29)) + (portRef ADA3 (instanceRef pdp_ram_8_3_28)) + (portRef ADA3 (instanceRef pdp_ram_9_0_27)) + (portRef ADA3 (instanceRef pdp_ram_9_1_26)) + (portRef ADA3 (instanceRef pdp_ram_9_2_25)) + (portRef ADA3 (instanceRef pdp_ram_9_3_24)) + (portRef ADA3 (instanceRef pdp_ram_10_0_23)) + (portRef ADA3 (instanceRef pdp_ram_10_1_22)) + (portRef ADA3 (instanceRef pdp_ram_10_2_21)) + (portRef ADA3 (instanceRef pdp_ram_10_3_20)) + (portRef ADA3 (instanceRef pdp_ram_11_0_19)) + (portRef ADA3 (instanceRef pdp_ram_11_1_18)) + (portRef ADA3 (instanceRef pdp_ram_11_2_17)) + (portRef ADA3 (instanceRef pdp_ram_11_3_16)) + (portRef ADA3 (instanceRef pdp_ram_12_0_15)) + (portRef ADA3 (instanceRef pdp_ram_12_1_14)) + (portRef ADA3 (instanceRef pdp_ram_12_2_13)) + (portRef ADA3 (instanceRef pdp_ram_12_3_12)) + (portRef ADA3 (instanceRef pdp_ram_13_0_11)) + (portRef ADA3 (instanceRef pdp_ram_13_1_10)) + (portRef ADA3 (instanceRef pdp_ram_13_2_9)) + (portRef ADA3 (instanceRef pdp_ram_13_3_8)) + (portRef ADA3 (instanceRef pdp_ram_14_0_7)) + (portRef ADA3 (instanceRef pdp_ram_14_1_6)) + (portRef ADA3 (instanceRef pdp_ram_14_2_5)) + (portRef ADA3 (instanceRef pdp_ram_14_3_4)) + (portRef ADA3 (instanceRef pdp_ram_15_0_3)) + (portRef ADA3 (instanceRef pdp_ram_15_1_2)) + (portRef ADA3 (instanceRef pdp_ram_15_2_1)) + (portRef ADA3 (instanceRef pdp_ram_15_3_0)))) + (net wptr_1 + (joined + (portRef Q (instanceRef FF_55)) + (portRef ADA4 (instanceRef pdp_ram_0_0_63)) + (portRef ADA4 (instanceRef pdp_ram_0_1_62)) + (portRef ADA4 (instanceRef pdp_ram_0_2_61)) + (portRef ADA4 (instanceRef pdp_ram_0_3_60)) + (portRef ADA4 (instanceRef pdp_ram_1_0_59)) + (portRef ADA4 (instanceRef pdp_ram_1_1_58)) + (portRef ADA4 (instanceRef pdp_ram_1_2_57)) + (portRef ADA4 (instanceRef pdp_ram_1_3_56)) + (portRef ADA4 (instanceRef pdp_ram_2_0_55)) + (portRef ADA4 (instanceRef pdp_ram_2_1_54)) + (portRef ADA4 (instanceRef pdp_ram_2_2_53)) + (portRef ADA4 (instanceRef pdp_ram_2_3_52)) + (portRef ADA4 (instanceRef pdp_ram_3_0_51)) + (portRef ADA4 (instanceRef pdp_ram_3_1_50)) + (portRef ADA4 (instanceRef pdp_ram_3_2_49)) + (portRef ADA4 (instanceRef pdp_ram_3_3_48)) + (portRef ADA4 (instanceRef pdp_ram_4_0_47)) + (portRef ADA4 (instanceRef pdp_ram_4_1_46)) + (portRef ADA4 (instanceRef pdp_ram_4_2_45)) + (portRef ADA4 (instanceRef pdp_ram_4_3_44)) + (portRef ADA4 (instanceRef pdp_ram_5_0_43)) + (portRef ADA4 (instanceRef pdp_ram_5_1_42)) + (portRef ADA4 (instanceRef pdp_ram_5_2_41)) + (portRef ADA4 (instanceRef pdp_ram_5_3_40)) + (portRef ADA4 (instanceRef pdp_ram_6_0_39)) + (portRef ADA4 (instanceRef pdp_ram_6_1_38)) + (portRef ADA4 (instanceRef pdp_ram_6_2_37)) + (portRef ADA4 (instanceRef pdp_ram_6_3_36)) + (portRef ADA4 (instanceRef pdp_ram_7_0_35)) + (portRef ADA4 (instanceRef pdp_ram_7_1_34)) + (portRef ADA4 (instanceRef pdp_ram_7_2_33)) + (portRef ADA4 (instanceRef pdp_ram_7_3_32)) + (portRef ADA4 (instanceRef pdp_ram_8_0_31)) + (portRef ADA4 (instanceRef pdp_ram_8_1_30)) + (portRef ADA4 (instanceRef pdp_ram_8_2_29)) + (portRef ADA4 (instanceRef pdp_ram_8_3_28)) + (portRef ADA4 (instanceRef pdp_ram_9_0_27)) + (portRef ADA4 (instanceRef pdp_ram_9_1_26)) + (portRef ADA4 (instanceRef pdp_ram_9_2_25)) + (portRef ADA4 (instanceRef pdp_ram_9_3_24)) + (portRef ADA4 (instanceRef pdp_ram_10_0_23)) + (portRef ADA4 (instanceRef pdp_ram_10_1_22)) + (portRef ADA4 (instanceRef pdp_ram_10_2_21)) + (portRef ADA4 (instanceRef pdp_ram_10_3_20)) + (portRef ADA4 (instanceRef pdp_ram_11_0_19)) + (portRef ADA4 (instanceRef pdp_ram_11_1_18)) + (portRef ADA4 (instanceRef pdp_ram_11_2_17)) + (portRef ADA4 (instanceRef pdp_ram_11_3_16)) + (portRef ADA4 (instanceRef pdp_ram_12_0_15)) + (portRef ADA4 (instanceRef pdp_ram_12_1_14)) + (portRef ADA4 (instanceRef pdp_ram_12_2_13)) + (portRef ADA4 (instanceRef pdp_ram_12_3_12)) + (portRef ADA4 (instanceRef pdp_ram_13_0_11)) + (portRef ADA4 (instanceRef pdp_ram_13_1_10)) + (portRef ADA4 (instanceRef pdp_ram_13_2_9)) + (portRef ADA4 (instanceRef pdp_ram_13_3_8)) + (portRef ADA4 (instanceRef pdp_ram_14_0_7)) + (portRef ADA4 (instanceRef pdp_ram_14_1_6)) + (portRef ADA4 (instanceRef pdp_ram_14_2_5)) + (portRef ADA4 (instanceRef pdp_ram_14_3_4)) + (portRef ADA4 (instanceRef pdp_ram_15_0_3)) + (portRef ADA4 (instanceRef pdp_ram_15_1_2)) + (portRef ADA4 (instanceRef pdp_ram_15_2_1)) + (portRef ADA4 (instanceRef pdp_ram_15_3_0)))) + (net wptr_2 + (joined + (portRef Q (instanceRef FF_54)) + (portRef ADA5 (instanceRef pdp_ram_0_0_63)) + (portRef ADA5 (instanceRef pdp_ram_0_1_62)) + (portRef ADA5 (instanceRef pdp_ram_0_2_61)) + (portRef ADA5 (instanceRef pdp_ram_0_3_60)) + (portRef ADA5 (instanceRef pdp_ram_1_0_59)) + (portRef ADA5 (instanceRef pdp_ram_1_1_58)) + (portRef ADA5 (instanceRef pdp_ram_1_2_57)) + (portRef ADA5 (instanceRef pdp_ram_1_3_56)) + (portRef ADA5 (instanceRef pdp_ram_2_0_55)) + (portRef ADA5 (instanceRef pdp_ram_2_1_54)) + (portRef ADA5 (instanceRef pdp_ram_2_2_53)) + (portRef ADA5 (instanceRef pdp_ram_2_3_52)) + (portRef ADA5 (instanceRef pdp_ram_3_0_51)) + (portRef ADA5 (instanceRef pdp_ram_3_1_50)) + (portRef ADA5 (instanceRef pdp_ram_3_2_49)) + (portRef ADA5 (instanceRef pdp_ram_3_3_48)) + (portRef ADA5 (instanceRef pdp_ram_4_0_47)) + (portRef ADA5 (instanceRef pdp_ram_4_1_46)) + (portRef ADA5 (instanceRef pdp_ram_4_2_45)) + (portRef ADA5 (instanceRef pdp_ram_4_3_44)) + (portRef ADA5 (instanceRef pdp_ram_5_0_43)) + (portRef ADA5 (instanceRef pdp_ram_5_1_42)) + (portRef ADA5 (instanceRef pdp_ram_5_2_41)) + (portRef ADA5 (instanceRef pdp_ram_5_3_40)) + (portRef ADA5 (instanceRef pdp_ram_6_0_39)) + (portRef ADA5 (instanceRef pdp_ram_6_1_38)) + (portRef ADA5 (instanceRef pdp_ram_6_2_37)) + (portRef ADA5 (instanceRef pdp_ram_6_3_36)) + (portRef ADA5 (instanceRef pdp_ram_7_0_35)) + (portRef ADA5 (instanceRef pdp_ram_7_1_34)) + (portRef ADA5 (instanceRef pdp_ram_7_2_33)) + (portRef ADA5 (instanceRef pdp_ram_7_3_32)) + (portRef ADA5 (instanceRef pdp_ram_8_0_31)) + (portRef ADA5 (instanceRef pdp_ram_8_1_30)) + (portRef ADA5 (instanceRef pdp_ram_8_2_29)) + (portRef ADA5 (instanceRef pdp_ram_8_3_28)) + (portRef ADA5 (instanceRef pdp_ram_9_0_27)) + (portRef ADA5 (instanceRef pdp_ram_9_1_26)) + (portRef ADA5 (instanceRef pdp_ram_9_2_25)) + (portRef ADA5 (instanceRef pdp_ram_9_3_24)) + (portRef ADA5 (instanceRef pdp_ram_10_0_23)) + (portRef ADA5 (instanceRef pdp_ram_10_1_22)) + (portRef ADA5 (instanceRef pdp_ram_10_2_21)) + (portRef ADA5 (instanceRef pdp_ram_10_3_20)) + (portRef ADA5 (instanceRef pdp_ram_11_0_19)) + (portRef ADA5 (instanceRef pdp_ram_11_1_18)) + (portRef ADA5 (instanceRef pdp_ram_11_2_17)) + (portRef ADA5 (instanceRef pdp_ram_11_3_16)) + (portRef ADA5 (instanceRef pdp_ram_12_0_15)) + (portRef ADA5 (instanceRef pdp_ram_12_1_14)) + (portRef ADA5 (instanceRef pdp_ram_12_2_13)) + (portRef ADA5 (instanceRef pdp_ram_12_3_12)) + (portRef ADA5 (instanceRef pdp_ram_13_0_11)) + (portRef ADA5 (instanceRef pdp_ram_13_1_10)) + (portRef ADA5 (instanceRef pdp_ram_13_2_9)) + (portRef ADA5 (instanceRef pdp_ram_13_3_8)) + (portRef ADA5 (instanceRef pdp_ram_14_0_7)) + (portRef ADA5 (instanceRef pdp_ram_14_1_6)) + (portRef ADA5 (instanceRef pdp_ram_14_2_5)) + (portRef ADA5 (instanceRef pdp_ram_14_3_4)) + (portRef ADA5 (instanceRef pdp_ram_15_0_3)) + (portRef ADA5 (instanceRef pdp_ram_15_1_2)) + (portRef ADA5 (instanceRef pdp_ram_15_2_1)) + (portRef ADA5 (instanceRef pdp_ram_15_3_0)))) + (net wptr_3 + (joined + (portRef Q (instanceRef FF_53)) + (portRef ADA6 (instanceRef pdp_ram_0_0_63)) + (portRef ADA6 (instanceRef pdp_ram_0_1_62)) + (portRef ADA6 (instanceRef pdp_ram_0_2_61)) + (portRef ADA6 (instanceRef pdp_ram_0_3_60)) + (portRef ADA6 (instanceRef pdp_ram_1_0_59)) + (portRef ADA6 (instanceRef pdp_ram_1_1_58)) + (portRef ADA6 (instanceRef pdp_ram_1_2_57)) + (portRef ADA6 (instanceRef pdp_ram_1_3_56)) + (portRef ADA6 (instanceRef pdp_ram_2_0_55)) + (portRef ADA6 (instanceRef pdp_ram_2_1_54)) + (portRef ADA6 (instanceRef pdp_ram_2_2_53)) + (portRef ADA6 (instanceRef pdp_ram_2_3_52)) + (portRef ADA6 (instanceRef pdp_ram_3_0_51)) + (portRef ADA6 (instanceRef pdp_ram_3_1_50)) + (portRef ADA6 (instanceRef pdp_ram_3_2_49)) + (portRef ADA6 (instanceRef pdp_ram_3_3_48)) + (portRef ADA6 (instanceRef pdp_ram_4_0_47)) + (portRef ADA6 (instanceRef pdp_ram_4_1_46)) + (portRef ADA6 (instanceRef pdp_ram_4_2_45)) + (portRef ADA6 (instanceRef pdp_ram_4_3_44)) + (portRef ADA6 (instanceRef pdp_ram_5_0_43)) + (portRef ADA6 (instanceRef pdp_ram_5_1_42)) + (portRef ADA6 (instanceRef pdp_ram_5_2_41)) + (portRef ADA6 (instanceRef pdp_ram_5_3_40)) + (portRef ADA6 (instanceRef pdp_ram_6_0_39)) + (portRef ADA6 (instanceRef pdp_ram_6_1_38)) + (portRef ADA6 (instanceRef pdp_ram_6_2_37)) + (portRef ADA6 (instanceRef pdp_ram_6_3_36)) + (portRef ADA6 (instanceRef pdp_ram_7_0_35)) + (portRef ADA6 (instanceRef pdp_ram_7_1_34)) + (portRef ADA6 (instanceRef pdp_ram_7_2_33)) + (portRef ADA6 (instanceRef pdp_ram_7_3_32)) + (portRef ADA6 (instanceRef pdp_ram_8_0_31)) + (portRef ADA6 (instanceRef pdp_ram_8_1_30)) + (portRef ADA6 (instanceRef pdp_ram_8_2_29)) + (portRef ADA6 (instanceRef pdp_ram_8_3_28)) + (portRef ADA6 (instanceRef pdp_ram_9_0_27)) + (portRef ADA6 (instanceRef pdp_ram_9_1_26)) + (portRef ADA6 (instanceRef pdp_ram_9_2_25)) + (portRef ADA6 (instanceRef pdp_ram_9_3_24)) + (portRef ADA6 (instanceRef pdp_ram_10_0_23)) + (portRef ADA6 (instanceRef pdp_ram_10_1_22)) + (portRef ADA6 (instanceRef pdp_ram_10_2_21)) + (portRef ADA6 (instanceRef pdp_ram_10_3_20)) + (portRef ADA6 (instanceRef pdp_ram_11_0_19)) + (portRef ADA6 (instanceRef pdp_ram_11_1_18)) + (portRef ADA6 (instanceRef pdp_ram_11_2_17)) + (portRef ADA6 (instanceRef pdp_ram_11_3_16)) + (portRef ADA6 (instanceRef pdp_ram_12_0_15)) + (portRef ADA6 (instanceRef pdp_ram_12_1_14)) + (portRef ADA6 (instanceRef pdp_ram_12_2_13)) + (portRef ADA6 (instanceRef pdp_ram_12_3_12)) + (portRef ADA6 (instanceRef pdp_ram_13_0_11)) + (portRef ADA6 (instanceRef pdp_ram_13_1_10)) + (portRef ADA6 (instanceRef pdp_ram_13_2_9)) + (portRef ADA6 (instanceRef pdp_ram_13_3_8)) + (portRef ADA6 (instanceRef pdp_ram_14_0_7)) + (portRef ADA6 (instanceRef pdp_ram_14_1_6)) + (portRef ADA6 (instanceRef pdp_ram_14_2_5)) + (portRef ADA6 (instanceRef pdp_ram_14_3_4)) + (portRef ADA6 (instanceRef pdp_ram_15_0_3)) + (portRef ADA6 (instanceRef pdp_ram_15_1_2)) + (portRef ADA6 (instanceRef pdp_ram_15_2_1)) + (portRef ADA6 (instanceRef pdp_ram_15_3_0)))) + (net wptr_4 + (joined + (portRef Q (instanceRef FF_52)) + (portRef ADA7 (instanceRef pdp_ram_0_0_63)) + (portRef ADA7 (instanceRef pdp_ram_0_1_62)) + (portRef ADA7 (instanceRef pdp_ram_0_2_61)) + (portRef ADA7 (instanceRef pdp_ram_0_3_60)) + (portRef ADA7 (instanceRef pdp_ram_1_0_59)) + (portRef ADA7 (instanceRef pdp_ram_1_1_58)) + (portRef ADA7 (instanceRef pdp_ram_1_2_57)) + (portRef ADA7 (instanceRef pdp_ram_1_3_56)) + (portRef ADA7 (instanceRef pdp_ram_2_0_55)) + (portRef ADA7 (instanceRef pdp_ram_2_1_54)) + (portRef ADA7 (instanceRef pdp_ram_2_2_53)) + (portRef ADA7 (instanceRef pdp_ram_2_3_52)) + (portRef ADA7 (instanceRef pdp_ram_3_0_51)) + (portRef ADA7 (instanceRef pdp_ram_3_1_50)) + (portRef ADA7 (instanceRef pdp_ram_3_2_49)) + (portRef ADA7 (instanceRef pdp_ram_3_3_48)) + (portRef ADA7 (instanceRef pdp_ram_4_0_47)) + (portRef ADA7 (instanceRef pdp_ram_4_1_46)) + (portRef ADA7 (instanceRef pdp_ram_4_2_45)) + (portRef ADA7 (instanceRef pdp_ram_4_3_44)) + (portRef ADA7 (instanceRef pdp_ram_5_0_43)) + (portRef ADA7 (instanceRef pdp_ram_5_1_42)) + (portRef ADA7 (instanceRef pdp_ram_5_2_41)) + (portRef ADA7 (instanceRef pdp_ram_5_3_40)) + (portRef ADA7 (instanceRef pdp_ram_6_0_39)) + (portRef ADA7 (instanceRef pdp_ram_6_1_38)) + (portRef ADA7 (instanceRef pdp_ram_6_2_37)) + (portRef ADA7 (instanceRef pdp_ram_6_3_36)) + (portRef ADA7 (instanceRef pdp_ram_7_0_35)) + (portRef ADA7 (instanceRef pdp_ram_7_1_34)) + (portRef ADA7 (instanceRef pdp_ram_7_2_33)) + (portRef ADA7 (instanceRef pdp_ram_7_3_32)) + (portRef ADA7 (instanceRef pdp_ram_8_0_31)) + (portRef ADA7 (instanceRef pdp_ram_8_1_30)) + (portRef ADA7 (instanceRef pdp_ram_8_2_29)) + (portRef ADA7 (instanceRef pdp_ram_8_3_28)) + (portRef ADA7 (instanceRef pdp_ram_9_0_27)) + (portRef ADA7 (instanceRef pdp_ram_9_1_26)) + (portRef ADA7 (instanceRef pdp_ram_9_2_25)) + (portRef ADA7 (instanceRef pdp_ram_9_3_24)) + (portRef ADA7 (instanceRef pdp_ram_10_0_23)) + (portRef ADA7 (instanceRef pdp_ram_10_1_22)) + (portRef ADA7 (instanceRef pdp_ram_10_2_21)) + (portRef ADA7 (instanceRef pdp_ram_10_3_20)) + (portRef ADA7 (instanceRef pdp_ram_11_0_19)) + (portRef ADA7 (instanceRef pdp_ram_11_1_18)) + (portRef ADA7 (instanceRef pdp_ram_11_2_17)) + (portRef ADA7 (instanceRef pdp_ram_11_3_16)) + (portRef ADA7 (instanceRef pdp_ram_12_0_15)) + (portRef ADA7 (instanceRef pdp_ram_12_1_14)) + (portRef ADA7 (instanceRef pdp_ram_12_2_13)) + (portRef ADA7 (instanceRef pdp_ram_12_3_12)) + (portRef ADA7 (instanceRef pdp_ram_13_0_11)) + (portRef ADA7 (instanceRef pdp_ram_13_1_10)) + (portRef ADA7 (instanceRef pdp_ram_13_2_9)) + (portRef ADA7 (instanceRef pdp_ram_13_3_8)) + (portRef ADA7 (instanceRef pdp_ram_14_0_7)) + (portRef ADA7 (instanceRef pdp_ram_14_1_6)) + (portRef ADA7 (instanceRef pdp_ram_14_2_5)) + (portRef ADA7 (instanceRef pdp_ram_14_3_4)) + (portRef ADA7 (instanceRef pdp_ram_15_0_3)) + (portRef ADA7 (instanceRef pdp_ram_15_1_2)) + (portRef ADA7 (instanceRef pdp_ram_15_2_1)) + (portRef ADA7 (instanceRef pdp_ram_15_3_0)))) + (net wptr_5 + (joined + (portRef Q (instanceRef FF_51)) + (portRef ADA8 (instanceRef pdp_ram_0_0_63)) + (portRef ADA8 (instanceRef pdp_ram_0_1_62)) + (portRef ADA8 (instanceRef pdp_ram_0_2_61)) + (portRef ADA8 (instanceRef pdp_ram_0_3_60)) + (portRef ADA8 (instanceRef pdp_ram_1_0_59)) + (portRef ADA8 (instanceRef pdp_ram_1_1_58)) + (portRef ADA8 (instanceRef pdp_ram_1_2_57)) + (portRef ADA8 (instanceRef pdp_ram_1_3_56)) + (portRef ADA8 (instanceRef pdp_ram_2_0_55)) + (portRef ADA8 (instanceRef pdp_ram_2_1_54)) + (portRef ADA8 (instanceRef pdp_ram_2_2_53)) + (portRef ADA8 (instanceRef pdp_ram_2_3_52)) + (portRef ADA8 (instanceRef pdp_ram_3_0_51)) + (portRef ADA8 (instanceRef pdp_ram_3_1_50)) + (portRef ADA8 (instanceRef pdp_ram_3_2_49)) + (portRef ADA8 (instanceRef pdp_ram_3_3_48)) + (portRef ADA8 (instanceRef pdp_ram_4_0_47)) + (portRef ADA8 (instanceRef pdp_ram_4_1_46)) + (portRef ADA8 (instanceRef pdp_ram_4_2_45)) + (portRef ADA8 (instanceRef pdp_ram_4_3_44)) + (portRef ADA8 (instanceRef pdp_ram_5_0_43)) + (portRef ADA8 (instanceRef pdp_ram_5_1_42)) + (portRef ADA8 (instanceRef pdp_ram_5_2_41)) + (portRef ADA8 (instanceRef pdp_ram_5_3_40)) + (portRef ADA8 (instanceRef pdp_ram_6_0_39)) + (portRef ADA8 (instanceRef pdp_ram_6_1_38)) + (portRef ADA8 (instanceRef pdp_ram_6_2_37)) + (portRef ADA8 (instanceRef pdp_ram_6_3_36)) + (portRef ADA8 (instanceRef pdp_ram_7_0_35)) + (portRef ADA8 (instanceRef pdp_ram_7_1_34)) + (portRef ADA8 (instanceRef pdp_ram_7_2_33)) + (portRef ADA8 (instanceRef pdp_ram_7_3_32)) + (portRef ADA8 (instanceRef pdp_ram_8_0_31)) + (portRef ADA8 (instanceRef pdp_ram_8_1_30)) + (portRef ADA8 (instanceRef pdp_ram_8_2_29)) + (portRef ADA8 (instanceRef pdp_ram_8_3_28)) + (portRef ADA8 (instanceRef pdp_ram_9_0_27)) + (portRef ADA8 (instanceRef pdp_ram_9_1_26)) + (portRef ADA8 (instanceRef pdp_ram_9_2_25)) + (portRef ADA8 (instanceRef pdp_ram_9_3_24)) + (portRef ADA8 (instanceRef pdp_ram_10_0_23)) + (portRef ADA8 (instanceRef pdp_ram_10_1_22)) + (portRef ADA8 (instanceRef pdp_ram_10_2_21)) + (portRef ADA8 (instanceRef pdp_ram_10_3_20)) + (portRef ADA8 (instanceRef pdp_ram_11_0_19)) + (portRef ADA8 (instanceRef pdp_ram_11_1_18)) + (portRef ADA8 (instanceRef pdp_ram_11_2_17)) + (portRef ADA8 (instanceRef pdp_ram_11_3_16)) + (portRef ADA8 (instanceRef pdp_ram_12_0_15)) + (portRef ADA8 (instanceRef pdp_ram_12_1_14)) + (portRef ADA8 (instanceRef pdp_ram_12_2_13)) + (portRef ADA8 (instanceRef pdp_ram_12_3_12)) + (portRef ADA8 (instanceRef pdp_ram_13_0_11)) + (portRef ADA8 (instanceRef pdp_ram_13_1_10)) + (portRef ADA8 (instanceRef pdp_ram_13_2_9)) + (portRef ADA8 (instanceRef pdp_ram_13_3_8)) + (portRef ADA8 (instanceRef pdp_ram_14_0_7)) + (portRef ADA8 (instanceRef pdp_ram_14_1_6)) + (portRef ADA8 (instanceRef pdp_ram_14_2_5)) + (portRef ADA8 (instanceRef pdp_ram_14_3_4)) + (portRef ADA8 (instanceRef pdp_ram_15_0_3)) + (portRef ADA8 (instanceRef pdp_ram_15_1_2)) + (portRef ADA8 (instanceRef pdp_ram_15_2_1)) + (portRef ADA8 (instanceRef pdp_ram_15_3_0)))) + (net wptr_6 + (joined + (portRef Q (instanceRef FF_50)) + (portRef ADA9 (instanceRef pdp_ram_0_0_63)) + (portRef ADA9 (instanceRef pdp_ram_0_1_62)) + (portRef ADA9 (instanceRef pdp_ram_0_2_61)) + (portRef ADA9 (instanceRef pdp_ram_0_3_60)) + (portRef ADA9 (instanceRef pdp_ram_1_0_59)) + (portRef ADA9 (instanceRef pdp_ram_1_1_58)) + (portRef ADA9 (instanceRef pdp_ram_1_2_57)) + (portRef ADA9 (instanceRef pdp_ram_1_3_56)) + (portRef ADA9 (instanceRef pdp_ram_2_0_55)) + (portRef ADA9 (instanceRef pdp_ram_2_1_54)) + (portRef ADA9 (instanceRef pdp_ram_2_2_53)) + (portRef ADA9 (instanceRef pdp_ram_2_3_52)) + (portRef ADA9 (instanceRef pdp_ram_3_0_51)) + (portRef ADA9 (instanceRef pdp_ram_3_1_50)) + (portRef ADA9 (instanceRef pdp_ram_3_2_49)) + (portRef ADA9 (instanceRef pdp_ram_3_3_48)) + (portRef ADA9 (instanceRef pdp_ram_4_0_47)) + (portRef ADA9 (instanceRef pdp_ram_4_1_46)) + (portRef ADA9 (instanceRef pdp_ram_4_2_45)) + (portRef ADA9 (instanceRef pdp_ram_4_3_44)) + (portRef ADA9 (instanceRef pdp_ram_5_0_43)) + (portRef ADA9 (instanceRef pdp_ram_5_1_42)) + (portRef ADA9 (instanceRef pdp_ram_5_2_41)) + (portRef ADA9 (instanceRef pdp_ram_5_3_40)) + (portRef ADA9 (instanceRef pdp_ram_6_0_39)) + (portRef ADA9 (instanceRef pdp_ram_6_1_38)) + (portRef ADA9 (instanceRef pdp_ram_6_2_37)) + (portRef ADA9 (instanceRef pdp_ram_6_3_36)) + (portRef ADA9 (instanceRef pdp_ram_7_0_35)) + (portRef ADA9 (instanceRef pdp_ram_7_1_34)) + (portRef ADA9 (instanceRef pdp_ram_7_2_33)) + (portRef ADA9 (instanceRef pdp_ram_7_3_32)) + (portRef ADA9 (instanceRef pdp_ram_8_0_31)) + (portRef ADA9 (instanceRef pdp_ram_8_1_30)) + (portRef ADA9 (instanceRef pdp_ram_8_2_29)) + (portRef ADA9 (instanceRef pdp_ram_8_3_28)) + (portRef ADA9 (instanceRef pdp_ram_9_0_27)) + (portRef ADA9 (instanceRef pdp_ram_9_1_26)) + (portRef ADA9 (instanceRef pdp_ram_9_2_25)) + (portRef ADA9 (instanceRef pdp_ram_9_3_24)) + (portRef ADA9 (instanceRef pdp_ram_10_0_23)) + (portRef ADA9 (instanceRef pdp_ram_10_1_22)) + (portRef ADA9 (instanceRef pdp_ram_10_2_21)) + (portRef ADA9 (instanceRef pdp_ram_10_3_20)) + (portRef ADA9 (instanceRef pdp_ram_11_0_19)) + (portRef ADA9 (instanceRef pdp_ram_11_1_18)) + (portRef ADA9 (instanceRef pdp_ram_11_2_17)) + (portRef ADA9 (instanceRef pdp_ram_11_3_16)) + (portRef ADA9 (instanceRef pdp_ram_12_0_15)) + (portRef ADA9 (instanceRef pdp_ram_12_1_14)) + (portRef ADA9 (instanceRef pdp_ram_12_2_13)) + (portRef ADA9 (instanceRef pdp_ram_12_3_12)) + (portRef ADA9 (instanceRef pdp_ram_13_0_11)) + (portRef ADA9 (instanceRef pdp_ram_13_1_10)) + (portRef ADA9 (instanceRef pdp_ram_13_2_9)) + (portRef ADA9 (instanceRef pdp_ram_13_3_8)) + (portRef ADA9 (instanceRef pdp_ram_14_0_7)) + (portRef ADA9 (instanceRef pdp_ram_14_1_6)) + (portRef ADA9 (instanceRef pdp_ram_14_2_5)) + (portRef ADA9 (instanceRef pdp_ram_14_3_4)) + (portRef ADA9 (instanceRef pdp_ram_15_0_3)) + (portRef ADA9 (instanceRef pdp_ram_15_1_2)) + (portRef ADA9 (instanceRef pdp_ram_15_2_1)) + (portRef ADA9 (instanceRef pdp_ram_15_3_0)))) + (net wptr_7 + (joined + (portRef Q (instanceRef FF_49)) + (portRef ADA10 (instanceRef pdp_ram_0_0_63)) + (portRef ADA10 (instanceRef pdp_ram_0_1_62)) + (portRef ADA10 (instanceRef pdp_ram_0_2_61)) + (portRef ADA10 (instanceRef pdp_ram_0_3_60)) + (portRef ADA10 (instanceRef pdp_ram_1_0_59)) + (portRef ADA10 (instanceRef pdp_ram_1_1_58)) + (portRef ADA10 (instanceRef pdp_ram_1_2_57)) + (portRef ADA10 (instanceRef pdp_ram_1_3_56)) + (portRef ADA10 (instanceRef pdp_ram_2_0_55)) + (portRef ADA10 (instanceRef pdp_ram_2_1_54)) + (portRef ADA10 (instanceRef pdp_ram_2_2_53)) + (portRef ADA10 (instanceRef pdp_ram_2_3_52)) + (portRef ADA10 (instanceRef pdp_ram_3_0_51)) + (portRef ADA10 (instanceRef pdp_ram_3_1_50)) + (portRef ADA10 (instanceRef pdp_ram_3_2_49)) + (portRef ADA10 (instanceRef pdp_ram_3_3_48)) + (portRef ADA10 (instanceRef pdp_ram_4_0_47)) + (portRef ADA10 (instanceRef pdp_ram_4_1_46)) + (portRef ADA10 (instanceRef pdp_ram_4_2_45)) + (portRef ADA10 (instanceRef pdp_ram_4_3_44)) + (portRef ADA10 (instanceRef pdp_ram_5_0_43)) + (portRef ADA10 (instanceRef pdp_ram_5_1_42)) + (portRef ADA10 (instanceRef pdp_ram_5_2_41)) + (portRef ADA10 (instanceRef pdp_ram_5_3_40)) + (portRef ADA10 (instanceRef pdp_ram_6_0_39)) + (portRef ADA10 (instanceRef pdp_ram_6_1_38)) + (portRef ADA10 (instanceRef pdp_ram_6_2_37)) + (portRef ADA10 (instanceRef pdp_ram_6_3_36)) + (portRef ADA10 (instanceRef pdp_ram_7_0_35)) + (portRef ADA10 (instanceRef pdp_ram_7_1_34)) + (portRef ADA10 (instanceRef pdp_ram_7_2_33)) + (portRef ADA10 (instanceRef pdp_ram_7_3_32)) + (portRef ADA10 (instanceRef pdp_ram_8_0_31)) + (portRef ADA10 (instanceRef pdp_ram_8_1_30)) + (portRef ADA10 (instanceRef pdp_ram_8_2_29)) + (portRef ADA10 (instanceRef pdp_ram_8_3_28)) + (portRef ADA10 (instanceRef pdp_ram_9_0_27)) + (portRef ADA10 (instanceRef pdp_ram_9_1_26)) + (portRef ADA10 (instanceRef pdp_ram_9_2_25)) + (portRef ADA10 (instanceRef pdp_ram_9_3_24)) + (portRef ADA10 (instanceRef pdp_ram_10_0_23)) + (portRef ADA10 (instanceRef pdp_ram_10_1_22)) + (portRef ADA10 (instanceRef pdp_ram_10_2_21)) + (portRef ADA10 (instanceRef pdp_ram_10_3_20)) + (portRef ADA10 (instanceRef pdp_ram_11_0_19)) + (portRef ADA10 (instanceRef pdp_ram_11_1_18)) + (portRef ADA10 (instanceRef pdp_ram_11_2_17)) + (portRef ADA10 (instanceRef pdp_ram_11_3_16)) + (portRef ADA10 (instanceRef pdp_ram_12_0_15)) + (portRef ADA10 (instanceRef pdp_ram_12_1_14)) + (portRef ADA10 (instanceRef pdp_ram_12_2_13)) + (portRef ADA10 (instanceRef pdp_ram_12_3_12)) + (portRef ADA10 (instanceRef pdp_ram_13_0_11)) + (portRef ADA10 (instanceRef pdp_ram_13_1_10)) + (portRef ADA10 (instanceRef pdp_ram_13_2_9)) + (portRef ADA10 (instanceRef pdp_ram_13_3_8)) + (portRef ADA10 (instanceRef pdp_ram_14_0_7)) + (portRef ADA10 (instanceRef pdp_ram_14_1_6)) + (portRef ADA10 (instanceRef pdp_ram_14_2_5)) + (portRef ADA10 (instanceRef pdp_ram_14_3_4)) + (portRef ADA10 (instanceRef pdp_ram_15_0_3)) + (portRef ADA10 (instanceRef pdp_ram_15_1_2)) + (portRef ADA10 (instanceRef pdp_ram_15_2_1)) + (portRef ADA10 (instanceRef pdp_ram_15_3_0)))) + (net wptr_8 + (joined + (portRef Q (instanceRef FF_48)) + (portRef ADA11 (instanceRef pdp_ram_0_0_63)) + (portRef ADA11 (instanceRef pdp_ram_0_1_62)) + (portRef ADA11 (instanceRef pdp_ram_0_2_61)) + (portRef ADA11 (instanceRef pdp_ram_0_3_60)) + (portRef ADA11 (instanceRef pdp_ram_1_0_59)) + (portRef ADA11 (instanceRef pdp_ram_1_1_58)) + (portRef ADA11 (instanceRef pdp_ram_1_2_57)) + (portRef ADA11 (instanceRef pdp_ram_1_3_56)) + (portRef ADA11 (instanceRef pdp_ram_2_0_55)) + (portRef ADA11 (instanceRef pdp_ram_2_1_54)) + (portRef ADA11 (instanceRef pdp_ram_2_2_53)) + (portRef ADA11 (instanceRef pdp_ram_2_3_52)) + (portRef ADA11 (instanceRef pdp_ram_3_0_51)) + (portRef ADA11 (instanceRef pdp_ram_3_1_50)) + (portRef ADA11 (instanceRef pdp_ram_3_2_49)) + (portRef ADA11 (instanceRef pdp_ram_3_3_48)) + (portRef ADA11 (instanceRef pdp_ram_4_0_47)) + (portRef ADA11 (instanceRef pdp_ram_4_1_46)) + (portRef ADA11 (instanceRef pdp_ram_4_2_45)) + (portRef ADA11 (instanceRef pdp_ram_4_3_44)) + (portRef ADA11 (instanceRef pdp_ram_5_0_43)) + (portRef ADA11 (instanceRef pdp_ram_5_1_42)) + (portRef ADA11 (instanceRef pdp_ram_5_2_41)) + (portRef ADA11 (instanceRef pdp_ram_5_3_40)) + (portRef ADA11 (instanceRef pdp_ram_6_0_39)) + (portRef ADA11 (instanceRef pdp_ram_6_1_38)) + (portRef ADA11 (instanceRef pdp_ram_6_2_37)) + (portRef ADA11 (instanceRef pdp_ram_6_3_36)) + (portRef ADA11 (instanceRef pdp_ram_7_0_35)) + (portRef ADA11 (instanceRef pdp_ram_7_1_34)) + (portRef ADA11 (instanceRef pdp_ram_7_2_33)) + (portRef ADA11 (instanceRef pdp_ram_7_3_32)) + (portRef ADA11 (instanceRef pdp_ram_8_0_31)) + (portRef ADA11 (instanceRef pdp_ram_8_1_30)) + (portRef ADA11 (instanceRef pdp_ram_8_2_29)) + (portRef ADA11 (instanceRef pdp_ram_8_3_28)) + (portRef ADA11 (instanceRef pdp_ram_9_0_27)) + (portRef ADA11 (instanceRef pdp_ram_9_1_26)) + (portRef ADA11 (instanceRef pdp_ram_9_2_25)) + (portRef ADA11 (instanceRef pdp_ram_9_3_24)) + (portRef ADA11 (instanceRef pdp_ram_10_0_23)) + (portRef ADA11 (instanceRef pdp_ram_10_1_22)) + (portRef ADA11 (instanceRef pdp_ram_10_2_21)) + (portRef ADA11 (instanceRef pdp_ram_10_3_20)) + (portRef ADA11 (instanceRef pdp_ram_11_0_19)) + (portRef ADA11 (instanceRef pdp_ram_11_1_18)) + (portRef ADA11 (instanceRef pdp_ram_11_2_17)) + (portRef ADA11 (instanceRef pdp_ram_11_3_16)) + (portRef ADA11 (instanceRef pdp_ram_12_0_15)) + (portRef ADA11 (instanceRef pdp_ram_12_1_14)) + (portRef ADA11 (instanceRef pdp_ram_12_2_13)) + (portRef ADA11 (instanceRef pdp_ram_12_3_12)) + (portRef ADA11 (instanceRef pdp_ram_13_0_11)) + (portRef ADA11 (instanceRef pdp_ram_13_1_10)) + (portRef ADA11 (instanceRef pdp_ram_13_2_9)) + (portRef ADA11 (instanceRef pdp_ram_13_3_8)) + (portRef ADA11 (instanceRef pdp_ram_14_0_7)) + (portRef ADA11 (instanceRef pdp_ram_14_1_6)) + (portRef ADA11 (instanceRef pdp_ram_14_2_5)) + (portRef ADA11 (instanceRef pdp_ram_14_3_4)) + (portRef ADA11 (instanceRef pdp_ram_15_0_3)) + (portRef ADA11 (instanceRef pdp_ram_15_1_2)) + (portRef ADA11 (instanceRef pdp_ram_15_2_1)) + (portRef ADA11 (instanceRef pdp_ram_15_3_0)))) + (net wptr_9 + (joined + (portRef Q (instanceRef FF_47)) + (portRef ADA12 (instanceRef pdp_ram_0_0_63)) + (portRef ADA12 (instanceRef pdp_ram_0_1_62)) + (portRef ADA12 (instanceRef pdp_ram_0_2_61)) + (portRef ADA12 (instanceRef pdp_ram_0_3_60)) + (portRef ADA12 (instanceRef pdp_ram_1_0_59)) + (portRef ADA12 (instanceRef pdp_ram_1_1_58)) + (portRef ADA12 (instanceRef pdp_ram_1_2_57)) + (portRef ADA12 (instanceRef pdp_ram_1_3_56)) + (portRef ADA12 (instanceRef pdp_ram_2_0_55)) + (portRef ADA12 (instanceRef pdp_ram_2_1_54)) + (portRef ADA12 (instanceRef pdp_ram_2_2_53)) + (portRef ADA12 (instanceRef pdp_ram_2_3_52)) + (portRef ADA12 (instanceRef pdp_ram_3_0_51)) + (portRef ADA12 (instanceRef pdp_ram_3_1_50)) + (portRef ADA12 (instanceRef pdp_ram_3_2_49)) + (portRef ADA12 (instanceRef pdp_ram_3_3_48)) + (portRef ADA12 (instanceRef pdp_ram_4_0_47)) + (portRef ADA12 (instanceRef pdp_ram_4_1_46)) + (portRef ADA12 (instanceRef pdp_ram_4_2_45)) + (portRef ADA12 (instanceRef pdp_ram_4_3_44)) + (portRef ADA12 (instanceRef pdp_ram_5_0_43)) + (portRef ADA12 (instanceRef pdp_ram_5_1_42)) + (portRef ADA12 (instanceRef pdp_ram_5_2_41)) + (portRef ADA12 (instanceRef pdp_ram_5_3_40)) + (portRef ADA12 (instanceRef pdp_ram_6_0_39)) + (portRef ADA12 (instanceRef pdp_ram_6_1_38)) + (portRef ADA12 (instanceRef pdp_ram_6_2_37)) + (portRef ADA12 (instanceRef pdp_ram_6_3_36)) + (portRef ADA12 (instanceRef pdp_ram_7_0_35)) + (portRef ADA12 (instanceRef pdp_ram_7_1_34)) + (portRef ADA12 (instanceRef pdp_ram_7_2_33)) + (portRef ADA12 (instanceRef pdp_ram_7_3_32)) + (portRef ADA12 (instanceRef pdp_ram_8_0_31)) + (portRef ADA12 (instanceRef pdp_ram_8_1_30)) + (portRef ADA12 (instanceRef pdp_ram_8_2_29)) + (portRef ADA12 (instanceRef pdp_ram_8_3_28)) + (portRef ADA12 (instanceRef pdp_ram_9_0_27)) + (portRef ADA12 (instanceRef pdp_ram_9_1_26)) + (portRef ADA12 (instanceRef pdp_ram_9_2_25)) + (portRef ADA12 (instanceRef pdp_ram_9_3_24)) + (portRef ADA12 (instanceRef pdp_ram_10_0_23)) + (portRef ADA12 (instanceRef pdp_ram_10_1_22)) + (portRef ADA12 (instanceRef pdp_ram_10_2_21)) + (portRef ADA12 (instanceRef pdp_ram_10_3_20)) + (portRef ADA12 (instanceRef pdp_ram_11_0_19)) + (portRef ADA12 (instanceRef pdp_ram_11_1_18)) + (portRef ADA12 (instanceRef pdp_ram_11_2_17)) + (portRef ADA12 (instanceRef pdp_ram_11_3_16)) + (portRef ADA12 (instanceRef pdp_ram_12_0_15)) + (portRef ADA12 (instanceRef pdp_ram_12_1_14)) + (portRef ADA12 (instanceRef pdp_ram_12_2_13)) + (portRef ADA12 (instanceRef pdp_ram_12_3_12)) + (portRef ADA12 (instanceRef pdp_ram_13_0_11)) + (portRef ADA12 (instanceRef pdp_ram_13_1_10)) + (portRef ADA12 (instanceRef pdp_ram_13_2_9)) + (portRef ADA12 (instanceRef pdp_ram_13_3_8)) + (portRef ADA12 (instanceRef pdp_ram_14_0_7)) + (portRef ADA12 (instanceRef pdp_ram_14_1_6)) + (portRef ADA12 (instanceRef pdp_ram_14_2_5)) + (portRef ADA12 (instanceRef pdp_ram_14_3_4)) + (portRef ADA12 (instanceRef pdp_ram_15_0_3)) + (portRef ADA12 (instanceRef pdp_ram_15_1_2)) + (portRef ADA12 (instanceRef pdp_ram_15_2_1)) + (portRef ADA12 (instanceRef pdp_ram_15_3_0)))) + (net wptr_10 + (joined + (portRef Q (instanceRef FF_46)) + (portRef ADA13 (instanceRef pdp_ram_0_0_63)) + (portRef ADA13 (instanceRef pdp_ram_0_1_62)) + (portRef ADA13 (instanceRef pdp_ram_0_2_61)) + (portRef ADA13 (instanceRef pdp_ram_0_3_60)) + (portRef ADA13 (instanceRef pdp_ram_1_0_59)) + (portRef ADA13 (instanceRef pdp_ram_1_1_58)) + (portRef ADA13 (instanceRef pdp_ram_1_2_57)) + (portRef ADA13 (instanceRef pdp_ram_1_3_56)) + (portRef ADA13 (instanceRef pdp_ram_2_0_55)) + (portRef ADA13 (instanceRef pdp_ram_2_1_54)) + (portRef ADA13 (instanceRef pdp_ram_2_2_53)) + (portRef ADA13 (instanceRef pdp_ram_2_3_52)) + (portRef ADA13 (instanceRef pdp_ram_3_0_51)) + (portRef ADA13 (instanceRef pdp_ram_3_1_50)) + (portRef ADA13 (instanceRef pdp_ram_3_2_49)) + (portRef ADA13 (instanceRef pdp_ram_3_3_48)) + (portRef ADA13 (instanceRef pdp_ram_4_0_47)) + (portRef ADA13 (instanceRef pdp_ram_4_1_46)) + (portRef ADA13 (instanceRef pdp_ram_4_2_45)) + (portRef ADA13 (instanceRef pdp_ram_4_3_44)) + (portRef ADA13 (instanceRef pdp_ram_5_0_43)) + (portRef ADA13 (instanceRef pdp_ram_5_1_42)) + (portRef ADA13 (instanceRef pdp_ram_5_2_41)) + (portRef ADA13 (instanceRef pdp_ram_5_3_40)) + (portRef ADA13 (instanceRef pdp_ram_6_0_39)) + (portRef ADA13 (instanceRef pdp_ram_6_1_38)) + (portRef ADA13 (instanceRef pdp_ram_6_2_37)) + (portRef ADA13 (instanceRef pdp_ram_6_3_36)) + (portRef ADA13 (instanceRef pdp_ram_7_0_35)) + (portRef ADA13 (instanceRef pdp_ram_7_1_34)) + (portRef ADA13 (instanceRef pdp_ram_7_2_33)) + (portRef ADA13 (instanceRef pdp_ram_7_3_32)) + (portRef ADA13 (instanceRef pdp_ram_8_0_31)) + (portRef ADA13 (instanceRef pdp_ram_8_1_30)) + (portRef ADA13 (instanceRef pdp_ram_8_2_29)) + (portRef ADA13 (instanceRef pdp_ram_8_3_28)) + (portRef ADA13 (instanceRef pdp_ram_9_0_27)) + (portRef ADA13 (instanceRef pdp_ram_9_1_26)) + (portRef ADA13 (instanceRef pdp_ram_9_2_25)) + (portRef ADA13 (instanceRef pdp_ram_9_3_24)) + (portRef ADA13 (instanceRef pdp_ram_10_0_23)) + (portRef ADA13 (instanceRef pdp_ram_10_1_22)) + (portRef ADA13 (instanceRef pdp_ram_10_2_21)) + (portRef ADA13 (instanceRef pdp_ram_10_3_20)) + (portRef ADA13 (instanceRef pdp_ram_11_0_19)) + (portRef ADA13 (instanceRef pdp_ram_11_1_18)) + (portRef ADA13 (instanceRef pdp_ram_11_2_17)) + (portRef ADA13 (instanceRef pdp_ram_11_3_16)) + (portRef ADA13 (instanceRef pdp_ram_12_0_15)) + (portRef ADA13 (instanceRef pdp_ram_12_1_14)) + (portRef ADA13 (instanceRef pdp_ram_12_2_13)) + (portRef ADA13 (instanceRef pdp_ram_12_3_12)) + (portRef ADA13 (instanceRef pdp_ram_13_0_11)) + (portRef ADA13 (instanceRef pdp_ram_13_1_10)) + (portRef ADA13 (instanceRef pdp_ram_13_2_9)) + (portRef ADA13 (instanceRef pdp_ram_13_3_8)) + (portRef ADA13 (instanceRef pdp_ram_14_0_7)) + (portRef ADA13 (instanceRef pdp_ram_14_1_6)) + (portRef ADA13 (instanceRef pdp_ram_14_2_5)) + (portRef ADA13 (instanceRef pdp_ram_14_3_4)) + (portRef ADA13 (instanceRef pdp_ram_15_0_3)) + (portRef ADA13 (instanceRef pdp_ram_15_1_2)) + (portRef ADA13 (instanceRef pdp_ram_15_2_1)) + (portRef ADA13 (instanceRef pdp_ram_15_3_0)))) + (net wptr_11 + (joined + (portRef Q (instanceRef FF_45)) + (portRef A (instanceRef INV_9)) + (portRef AD3 (instanceRef LUT4_119)) + (portRef AD3 (instanceRef LUT4_117)) + (portRef AD3 (instanceRef LUT4_115)) + (portRef AD3 (instanceRef LUT4_113)) + (portRef AD3 (instanceRef LUT4_103)) + (portRef AD3 (instanceRef LUT4_101)) + (portRef AD3 (instanceRef LUT4_99)) + (portRef AD3 (instanceRef LUT4_97)) + (portRef AD3 (instanceRef LUT4_87)) + (portRef AD3 (instanceRef LUT4_85)) + (portRef AD3 (instanceRef LUT4_83)) + (portRef AD3 (instanceRef LUT4_81)) + (portRef AD3 (instanceRef LUT4_71)) + (portRef AD3 (instanceRef LUT4_69)) + (portRef AD3 (instanceRef LUT4_67)) + (portRef AD3 (instanceRef LUT4_65)) + (portRef AD3 (instanceRef LUT4_55)) + (portRef AD3 (instanceRef LUT4_53)) + (portRef AD3 (instanceRef LUT4_51)) + (portRef AD3 (instanceRef LUT4_49)) + (portRef AD3 (instanceRef LUT4_39)) + (portRef AD3 (instanceRef LUT4_37)) + (portRef AD3 (instanceRef LUT4_35)) + (portRef AD3 (instanceRef LUT4_33)) + (portRef AD3 (instanceRef LUT4_23)) + (portRef AD3 (instanceRef LUT4_21)) + (portRef AD3 (instanceRef LUT4_19)) + (portRef AD3 (instanceRef LUT4_17)) + (portRef AD3 (instanceRef LUT4_7)) + (portRef AD3 (instanceRef LUT4_5)) + (portRef AD3 (instanceRef LUT4_3)) + (portRef AD3 (instanceRef LUT4_1)))) + (net wptr_12 + (joined + (portRef Q (instanceRef FF_44)) + (portRef A (instanceRef INV_8)) + (portRef AD2 (instanceRef LUT4_111)) + (portRef AD2 (instanceRef LUT4_109)) + (portRef AD2 (instanceRef LUT4_107)) + (portRef AD2 (instanceRef LUT4_105)) + (portRef AD2 (instanceRef LUT4_103)) + (portRef AD2 (instanceRef LUT4_101)) + (portRef AD2 (instanceRef LUT4_99)) + (portRef AD2 (instanceRef LUT4_97)) + (portRef AD2 (instanceRef LUT4_79)) + (portRef AD2 (instanceRef LUT4_77)) + (portRef AD2 (instanceRef LUT4_75)) + (portRef AD2 (instanceRef LUT4_73)) + (portRef AD2 (instanceRef LUT4_71)) + (portRef AD2 (instanceRef LUT4_69)) + (portRef AD2 (instanceRef LUT4_67)) + (portRef AD2 (instanceRef LUT4_65)) + (portRef AD2 (instanceRef LUT4_47)) + (portRef AD2 (instanceRef LUT4_45)) + (portRef AD2 (instanceRef LUT4_43)) + (portRef AD2 (instanceRef LUT4_41)) + (portRef AD2 (instanceRef LUT4_39)) + (portRef AD2 (instanceRef LUT4_37)) + (portRef AD2 (instanceRef LUT4_35)) + (portRef AD2 (instanceRef LUT4_33)) + (portRef AD2 (instanceRef LUT4_15)) + (portRef AD2 (instanceRef LUT4_13)) + (portRef AD2 (instanceRef LUT4_11)) + (portRef AD2 (instanceRef LUT4_9)) + (portRef AD2 (instanceRef LUT4_7)) + (portRef AD2 (instanceRef LUT4_5)) + (portRef AD2 (instanceRef LUT4_3)) + (portRef AD2 (instanceRef LUT4_1)))) + (net wptr_13 + (joined + (portRef Q (instanceRef FF_43)) + (portRef A (instanceRef INV_7)) + (portRef AD1 (instanceRef LUT4_95)) + (portRef AD1 (instanceRef LUT4_93)) + (portRef AD1 (instanceRef LUT4_91)) + (portRef AD1 (instanceRef LUT4_89)) + (portRef AD1 (instanceRef LUT4_87)) + (portRef AD1 (instanceRef LUT4_85)) + (portRef AD1 (instanceRef LUT4_83)) + (portRef AD1 (instanceRef LUT4_81)) + (portRef AD1 (instanceRef LUT4_79)) + (portRef AD1 (instanceRef LUT4_77)) + (portRef AD1 (instanceRef LUT4_75)) + (portRef AD1 (instanceRef LUT4_73)) + (portRef AD1 (instanceRef LUT4_71)) + (portRef AD1 (instanceRef LUT4_69)) + (portRef AD1 (instanceRef LUT4_67)) + (portRef AD1 (instanceRef LUT4_65)) + (portRef AD1 (instanceRef LUT4_31)) + (portRef AD1 (instanceRef LUT4_29)) + (portRef AD1 (instanceRef LUT4_27)) + (portRef AD1 (instanceRef LUT4_25)) + (portRef AD1 (instanceRef LUT4_23)) + (portRef AD1 (instanceRef LUT4_21)) + (portRef AD1 (instanceRef LUT4_19)) + (portRef AD1 (instanceRef LUT4_17)) + (portRef AD1 (instanceRef LUT4_15)) + (portRef AD1 (instanceRef LUT4_13)) + (portRef AD1 (instanceRef LUT4_11)) + (portRef AD1 (instanceRef LUT4_9)) + (portRef AD1 (instanceRef LUT4_7)) + (portRef AD1 (instanceRef LUT4_5)) + (portRef AD1 (instanceRef LUT4_3)) + (portRef AD1 (instanceRef LUT4_1)))) + (net wptr_14 + (joined + (portRef Q (instanceRef FF_42)) + (portRef A (instanceRef INV_6)) + (portRef AD0 (instanceRef LUT4_63)) + (portRef AD0 (instanceRef LUT4_61)) + (portRef AD0 (instanceRef LUT4_59)) + (portRef AD0 (instanceRef LUT4_57)) + (portRef AD0 (instanceRef LUT4_55)) + (portRef AD0 (instanceRef LUT4_53)) + (portRef AD0 (instanceRef LUT4_51)) + (portRef AD0 (instanceRef LUT4_49)) + (portRef AD0 (instanceRef LUT4_47)) + (portRef AD0 (instanceRef LUT4_45)) + (portRef AD0 (instanceRef LUT4_43)) + (portRef AD0 (instanceRef LUT4_41)) + (portRef AD0 (instanceRef LUT4_39)) + (portRef AD0 (instanceRef LUT4_37)) + (portRef AD0 (instanceRef LUT4_35)) + (portRef AD0 (instanceRef LUT4_33)) + (portRef AD0 (instanceRef LUT4_31)) + (portRef AD0 (instanceRef LUT4_29)) + (portRef AD0 (instanceRef LUT4_27)) + (portRef AD0 (instanceRef LUT4_25)) + (portRef AD0 (instanceRef LUT4_23)) + (portRef AD0 (instanceRef LUT4_21)) + (portRef AD0 (instanceRef LUT4_19)) + (portRef AD0 (instanceRef LUT4_17)) + (portRef AD0 (instanceRef LUT4_15)) + (portRef AD0 (instanceRef LUT4_13)) + (portRef AD0 (instanceRef LUT4_11)) + (portRef AD0 (instanceRef LUT4_9)) + (portRef AD0 (instanceRef LUT4_7)) + (portRef AD0 (instanceRef LUT4_5)) + (portRef AD0 (instanceRef LUT4_3)) + (portRef AD0 (instanceRef LUT4_1)))) + (net wptr_15 + (joined + (portRef Q (instanceRef FF_41)))) + (net rptr_15 + (joined + (portRef Q (instanceRef FF_25)) + (portRef B (instanceRef XOR2_t0)))) + (net rptr_11_ff + (joined + (portRef D (instanceRef FF_20)) + (portRef Q (instanceRef FF_24)))) + (net rptr_12_ff + (joined + (portRef D (instanceRef FF_19)) + (portRef Q (instanceRef FF_23)))) + (net rptr_13_ff + (joined + (portRef D (instanceRef FF_18)) + (portRef Q (instanceRef FF_22)))) + (net rptr_14_ff + (joined + (portRef D (instanceRef FF_17)) + (portRef Q (instanceRef FF_21)))) + (net ifcount_0 + (joined + (portRef S0 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_106)))) + (net ifcount_1 + (joined + (portRef S1 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_105)))) + (net bdcnt_bctr_ci + (joined + (portRef CIN (instanceRef bdcnt_bctr_0)) + (portRef COUT (instanceRef bdcnt_bctr_cia)))) + (net ifcount_2 + (joined + (portRef S0 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_104)))) + (net ifcount_3 + (joined + (portRef S1 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_103)))) + (net co0 + (joined + (portRef CIN (instanceRef bdcnt_bctr_1)) + (portRef COUT (instanceRef bdcnt_bctr_0)))) + (net ifcount_4 + (joined + (portRef S0 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_102)))) + (net ifcount_5 + (joined + (portRef S1 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_101)))) + (net co1 + (joined + (portRef CIN (instanceRef bdcnt_bctr_2)) + (portRef COUT (instanceRef bdcnt_bctr_1)))) + (net ifcount_6 + (joined + (portRef S0 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_100)))) + (net ifcount_7 + (joined + (portRef S1 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_99)))) + (net co2 + (joined + (portRef CIN (instanceRef bdcnt_bctr_3)) + (portRef COUT (instanceRef bdcnt_bctr_2)))) + (net ifcount_8 + (joined + (portRef S0 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_98)))) + (net ifcount_9 + (joined + (portRef S1 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_97)))) + (net co3 + (joined + (portRef CIN (instanceRef bdcnt_bctr_4)) + (portRef COUT (instanceRef bdcnt_bctr_3)))) + (net ifcount_10 + (joined + (portRef S0 (instanceRef bdcnt_bctr_5)) + (portRef D (instanceRef FF_96)))) + (net ifcount_11 + (joined + (portRef S1 (instanceRef bdcnt_bctr_5)) + (portRef D (instanceRef FF_95)))) + (net co4 + (joined + (portRef CIN (instanceRef bdcnt_bctr_5)) + (portRef COUT (instanceRef bdcnt_bctr_4)))) + (net ifcount_12 + (joined + (portRef S0 (instanceRef bdcnt_bctr_6)) + (portRef D (instanceRef FF_94)))) + (net ifcount_13 + (joined + (portRef S1 (instanceRef bdcnt_bctr_6)) + (portRef D (instanceRef FF_93)))) + (net co5 + (joined + (portRef CIN (instanceRef bdcnt_bctr_6)) + (portRef COUT (instanceRef bdcnt_bctr_5)))) + (net ifcount_14 + (joined + (portRef S0 (instanceRef bdcnt_bctr_7)) + (portRef D (instanceRef FF_92)))) + (net ifcount_15 + (joined + (portRef S1 (instanceRef bdcnt_bctr_7)) + (portRef D (instanceRef FF_91)))) + (net co7 + (joined + (portRef COUT (instanceRef bdcnt_bctr_7)))) + (net co6 + (joined + (portRef CIN (instanceRef bdcnt_bctr_7)) + (portRef COUT (instanceRef bdcnt_bctr_6)))) + (net cmp_ci + (joined + (portRef CIN (instanceRef e_cmp_0)) + (portRef COUT (instanceRef e_cmp_ci_a)))) + (net rden_i + (joined + (portRef A0 (instanceRef e_cmp_0)) + (portRef Z (instanceRef AND2_t4)) + (portRef B (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_11)) + (portRef AD1 (instanceRef LUT4_128)) + (portRef A (instanceRef AND2_t1)) + (portRef CEB (instanceRef pdp_ram_0_0_63)) + (portRef CEB (instanceRef pdp_ram_0_1_62)) + (portRef CEB (instanceRef pdp_ram_0_2_61)) + (portRef CEB (instanceRef pdp_ram_0_3_60)) + (portRef CEB (instanceRef pdp_ram_1_0_59)) + (portRef CEB (instanceRef pdp_ram_1_1_58)) + (portRef CEB (instanceRef pdp_ram_1_2_57)) + (portRef CEB (instanceRef pdp_ram_1_3_56)) + (portRef CEB (instanceRef pdp_ram_2_0_55)) + (portRef CEB (instanceRef pdp_ram_2_1_54)) + (portRef CEB (instanceRef pdp_ram_2_2_53)) + (portRef CEB (instanceRef pdp_ram_2_3_52)) + (portRef CEB (instanceRef pdp_ram_3_0_51)) + (portRef CEB (instanceRef pdp_ram_3_1_50)) + (portRef CEB (instanceRef pdp_ram_3_2_49)) + (portRef CEB (instanceRef pdp_ram_3_3_48)) + (portRef CEB (instanceRef pdp_ram_4_0_47)) + (portRef CEB (instanceRef pdp_ram_4_1_46)) + (portRef CEB (instanceRef pdp_ram_4_2_45)) + (portRef CEB (instanceRef pdp_ram_4_3_44)) + (portRef CEB (instanceRef pdp_ram_5_0_43)) + (portRef CEB (instanceRef pdp_ram_5_1_42)) + (portRef CEB (instanceRef pdp_ram_5_2_41)) + (portRef CEB (instanceRef pdp_ram_5_3_40)) + (portRef CEB (instanceRef pdp_ram_6_0_39)) + (portRef CEB (instanceRef pdp_ram_6_1_38)) + (portRef CEB (instanceRef pdp_ram_6_2_37)) + (portRef CEB (instanceRef pdp_ram_6_3_36)) + (portRef CEB (instanceRef pdp_ram_7_0_35)) + (portRef CEB (instanceRef pdp_ram_7_1_34)) + (portRef CEB (instanceRef pdp_ram_7_2_33)) + (portRef CEB (instanceRef pdp_ram_7_3_32)) + (portRef CEB (instanceRef pdp_ram_8_0_31)) + (portRef CEB (instanceRef pdp_ram_8_1_30)) + (portRef CEB (instanceRef pdp_ram_8_2_29)) + (portRef CEB (instanceRef pdp_ram_8_3_28)) + (portRef CEB (instanceRef pdp_ram_9_0_27)) + (portRef CEB (instanceRef pdp_ram_9_1_26)) + (portRef CEB (instanceRef pdp_ram_9_2_25)) + (portRef CEB (instanceRef pdp_ram_9_3_24)) + (portRef CEB (instanceRef pdp_ram_10_0_23)) + (portRef CEB (instanceRef pdp_ram_10_1_22)) + (portRef CEB (instanceRef pdp_ram_10_2_21)) + (portRef CEB (instanceRef pdp_ram_10_3_20)) + (portRef CEB (instanceRef pdp_ram_11_0_19)) + (portRef CEB (instanceRef pdp_ram_11_1_18)) + (portRef CEB (instanceRef pdp_ram_11_2_17)) + (portRef CEB (instanceRef pdp_ram_11_3_16)) + (portRef CEB (instanceRef pdp_ram_12_0_15)) + (portRef CEB (instanceRef pdp_ram_12_1_14)) + (portRef CEB (instanceRef pdp_ram_12_2_13)) + (portRef CEB (instanceRef pdp_ram_12_3_12)) + (portRef CEB (instanceRef pdp_ram_13_0_11)) + (portRef CEB (instanceRef pdp_ram_13_1_10)) + (portRef CEB (instanceRef pdp_ram_13_2_9)) + (portRef CEB (instanceRef pdp_ram_13_3_8)) + (portRef CEB (instanceRef pdp_ram_14_0_7)) + (portRef CEB (instanceRef pdp_ram_14_1_6)) + (portRef CEB (instanceRef pdp_ram_14_2_5)) + (portRef CEB (instanceRef pdp_ram_14_3_4)) + (portRef CEB (instanceRef pdp_ram_15_0_3)) + (portRef CEB (instanceRef pdp_ram_15_1_2)) + (portRef CEB (instanceRef pdp_ram_15_2_1)) + (portRef CEB (instanceRef pdp_ram_15_3_0)) + (portRef SP (instanceRef FF_72)) + (portRef SP (instanceRef FF_71)) + (portRef SP (instanceRef FF_70)) + (portRef SP (instanceRef FF_69)) + (portRef SP (instanceRef FF_68)) + (portRef SP (instanceRef FF_67)) + (portRef SP (instanceRef FF_66)) + (portRef SP (instanceRef FF_65)) + (portRef SP (instanceRef FF_64)) + (portRef SP (instanceRef FF_63)) + (portRef SP (instanceRef FF_62)) + (portRef SP (instanceRef FF_61)) + (portRef SP (instanceRef FF_60)) + (portRef SP (instanceRef FF_59)) + (portRef SP (instanceRef FF_58)) + (portRef SP (instanceRef FF_57)) + (portRef SP (instanceRef FF_40)) + (portRef SP (instanceRef FF_39)) + (portRef SP (instanceRef FF_38)) + (portRef SP (instanceRef FF_37)) + (portRef SP (instanceRef FF_36)) + (portRef SP (instanceRef FF_35)) + (portRef SP (instanceRef FF_34)) + (portRef SP (instanceRef FF_33)) + (portRef SP (instanceRef FF_32)) + (portRef SP (instanceRef FF_31)) + (portRef SP (instanceRef FF_30)) + (portRef SP (instanceRef FF_29)) + (portRef SP (instanceRef FF_28)) + (portRef SP (instanceRef FF_27)) + (portRef SP (instanceRef FF_26)) + (portRef SP (instanceRef FF_25)) + (portRef SP (instanceRef FF_24)) + (portRef SP (instanceRef FF_23)) + (portRef SP (instanceRef FF_22)) + (portRef SP (instanceRef FF_21)) + (portRef SP (instanceRef FF_20)) + (portRef SP (instanceRef FF_19)) + (portRef SP (instanceRef FF_18)) + (portRef SP (instanceRef FF_17)))) + (net co0_1 + (joined + (portRef CIN (instanceRef e_cmp_1)) + (portRef COUT (instanceRef e_cmp_0)))) + (net co1_1 + (joined + (portRef CIN (instanceRef e_cmp_2)) + (portRef COUT (instanceRef e_cmp_1)))) + (net co2_1 + (joined + (portRef CIN (instanceRef e_cmp_3)) + (portRef COUT (instanceRef e_cmp_2)))) + (net co3_1 + (joined + (portRef CIN (instanceRef e_cmp_4)) + (portRef COUT (instanceRef e_cmp_3)))) + (net co4_1 + (joined + (portRef CIN (instanceRef e_cmp_5)) + (portRef COUT (instanceRef e_cmp_4)))) + (net co5_1 + (joined + (portRef CIN (instanceRef e_cmp_6)) + (portRef COUT (instanceRef e_cmp_5)))) + (net co6_1 + (joined + (portRef CIN (instanceRef e_cmp_7)) + (portRef COUT (instanceRef e_cmp_6)))) + (net cmp_le_1 + (joined + (portRef S0 (instanceRef a0)) + (portRef AD2 (instanceRef LUT4_129)))) + (net cmp_le_1_c + (joined + (portRef CIN (instanceRef a0)) + (portRef COUT (instanceRef e_cmp_7)))) + (net cmp_ci_1 + (joined + (portRef CIN (instanceRef g_cmp_0)) + (portRef COUT (instanceRef g_cmp_ci_a)))) + (net co0_2 + (joined + (portRef CIN (instanceRef g_cmp_1)) + (portRef COUT (instanceRef g_cmp_0)))) + (net co1_2 + (joined + (portRef CIN (instanceRef g_cmp_2)) + (portRef COUT (instanceRef g_cmp_1)))) + (net co2_2 + (joined + (portRef CIN (instanceRef g_cmp_3)) + (portRef COUT (instanceRef g_cmp_2)))) + (net co3_2 + (joined + (portRef CIN (instanceRef g_cmp_4)) + (portRef COUT (instanceRef g_cmp_3)))) + (net co4_2 + (joined + (portRef CIN (instanceRef g_cmp_5)) + (portRef COUT (instanceRef g_cmp_4)))) + (net co5_2 + (joined + (portRef CIN (instanceRef g_cmp_6)) + (portRef COUT (instanceRef g_cmp_5)))) + (net co6_2 + (joined + (portRef CIN (instanceRef g_cmp_7)) + (portRef COUT (instanceRef g_cmp_6)))) + (net wren_i_inv + (joined + (portRef B1 (instanceRef g_cmp_7)) + (portRef Z (instanceRef INV_10)))) + (net cmp_ge_d1 + (joined + (portRef S0 (instanceRef a1)) + (portRef AD2 (instanceRef LUT4_128)))) + (net cmp_ge_d1_c + (joined + (portRef CIN (instanceRef a1)) + (portRef COUT (instanceRef g_cmp_7)))) + (net iwcount_0 + (joined + (portRef S0 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_88)))) + (net iwcount_1 + (joined + (portRef S1 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_87)))) + (net w_ctr_ci + (joined + (portRef CIN (instanceRef w_ctr_0)) + (portRef COUT (instanceRef w_ctr_cia)))) + (net iwcount_2 + (joined + (portRef S0 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_86)))) + (net iwcount_3 + (joined + (portRef S1 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_85)))) + (net co0_3 + (joined + (portRef CIN (instanceRef w_ctr_1)) + (portRef COUT (instanceRef w_ctr_0)))) + (net iwcount_4 + (joined + (portRef S0 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_84)))) + (net iwcount_5 + (joined + (portRef S1 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_83)))) + (net co1_3 + (joined + (portRef CIN (instanceRef w_ctr_2)) + (portRef COUT (instanceRef w_ctr_1)))) + (net iwcount_6 + (joined + (portRef S0 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_82)))) + (net iwcount_7 + (joined + (portRef S1 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_81)))) + (net co2_3 + (joined + (portRef CIN (instanceRef w_ctr_3)) + (portRef COUT (instanceRef w_ctr_2)))) + (net iwcount_8 + (joined + (portRef S0 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_80)))) + (net iwcount_9 + (joined + (portRef S1 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_79)))) + (net co3_3 + (joined + (portRef CIN (instanceRef w_ctr_4)) + (portRef COUT (instanceRef w_ctr_3)))) + (net iwcount_10 + (joined + (portRef S0 (instanceRef w_ctr_5)) + (portRef D (instanceRef FF_78)))) + (net iwcount_11 + (joined + (portRef S1 (instanceRef w_ctr_5)) + (portRef D (instanceRef FF_77)))) + (net co4_3 + (joined + (portRef CIN (instanceRef w_ctr_5)) + (portRef COUT (instanceRef w_ctr_4)))) + (net iwcount_12 + (joined + (portRef S0 (instanceRef w_ctr_6)) + (portRef D (instanceRef FF_76)))) + (net iwcount_13 + (joined + (portRef S1 (instanceRef w_ctr_6)) + (portRef D (instanceRef FF_75)))) + (net co5_3 + (joined + (portRef CIN (instanceRef w_ctr_6)) + (portRef COUT (instanceRef w_ctr_5)))) + (net iwcount_14 + (joined + (portRef S0 (instanceRef w_ctr_7)) + (portRef D (instanceRef FF_74)))) + (net iwcount_15 + (joined + (portRef S1 (instanceRef w_ctr_7)) + (portRef D (instanceRef FF_73)))) + (net co7_1 + (joined + (portRef COUT (instanceRef w_ctr_7)))) + (net co6_3 + (joined + (portRef CIN (instanceRef w_ctr_7)) + (portRef COUT (instanceRef w_ctr_6)))) + (net wcount_15 + (joined + (portRef A1 (instanceRef w_ctr_7)) + (portRef A (instanceRef XOR2_t0)) + (portRef Q (instanceRef FF_73)) + (portRef D (instanceRef FF_41)))) + (net ircount_0 + (joined + (portRef S0 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_72)))) + (net ircount_1 + (joined + (portRef S1 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_71)))) + (net r_ctr_ci + (joined + (portRef CIN (instanceRef r_ctr_0)) + (portRef COUT (instanceRef r_ctr_cia)))) + (net rcount_0 + (joined + (portRef A0 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_72)) + (portRef D (instanceRef FF_40)))) + (net rcount_1 + (joined + (portRef A1 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_71)) + (portRef D (instanceRef FF_39)))) + (net ircount_2 + (joined + (portRef S0 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_70)))) + (net ircount_3 + (joined + (portRef S1 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_69)))) + (net co0_4 + (joined + (portRef CIN (instanceRef r_ctr_1)) + (portRef COUT (instanceRef r_ctr_0)))) + (net rcount_2 + (joined + (portRef A0 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_70)) + (portRef D (instanceRef FF_38)))) + (net rcount_3 + (joined + (portRef A1 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_69)) + (portRef D (instanceRef FF_37)))) + (net ircount_4 + (joined + (portRef S0 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_68)))) + (net ircount_5 + (joined + (portRef S1 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_67)))) + (net co1_4 + (joined + (portRef CIN (instanceRef r_ctr_2)) + (portRef COUT (instanceRef r_ctr_1)))) + (net rcount_4 + (joined + (portRef A0 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_68)) + (portRef D (instanceRef FF_36)))) + (net rcount_5 + (joined + (portRef A1 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_67)) + (portRef D (instanceRef FF_35)))) + (net ircount_6 + (joined + (portRef S0 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_66)))) + (net ircount_7 + (joined + (portRef S1 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_65)))) + (net co2_4 + (joined + (portRef CIN (instanceRef r_ctr_3)) + (portRef COUT (instanceRef r_ctr_2)))) + (net rcount_6 + (joined + (portRef A0 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_66)) + (portRef D (instanceRef FF_34)))) + (net rcount_7 + (joined + (portRef A1 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_65)) + (portRef D (instanceRef FF_33)))) + (net ircount_8 + (joined + (portRef S0 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_64)))) + (net ircount_9 + (joined + (portRef S1 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_63)))) + (net co3_4 + (joined + (portRef CIN (instanceRef r_ctr_4)) + (portRef COUT (instanceRef r_ctr_3)))) + (net rcount_8 + (joined + (portRef A0 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_64)) + (portRef D (instanceRef FF_32)))) + (net rcount_9 + (joined + (portRef A1 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_63)) + (portRef D (instanceRef FF_31)))) + (net ircount_10 + (joined + (portRef S0 (instanceRef r_ctr_5)) + (portRef D (instanceRef FF_62)))) + (net ircount_11 + (joined + (portRef S1 (instanceRef r_ctr_5)) + (portRef D (instanceRef FF_61)))) + (net co4_4 + (joined + (portRef CIN (instanceRef r_ctr_5)) + (portRef COUT (instanceRef r_ctr_4)))) + (net rcount_10 + (joined + (portRef A0 (instanceRef r_ctr_5)) + (portRef Q (instanceRef FF_62)) + (portRef D (instanceRef FF_30)))) + (net rcount_11 + (joined + (portRef A1 (instanceRef r_ctr_5)) + (portRef Q (instanceRef FF_61)) + (portRef D (instanceRef FF_29)))) + (net ircount_12 + (joined + (portRef S0 (instanceRef r_ctr_6)) + (portRef D (instanceRef FF_60)))) + (net ircount_13 + (joined + (portRef S1 (instanceRef r_ctr_6)) + (portRef D (instanceRef FF_59)))) + (net co5_4 + (joined + (portRef CIN (instanceRef r_ctr_6)) + (portRef COUT (instanceRef r_ctr_5)))) + (net rcount_12 + (joined + (portRef A0 (instanceRef r_ctr_6)) + (portRef Q (instanceRef FF_60)) + (portRef D (instanceRef FF_28)))) + (net rcount_13 + (joined + (portRef A1 (instanceRef r_ctr_6)) + (portRef Q (instanceRef FF_59)) + (portRef D (instanceRef FF_27)))) + (net ircount_14 + (joined + (portRef S0 (instanceRef r_ctr_7)) + (portRef D (instanceRef FF_58)))) + (net ircount_15 + (joined + (portRef S1 (instanceRef r_ctr_7)) + (portRef D (instanceRef FF_57)))) + (net co7_2 + (joined + (portRef COUT (instanceRef r_ctr_7)))) + (net co6_4 + (joined + (portRef CIN (instanceRef r_ctr_7)) + (portRef COUT (instanceRef r_ctr_6)))) + (net rcount_14 + (joined + (portRef A0 (instanceRef r_ctr_7)) + (portRef Q (instanceRef FF_58)) + (portRef D (instanceRef FF_26)))) + (net rcount_15 + (joined + (portRef A1 (instanceRef r_ctr_7)) + (portRef Q (instanceRef FF_57)) + (portRef D (instanceRef FF_25)))) + (net mdout1_15_0 + (joined + (portRef D15 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_15_0_3)))) + (net mdout1_14_0 + (joined + (portRef D14 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_14_0_7)))) + (net mdout1_13_0 + (joined + (portRef D13 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_13_0_11)))) + (net mdout1_12_0 + (joined + (portRef D12 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_12_0_15)))) + (net mdout1_11_0 + (joined + (portRef D11 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_11_0_19)))) + (net mdout1_10_0 + (joined + (portRef D10 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_10_0_23)))) + (net mdout1_9_0 + (joined + (portRef D9 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_9_0_27)))) + (net mdout1_8_0 + (joined + (portRef D8 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_8_0_31)))) + (net mdout1_7_0 + (joined + (portRef D7 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_7_0_35)))) + (net mdout1_6_0 + (joined + (portRef D6 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_6_0_39)))) + (net mdout1_5_0 + (joined + (portRef D5 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_5_0_43)))) + (net mdout1_4_0 + (joined + (portRef D4 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_4_0_47)))) + (net mdout1_3_0 + (joined + (portRef D3 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_3_0_51)))) + (net mdout1_2_0 + (joined + (portRef D2 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_2_0_55)))) + (net mdout1_1_0 + (joined + (portRef D1 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_1_0_59)))) + (net mdout1_0_0 + (joined + (portRef D0 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_0_0_63)))) + (net mdout1_15_1 + (joined + (portRef D15 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_15_0_3)))) + (net mdout1_14_1 + (joined + (portRef D14 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_14_0_7)))) + (net mdout1_13_1 + (joined + (portRef D13 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_13_0_11)))) + (net mdout1_12_1 + (joined + (portRef D12 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_12_0_15)))) + (net mdout1_11_1 + (joined + (portRef D11 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_11_0_19)))) + (net mdout1_10_1 + (joined + (portRef D10 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_10_0_23)))) + (net mdout1_9_1 + (joined + (portRef D9 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_9_0_27)))) + (net mdout1_8_1 + (joined + (portRef D8 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_8_0_31)))) + (net mdout1_7_1 + (joined + (portRef D7 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_7_0_35)))) + (net mdout1_6_1 + (joined + (portRef D6 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_6_0_39)))) + (net mdout1_5_1 + (joined + (portRef D5 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_5_0_43)))) + (net mdout1_4_1 + (joined + (portRef D4 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_4_0_47)))) + (net mdout1_3_1 + (joined + (portRef D3 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_3_0_51)))) + (net mdout1_2_1 + (joined + (portRef D2 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_2_0_55)))) + (net mdout1_1_1 + (joined + (portRef D1 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_1_0_59)))) + (net mdout1_0_1 + (joined + (portRef D0 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_0_0_63)))) + (net mdout1_15_2 + (joined + (portRef D15 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_15_0_3)))) + (net mdout1_14_2 + (joined + (portRef D14 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_14_0_7)))) + (net mdout1_13_2 + (joined + (portRef D13 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_13_0_11)))) + (net mdout1_12_2 + (joined + (portRef D12 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_12_0_15)))) + (net mdout1_11_2 + (joined + (portRef D11 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_11_0_19)))) + (net mdout1_10_2 + (joined + (portRef D10 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_10_0_23)))) + (net mdout1_9_2 + (joined + (portRef D9 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_9_0_27)))) + (net mdout1_8_2 + (joined + (portRef D8 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_8_0_31)))) + (net mdout1_7_2 + (joined + (portRef D7 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_7_0_35)))) + (net mdout1_6_2 + (joined + (portRef D6 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_6_0_39)))) + (net mdout1_5_2 + (joined + (portRef D5 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_5_0_43)))) + (net mdout1_4_2 + (joined + (portRef D4 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_4_0_47)))) + (net mdout1_3_2 + (joined + (portRef D3 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_3_0_51)))) + (net mdout1_2_2 + (joined + (portRef D2 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_2_0_55)))) + (net mdout1_1_2 + (joined + (portRef D1 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_1_0_59)))) + (net mdout1_0_2 + (joined + (portRef D0 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_0_0_63)))) + (net mdout1_15_3 + (joined + (portRef D15 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_15_0_3)))) + (net mdout1_14_3 + (joined + (portRef D14 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_14_0_7)))) + (net mdout1_13_3 + (joined + (portRef D13 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_13_0_11)))) + (net mdout1_12_3 + (joined + (portRef D12 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_12_0_15)))) + (net mdout1_11_3 + (joined + (portRef D11 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_11_0_19)))) + (net mdout1_10_3 + (joined + (portRef D10 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_10_0_23)))) + (net mdout1_9_3 + (joined + (portRef D9 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_9_0_27)))) + (net mdout1_8_3 + (joined + (portRef D8 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_8_0_31)))) + (net mdout1_7_3 + (joined + (portRef D7 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_7_0_35)))) + (net mdout1_6_3 + (joined + (portRef D6 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_6_0_39)))) + (net mdout1_5_3 + (joined + (portRef D5 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_5_0_43)))) + (net mdout1_4_3 + (joined + (portRef D4 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_4_0_47)))) + (net mdout1_3_3 + (joined + (portRef D3 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_3_0_51)))) + (net mdout1_2_3 + (joined + (portRef D2 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_2_0_55)))) + (net mdout1_1_3 + (joined + (portRef D1 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_1_0_59)))) + (net mdout1_0_3 + (joined + (portRef D0 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_0_0_63)))) + (net mdout1_15_4 + (joined + (portRef D15 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_15_0_3)))) + (net mdout1_14_4 + (joined + (portRef D14 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_14_0_7)))) + (net mdout1_13_4 + (joined + (portRef D13 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_13_0_11)))) + (net mdout1_12_4 + (joined + (portRef D12 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_12_0_15)))) + (net mdout1_11_4 + (joined + (portRef D11 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_11_0_19)))) + (net mdout1_10_4 + (joined + (portRef D10 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_10_0_23)))) + (net mdout1_9_4 + (joined + (portRef D9 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_9_0_27)))) + (net mdout1_8_4 + (joined + (portRef D8 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_8_0_31)))) + (net mdout1_7_4 + (joined + (portRef D7 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_7_0_35)))) + (net mdout1_6_4 + (joined + (portRef D6 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_6_0_39)))) + (net mdout1_5_4 + (joined + (portRef D5 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_5_0_43)))) + (net mdout1_4_4 + (joined + (portRef D4 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_4_0_47)))) + (net mdout1_3_4 + (joined + (portRef D3 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_3_0_51)))) + (net mdout1_2_4 + (joined + (portRef D2 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_2_0_55)))) + (net mdout1_1_4 + (joined + (portRef D1 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_1_0_59)))) + (net mdout1_0_4 + (joined + (portRef D0 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_0_0_63)))) + (net mdout1_15_5 + (joined + (portRef D15 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_15_0_3)))) + (net mdout1_14_5 + (joined + (portRef D14 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_14_0_7)))) + (net mdout1_13_5 + (joined + (portRef D13 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_13_0_11)))) + (net mdout1_12_5 + (joined + (portRef D12 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_12_0_15)))) + (net mdout1_11_5 + (joined + (portRef D11 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_11_0_19)))) + (net mdout1_10_5 + (joined + (portRef D10 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_10_0_23)))) + (net mdout1_9_5 + (joined + (portRef D9 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_9_0_27)))) + (net mdout1_8_5 + (joined + (portRef D8 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_8_0_31)))) + (net mdout1_7_5 + (joined + (portRef D7 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_7_0_35)))) + (net mdout1_6_5 + (joined + (portRef D6 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_6_0_39)))) + (net mdout1_5_5 + (joined + (portRef D5 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_5_0_43)))) + (net mdout1_4_5 + (joined + (portRef D4 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_4_0_47)))) + (net mdout1_3_5 + (joined + (portRef D3 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_3_0_51)))) + (net mdout1_2_5 + (joined + (portRef D2 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_2_0_55)))) + (net mdout1_1_5 + (joined + (portRef D1 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_1_0_59)))) + (net mdout1_0_5 + (joined + (portRef D0 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_0_0_63)))) + (net mdout1_15_6 + (joined + (portRef D15 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_15_0_3)))) + (net mdout1_14_6 + (joined + (portRef D14 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_14_0_7)))) + (net mdout1_13_6 + (joined + (portRef D13 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_13_0_11)))) + (net mdout1_12_6 + (joined + (portRef D12 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_12_0_15)))) + (net mdout1_11_6 + (joined + (portRef D11 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_11_0_19)))) + (net mdout1_10_6 + (joined + (portRef D10 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_10_0_23)))) + (net mdout1_9_6 + (joined + (portRef D9 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_9_0_27)))) + (net mdout1_8_6 + (joined + (portRef D8 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_8_0_31)))) + (net mdout1_7_6 + (joined + (portRef D7 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_7_0_35)))) + (net mdout1_6_6 + (joined + (portRef D6 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_6_0_39)))) + (net mdout1_5_6 + (joined + (portRef D5 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_5_0_43)))) + (net mdout1_4_6 + (joined + (portRef D4 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_4_0_47)))) + (net mdout1_3_6 + (joined + (portRef D3 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_3_0_51)))) + (net mdout1_2_6 + (joined + (portRef D2 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_2_0_55)))) + (net mdout1_1_6 + (joined + (portRef D1 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_1_0_59)))) + (net mdout1_0_6 + (joined + (portRef D0 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_0_0_63)))) + (net mdout1_15_7 + (joined + (portRef D15 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_15_0_3)))) + (net mdout1_14_7 + (joined + (portRef D14 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_14_0_7)))) + (net mdout1_13_7 + (joined + (portRef D13 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_13_0_11)))) + (net mdout1_12_7 + (joined + (portRef D12 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_12_0_15)))) + (net mdout1_11_7 + (joined + (portRef D11 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_11_0_19)))) + (net mdout1_10_7 + (joined + (portRef D10 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_10_0_23)))) + (net mdout1_9_7 + (joined + (portRef D9 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_9_0_27)))) + (net mdout1_8_7 + (joined + (portRef D8 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_8_0_31)))) + (net mdout1_7_7 + (joined + (portRef D7 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_7_0_35)))) + (net mdout1_6_7 + (joined + (portRef D6 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_6_0_39)))) + (net mdout1_5_7 + (joined + (portRef D5 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_5_0_43)))) + (net mdout1_4_7 + (joined + (portRef D4 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_4_0_47)))) + (net mdout1_3_7 + (joined + (portRef D3 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_3_0_51)))) + (net mdout1_2_7 + (joined + (portRef D2 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_2_0_55)))) + (net mdout1_1_7 + (joined + (portRef D1 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_1_0_59)))) + (net mdout1_0_7 + (joined + (portRef D0 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_0_0_63)))) + (net mdout1_15_8 + (joined + (portRef D15 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_15_0_3)))) + (net mdout1_14_8 + (joined + (portRef D14 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_14_0_7)))) + (net mdout1_13_8 + (joined + (portRef D13 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_13_0_11)))) + (net mdout1_12_8 + (joined + (portRef D12 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_12_0_15)))) + (net mdout1_11_8 + (joined + (portRef D11 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_11_0_19)))) + (net mdout1_10_8 + (joined + (portRef D10 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_10_0_23)))) + (net mdout1_9_8 + (joined + (portRef D9 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_9_0_27)))) + (net mdout1_8_8 + (joined + (portRef D8 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_8_0_31)))) + (net mdout1_7_8 + (joined + (portRef D7 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_7_0_35)))) + (net mdout1_6_8 + (joined + (portRef D6 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_6_0_39)))) + (net mdout1_5_8 + (joined + (portRef D5 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_5_0_43)))) + (net mdout1_4_8 + (joined + (portRef D4 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_4_0_47)))) + (net mdout1_3_8 + (joined + (portRef D3 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_3_0_51)))) + (net mdout1_2_8 + (joined + (portRef D2 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_2_0_55)))) + (net mdout1_1_8 + (joined + (portRef D1 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_1_0_59)))) + (net mdout1_0_8 + (joined + (portRef D0 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_0_0_63)))) + (net mdout1_15_9 + (joined + (portRef D15 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_15_1_2)))) + (net mdout1_14_9 + (joined + (portRef D14 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_14_1_6)))) + (net mdout1_13_9 + (joined + (portRef D13 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_13_1_10)))) + (net mdout1_12_9 + (joined + (portRef D12 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_12_1_14)))) + (net mdout1_11_9 + (joined + (portRef D11 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_11_1_18)))) + (net mdout1_10_9 + (joined + (portRef D10 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_10_1_22)))) + (net mdout1_9_9 + (joined + (portRef D9 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_9_1_26)))) + (net mdout1_8_9 + (joined + (portRef D8 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_8_1_30)))) + (net mdout1_7_9 + (joined + (portRef D7 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_7_1_34)))) + (net mdout1_6_9 + (joined + (portRef D6 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_6_1_38)))) + (net mdout1_5_9 + (joined + (portRef D5 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_5_1_42)))) + (net mdout1_4_9 + (joined + (portRef D4 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_4_1_46)))) + (net mdout1_3_9 + (joined + (portRef D3 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_3_1_50)))) + (net mdout1_2_9 + (joined + (portRef D2 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_2_1_54)))) + (net mdout1_1_9 + (joined + (portRef D1 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_1_1_58)))) + (net mdout1_0_9 + (joined + (portRef D0 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_0_1_62)))) + (net mdout1_15_10 + (joined + (portRef D15 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_15_1_2)))) + (net mdout1_14_10 + (joined + (portRef D14 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_14_1_6)))) + (net mdout1_13_10 + (joined + (portRef D13 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_13_1_10)))) + (net mdout1_12_10 + (joined + (portRef D12 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_12_1_14)))) + (net mdout1_11_10 + (joined + (portRef D11 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_11_1_18)))) + (net mdout1_10_10 + (joined + (portRef D10 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_10_1_22)))) + (net mdout1_9_10 + (joined + (portRef D9 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_9_1_26)))) + (net mdout1_8_10 + (joined + (portRef D8 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_8_1_30)))) + (net mdout1_7_10 + (joined + (portRef D7 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_7_1_34)))) + (net mdout1_6_10 + (joined + (portRef D6 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_6_1_38)))) + (net mdout1_5_10 + (joined + (portRef D5 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_5_1_42)))) + (net mdout1_4_10 + (joined + (portRef D4 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_4_1_46)))) + (net mdout1_3_10 + (joined + (portRef D3 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_3_1_50)))) + (net mdout1_2_10 + (joined + (portRef D2 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_2_1_54)))) + (net mdout1_1_10 + (joined + (portRef D1 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_1_1_58)))) + (net mdout1_0_10 + (joined + (portRef D0 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_0_1_62)))) + (net mdout1_15_11 + (joined + (portRef D15 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_15_1_2)))) + (net mdout1_14_11 + (joined + (portRef D14 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_14_1_6)))) + (net mdout1_13_11 + (joined + (portRef D13 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_13_1_10)))) + (net mdout1_12_11 + (joined + (portRef D12 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_12_1_14)))) + (net mdout1_11_11 + (joined + (portRef D11 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_11_1_18)))) + (net mdout1_10_11 + (joined + (portRef D10 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_10_1_22)))) + (net mdout1_9_11 + (joined + (portRef D9 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_9_1_26)))) + (net mdout1_8_11 + (joined + (portRef D8 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_8_1_30)))) + (net mdout1_7_11 + (joined + (portRef D7 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_7_1_34)))) + (net mdout1_6_11 + (joined + (portRef D6 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_6_1_38)))) + (net mdout1_5_11 + (joined + (portRef D5 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_5_1_42)))) + (net mdout1_4_11 + (joined + (portRef D4 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_4_1_46)))) + (net mdout1_3_11 + (joined + (portRef D3 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_3_1_50)))) + (net mdout1_2_11 + (joined + (portRef D2 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_2_1_54)))) + (net mdout1_1_11 + (joined + (portRef D1 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_1_1_58)))) + (net mdout1_0_11 + (joined + (portRef D0 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_0_1_62)))) + (net mdout1_15_12 + (joined + (portRef D15 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_15_1_2)))) + (net mdout1_14_12 + (joined + (portRef D14 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_14_1_6)))) + (net mdout1_13_12 + (joined + (portRef D13 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_13_1_10)))) + (net mdout1_12_12 + (joined + (portRef D12 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_12_1_14)))) + (net mdout1_11_12 + (joined + (portRef D11 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_11_1_18)))) + (net mdout1_10_12 + (joined + (portRef D10 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_10_1_22)))) + (net mdout1_9_12 + (joined + (portRef D9 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_9_1_26)))) + (net mdout1_8_12 + (joined + (portRef D8 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_8_1_30)))) + (net mdout1_7_12 + (joined + (portRef D7 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_7_1_34)))) + (net mdout1_6_12 + (joined + (portRef D6 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_6_1_38)))) + (net mdout1_5_12 + (joined + (portRef D5 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_5_1_42)))) + (net mdout1_4_12 + (joined + (portRef D4 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_4_1_46)))) + (net mdout1_3_12 + (joined + (portRef D3 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_3_1_50)))) + (net mdout1_2_12 + (joined + (portRef D2 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_2_1_54)))) + (net mdout1_1_12 + (joined + (portRef D1 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_1_1_58)))) + (net mdout1_0_12 + (joined + (portRef D0 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_0_1_62)))) + (net mdout1_15_13 + (joined + (portRef D15 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_15_1_2)))) + (net mdout1_14_13 + (joined + (portRef D14 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_14_1_6)))) + (net mdout1_13_13 + (joined + (portRef D13 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_13_1_10)))) + (net mdout1_12_13 + (joined + (portRef D12 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_12_1_14)))) + (net mdout1_11_13 + (joined + (portRef D11 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_11_1_18)))) + (net mdout1_10_13 + (joined + (portRef D10 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_10_1_22)))) + (net mdout1_9_13 + (joined + (portRef D9 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_9_1_26)))) + (net mdout1_8_13 + (joined + (portRef D8 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_8_1_30)))) + (net mdout1_7_13 + (joined + (portRef D7 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_7_1_34)))) + (net mdout1_6_13 + (joined + (portRef D6 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_6_1_38)))) + (net mdout1_5_13 + (joined + (portRef D5 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_5_1_42)))) + (net mdout1_4_13 + (joined + (portRef D4 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_4_1_46)))) + (net mdout1_3_13 + (joined + (portRef D3 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_3_1_50)))) + (net mdout1_2_13 + (joined + (portRef D2 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_2_1_54)))) + (net mdout1_1_13 + (joined + (portRef D1 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_1_1_58)))) + (net mdout1_0_13 + (joined + (portRef D0 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_0_1_62)))) + (net mdout1_15_14 + (joined + (portRef D15 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_15_1_2)))) + (net mdout1_14_14 + (joined + (portRef D14 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_14_1_6)))) + (net mdout1_13_14 + (joined + (portRef D13 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_13_1_10)))) + (net mdout1_12_14 + (joined + (portRef D12 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_12_1_14)))) + (net mdout1_11_14 + (joined + (portRef D11 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_11_1_18)))) + (net mdout1_10_14 + (joined + (portRef D10 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_10_1_22)))) + (net mdout1_9_14 + (joined + (portRef D9 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_9_1_26)))) + (net mdout1_8_14 + (joined + (portRef D8 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_8_1_30)))) + (net mdout1_7_14 + (joined + (portRef D7 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_7_1_34)))) + (net mdout1_6_14 + (joined + (portRef D6 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_6_1_38)))) + (net mdout1_5_14 + (joined + (portRef D5 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_5_1_42)))) + (net mdout1_4_14 + (joined + (portRef D4 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_4_1_46)))) + (net mdout1_3_14 + (joined + (portRef D3 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_3_1_50)))) + (net mdout1_2_14 + (joined + (portRef D2 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_2_1_54)))) + (net mdout1_1_14 + (joined + (portRef D1 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_1_1_58)))) + (net mdout1_0_14 + (joined + (portRef D0 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_0_1_62)))) + (net mdout1_15_15 + (joined + (portRef D15 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_15_1_2)))) + (net mdout1_14_15 + (joined + (portRef D14 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_14_1_6)))) + (net mdout1_13_15 + (joined + (portRef D13 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_13_1_10)))) + (net mdout1_12_15 + (joined + (portRef D12 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_12_1_14)))) + (net mdout1_11_15 + (joined + (portRef D11 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_11_1_18)))) + (net mdout1_10_15 + (joined + (portRef D10 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_10_1_22)))) + (net mdout1_9_15 + (joined + (portRef D9 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_9_1_26)))) + (net mdout1_8_15 + (joined + (portRef D8 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_8_1_30)))) + (net mdout1_7_15 + (joined + (portRef D7 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_7_1_34)))) + (net mdout1_6_15 + (joined + (portRef D6 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_6_1_38)))) + (net mdout1_5_15 + (joined + (portRef D5 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_5_1_42)))) + (net mdout1_4_15 + (joined + (portRef D4 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_4_1_46)))) + (net mdout1_3_15 + (joined + (portRef D3 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_3_1_50)))) + (net mdout1_2_15 + (joined + (portRef D2 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_2_1_54)))) + (net mdout1_1_15 + (joined + (portRef D1 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_1_1_58)))) + (net mdout1_0_15 + (joined + (portRef D0 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_0_1_62)))) + (net mdout1_15_16 + (joined + (portRef D15 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_15_1_2)))) + (net mdout1_14_16 + (joined + (portRef D14 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_14_1_6)))) + (net mdout1_13_16 + (joined + (portRef D13 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_13_1_10)))) + (net mdout1_12_16 + (joined + (portRef D12 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_12_1_14)))) + (net mdout1_11_16 + (joined + (portRef D11 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_11_1_18)))) + (net mdout1_10_16 + (joined + (portRef D10 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_10_1_22)))) + (net mdout1_9_16 + (joined + (portRef D9 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_9_1_26)))) + (net mdout1_8_16 + (joined + (portRef D8 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_8_1_30)))) + (net mdout1_7_16 + (joined + (portRef D7 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_7_1_34)))) + (net mdout1_6_16 + (joined + (portRef D6 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_6_1_38)))) + (net mdout1_5_16 + (joined + (portRef D5 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_5_1_42)))) + (net mdout1_4_16 + (joined + (portRef D4 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_4_1_46)))) + (net mdout1_3_16 + (joined + (portRef D3 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_3_1_50)))) + (net mdout1_2_16 + (joined + (portRef D2 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_2_1_54)))) + (net mdout1_1_16 + (joined + (portRef D1 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_1_1_58)))) + (net mdout1_0_16 + (joined + (portRef D0 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_0_1_62)))) + (net mdout1_15_17 + (joined + (portRef D15 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_15_1_2)))) + (net mdout1_14_17 + (joined + (portRef D14 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_14_1_6)))) + (net mdout1_13_17 + (joined + (portRef D13 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_13_1_10)))) + (net mdout1_12_17 + (joined + (portRef D12 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_12_1_14)))) + (net mdout1_11_17 + (joined + (portRef D11 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_11_1_18)))) + (net mdout1_10_17 + (joined + (portRef D10 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_10_1_22)))) + (net mdout1_9_17 + (joined + (portRef D9 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_9_1_26)))) + (net mdout1_8_17 + (joined + (portRef D8 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_8_1_30)))) + (net mdout1_7_17 + (joined + (portRef D7 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_7_1_34)))) + (net mdout1_6_17 + (joined + (portRef D6 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_6_1_38)))) + (net mdout1_5_17 + (joined + (portRef D5 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_5_1_42)))) + (net mdout1_4_17 + (joined + (portRef D4 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_4_1_46)))) + (net mdout1_3_17 + (joined + (portRef D3 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_3_1_50)))) + (net mdout1_2_17 + (joined + (portRef D2 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_2_1_54)))) + (net mdout1_1_17 + (joined + (portRef D1 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_1_1_58)))) + (net mdout1_0_17 + (joined + (portRef D0 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_0_1_62)))) + (net mdout1_15_18 + (joined + (portRef D15 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_15_2_1)))) + (net mdout1_14_18 + (joined + (portRef D14 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_14_2_5)))) + (net mdout1_13_18 + (joined + (portRef D13 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_13_2_9)))) + (net mdout1_12_18 + (joined + (portRef D12 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_12_2_13)))) + (net mdout1_11_18 + (joined + (portRef D11 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_11_2_17)))) + (net mdout1_10_18 + (joined + (portRef D10 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_10_2_21)))) + (net mdout1_9_18 + (joined + (portRef D9 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_9_2_25)))) + (net mdout1_8_18 + (joined + (portRef D8 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_8_2_29)))) + (net mdout1_7_18 + (joined + (portRef D7 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_7_2_33)))) + (net mdout1_6_18 + (joined + (portRef D6 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_6_2_37)))) + (net mdout1_5_18 + (joined + (portRef D5 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_5_2_41)))) + (net mdout1_4_18 + (joined + (portRef D4 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_4_2_45)))) + (net mdout1_3_18 + (joined + (portRef D3 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_3_2_49)))) + (net mdout1_2_18 + (joined + (portRef D2 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_2_2_53)))) + (net mdout1_1_18 + (joined + (portRef D1 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_1_2_57)))) + (net mdout1_0_18 + (joined + (portRef D0 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_0_2_61)))) + (net mdout1_15_19 + (joined + (portRef D15 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_15_2_1)))) + (net mdout1_14_19 + (joined + (portRef D14 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_14_2_5)))) + (net mdout1_13_19 + (joined + (portRef D13 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_13_2_9)))) + (net mdout1_12_19 + (joined + (portRef D12 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_12_2_13)))) + (net mdout1_11_19 + (joined + (portRef D11 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_11_2_17)))) + (net mdout1_10_19 + (joined + (portRef D10 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_10_2_21)))) + (net mdout1_9_19 + (joined + (portRef D9 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_9_2_25)))) + (net mdout1_8_19 + (joined + (portRef D8 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_8_2_29)))) + (net mdout1_7_19 + (joined + (portRef D7 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_7_2_33)))) + (net mdout1_6_19 + (joined + (portRef D6 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_6_2_37)))) + (net mdout1_5_19 + (joined + (portRef D5 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_5_2_41)))) + (net mdout1_4_19 + (joined + (portRef D4 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_4_2_45)))) + (net mdout1_3_19 + (joined + (portRef D3 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_3_2_49)))) + (net mdout1_2_19 + (joined + (portRef D2 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_2_2_53)))) + (net mdout1_1_19 + (joined + (portRef D1 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_1_2_57)))) + (net mdout1_0_19 + (joined + (portRef D0 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_0_2_61)))) + (net mdout1_15_20 + (joined + (portRef D15 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_15_2_1)))) + (net mdout1_14_20 + (joined + (portRef D14 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_14_2_5)))) + (net mdout1_13_20 + (joined + (portRef D13 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_13_2_9)))) + (net mdout1_12_20 + (joined + (portRef D12 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_12_2_13)))) + (net mdout1_11_20 + (joined + (portRef D11 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_11_2_17)))) + (net mdout1_10_20 + (joined + (portRef D10 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_10_2_21)))) + (net mdout1_9_20 + (joined + (portRef D9 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_9_2_25)))) + (net mdout1_8_20 + (joined + (portRef D8 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_8_2_29)))) + (net mdout1_7_20 + (joined + (portRef D7 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_7_2_33)))) + (net mdout1_6_20 + (joined + (portRef D6 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_6_2_37)))) + (net mdout1_5_20 + (joined + (portRef D5 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_5_2_41)))) + (net mdout1_4_20 + (joined + (portRef D4 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_4_2_45)))) + (net mdout1_3_20 + (joined + (portRef D3 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_3_2_49)))) + (net mdout1_2_20 + (joined + (portRef D2 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_2_2_53)))) + (net mdout1_1_20 + (joined + (portRef D1 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_1_2_57)))) + (net mdout1_0_20 + (joined + (portRef D0 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_0_2_61)))) + (net mdout1_15_21 + (joined + (portRef D15 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_15_2_1)))) + (net mdout1_14_21 + (joined + (portRef D14 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_14_2_5)))) + (net mdout1_13_21 + (joined + (portRef D13 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_13_2_9)))) + (net mdout1_12_21 + (joined + (portRef D12 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_12_2_13)))) + (net mdout1_11_21 + (joined + (portRef D11 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_11_2_17)))) + (net mdout1_10_21 + (joined + (portRef D10 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_10_2_21)))) + (net mdout1_9_21 + (joined + (portRef D9 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_9_2_25)))) + (net mdout1_8_21 + (joined + (portRef D8 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_8_2_29)))) + (net mdout1_7_21 + (joined + (portRef D7 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_7_2_33)))) + (net mdout1_6_21 + (joined + (portRef D6 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_6_2_37)))) + (net mdout1_5_21 + (joined + (portRef D5 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_5_2_41)))) + (net mdout1_4_21 + (joined + (portRef D4 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_4_2_45)))) + (net mdout1_3_21 + (joined + (portRef D3 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_3_2_49)))) + (net mdout1_2_21 + (joined + (portRef D2 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_2_2_53)))) + (net mdout1_1_21 + (joined + (portRef D1 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_1_2_57)))) + (net mdout1_0_21 + (joined + (portRef D0 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_0_2_61)))) + (net mdout1_15_22 + (joined + (portRef D15 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_15_2_1)))) + (net mdout1_14_22 + (joined + (portRef D14 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_14_2_5)))) + (net mdout1_13_22 + (joined + (portRef D13 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_13_2_9)))) + (net mdout1_12_22 + (joined + (portRef D12 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_12_2_13)))) + (net mdout1_11_22 + (joined + (portRef D11 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_11_2_17)))) + (net mdout1_10_22 + (joined + (portRef D10 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_10_2_21)))) + (net mdout1_9_22 + (joined + (portRef D9 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_9_2_25)))) + (net mdout1_8_22 + (joined + (portRef D8 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_8_2_29)))) + (net mdout1_7_22 + (joined + (portRef D7 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_7_2_33)))) + (net mdout1_6_22 + (joined + (portRef D6 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_6_2_37)))) + (net mdout1_5_22 + (joined + (portRef D5 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_5_2_41)))) + (net mdout1_4_22 + (joined + (portRef D4 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_4_2_45)))) + (net mdout1_3_22 + (joined + (portRef D3 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_3_2_49)))) + (net mdout1_2_22 + (joined + (portRef D2 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_2_2_53)))) + (net mdout1_1_22 + (joined + (portRef D1 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_1_2_57)))) + (net mdout1_0_22 + (joined + (portRef D0 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_0_2_61)))) + (net mdout1_15_23 + (joined + (portRef D15 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_15_2_1)))) + (net mdout1_14_23 + (joined + (portRef D14 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_14_2_5)))) + (net mdout1_13_23 + (joined + (portRef D13 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_13_2_9)))) + (net mdout1_12_23 + (joined + (portRef D12 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_12_2_13)))) + (net mdout1_11_23 + (joined + (portRef D11 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_11_2_17)))) + (net mdout1_10_23 + (joined + (portRef D10 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_10_2_21)))) + (net mdout1_9_23 + (joined + (portRef D9 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_9_2_25)))) + (net mdout1_8_23 + (joined + (portRef D8 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_8_2_29)))) + (net mdout1_7_23 + (joined + (portRef D7 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_7_2_33)))) + (net mdout1_6_23 + (joined + (portRef D6 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_6_2_37)))) + (net mdout1_5_23 + (joined + (portRef D5 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_5_2_41)))) + (net mdout1_4_23 + (joined + (portRef D4 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_4_2_45)))) + (net mdout1_3_23 + (joined + (portRef D3 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_3_2_49)))) + (net mdout1_2_23 + (joined + (portRef D2 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_2_2_53)))) + (net mdout1_1_23 + (joined + (portRef D1 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_1_2_57)))) + (net mdout1_0_23 + (joined + (portRef D0 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_0_2_61)))) + (net mdout1_15_24 + (joined + (portRef D15 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_15_2_1)))) + (net mdout1_14_24 + (joined + (portRef D14 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_14_2_5)))) + (net mdout1_13_24 + (joined + (portRef D13 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_13_2_9)))) + (net mdout1_12_24 + (joined + (portRef D12 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_12_2_13)))) + (net mdout1_11_24 + (joined + (portRef D11 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_11_2_17)))) + (net mdout1_10_24 + (joined + (portRef D10 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_10_2_21)))) + (net mdout1_9_24 + (joined + (portRef D9 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_9_2_25)))) + (net mdout1_8_24 + (joined + (portRef D8 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_8_2_29)))) + (net mdout1_7_24 + (joined + (portRef D7 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_7_2_33)))) + (net mdout1_6_24 + (joined + (portRef D6 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_6_2_37)))) + (net mdout1_5_24 + (joined + (portRef D5 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_5_2_41)))) + (net mdout1_4_24 + (joined + (portRef D4 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_4_2_45)))) + (net mdout1_3_24 + (joined + (portRef D3 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_3_2_49)))) + (net mdout1_2_24 + (joined + (portRef D2 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_2_2_53)))) + (net mdout1_1_24 + (joined + (portRef D1 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_1_2_57)))) + (net mdout1_0_24 + (joined + (portRef D0 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_0_2_61)))) + (net mdout1_15_25 + (joined + (portRef D15 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_15_2_1)))) + (net mdout1_14_25 + (joined + (portRef D14 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_14_2_5)))) + (net mdout1_13_25 + (joined + (portRef D13 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_13_2_9)))) + (net mdout1_12_25 + (joined + (portRef D12 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_12_2_13)))) + (net mdout1_11_25 + (joined + (portRef D11 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_11_2_17)))) + (net mdout1_10_25 + (joined + (portRef D10 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_10_2_21)))) + (net mdout1_9_25 + (joined + (portRef D9 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_9_2_25)))) + (net mdout1_8_25 + (joined + (portRef D8 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_8_2_29)))) + (net mdout1_7_25 + (joined + (portRef D7 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_7_2_33)))) + (net mdout1_6_25 + (joined + (portRef D6 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_6_2_37)))) + (net mdout1_5_25 + (joined + (portRef D5 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_5_2_41)))) + (net mdout1_4_25 + (joined + (portRef D4 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_4_2_45)))) + (net mdout1_3_25 + (joined + (portRef D3 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_3_2_49)))) + (net mdout1_2_25 + (joined + (portRef D2 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_2_2_53)))) + (net mdout1_1_25 + (joined + (portRef D1 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_1_2_57)))) + (net mdout1_0_25 + (joined + (portRef D0 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_0_2_61)))) + (net mdout1_15_26 + (joined + (portRef D15 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_15_2_1)))) + (net mdout1_14_26 + (joined + (portRef D14 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_14_2_5)))) + (net mdout1_13_26 + (joined + (portRef D13 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_13_2_9)))) + (net mdout1_12_26 + (joined + (portRef D12 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_12_2_13)))) + (net mdout1_11_26 + (joined + (portRef D11 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_11_2_17)))) + (net mdout1_10_26 + (joined + (portRef D10 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_10_2_21)))) + (net mdout1_9_26 + (joined + (portRef D9 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_9_2_25)))) + (net mdout1_8_26 + (joined + (portRef D8 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_8_2_29)))) + (net mdout1_7_26 + (joined + (portRef D7 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_7_2_33)))) + (net mdout1_6_26 + (joined + (portRef D6 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_6_2_37)))) + (net mdout1_5_26 + (joined + (portRef D5 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_5_2_41)))) + (net mdout1_4_26 + (joined + (portRef D4 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_4_2_45)))) + (net mdout1_3_26 + (joined + (portRef D3 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_3_2_49)))) + (net mdout1_2_26 + (joined + (portRef D2 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_2_2_53)))) + (net mdout1_1_26 + (joined + (portRef D1 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_1_2_57)))) + (net mdout1_0_26 + (joined + (portRef D0 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_0_2_61)))) + (net mdout1_15_27 + (joined + (portRef D15 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_15_3_0)))) + (net mdout1_14_27 + (joined + (portRef D14 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_14_3_4)))) + (net mdout1_13_27 + (joined + (portRef D13 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_13_3_8)))) + (net mdout1_12_27 + (joined + (portRef D12 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_12_3_12)))) + (net mdout1_11_27 + (joined + (portRef D11 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_11_3_16)))) + (net mdout1_10_27 + (joined + (portRef D10 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_10_3_20)))) + (net mdout1_9_27 + (joined + (portRef D9 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_9_3_24)))) + (net mdout1_8_27 + (joined + (portRef D8 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_8_3_28)))) + (net mdout1_7_27 + (joined + (portRef D7 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_7_3_32)))) + (net mdout1_6_27 + (joined + (portRef D6 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_6_3_36)))) + (net mdout1_5_27 + (joined + (portRef D5 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_5_3_40)))) + (net mdout1_4_27 + (joined + (portRef D4 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_4_3_44)))) + (net mdout1_3_27 + (joined + (portRef D3 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_3_3_48)))) + (net mdout1_2_27 + (joined + (portRef D2 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_2_3_52)))) + (net mdout1_1_27 + (joined + (portRef D1 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_1_3_56)))) + (net mdout1_0_27 + (joined + (portRef D0 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_0_3_60)))) + (net mdout1_15_28 + (joined + (portRef D15 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_15_3_0)))) + (net mdout1_14_28 + (joined + (portRef D14 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_14_3_4)))) + (net mdout1_13_28 + (joined + (portRef D13 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_13_3_8)))) + (net mdout1_12_28 + (joined + (portRef D12 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_12_3_12)))) + (net mdout1_11_28 + (joined + (portRef D11 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_11_3_16)))) + (net mdout1_10_28 + (joined + (portRef D10 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_10_3_20)))) + (net mdout1_9_28 + (joined + (portRef D9 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_9_3_24)))) + (net mdout1_8_28 + (joined + (portRef D8 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_8_3_28)))) + (net mdout1_7_28 + (joined + (portRef D7 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_7_3_32)))) + (net mdout1_6_28 + (joined + (portRef D6 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_6_3_36)))) + (net mdout1_5_28 + (joined + (portRef D5 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_5_3_40)))) + (net mdout1_4_28 + (joined + (portRef D4 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_4_3_44)))) + (net mdout1_3_28 + (joined + (portRef D3 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_3_3_48)))) + (net mdout1_2_28 + (joined + (portRef D2 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_2_3_52)))) + (net mdout1_1_28 + (joined + (portRef D1 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_1_3_56)))) + (net mdout1_0_28 + (joined + (portRef D0 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_0_3_60)))) + (net mdout1_15_29 + (joined + (portRef D15 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_15_3_0)))) + (net mdout1_14_29 + (joined + (portRef D14 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_14_3_4)))) + (net mdout1_13_29 + (joined + (portRef D13 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_13_3_8)))) + (net mdout1_12_29 + (joined + (portRef D12 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_12_3_12)))) + (net mdout1_11_29 + (joined + (portRef D11 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_11_3_16)))) + (net mdout1_10_29 + (joined + (portRef D10 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_10_3_20)))) + (net mdout1_9_29 + (joined + (portRef D9 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_9_3_24)))) + (net mdout1_8_29 + (joined + (portRef D8 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_8_3_28)))) + (net mdout1_7_29 + (joined + (portRef D7 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_7_3_32)))) + (net mdout1_6_29 + (joined + (portRef D6 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_6_3_36)))) + (net mdout1_5_29 + (joined + (portRef D5 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_5_3_40)))) + (net mdout1_4_29 + (joined + (portRef D4 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_4_3_44)))) + (net mdout1_3_29 + (joined + (portRef D3 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_3_3_48)))) + (net mdout1_2_29 + (joined + (portRef D2 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_2_3_52)))) + (net mdout1_1_29 + (joined + (portRef D1 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_1_3_56)))) + (net mdout1_0_29 + (joined + (portRef D0 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_0_3_60)))) + (net mdout1_15_30 + (joined + (portRef D15 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_15_3_0)))) + (net mdout1_14_30 + (joined + (portRef D14 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_14_3_4)))) + (net mdout1_13_30 + (joined + (portRef D13 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_13_3_8)))) + (net mdout1_12_30 + (joined + (portRef D12 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_12_3_12)))) + (net mdout1_11_30 + (joined + (portRef D11 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_11_3_16)))) + (net mdout1_10_30 + (joined + (portRef D10 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_10_3_20)))) + (net mdout1_9_30 + (joined + (portRef D9 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_9_3_24)))) + (net mdout1_8_30 + (joined + (portRef D8 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_8_3_28)))) + (net mdout1_7_30 + (joined + (portRef D7 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_7_3_32)))) + (net mdout1_6_30 + (joined + (portRef D6 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_6_3_36)))) + (net mdout1_5_30 + (joined + (portRef D5 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_5_3_40)))) + (net mdout1_4_30 + (joined + (portRef D4 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_4_3_44)))) + (net mdout1_3_30 + (joined + (portRef D3 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_3_3_48)))) + (net mdout1_2_30 + (joined + (portRef D2 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_2_3_52)))) + (net mdout1_1_30 + (joined + (portRef D1 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_1_3_56)))) + (net mdout1_0_30 + (joined + (portRef D0 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_0_3_60)))) + (net mdout1_15_31 + (joined + (portRef D15 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_15_3_0)))) + (net mdout1_14_31 + (joined + (portRef D14 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_14_3_4)))) + (net mdout1_13_31 + (joined + (portRef D13 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_13_3_8)))) + (net mdout1_12_31 + (joined + (portRef D12 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_12_3_12)))) + (net mdout1_11_31 + (joined + (portRef D11 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_11_3_16)))) + (net mdout1_10_31 + (joined + (portRef D10 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_10_3_20)))) + (net mdout1_9_31 + (joined + (portRef D9 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_9_3_24)))) + (net mdout1_8_31 + (joined + (portRef D8 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_8_3_28)))) + (net mdout1_7_31 + (joined + (portRef D7 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_7_3_32)))) + (net mdout1_6_31 + (joined + (portRef D6 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_6_3_36)))) + (net mdout1_5_31 + (joined + (portRef D5 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_5_3_40)))) + (net mdout1_4_31 + (joined + (portRef D4 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_4_3_44)))) + (net mdout1_3_31 + (joined + (portRef D3 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_3_3_48)))) + (net mdout1_2_31 + (joined + (portRef D2 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_2_3_52)))) + (net mdout1_1_31 + (joined + (portRef D1 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_1_3_56)))) + (net mdout1_0_31 + (joined + (portRef D0 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_0_3_60)))) + (net mdout1_15_32 + (joined + (portRef D15 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_15_3_0)))) + (net mdout1_14_32 + (joined + (portRef D14 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_14_3_4)))) + (net mdout1_13_32 + (joined + (portRef D13 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_13_3_8)))) + (net mdout1_12_32 + (joined + (portRef D12 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_12_3_12)))) + (net mdout1_11_32 + (joined + (portRef D11 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_11_3_16)))) + (net mdout1_10_32 + (joined + (portRef D10 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_10_3_20)))) + (net mdout1_9_32 + (joined + (portRef D9 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_9_3_24)))) + (net mdout1_8_32 + (joined + (portRef D8 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_8_3_28)))) + (net mdout1_7_32 + (joined + (portRef D7 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_7_3_32)))) + (net mdout1_6_32 + (joined + (portRef D6 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_6_3_36)))) + (net mdout1_5_32 + (joined + (portRef D5 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_5_3_40)))) + (net mdout1_4_32 + (joined + (portRef D4 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_4_3_44)))) + (net mdout1_3_32 + (joined + (portRef D3 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_3_3_48)))) + (net mdout1_2_32 + (joined + (portRef D2 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_2_3_52)))) + (net mdout1_1_32 + (joined + (portRef D1 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_1_3_56)))) + (net mdout1_0_32 + (joined + (portRef D0 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_0_3_60)))) + (net mdout1_15_33 + (joined + (portRef D15 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_15_3_0)))) + (net mdout1_14_33 + (joined + (portRef D14 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_14_3_4)))) + (net mdout1_13_33 + (joined + (portRef D13 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_13_3_8)))) + (net mdout1_12_33 + (joined + (portRef D12 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_12_3_12)))) + (net mdout1_11_33 + (joined + (portRef D11 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_11_3_16)))) + (net mdout1_10_33 + (joined + (portRef D10 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_10_3_20)))) + (net mdout1_9_33 + (joined + (portRef D9 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_9_3_24)))) + (net mdout1_8_33 + (joined + (portRef D8 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_8_3_28)))) + (net mdout1_7_33 + (joined + (portRef D7 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_7_3_32)))) + (net mdout1_6_33 + (joined + (portRef D6 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_6_3_36)))) + (net mdout1_5_33 + (joined + (portRef D5 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_5_3_40)))) + (net mdout1_4_33 + (joined + (portRef D4 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_4_3_44)))) + (net mdout1_3_33 + (joined + (portRef D3 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_3_3_48)))) + (net mdout1_2_33 + (joined + (portRef D2 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_2_3_52)))) + (net mdout1_1_33 + (joined + (portRef D1 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_1_3_56)))) + (net mdout1_0_33 + (joined + (portRef D0 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_0_3_60)))) + (net mdout1_15_34 + (joined + (portRef D15 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_15_3_0)))) + (net mdout1_14_34 + (joined + (portRef D14 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_14_3_4)))) + (net mdout1_13_34 + (joined + (portRef D13 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_13_3_8)))) + (net mdout1_12_34 + (joined + (portRef D12 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_12_3_12)))) + (net mdout1_11_34 + (joined + (portRef D11 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_11_3_16)))) + (net mdout1_10_34 + (joined + (portRef D10 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_10_3_20)))) + (net mdout1_9_34 + (joined + (portRef D9 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_9_3_24)))) + (net mdout1_8_34 + (joined + (portRef D8 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_8_3_28)))) + (net mdout1_7_34 + (joined + (portRef D7 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_7_3_32)))) + (net mdout1_6_34 + (joined + (portRef D6 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_6_3_36)))) + (net mdout1_5_34 + (joined + (portRef D5 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_5_3_40)))) + (net mdout1_4_34 + (joined + (portRef D4 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_4_3_44)))) + (net mdout1_3_34 + (joined + (portRef D3 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_3_3_48)))) + (net mdout1_2_34 + (joined + (portRef D2 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_2_3_52)))) + (net mdout1_1_34 + (joined + (portRef D1 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_1_3_56)))) + (net mdout1_0_34 + (joined + (portRef D0 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_0_3_60)))) + (net rptr_14_ff2 + (joined + (portRef SD4 (instanceRef mux_0)) + (portRef Q (instanceRef FF_17)) + (portRef SD4 (instanceRef mux_35)) + (portRef SD4 (instanceRef mux_34)) + (portRef SD4 (instanceRef mux_33)) + (portRef SD4 (instanceRef mux_32)) + (portRef SD4 (instanceRef mux_31)) + (portRef SD4 (instanceRef mux_30)) + (portRef SD4 (instanceRef mux_29)) + (portRef SD4 (instanceRef mux_28)) + (portRef SD4 (instanceRef mux_27)) + (portRef SD4 (instanceRef mux_26)) + (portRef SD4 (instanceRef mux_25)) + (portRef SD4 (instanceRef mux_24)) + (portRef SD4 (instanceRef mux_23)) + (portRef SD4 (instanceRef mux_22)) + (portRef SD4 (instanceRef mux_21)) + (portRef SD4 (instanceRef mux_20)) + (portRef SD4 (instanceRef mux_19)) + (portRef SD4 (instanceRef mux_18)) + (portRef SD4 (instanceRef mux_17)) + (portRef SD4 (instanceRef mux_16)) + (portRef SD4 (instanceRef mux_15)) + (portRef SD4 (instanceRef mux_14)) + (portRef SD4 (instanceRef mux_13)) + (portRef SD4 (instanceRef mux_12)) + (portRef SD4 (instanceRef mux_11)) + (portRef SD4 (instanceRef mux_10)) + (portRef SD4 (instanceRef mux_9)) + (portRef SD4 (instanceRef mux_8)) + (portRef SD4 (instanceRef mux_7)) + (portRef SD4 (instanceRef mux_6)) + (portRef SD4 (instanceRef mux_5)) + (portRef SD4 (instanceRef mux_4)) + (portRef SD4 (instanceRef mux_3)) + (portRef SD4 (instanceRef mux_2)) + (portRef SD4 (instanceRef mux_1)))) + (net rptr_13_ff2 + (joined + (portRef SD3 (instanceRef mux_0)) + (portRef Q (instanceRef FF_18)) + (portRef SD3 (instanceRef mux_35)) + (portRef SD3 (instanceRef mux_34)) + (portRef SD3 (instanceRef mux_33)) + (portRef SD3 (instanceRef mux_32)) + (portRef SD3 (instanceRef mux_31)) + (portRef SD3 (instanceRef mux_30)) + (portRef SD3 (instanceRef mux_29)) + (portRef SD3 (instanceRef mux_28)) + (portRef SD3 (instanceRef mux_27)) + (portRef SD3 (instanceRef mux_26)) + (portRef SD3 (instanceRef mux_25)) + (portRef SD3 (instanceRef mux_24)) + (portRef SD3 (instanceRef mux_23)) + (portRef SD3 (instanceRef mux_22)) + (portRef SD3 (instanceRef mux_21)) + (portRef SD3 (instanceRef mux_20)) + (portRef SD3 (instanceRef mux_19)) + (portRef SD3 (instanceRef mux_18)) + (portRef SD3 (instanceRef mux_17)) + (portRef SD3 (instanceRef mux_16)) + (portRef SD3 (instanceRef mux_15)) + (portRef SD3 (instanceRef mux_14)) + (portRef SD3 (instanceRef mux_13)) + (portRef SD3 (instanceRef mux_12)) + (portRef SD3 (instanceRef mux_11)) + (portRef SD3 (instanceRef mux_10)) + (portRef SD3 (instanceRef mux_9)) + (portRef SD3 (instanceRef mux_8)) + (portRef SD3 (instanceRef mux_7)) + (portRef SD3 (instanceRef mux_6)) + (portRef SD3 (instanceRef mux_5)) + (portRef SD3 (instanceRef mux_4)) + (portRef SD3 (instanceRef mux_3)) + (portRef SD3 (instanceRef mux_2)) + (portRef SD3 (instanceRef mux_1)))) + (net rptr_12_ff2 + (joined + (portRef SD2 (instanceRef mux_0)) + (portRef Q (instanceRef FF_19)) + (portRef SD2 (instanceRef mux_35)) + (portRef SD2 (instanceRef mux_34)) + (portRef SD2 (instanceRef mux_33)) + (portRef SD2 (instanceRef mux_32)) + (portRef SD2 (instanceRef mux_31)) + (portRef SD2 (instanceRef mux_30)) + (portRef SD2 (instanceRef mux_29)) + (portRef SD2 (instanceRef mux_28)) + (portRef SD2 (instanceRef mux_27)) + (portRef SD2 (instanceRef mux_26)) + (portRef SD2 (instanceRef mux_25)) + (portRef SD2 (instanceRef mux_24)) + (portRef SD2 (instanceRef mux_23)) + (portRef SD2 (instanceRef mux_22)) + (portRef SD2 (instanceRef mux_21)) + (portRef SD2 (instanceRef mux_20)) + (portRef SD2 (instanceRef mux_19)) + (portRef SD2 (instanceRef mux_18)) + (portRef SD2 (instanceRef mux_17)) + (portRef SD2 (instanceRef mux_16)) + (portRef SD2 (instanceRef mux_15)) + (portRef SD2 (instanceRef mux_14)) + (portRef SD2 (instanceRef mux_13)) + (portRef SD2 (instanceRef mux_12)) + (portRef SD2 (instanceRef mux_11)) + (portRef SD2 (instanceRef mux_10)) + (portRef SD2 (instanceRef mux_9)) + (portRef SD2 (instanceRef mux_8)) + (portRef SD2 (instanceRef mux_7)) + (portRef SD2 (instanceRef mux_6)) + (portRef SD2 (instanceRef mux_5)) + (portRef SD2 (instanceRef mux_4)) + (portRef SD2 (instanceRef mux_3)) + (portRef SD2 (instanceRef mux_2)) + (portRef SD2 (instanceRef mux_1)))) + (net rptr_11_ff2 + (joined + (portRef SD1 (instanceRef mux_0)) + (portRef Q (instanceRef FF_20)) + (portRef SD1 (instanceRef mux_35)) + (portRef SD1 (instanceRef mux_34)) + (portRef SD1 (instanceRef mux_33)) + (portRef SD1 (instanceRef mux_32)) + (portRef SD1 (instanceRef mux_31)) + (portRef SD1 (instanceRef mux_30)) + (portRef SD1 (instanceRef mux_29)) + (portRef SD1 (instanceRef mux_28)) + (portRef SD1 (instanceRef mux_27)) + (portRef SD1 (instanceRef mux_26)) + (portRef SD1 (instanceRef mux_25)) + (portRef SD1 (instanceRef mux_24)) + (portRef SD1 (instanceRef mux_23)) + (portRef SD1 (instanceRef mux_22)) + (portRef SD1 (instanceRef mux_21)) + (portRef SD1 (instanceRef mux_20)) + (portRef SD1 (instanceRef mux_19)) + (portRef SD1 (instanceRef mux_18)) + (portRef SD1 (instanceRef mux_17)) + (portRef SD1 (instanceRef mux_16)) + (portRef SD1 (instanceRef mux_15)) + (portRef SD1 (instanceRef mux_14)) + (portRef SD1 (instanceRef mux_13)) + (portRef SD1 (instanceRef mux_12)) + (portRef SD1 (instanceRef mux_11)) + (portRef SD1 (instanceRef mux_10)) + (portRef SD1 (instanceRef mux_9)) + (portRef SD1 (instanceRef mux_8)) + (portRef SD1 (instanceRef mux_7)) + (portRef SD1 (instanceRef mux_6)) + (portRef SD1 (instanceRef mux_5)) + (portRef SD1 (instanceRef mux_4)) + (portRef SD1 (instanceRef mux_3)) + (portRef SD1 (instanceRef mux_2)) + (portRef SD1 (instanceRef mux_1)))) + (net mdout1_15_35 + (joined + (portRef D15 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_15_3_0)))) + (net mdout1_14_35 + (joined + (portRef D14 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_14_3_4)))) + (net mdout1_13_35 + (joined + (portRef D13 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_13_3_8)))) + (net mdout1_12_35 + (joined + (portRef D12 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_12_3_12)))) + (net mdout1_11_35 + (joined + (portRef D11 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_11_3_16)))) + (net mdout1_10_35 + (joined + (portRef D10 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_10_3_20)))) + (net mdout1_9_35 + (joined + (portRef D9 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_9_3_24)))) + (net mdout1_8_35 + (joined + (portRef D8 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_8_3_28)))) + (net mdout1_7_35 + (joined + (portRef D7 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_7_3_32)))) + (net mdout1_6_35 + (joined + (portRef D6 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_6_3_36)))) + (net mdout1_5_35 + (joined + (portRef D5 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_5_3_40)))) + (net mdout1_4_35 + (joined + (portRef D4 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_4_3_44)))) + (net mdout1_3_35 + (joined + (portRef D3 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_3_3_48)))) + (net mdout1_2_35 + (joined + (portRef D2 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_2_3_52)))) + (net mdout1_1_35 + (joined + (portRef D1 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_1_3_56)))) + (net mdout1_0_35 + (joined + (portRef D0 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_0_3_60)))) + (net wcnt_sub_0 + (joined + (portRef S1 (instanceRef wcnt_0)) + (portRef D (instanceRef FF_16)))) + (net rptr_0 + (joined + (portRef B1 (instanceRef wcnt_0)) + (portRef ADB3 (instanceRef pdp_ram_0_0_63)) + (portRef ADB3 (instanceRef pdp_ram_0_1_62)) + (portRef ADB3 (instanceRef pdp_ram_0_2_61)) + (portRef ADB3 (instanceRef pdp_ram_0_3_60)) + (portRef ADB3 (instanceRef pdp_ram_1_0_59)) + (portRef ADB3 (instanceRef pdp_ram_1_1_58)) + (portRef ADB3 (instanceRef pdp_ram_1_2_57)) + (portRef ADB3 (instanceRef pdp_ram_1_3_56)) + (portRef ADB3 (instanceRef pdp_ram_2_0_55)) + (portRef ADB3 (instanceRef pdp_ram_2_1_54)) + (portRef ADB3 (instanceRef pdp_ram_2_2_53)) + (portRef ADB3 (instanceRef pdp_ram_2_3_52)) + (portRef ADB3 (instanceRef pdp_ram_3_0_51)) + (portRef ADB3 (instanceRef pdp_ram_3_1_50)) + (portRef ADB3 (instanceRef pdp_ram_3_2_49)) + (portRef ADB3 (instanceRef pdp_ram_3_3_48)) + (portRef ADB3 (instanceRef pdp_ram_4_0_47)) + (portRef ADB3 (instanceRef pdp_ram_4_1_46)) + (portRef ADB3 (instanceRef pdp_ram_4_2_45)) + (portRef ADB3 (instanceRef pdp_ram_4_3_44)) + (portRef ADB3 (instanceRef pdp_ram_5_0_43)) + (portRef ADB3 (instanceRef pdp_ram_5_1_42)) + (portRef ADB3 (instanceRef pdp_ram_5_2_41)) + (portRef ADB3 (instanceRef pdp_ram_5_3_40)) + (portRef ADB3 (instanceRef pdp_ram_6_0_39)) + (portRef ADB3 (instanceRef pdp_ram_6_1_38)) + (portRef ADB3 (instanceRef pdp_ram_6_2_37)) + (portRef ADB3 (instanceRef pdp_ram_6_3_36)) + (portRef ADB3 (instanceRef pdp_ram_7_0_35)) + (portRef ADB3 (instanceRef pdp_ram_7_1_34)) + (portRef ADB3 (instanceRef pdp_ram_7_2_33)) + (portRef ADB3 (instanceRef pdp_ram_7_3_32)) + (portRef ADB3 (instanceRef pdp_ram_8_0_31)) + (portRef ADB3 (instanceRef pdp_ram_8_1_30)) + (portRef ADB3 (instanceRef pdp_ram_8_2_29)) + (portRef ADB3 (instanceRef pdp_ram_8_3_28)) + (portRef ADB3 (instanceRef pdp_ram_9_0_27)) + (portRef ADB3 (instanceRef pdp_ram_9_1_26)) + (portRef ADB3 (instanceRef pdp_ram_9_2_25)) + (portRef ADB3 (instanceRef pdp_ram_9_3_24)) + (portRef ADB3 (instanceRef pdp_ram_10_0_23)) + (portRef ADB3 (instanceRef pdp_ram_10_1_22)) + (portRef ADB3 (instanceRef pdp_ram_10_2_21)) + (portRef ADB3 (instanceRef pdp_ram_10_3_20)) + (portRef ADB3 (instanceRef pdp_ram_11_0_19)) + (portRef ADB3 (instanceRef pdp_ram_11_1_18)) + (portRef ADB3 (instanceRef pdp_ram_11_2_17)) + (portRef ADB3 (instanceRef pdp_ram_11_3_16)) + (portRef ADB3 (instanceRef pdp_ram_12_0_15)) + (portRef ADB3 (instanceRef pdp_ram_12_1_14)) + (portRef ADB3 (instanceRef pdp_ram_12_2_13)) + (portRef ADB3 (instanceRef pdp_ram_12_3_12)) + (portRef ADB3 (instanceRef pdp_ram_13_0_11)) + (portRef ADB3 (instanceRef pdp_ram_13_1_10)) + (portRef ADB3 (instanceRef pdp_ram_13_2_9)) + (portRef ADB3 (instanceRef pdp_ram_13_3_8)) + (portRef ADB3 (instanceRef pdp_ram_14_0_7)) + (portRef ADB3 (instanceRef pdp_ram_14_1_6)) + (portRef ADB3 (instanceRef pdp_ram_14_2_5)) + (portRef ADB3 (instanceRef pdp_ram_14_3_4)) + (portRef ADB3 (instanceRef pdp_ram_15_0_3)) + (portRef ADB3 (instanceRef pdp_ram_15_1_2)) + (portRef ADB3 (instanceRef pdp_ram_15_2_1)) + (portRef ADB3 (instanceRef pdp_ram_15_3_0)) + (portRef Q (instanceRef FF_40)))) + (net cnt_con_inv + (joined + (portRef B0 (instanceRef wcnt_0)) + (portRef Z (instanceRef INV_0)))) + (net wcount_0 + (joined + (portRef A1 (instanceRef wcnt_0)) + (portRef Q (instanceRef FF_88)) + (portRef D (instanceRef FF_56)) + (portRef A0 (instanceRef w_ctr_0)))) + (net cnt_con + (joined + (portRef A0 (instanceRef wcnt_0)) + (portRef Z (instanceRef AND2_t3)) + (portRef A (instanceRef INV_0)) + (portRef B1 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_cia)) + (portRef B1 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef bdcnt_bctr_4)) + (portRef B1 (instanceRef bdcnt_bctr_5)) + (portRef B0 (instanceRef bdcnt_bctr_5)) + (portRef B1 (instanceRef bdcnt_bctr_6)) + (portRef B0 (instanceRef bdcnt_bctr_6)) + (portRef B1 (instanceRef bdcnt_bctr_7)) + (portRef B0 (instanceRef bdcnt_bctr_7)))) + (net precin + (joined + (portRef CIN (instanceRef wcnt_0)) + (portRef COUT (instanceRef precin_inst1073)))) + (net wcnt_sub_1 + (joined + (portRef S0 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_15)))) + (net wcnt_sub_2 + (joined + (portRef S1 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_14)))) + (net rptr_2 + (joined + (portRef B1 (instanceRef wcnt_1)) + (portRef ADB5 (instanceRef pdp_ram_0_0_63)) + (portRef ADB5 (instanceRef pdp_ram_0_1_62)) + (portRef ADB5 (instanceRef pdp_ram_0_2_61)) + (portRef ADB5 (instanceRef pdp_ram_0_3_60)) + (portRef ADB5 (instanceRef pdp_ram_1_0_59)) + (portRef ADB5 (instanceRef pdp_ram_1_1_58)) + (portRef ADB5 (instanceRef pdp_ram_1_2_57)) + (portRef ADB5 (instanceRef pdp_ram_1_3_56)) + (portRef ADB5 (instanceRef pdp_ram_2_0_55)) + (portRef ADB5 (instanceRef pdp_ram_2_1_54)) + (portRef ADB5 (instanceRef pdp_ram_2_2_53)) + (portRef ADB5 (instanceRef pdp_ram_2_3_52)) + (portRef ADB5 (instanceRef pdp_ram_3_0_51)) + (portRef ADB5 (instanceRef pdp_ram_3_1_50)) + (portRef ADB5 (instanceRef pdp_ram_3_2_49)) + (portRef ADB5 (instanceRef pdp_ram_3_3_48)) + (portRef ADB5 (instanceRef pdp_ram_4_0_47)) + (portRef ADB5 (instanceRef pdp_ram_4_1_46)) + (portRef ADB5 (instanceRef pdp_ram_4_2_45)) + (portRef ADB5 (instanceRef pdp_ram_4_3_44)) + (portRef ADB5 (instanceRef pdp_ram_5_0_43)) + (portRef ADB5 (instanceRef pdp_ram_5_1_42)) + (portRef ADB5 (instanceRef pdp_ram_5_2_41)) + (portRef ADB5 (instanceRef pdp_ram_5_3_40)) + (portRef ADB5 (instanceRef pdp_ram_6_0_39)) + (portRef ADB5 (instanceRef pdp_ram_6_1_38)) + (portRef ADB5 (instanceRef pdp_ram_6_2_37)) + (portRef ADB5 (instanceRef pdp_ram_6_3_36)) + (portRef ADB5 (instanceRef pdp_ram_7_0_35)) + (portRef ADB5 (instanceRef pdp_ram_7_1_34)) + (portRef ADB5 (instanceRef pdp_ram_7_2_33)) + (portRef ADB5 (instanceRef pdp_ram_7_3_32)) + (portRef ADB5 (instanceRef pdp_ram_8_0_31)) + (portRef ADB5 (instanceRef pdp_ram_8_1_30)) + (portRef ADB5 (instanceRef pdp_ram_8_2_29)) + (portRef ADB5 (instanceRef pdp_ram_8_3_28)) + (portRef ADB5 (instanceRef pdp_ram_9_0_27)) + (portRef ADB5 (instanceRef pdp_ram_9_1_26)) + (portRef ADB5 (instanceRef pdp_ram_9_2_25)) + (portRef ADB5 (instanceRef pdp_ram_9_3_24)) + (portRef ADB5 (instanceRef pdp_ram_10_0_23)) + (portRef ADB5 (instanceRef pdp_ram_10_1_22)) + (portRef ADB5 (instanceRef pdp_ram_10_2_21)) + (portRef ADB5 (instanceRef pdp_ram_10_3_20)) + (portRef ADB5 (instanceRef pdp_ram_11_0_19)) + (portRef ADB5 (instanceRef pdp_ram_11_1_18)) + (portRef ADB5 (instanceRef pdp_ram_11_2_17)) + (portRef ADB5 (instanceRef pdp_ram_11_3_16)) + (portRef ADB5 (instanceRef pdp_ram_12_0_15)) + (portRef ADB5 (instanceRef pdp_ram_12_1_14)) + (portRef ADB5 (instanceRef pdp_ram_12_2_13)) + (portRef ADB5 (instanceRef pdp_ram_12_3_12)) + (portRef ADB5 (instanceRef pdp_ram_13_0_11)) + (portRef ADB5 (instanceRef pdp_ram_13_1_10)) + (portRef ADB5 (instanceRef pdp_ram_13_2_9)) + (portRef ADB5 (instanceRef pdp_ram_13_3_8)) + (portRef ADB5 (instanceRef pdp_ram_14_0_7)) + (portRef ADB5 (instanceRef pdp_ram_14_1_6)) + (portRef ADB5 (instanceRef pdp_ram_14_2_5)) + (portRef ADB5 (instanceRef pdp_ram_14_3_4)) + (portRef ADB5 (instanceRef pdp_ram_15_0_3)) + (portRef ADB5 (instanceRef pdp_ram_15_1_2)) + (portRef ADB5 (instanceRef pdp_ram_15_2_1)) + (portRef ADB5 (instanceRef pdp_ram_15_3_0)) + (portRef Q (instanceRef FF_38)))) + (net rptr_1 + (joined + (portRef B0 (instanceRef wcnt_1)) + (portRef ADB4 (instanceRef pdp_ram_0_0_63)) + (portRef ADB4 (instanceRef pdp_ram_0_1_62)) + (portRef ADB4 (instanceRef pdp_ram_0_2_61)) + (portRef ADB4 (instanceRef pdp_ram_0_3_60)) + (portRef ADB4 (instanceRef pdp_ram_1_0_59)) + (portRef ADB4 (instanceRef pdp_ram_1_1_58)) + (portRef ADB4 (instanceRef pdp_ram_1_2_57)) + (portRef ADB4 (instanceRef pdp_ram_1_3_56)) + (portRef ADB4 (instanceRef pdp_ram_2_0_55)) + (portRef ADB4 (instanceRef pdp_ram_2_1_54)) + (portRef ADB4 (instanceRef pdp_ram_2_2_53)) + (portRef ADB4 (instanceRef pdp_ram_2_3_52)) + (portRef ADB4 (instanceRef pdp_ram_3_0_51)) + (portRef ADB4 (instanceRef pdp_ram_3_1_50)) + (portRef ADB4 (instanceRef pdp_ram_3_2_49)) + (portRef ADB4 (instanceRef pdp_ram_3_3_48)) + (portRef ADB4 (instanceRef pdp_ram_4_0_47)) + (portRef ADB4 (instanceRef pdp_ram_4_1_46)) + (portRef ADB4 (instanceRef pdp_ram_4_2_45)) + (portRef ADB4 (instanceRef pdp_ram_4_3_44)) + (portRef ADB4 (instanceRef pdp_ram_5_0_43)) + (portRef ADB4 (instanceRef pdp_ram_5_1_42)) + (portRef ADB4 (instanceRef pdp_ram_5_2_41)) + (portRef ADB4 (instanceRef pdp_ram_5_3_40)) + (portRef ADB4 (instanceRef pdp_ram_6_0_39)) + (portRef ADB4 (instanceRef pdp_ram_6_1_38)) + (portRef ADB4 (instanceRef pdp_ram_6_2_37)) + (portRef ADB4 (instanceRef pdp_ram_6_3_36)) + (portRef ADB4 (instanceRef pdp_ram_7_0_35)) + (portRef ADB4 (instanceRef pdp_ram_7_1_34)) + (portRef ADB4 (instanceRef pdp_ram_7_2_33)) + (portRef ADB4 (instanceRef pdp_ram_7_3_32)) + (portRef ADB4 (instanceRef pdp_ram_8_0_31)) + (portRef ADB4 (instanceRef pdp_ram_8_1_30)) + (portRef ADB4 (instanceRef pdp_ram_8_2_29)) + (portRef ADB4 (instanceRef pdp_ram_8_3_28)) + (portRef ADB4 (instanceRef pdp_ram_9_0_27)) + (portRef ADB4 (instanceRef pdp_ram_9_1_26)) + (portRef ADB4 (instanceRef pdp_ram_9_2_25)) + (portRef ADB4 (instanceRef pdp_ram_9_3_24)) + (portRef ADB4 (instanceRef pdp_ram_10_0_23)) + (portRef ADB4 (instanceRef pdp_ram_10_1_22)) + (portRef ADB4 (instanceRef pdp_ram_10_2_21)) + (portRef ADB4 (instanceRef pdp_ram_10_3_20)) + (portRef ADB4 (instanceRef pdp_ram_11_0_19)) + (portRef ADB4 (instanceRef pdp_ram_11_1_18)) + (portRef ADB4 (instanceRef pdp_ram_11_2_17)) + (portRef ADB4 (instanceRef pdp_ram_11_3_16)) + (portRef ADB4 (instanceRef pdp_ram_12_0_15)) + (portRef ADB4 (instanceRef pdp_ram_12_1_14)) + (portRef ADB4 (instanceRef pdp_ram_12_2_13)) + (portRef ADB4 (instanceRef pdp_ram_12_3_12)) + (portRef ADB4 (instanceRef pdp_ram_13_0_11)) + (portRef ADB4 (instanceRef pdp_ram_13_1_10)) + (portRef ADB4 (instanceRef pdp_ram_13_2_9)) + (portRef ADB4 (instanceRef pdp_ram_13_3_8)) + (portRef ADB4 (instanceRef pdp_ram_14_0_7)) + (portRef ADB4 (instanceRef pdp_ram_14_1_6)) + (portRef ADB4 (instanceRef pdp_ram_14_2_5)) + (portRef ADB4 (instanceRef pdp_ram_14_3_4)) + (portRef ADB4 (instanceRef pdp_ram_15_0_3)) + (portRef ADB4 (instanceRef pdp_ram_15_1_2)) + (portRef ADB4 (instanceRef pdp_ram_15_2_1)) + (portRef ADB4 (instanceRef pdp_ram_15_3_0)) + (portRef Q (instanceRef FF_39)))) + (net wcount_2 + (joined + (portRef A1 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_86)) + (portRef D (instanceRef FF_54)) + (portRef A0 (instanceRef w_ctr_1)))) + (net wcount_1 + (joined + (portRef A0 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_87)) + (portRef D (instanceRef FF_55)) + (portRef A1 (instanceRef w_ctr_0)))) + (net co0_5 + (joined + (portRef CIN (instanceRef wcnt_1)) + (portRef COUT (instanceRef wcnt_0)))) + (net wcnt_sub_3 + (joined + (portRef S0 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_13)))) + (net wcnt_sub_4 + (joined + (portRef S1 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_12)))) + (net rptr_4 + (joined + (portRef B1 (instanceRef wcnt_2)) + (portRef ADB7 (instanceRef pdp_ram_0_0_63)) + (portRef ADB7 (instanceRef pdp_ram_0_1_62)) + (portRef ADB7 (instanceRef pdp_ram_0_2_61)) + (portRef ADB7 (instanceRef pdp_ram_0_3_60)) + (portRef ADB7 (instanceRef pdp_ram_1_0_59)) + (portRef ADB7 (instanceRef pdp_ram_1_1_58)) + (portRef ADB7 (instanceRef pdp_ram_1_2_57)) + (portRef ADB7 (instanceRef pdp_ram_1_3_56)) + (portRef ADB7 (instanceRef pdp_ram_2_0_55)) + (portRef ADB7 (instanceRef pdp_ram_2_1_54)) + (portRef ADB7 (instanceRef pdp_ram_2_2_53)) + (portRef ADB7 (instanceRef pdp_ram_2_3_52)) + (portRef ADB7 (instanceRef pdp_ram_3_0_51)) + (portRef ADB7 (instanceRef pdp_ram_3_1_50)) + (portRef ADB7 (instanceRef pdp_ram_3_2_49)) + (portRef ADB7 (instanceRef pdp_ram_3_3_48)) + (portRef ADB7 (instanceRef pdp_ram_4_0_47)) + (portRef ADB7 (instanceRef pdp_ram_4_1_46)) + (portRef ADB7 (instanceRef pdp_ram_4_2_45)) + (portRef ADB7 (instanceRef pdp_ram_4_3_44)) + (portRef ADB7 (instanceRef pdp_ram_5_0_43)) + (portRef ADB7 (instanceRef pdp_ram_5_1_42)) + (portRef ADB7 (instanceRef pdp_ram_5_2_41)) + (portRef ADB7 (instanceRef pdp_ram_5_3_40)) + (portRef ADB7 (instanceRef pdp_ram_6_0_39)) + (portRef ADB7 (instanceRef pdp_ram_6_1_38)) + (portRef ADB7 (instanceRef pdp_ram_6_2_37)) + (portRef ADB7 (instanceRef pdp_ram_6_3_36)) + (portRef ADB7 (instanceRef pdp_ram_7_0_35)) + (portRef ADB7 (instanceRef pdp_ram_7_1_34)) + (portRef ADB7 (instanceRef pdp_ram_7_2_33)) + (portRef ADB7 (instanceRef pdp_ram_7_3_32)) + (portRef ADB7 (instanceRef pdp_ram_8_0_31)) + (portRef ADB7 (instanceRef pdp_ram_8_1_30)) + (portRef ADB7 (instanceRef pdp_ram_8_2_29)) + (portRef ADB7 (instanceRef pdp_ram_8_3_28)) + (portRef ADB7 (instanceRef pdp_ram_9_0_27)) + (portRef ADB7 (instanceRef pdp_ram_9_1_26)) + (portRef ADB7 (instanceRef pdp_ram_9_2_25)) + (portRef ADB7 (instanceRef pdp_ram_9_3_24)) + (portRef ADB7 (instanceRef pdp_ram_10_0_23)) + (portRef ADB7 (instanceRef pdp_ram_10_1_22)) + (portRef ADB7 (instanceRef pdp_ram_10_2_21)) + (portRef ADB7 (instanceRef pdp_ram_10_3_20)) + (portRef ADB7 (instanceRef pdp_ram_11_0_19)) + (portRef ADB7 (instanceRef pdp_ram_11_1_18)) + (portRef ADB7 (instanceRef pdp_ram_11_2_17)) + (portRef ADB7 (instanceRef pdp_ram_11_3_16)) + (portRef ADB7 (instanceRef pdp_ram_12_0_15)) + (portRef ADB7 (instanceRef pdp_ram_12_1_14)) + (portRef ADB7 (instanceRef pdp_ram_12_2_13)) + (portRef ADB7 (instanceRef pdp_ram_12_3_12)) + (portRef ADB7 (instanceRef pdp_ram_13_0_11)) + (portRef ADB7 (instanceRef pdp_ram_13_1_10)) + (portRef ADB7 (instanceRef pdp_ram_13_2_9)) + (portRef ADB7 (instanceRef pdp_ram_13_3_8)) + (portRef ADB7 (instanceRef pdp_ram_14_0_7)) + (portRef ADB7 (instanceRef pdp_ram_14_1_6)) + (portRef ADB7 (instanceRef pdp_ram_14_2_5)) + (portRef ADB7 (instanceRef pdp_ram_14_3_4)) + (portRef ADB7 (instanceRef pdp_ram_15_0_3)) + (portRef ADB7 (instanceRef pdp_ram_15_1_2)) + (portRef ADB7 (instanceRef pdp_ram_15_2_1)) + (portRef ADB7 (instanceRef pdp_ram_15_3_0)) + (portRef Q (instanceRef FF_36)))) + (net rptr_3 + (joined + (portRef B0 (instanceRef wcnt_2)) + (portRef ADB6 (instanceRef pdp_ram_0_0_63)) + (portRef ADB6 (instanceRef pdp_ram_0_1_62)) + (portRef ADB6 (instanceRef pdp_ram_0_2_61)) + (portRef ADB6 (instanceRef pdp_ram_0_3_60)) + (portRef ADB6 (instanceRef pdp_ram_1_0_59)) + (portRef ADB6 (instanceRef pdp_ram_1_1_58)) + (portRef ADB6 (instanceRef pdp_ram_1_2_57)) + (portRef ADB6 (instanceRef pdp_ram_1_3_56)) + (portRef ADB6 (instanceRef pdp_ram_2_0_55)) + (portRef ADB6 (instanceRef pdp_ram_2_1_54)) + (portRef ADB6 (instanceRef pdp_ram_2_2_53)) + (portRef ADB6 (instanceRef pdp_ram_2_3_52)) + (portRef ADB6 (instanceRef pdp_ram_3_0_51)) + (portRef ADB6 (instanceRef pdp_ram_3_1_50)) + (portRef ADB6 (instanceRef pdp_ram_3_2_49)) + (portRef ADB6 (instanceRef pdp_ram_3_3_48)) + (portRef ADB6 (instanceRef pdp_ram_4_0_47)) + (portRef ADB6 (instanceRef pdp_ram_4_1_46)) + (portRef ADB6 (instanceRef pdp_ram_4_2_45)) + (portRef ADB6 (instanceRef pdp_ram_4_3_44)) + (portRef ADB6 (instanceRef pdp_ram_5_0_43)) + (portRef ADB6 (instanceRef pdp_ram_5_1_42)) + (portRef ADB6 (instanceRef pdp_ram_5_2_41)) + (portRef ADB6 (instanceRef pdp_ram_5_3_40)) + (portRef ADB6 (instanceRef pdp_ram_6_0_39)) + (portRef ADB6 (instanceRef pdp_ram_6_1_38)) + (portRef ADB6 (instanceRef pdp_ram_6_2_37)) + (portRef ADB6 (instanceRef pdp_ram_6_3_36)) + (portRef ADB6 (instanceRef pdp_ram_7_0_35)) + (portRef ADB6 (instanceRef pdp_ram_7_1_34)) + (portRef ADB6 (instanceRef pdp_ram_7_2_33)) + (portRef ADB6 (instanceRef pdp_ram_7_3_32)) + (portRef ADB6 (instanceRef pdp_ram_8_0_31)) + (portRef ADB6 (instanceRef pdp_ram_8_1_30)) + (portRef ADB6 (instanceRef pdp_ram_8_2_29)) + (portRef ADB6 (instanceRef pdp_ram_8_3_28)) + (portRef ADB6 (instanceRef pdp_ram_9_0_27)) + (portRef ADB6 (instanceRef pdp_ram_9_1_26)) + (portRef ADB6 (instanceRef pdp_ram_9_2_25)) + (portRef ADB6 (instanceRef pdp_ram_9_3_24)) + (portRef ADB6 (instanceRef pdp_ram_10_0_23)) + (portRef ADB6 (instanceRef pdp_ram_10_1_22)) + (portRef ADB6 (instanceRef pdp_ram_10_2_21)) + (portRef ADB6 (instanceRef pdp_ram_10_3_20)) + (portRef ADB6 (instanceRef pdp_ram_11_0_19)) + (portRef ADB6 (instanceRef pdp_ram_11_1_18)) + (portRef ADB6 (instanceRef pdp_ram_11_2_17)) + (portRef ADB6 (instanceRef pdp_ram_11_3_16)) + (portRef ADB6 (instanceRef pdp_ram_12_0_15)) + (portRef ADB6 (instanceRef pdp_ram_12_1_14)) + (portRef ADB6 (instanceRef pdp_ram_12_2_13)) + (portRef ADB6 (instanceRef pdp_ram_12_3_12)) + (portRef ADB6 (instanceRef pdp_ram_13_0_11)) + (portRef ADB6 (instanceRef pdp_ram_13_1_10)) + (portRef ADB6 (instanceRef pdp_ram_13_2_9)) + (portRef ADB6 (instanceRef pdp_ram_13_3_8)) + (portRef ADB6 (instanceRef pdp_ram_14_0_7)) + (portRef ADB6 (instanceRef pdp_ram_14_1_6)) + (portRef ADB6 (instanceRef pdp_ram_14_2_5)) + (portRef ADB6 (instanceRef pdp_ram_14_3_4)) + (portRef ADB6 (instanceRef pdp_ram_15_0_3)) + (portRef ADB6 (instanceRef pdp_ram_15_1_2)) + (portRef ADB6 (instanceRef pdp_ram_15_2_1)) + (portRef ADB6 (instanceRef pdp_ram_15_3_0)) + (portRef Q (instanceRef FF_37)))) + (net wcount_4 + (joined + (portRef A1 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_84)) + (portRef D (instanceRef FF_52)) + (portRef A0 (instanceRef w_ctr_2)))) + (net wcount_3 + (joined + (portRef A0 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_85)) + (portRef D (instanceRef FF_53)) + (portRef A1 (instanceRef w_ctr_1)))) + (net co1_5 + (joined + (portRef CIN (instanceRef wcnt_2)) + (portRef COUT (instanceRef wcnt_1)))) + (net wcnt_sub_5 + (joined + (portRef S0 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_11)))) + (net wcnt_sub_6 + (joined + (portRef S1 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_10)))) + (net rptr_6 + (joined + (portRef B1 (instanceRef wcnt_3)) + (portRef ADB9 (instanceRef pdp_ram_0_0_63)) + (portRef ADB9 (instanceRef pdp_ram_0_1_62)) + (portRef ADB9 (instanceRef pdp_ram_0_2_61)) + (portRef ADB9 (instanceRef pdp_ram_0_3_60)) + (portRef ADB9 (instanceRef pdp_ram_1_0_59)) + (portRef ADB9 (instanceRef pdp_ram_1_1_58)) + (portRef ADB9 (instanceRef pdp_ram_1_2_57)) + (portRef ADB9 (instanceRef pdp_ram_1_3_56)) + (portRef ADB9 (instanceRef pdp_ram_2_0_55)) + (portRef ADB9 (instanceRef pdp_ram_2_1_54)) + (portRef ADB9 (instanceRef pdp_ram_2_2_53)) + (portRef ADB9 (instanceRef pdp_ram_2_3_52)) + (portRef ADB9 (instanceRef pdp_ram_3_0_51)) + (portRef ADB9 (instanceRef pdp_ram_3_1_50)) + (portRef ADB9 (instanceRef pdp_ram_3_2_49)) + (portRef ADB9 (instanceRef pdp_ram_3_3_48)) + (portRef ADB9 (instanceRef pdp_ram_4_0_47)) + (portRef ADB9 (instanceRef pdp_ram_4_1_46)) + (portRef ADB9 (instanceRef pdp_ram_4_2_45)) + (portRef ADB9 (instanceRef pdp_ram_4_3_44)) + (portRef ADB9 (instanceRef pdp_ram_5_0_43)) + (portRef ADB9 (instanceRef pdp_ram_5_1_42)) + (portRef ADB9 (instanceRef pdp_ram_5_2_41)) + (portRef ADB9 (instanceRef pdp_ram_5_3_40)) + (portRef ADB9 (instanceRef pdp_ram_6_0_39)) + (portRef ADB9 (instanceRef pdp_ram_6_1_38)) + (portRef ADB9 (instanceRef pdp_ram_6_2_37)) + (portRef ADB9 (instanceRef pdp_ram_6_3_36)) + (portRef ADB9 (instanceRef pdp_ram_7_0_35)) + (portRef ADB9 (instanceRef pdp_ram_7_1_34)) + (portRef ADB9 (instanceRef pdp_ram_7_2_33)) + (portRef ADB9 (instanceRef pdp_ram_7_3_32)) + (portRef ADB9 (instanceRef pdp_ram_8_0_31)) + (portRef ADB9 (instanceRef pdp_ram_8_1_30)) + (portRef ADB9 (instanceRef pdp_ram_8_2_29)) + (portRef ADB9 (instanceRef pdp_ram_8_3_28)) + (portRef ADB9 (instanceRef pdp_ram_9_0_27)) + (portRef ADB9 (instanceRef pdp_ram_9_1_26)) + (portRef ADB9 (instanceRef pdp_ram_9_2_25)) + (portRef ADB9 (instanceRef pdp_ram_9_3_24)) + (portRef ADB9 (instanceRef pdp_ram_10_0_23)) + (portRef ADB9 (instanceRef pdp_ram_10_1_22)) + (portRef ADB9 (instanceRef pdp_ram_10_2_21)) + (portRef ADB9 (instanceRef pdp_ram_10_3_20)) + (portRef ADB9 (instanceRef pdp_ram_11_0_19)) + (portRef ADB9 (instanceRef pdp_ram_11_1_18)) + (portRef ADB9 (instanceRef pdp_ram_11_2_17)) + (portRef ADB9 (instanceRef pdp_ram_11_3_16)) + (portRef ADB9 (instanceRef pdp_ram_12_0_15)) + (portRef ADB9 (instanceRef pdp_ram_12_1_14)) + (portRef ADB9 (instanceRef pdp_ram_12_2_13)) + (portRef ADB9 (instanceRef pdp_ram_12_3_12)) + (portRef ADB9 (instanceRef pdp_ram_13_0_11)) + (portRef ADB9 (instanceRef pdp_ram_13_1_10)) + (portRef ADB9 (instanceRef pdp_ram_13_2_9)) + (portRef ADB9 (instanceRef pdp_ram_13_3_8)) + (portRef ADB9 (instanceRef pdp_ram_14_0_7)) + (portRef ADB9 (instanceRef pdp_ram_14_1_6)) + (portRef ADB9 (instanceRef pdp_ram_14_2_5)) + (portRef ADB9 (instanceRef pdp_ram_14_3_4)) + (portRef ADB9 (instanceRef pdp_ram_15_0_3)) + (portRef ADB9 (instanceRef pdp_ram_15_1_2)) + (portRef ADB9 (instanceRef pdp_ram_15_2_1)) + (portRef ADB9 (instanceRef pdp_ram_15_3_0)) + (portRef Q (instanceRef FF_34)))) + (net rptr_5 + (joined + (portRef B0 (instanceRef wcnt_3)) + (portRef ADB8 (instanceRef pdp_ram_0_0_63)) + (portRef ADB8 (instanceRef pdp_ram_0_1_62)) + (portRef ADB8 (instanceRef pdp_ram_0_2_61)) + (portRef ADB8 (instanceRef pdp_ram_0_3_60)) + (portRef ADB8 (instanceRef pdp_ram_1_0_59)) + (portRef ADB8 (instanceRef pdp_ram_1_1_58)) + (portRef ADB8 (instanceRef pdp_ram_1_2_57)) + (portRef ADB8 (instanceRef pdp_ram_1_3_56)) + (portRef ADB8 (instanceRef pdp_ram_2_0_55)) + (portRef ADB8 (instanceRef pdp_ram_2_1_54)) + (portRef ADB8 (instanceRef pdp_ram_2_2_53)) + (portRef ADB8 (instanceRef pdp_ram_2_3_52)) + (portRef ADB8 (instanceRef pdp_ram_3_0_51)) + (portRef ADB8 (instanceRef pdp_ram_3_1_50)) + (portRef ADB8 (instanceRef pdp_ram_3_2_49)) + (portRef ADB8 (instanceRef pdp_ram_3_3_48)) + (portRef ADB8 (instanceRef pdp_ram_4_0_47)) + (portRef ADB8 (instanceRef pdp_ram_4_1_46)) + (portRef ADB8 (instanceRef pdp_ram_4_2_45)) + (portRef ADB8 (instanceRef pdp_ram_4_3_44)) + (portRef ADB8 (instanceRef pdp_ram_5_0_43)) + (portRef ADB8 (instanceRef pdp_ram_5_1_42)) + (portRef ADB8 (instanceRef pdp_ram_5_2_41)) + (portRef ADB8 (instanceRef pdp_ram_5_3_40)) + (portRef ADB8 (instanceRef pdp_ram_6_0_39)) + (portRef ADB8 (instanceRef pdp_ram_6_1_38)) + (portRef ADB8 (instanceRef pdp_ram_6_2_37)) + (portRef ADB8 (instanceRef pdp_ram_6_3_36)) + (portRef ADB8 (instanceRef pdp_ram_7_0_35)) + (portRef ADB8 (instanceRef pdp_ram_7_1_34)) + (portRef ADB8 (instanceRef pdp_ram_7_2_33)) + (portRef ADB8 (instanceRef pdp_ram_7_3_32)) + (portRef ADB8 (instanceRef pdp_ram_8_0_31)) + (portRef ADB8 (instanceRef pdp_ram_8_1_30)) + (portRef ADB8 (instanceRef pdp_ram_8_2_29)) + (portRef ADB8 (instanceRef pdp_ram_8_3_28)) + (portRef ADB8 (instanceRef pdp_ram_9_0_27)) + (portRef ADB8 (instanceRef pdp_ram_9_1_26)) + (portRef ADB8 (instanceRef pdp_ram_9_2_25)) + (portRef ADB8 (instanceRef pdp_ram_9_3_24)) + (portRef ADB8 (instanceRef pdp_ram_10_0_23)) + (portRef ADB8 (instanceRef pdp_ram_10_1_22)) + (portRef ADB8 (instanceRef pdp_ram_10_2_21)) + (portRef ADB8 (instanceRef pdp_ram_10_3_20)) + (portRef ADB8 (instanceRef pdp_ram_11_0_19)) + (portRef ADB8 (instanceRef pdp_ram_11_1_18)) + (portRef ADB8 (instanceRef pdp_ram_11_2_17)) + (portRef ADB8 (instanceRef pdp_ram_11_3_16)) + (portRef ADB8 (instanceRef pdp_ram_12_0_15)) + (portRef ADB8 (instanceRef pdp_ram_12_1_14)) + (portRef ADB8 (instanceRef pdp_ram_12_2_13)) + (portRef ADB8 (instanceRef pdp_ram_12_3_12)) + (portRef ADB8 (instanceRef pdp_ram_13_0_11)) + (portRef ADB8 (instanceRef pdp_ram_13_1_10)) + (portRef ADB8 (instanceRef pdp_ram_13_2_9)) + (portRef ADB8 (instanceRef pdp_ram_13_3_8)) + (portRef ADB8 (instanceRef pdp_ram_14_0_7)) + (portRef ADB8 (instanceRef pdp_ram_14_1_6)) + (portRef ADB8 (instanceRef pdp_ram_14_2_5)) + (portRef ADB8 (instanceRef pdp_ram_14_3_4)) + (portRef ADB8 (instanceRef pdp_ram_15_0_3)) + (portRef ADB8 (instanceRef pdp_ram_15_1_2)) + (portRef ADB8 (instanceRef pdp_ram_15_2_1)) + (portRef ADB8 (instanceRef pdp_ram_15_3_0)) + (portRef Q (instanceRef FF_35)))) + (net wcount_6 + (joined + (portRef A1 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_82)) + (portRef D (instanceRef FF_50)) + (portRef A0 (instanceRef w_ctr_3)))) + (net wcount_5 + (joined + (portRef A0 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_83)) + (portRef D (instanceRef FF_51)) + (portRef A1 (instanceRef w_ctr_2)))) + (net co2_5 + (joined + (portRef CIN (instanceRef wcnt_3)) + (portRef COUT (instanceRef wcnt_2)))) + (net wcnt_sub_7 + (joined + (portRef S0 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_9)))) + (net wcnt_sub_8 + (joined + (portRef S1 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_8)))) + (net rptr_8 + (joined + (portRef B1 (instanceRef wcnt_4)) + (portRef ADB11 (instanceRef pdp_ram_0_0_63)) + (portRef ADB11 (instanceRef pdp_ram_0_1_62)) + (portRef ADB11 (instanceRef pdp_ram_0_2_61)) + (portRef ADB11 (instanceRef pdp_ram_0_3_60)) + (portRef ADB11 (instanceRef pdp_ram_1_0_59)) + (portRef ADB11 (instanceRef pdp_ram_1_1_58)) + (portRef ADB11 (instanceRef pdp_ram_1_2_57)) + (portRef ADB11 (instanceRef pdp_ram_1_3_56)) + (portRef ADB11 (instanceRef pdp_ram_2_0_55)) + (portRef ADB11 (instanceRef pdp_ram_2_1_54)) + (portRef ADB11 (instanceRef pdp_ram_2_2_53)) + (portRef ADB11 (instanceRef pdp_ram_2_3_52)) + (portRef ADB11 (instanceRef pdp_ram_3_0_51)) + (portRef ADB11 (instanceRef pdp_ram_3_1_50)) + (portRef ADB11 (instanceRef pdp_ram_3_2_49)) + (portRef ADB11 (instanceRef pdp_ram_3_3_48)) + (portRef ADB11 (instanceRef pdp_ram_4_0_47)) + (portRef ADB11 (instanceRef pdp_ram_4_1_46)) + (portRef ADB11 (instanceRef pdp_ram_4_2_45)) + (portRef ADB11 (instanceRef pdp_ram_4_3_44)) + (portRef ADB11 (instanceRef pdp_ram_5_0_43)) + (portRef ADB11 (instanceRef pdp_ram_5_1_42)) + (portRef ADB11 (instanceRef pdp_ram_5_2_41)) + (portRef ADB11 (instanceRef pdp_ram_5_3_40)) + (portRef ADB11 (instanceRef pdp_ram_6_0_39)) + (portRef ADB11 (instanceRef pdp_ram_6_1_38)) + (portRef ADB11 (instanceRef pdp_ram_6_2_37)) + (portRef ADB11 (instanceRef pdp_ram_6_3_36)) + (portRef ADB11 (instanceRef pdp_ram_7_0_35)) + (portRef ADB11 (instanceRef pdp_ram_7_1_34)) + (portRef ADB11 (instanceRef pdp_ram_7_2_33)) + (portRef ADB11 (instanceRef pdp_ram_7_3_32)) + (portRef ADB11 (instanceRef pdp_ram_8_0_31)) + (portRef ADB11 (instanceRef pdp_ram_8_1_30)) + (portRef ADB11 (instanceRef pdp_ram_8_2_29)) + (portRef ADB11 (instanceRef pdp_ram_8_3_28)) + (portRef ADB11 (instanceRef pdp_ram_9_0_27)) + (portRef ADB11 (instanceRef pdp_ram_9_1_26)) + (portRef ADB11 (instanceRef pdp_ram_9_2_25)) + (portRef ADB11 (instanceRef pdp_ram_9_3_24)) + (portRef ADB11 (instanceRef pdp_ram_10_0_23)) + (portRef ADB11 (instanceRef pdp_ram_10_1_22)) + (portRef ADB11 (instanceRef pdp_ram_10_2_21)) + (portRef ADB11 (instanceRef pdp_ram_10_3_20)) + (portRef ADB11 (instanceRef pdp_ram_11_0_19)) + (portRef ADB11 (instanceRef pdp_ram_11_1_18)) + (portRef ADB11 (instanceRef pdp_ram_11_2_17)) + (portRef ADB11 (instanceRef pdp_ram_11_3_16)) + (portRef ADB11 (instanceRef pdp_ram_12_0_15)) + (portRef ADB11 (instanceRef pdp_ram_12_1_14)) + (portRef ADB11 (instanceRef pdp_ram_12_2_13)) + (portRef ADB11 (instanceRef pdp_ram_12_3_12)) + (portRef ADB11 (instanceRef pdp_ram_13_0_11)) + (portRef ADB11 (instanceRef pdp_ram_13_1_10)) + (portRef ADB11 (instanceRef pdp_ram_13_2_9)) + (portRef ADB11 (instanceRef pdp_ram_13_3_8)) + (portRef ADB11 (instanceRef pdp_ram_14_0_7)) + (portRef ADB11 (instanceRef pdp_ram_14_1_6)) + (portRef ADB11 (instanceRef pdp_ram_14_2_5)) + (portRef ADB11 (instanceRef pdp_ram_14_3_4)) + (portRef ADB11 (instanceRef pdp_ram_15_0_3)) + (portRef ADB11 (instanceRef pdp_ram_15_1_2)) + (portRef ADB11 (instanceRef pdp_ram_15_2_1)) + (portRef ADB11 (instanceRef pdp_ram_15_3_0)) + (portRef Q (instanceRef FF_32)))) + (net rptr_7 + (joined + (portRef B0 (instanceRef wcnt_4)) + (portRef ADB10 (instanceRef pdp_ram_0_0_63)) + (portRef ADB10 (instanceRef pdp_ram_0_1_62)) + (portRef ADB10 (instanceRef pdp_ram_0_2_61)) + (portRef ADB10 (instanceRef pdp_ram_0_3_60)) + (portRef ADB10 (instanceRef pdp_ram_1_0_59)) + (portRef ADB10 (instanceRef pdp_ram_1_1_58)) + (portRef ADB10 (instanceRef pdp_ram_1_2_57)) + (portRef ADB10 (instanceRef pdp_ram_1_3_56)) + (portRef ADB10 (instanceRef pdp_ram_2_0_55)) + (portRef ADB10 (instanceRef pdp_ram_2_1_54)) + (portRef ADB10 (instanceRef pdp_ram_2_2_53)) + (portRef ADB10 (instanceRef pdp_ram_2_3_52)) + (portRef ADB10 (instanceRef pdp_ram_3_0_51)) + (portRef ADB10 (instanceRef pdp_ram_3_1_50)) + (portRef ADB10 (instanceRef pdp_ram_3_2_49)) + (portRef ADB10 (instanceRef pdp_ram_3_3_48)) + (portRef ADB10 (instanceRef pdp_ram_4_0_47)) + (portRef ADB10 (instanceRef pdp_ram_4_1_46)) + (portRef ADB10 (instanceRef pdp_ram_4_2_45)) + (portRef ADB10 (instanceRef pdp_ram_4_3_44)) + (portRef ADB10 (instanceRef pdp_ram_5_0_43)) + (portRef ADB10 (instanceRef pdp_ram_5_1_42)) + (portRef ADB10 (instanceRef pdp_ram_5_2_41)) + (portRef ADB10 (instanceRef pdp_ram_5_3_40)) + (portRef ADB10 (instanceRef pdp_ram_6_0_39)) + (portRef ADB10 (instanceRef pdp_ram_6_1_38)) + (portRef ADB10 (instanceRef pdp_ram_6_2_37)) + (portRef ADB10 (instanceRef pdp_ram_6_3_36)) + (portRef ADB10 (instanceRef pdp_ram_7_0_35)) + (portRef ADB10 (instanceRef pdp_ram_7_1_34)) + (portRef ADB10 (instanceRef pdp_ram_7_2_33)) + (portRef ADB10 (instanceRef pdp_ram_7_3_32)) + (portRef ADB10 (instanceRef pdp_ram_8_0_31)) + (portRef ADB10 (instanceRef pdp_ram_8_1_30)) + (portRef ADB10 (instanceRef pdp_ram_8_2_29)) + (portRef ADB10 (instanceRef pdp_ram_8_3_28)) + (portRef ADB10 (instanceRef pdp_ram_9_0_27)) + (portRef ADB10 (instanceRef pdp_ram_9_1_26)) + (portRef ADB10 (instanceRef pdp_ram_9_2_25)) + (portRef ADB10 (instanceRef pdp_ram_9_3_24)) + (portRef ADB10 (instanceRef pdp_ram_10_0_23)) + (portRef ADB10 (instanceRef pdp_ram_10_1_22)) + (portRef ADB10 (instanceRef pdp_ram_10_2_21)) + (portRef ADB10 (instanceRef pdp_ram_10_3_20)) + (portRef ADB10 (instanceRef pdp_ram_11_0_19)) + (portRef ADB10 (instanceRef pdp_ram_11_1_18)) + (portRef ADB10 (instanceRef pdp_ram_11_2_17)) + (portRef ADB10 (instanceRef pdp_ram_11_3_16)) + (portRef ADB10 (instanceRef pdp_ram_12_0_15)) + (portRef ADB10 (instanceRef pdp_ram_12_1_14)) + (portRef ADB10 (instanceRef pdp_ram_12_2_13)) + (portRef ADB10 (instanceRef pdp_ram_12_3_12)) + (portRef ADB10 (instanceRef pdp_ram_13_0_11)) + (portRef ADB10 (instanceRef pdp_ram_13_1_10)) + (portRef ADB10 (instanceRef pdp_ram_13_2_9)) + (portRef ADB10 (instanceRef pdp_ram_13_3_8)) + (portRef ADB10 (instanceRef pdp_ram_14_0_7)) + (portRef ADB10 (instanceRef pdp_ram_14_1_6)) + (portRef ADB10 (instanceRef pdp_ram_14_2_5)) + (portRef ADB10 (instanceRef pdp_ram_14_3_4)) + (portRef ADB10 (instanceRef pdp_ram_15_0_3)) + (portRef ADB10 (instanceRef pdp_ram_15_1_2)) + (portRef ADB10 (instanceRef pdp_ram_15_2_1)) + (portRef ADB10 (instanceRef pdp_ram_15_3_0)) + (portRef Q (instanceRef FF_33)))) + (net wcount_8 + (joined + (portRef A1 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_80)) + (portRef D (instanceRef FF_48)) + (portRef A0 (instanceRef w_ctr_4)))) + (net wcount_7 + (joined + (portRef A0 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_81)) + (portRef D (instanceRef FF_49)) + (portRef A1 (instanceRef w_ctr_3)))) + (net co3_5 + (joined + (portRef CIN (instanceRef wcnt_4)) + (portRef COUT (instanceRef wcnt_3)))) + (net wcnt_sub_9 + (joined + (portRef S0 (instanceRef wcnt_5)) + (portRef D (instanceRef FF_7)))) + (net wcnt_sub_10 + (joined + (portRef S1 (instanceRef wcnt_5)) + (portRef D (instanceRef FF_6)))) + (net rptr_10 + (joined + (portRef B1 (instanceRef wcnt_5)) + (portRef ADB13 (instanceRef pdp_ram_0_0_63)) + (portRef ADB13 (instanceRef pdp_ram_0_1_62)) + (portRef ADB13 (instanceRef pdp_ram_0_2_61)) + (portRef ADB13 (instanceRef pdp_ram_0_3_60)) + (portRef ADB13 (instanceRef pdp_ram_1_0_59)) + (portRef ADB13 (instanceRef pdp_ram_1_1_58)) + (portRef ADB13 (instanceRef pdp_ram_1_2_57)) + (portRef ADB13 (instanceRef pdp_ram_1_3_56)) + (portRef ADB13 (instanceRef pdp_ram_2_0_55)) + (portRef ADB13 (instanceRef pdp_ram_2_1_54)) + (portRef ADB13 (instanceRef pdp_ram_2_2_53)) + (portRef ADB13 (instanceRef pdp_ram_2_3_52)) + (portRef ADB13 (instanceRef pdp_ram_3_0_51)) + (portRef ADB13 (instanceRef pdp_ram_3_1_50)) + (portRef ADB13 (instanceRef pdp_ram_3_2_49)) + (portRef ADB13 (instanceRef pdp_ram_3_3_48)) + (portRef ADB13 (instanceRef pdp_ram_4_0_47)) + (portRef ADB13 (instanceRef pdp_ram_4_1_46)) + (portRef ADB13 (instanceRef pdp_ram_4_2_45)) + (portRef ADB13 (instanceRef pdp_ram_4_3_44)) + (portRef ADB13 (instanceRef pdp_ram_5_0_43)) + (portRef ADB13 (instanceRef pdp_ram_5_1_42)) + (portRef ADB13 (instanceRef pdp_ram_5_2_41)) + (portRef ADB13 (instanceRef pdp_ram_5_3_40)) + (portRef ADB13 (instanceRef pdp_ram_6_0_39)) + (portRef ADB13 (instanceRef pdp_ram_6_1_38)) + (portRef ADB13 (instanceRef pdp_ram_6_2_37)) + (portRef ADB13 (instanceRef pdp_ram_6_3_36)) + (portRef ADB13 (instanceRef pdp_ram_7_0_35)) + (portRef ADB13 (instanceRef pdp_ram_7_1_34)) + (portRef ADB13 (instanceRef pdp_ram_7_2_33)) + (portRef ADB13 (instanceRef pdp_ram_7_3_32)) + (portRef ADB13 (instanceRef pdp_ram_8_0_31)) + (portRef ADB13 (instanceRef pdp_ram_8_1_30)) + (portRef ADB13 (instanceRef pdp_ram_8_2_29)) + (portRef ADB13 (instanceRef pdp_ram_8_3_28)) + (portRef ADB13 (instanceRef pdp_ram_9_0_27)) + (portRef ADB13 (instanceRef pdp_ram_9_1_26)) + (portRef ADB13 (instanceRef pdp_ram_9_2_25)) + (portRef ADB13 (instanceRef pdp_ram_9_3_24)) + (portRef ADB13 (instanceRef pdp_ram_10_0_23)) + (portRef ADB13 (instanceRef pdp_ram_10_1_22)) + (portRef ADB13 (instanceRef pdp_ram_10_2_21)) + (portRef ADB13 (instanceRef pdp_ram_10_3_20)) + (portRef ADB13 (instanceRef pdp_ram_11_0_19)) + (portRef ADB13 (instanceRef pdp_ram_11_1_18)) + (portRef ADB13 (instanceRef pdp_ram_11_2_17)) + (portRef ADB13 (instanceRef pdp_ram_11_3_16)) + (portRef ADB13 (instanceRef pdp_ram_12_0_15)) + (portRef ADB13 (instanceRef pdp_ram_12_1_14)) + (portRef ADB13 (instanceRef pdp_ram_12_2_13)) + (portRef ADB13 (instanceRef pdp_ram_12_3_12)) + (portRef ADB13 (instanceRef pdp_ram_13_0_11)) + (portRef ADB13 (instanceRef pdp_ram_13_1_10)) + (portRef ADB13 (instanceRef pdp_ram_13_2_9)) + (portRef ADB13 (instanceRef pdp_ram_13_3_8)) + (portRef ADB13 (instanceRef pdp_ram_14_0_7)) + (portRef ADB13 (instanceRef pdp_ram_14_1_6)) + (portRef ADB13 (instanceRef pdp_ram_14_2_5)) + (portRef ADB13 (instanceRef pdp_ram_14_3_4)) + (portRef ADB13 (instanceRef pdp_ram_15_0_3)) + (portRef ADB13 (instanceRef pdp_ram_15_1_2)) + (portRef ADB13 (instanceRef pdp_ram_15_2_1)) + (portRef ADB13 (instanceRef pdp_ram_15_3_0)) + (portRef Q (instanceRef FF_30)))) + (net rptr_9 + (joined + (portRef B0 (instanceRef wcnt_5)) + (portRef ADB12 (instanceRef pdp_ram_0_0_63)) + (portRef ADB12 (instanceRef pdp_ram_0_1_62)) + (portRef ADB12 (instanceRef pdp_ram_0_2_61)) + (portRef ADB12 (instanceRef pdp_ram_0_3_60)) + (portRef ADB12 (instanceRef pdp_ram_1_0_59)) + (portRef ADB12 (instanceRef pdp_ram_1_1_58)) + (portRef ADB12 (instanceRef pdp_ram_1_2_57)) + (portRef ADB12 (instanceRef pdp_ram_1_3_56)) + (portRef ADB12 (instanceRef pdp_ram_2_0_55)) + (portRef ADB12 (instanceRef pdp_ram_2_1_54)) + (portRef ADB12 (instanceRef pdp_ram_2_2_53)) + (portRef ADB12 (instanceRef pdp_ram_2_3_52)) + (portRef ADB12 (instanceRef pdp_ram_3_0_51)) + (portRef ADB12 (instanceRef pdp_ram_3_1_50)) + (portRef ADB12 (instanceRef pdp_ram_3_2_49)) + (portRef ADB12 (instanceRef pdp_ram_3_3_48)) + (portRef ADB12 (instanceRef pdp_ram_4_0_47)) + (portRef ADB12 (instanceRef pdp_ram_4_1_46)) + (portRef ADB12 (instanceRef pdp_ram_4_2_45)) + (portRef ADB12 (instanceRef pdp_ram_4_3_44)) + (portRef ADB12 (instanceRef pdp_ram_5_0_43)) + (portRef ADB12 (instanceRef pdp_ram_5_1_42)) + (portRef ADB12 (instanceRef pdp_ram_5_2_41)) + (portRef ADB12 (instanceRef pdp_ram_5_3_40)) + (portRef ADB12 (instanceRef pdp_ram_6_0_39)) + (portRef ADB12 (instanceRef pdp_ram_6_1_38)) + (portRef ADB12 (instanceRef pdp_ram_6_2_37)) + (portRef ADB12 (instanceRef pdp_ram_6_3_36)) + (portRef ADB12 (instanceRef pdp_ram_7_0_35)) + (portRef ADB12 (instanceRef pdp_ram_7_1_34)) + (portRef ADB12 (instanceRef pdp_ram_7_2_33)) + (portRef ADB12 (instanceRef pdp_ram_7_3_32)) + (portRef ADB12 (instanceRef pdp_ram_8_0_31)) + (portRef ADB12 (instanceRef pdp_ram_8_1_30)) + (portRef ADB12 (instanceRef pdp_ram_8_2_29)) + (portRef ADB12 (instanceRef pdp_ram_8_3_28)) + (portRef ADB12 (instanceRef pdp_ram_9_0_27)) + (portRef ADB12 (instanceRef pdp_ram_9_1_26)) + (portRef ADB12 (instanceRef pdp_ram_9_2_25)) + (portRef ADB12 (instanceRef pdp_ram_9_3_24)) + (portRef ADB12 (instanceRef pdp_ram_10_0_23)) + (portRef ADB12 (instanceRef pdp_ram_10_1_22)) + (portRef ADB12 (instanceRef pdp_ram_10_2_21)) + (portRef ADB12 (instanceRef pdp_ram_10_3_20)) + (portRef ADB12 (instanceRef pdp_ram_11_0_19)) + (portRef ADB12 (instanceRef pdp_ram_11_1_18)) + (portRef ADB12 (instanceRef pdp_ram_11_2_17)) + (portRef ADB12 (instanceRef pdp_ram_11_3_16)) + (portRef ADB12 (instanceRef pdp_ram_12_0_15)) + (portRef ADB12 (instanceRef pdp_ram_12_1_14)) + (portRef ADB12 (instanceRef pdp_ram_12_2_13)) + (portRef ADB12 (instanceRef pdp_ram_12_3_12)) + (portRef ADB12 (instanceRef pdp_ram_13_0_11)) + (portRef ADB12 (instanceRef pdp_ram_13_1_10)) + (portRef ADB12 (instanceRef pdp_ram_13_2_9)) + (portRef ADB12 (instanceRef pdp_ram_13_3_8)) + (portRef ADB12 (instanceRef pdp_ram_14_0_7)) + (portRef ADB12 (instanceRef pdp_ram_14_1_6)) + (portRef ADB12 (instanceRef pdp_ram_14_2_5)) + (portRef ADB12 (instanceRef pdp_ram_14_3_4)) + (portRef ADB12 (instanceRef pdp_ram_15_0_3)) + (portRef ADB12 (instanceRef pdp_ram_15_1_2)) + (portRef ADB12 (instanceRef pdp_ram_15_2_1)) + (portRef ADB12 (instanceRef pdp_ram_15_3_0)) + (portRef Q (instanceRef FF_31)))) + (net wcount_10 + (joined + (portRef A1 (instanceRef wcnt_5)) + (portRef Q (instanceRef FF_78)) + (portRef D (instanceRef FF_46)) + (portRef A0 (instanceRef w_ctr_5)))) + (net wcount_9 + (joined + (portRef A0 (instanceRef wcnt_5)) + (portRef Q (instanceRef FF_79)) + (portRef D (instanceRef FF_47)) + (portRef A1 (instanceRef w_ctr_4)))) + (net co4_5 + (joined + (portRef CIN (instanceRef wcnt_5)) + (portRef COUT (instanceRef wcnt_4)))) + (net wcnt_sub_11 + (joined + (portRef S0 (instanceRef wcnt_6)) + (portRef D (instanceRef FF_5)))) + (net wcnt_sub_12 + (joined + (portRef S1 (instanceRef wcnt_6)) + (portRef D (instanceRef FF_4)))) + (net rptr_12 + (joined + (portRef B1 (instanceRef wcnt_6)) + (portRef A (instanceRef INV_4)) + (portRef AD2 (instanceRef LUT4_110)) + (portRef AD2 (instanceRef LUT4_108)) + (portRef AD2 (instanceRef LUT4_106)) + (portRef AD2 (instanceRef LUT4_104)) + (portRef AD2 (instanceRef LUT4_102)) + (portRef AD2 (instanceRef LUT4_100)) + (portRef AD2 (instanceRef LUT4_98)) + (portRef AD2 (instanceRef LUT4_96)) + (portRef AD2 (instanceRef LUT4_78)) + (portRef AD2 (instanceRef LUT4_76)) + (portRef AD2 (instanceRef LUT4_74)) + (portRef AD2 (instanceRef LUT4_72)) + (portRef AD2 (instanceRef LUT4_70)) + (portRef AD2 (instanceRef LUT4_68)) + (portRef AD2 (instanceRef LUT4_66)) + (portRef AD2 (instanceRef LUT4_64)) + (portRef AD2 (instanceRef LUT4_46)) + (portRef AD2 (instanceRef LUT4_44)) + (portRef AD2 (instanceRef LUT4_42)) + (portRef AD2 (instanceRef LUT4_40)) + (portRef AD2 (instanceRef LUT4_38)) + (portRef AD2 (instanceRef LUT4_36)) + (portRef AD2 (instanceRef LUT4_34)) + (portRef AD2 (instanceRef LUT4_32)) + (portRef AD2 (instanceRef LUT4_14)) + (portRef AD2 (instanceRef LUT4_12)) + (portRef AD2 (instanceRef LUT4_10)) + (portRef AD2 (instanceRef LUT4_8)) + (portRef AD2 (instanceRef LUT4_6)) + (portRef AD2 (instanceRef LUT4_4)) + (portRef AD2 (instanceRef LUT4_2)) + (portRef AD2 (instanceRef LUT4_0)) + (portRef Q (instanceRef FF_28)) + (portRef D (instanceRef FF_23)))) + (net rptr_11 + (joined + (portRef B0 (instanceRef wcnt_6)) + (portRef A (instanceRef INV_5)) + (portRef AD3 (instanceRef LUT4_118)) + (portRef AD3 (instanceRef LUT4_116)) + (portRef AD3 (instanceRef LUT4_114)) + (portRef AD3 (instanceRef LUT4_112)) + (portRef AD3 (instanceRef LUT4_102)) + (portRef AD3 (instanceRef LUT4_100)) + (portRef AD3 (instanceRef LUT4_98)) + (portRef AD3 (instanceRef LUT4_96)) + (portRef AD3 (instanceRef LUT4_86)) + (portRef AD3 (instanceRef LUT4_84)) + (portRef AD3 (instanceRef LUT4_82)) + (portRef AD3 (instanceRef LUT4_80)) + (portRef AD3 (instanceRef LUT4_70)) + (portRef AD3 (instanceRef LUT4_68)) + (portRef AD3 (instanceRef LUT4_66)) + (portRef AD3 (instanceRef LUT4_64)) + (portRef AD3 (instanceRef LUT4_54)) + (portRef AD3 (instanceRef LUT4_52)) + (portRef AD3 (instanceRef LUT4_50)) + (portRef AD3 (instanceRef LUT4_48)) + (portRef AD3 (instanceRef LUT4_38)) + (portRef AD3 (instanceRef LUT4_36)) + (portRef AD3 (instanceRef LUT4_34)) + (portRef AD3 (instanceRef LUT4_32)) + (portRef AD3 (instanceRef LUT4_22)) + (portRef AD3 (instanceRef LUT4_20)) + (portRef AD3 (instanceRef LUT4_18)) + (portRef AD3 (instanceRef LUT4_16)) + (portRef AD3 (instanceRef LUT4_6)) + (portRef AD3 (instanceRef LUT4_4)) + (portRef AD3 (instanceRef LUT4_2)) + (portRef AD3 (instanceRef LUT4_0)) + (portRef Q (instanceRef FF_29)) + (portRef D (instanceRef FF_24)))) + (net wcount_12 + (joined + (portRef A1 (instanceRef wcnt_6)) + (portRef Q (instanceRef FF_76)) + (portRef D (instanceRef FF_44)) + (portRef A0 (instanceRef w_ctr_6)))) + (net wcount_11 + (joined + (portRef A0 (instanceRef wcnt_6)) + (portRef Q (instanceRef FF_77)) + (portRef D (instanceRef FF_45)) + (portRef A1 (instanceRef w_ctr_5)))) + (net co5_5 + (joined + (portRef CIN (instanceRef wcnt_6)) + (portRef COUT (instanceRef wcnt_5)))) + (net wcnt_sub_13 + (joined + (portRef S0 (instanceRef wcnt_7)) + (portRef D (instanceRef FF_3)))) + (net wcnt_sub_14 + (joined + (portRef S1 (instanceRef wcnt_7)) + (portRef D (instanceRef FF_2)))) + (net rptr_14 + (joined + (portRef B1 (instanceRef wcnt_7)) + (portRef A (instanceRef INV_2)) + (portRef AD0 (instanceRef LUT4_62)) + (portRef AD0 (instanceRef LUT4_60)) + (portRef AD0 (instanceRef LUT4_58)) + (portRef AD0 (instanceRef LUT4_56)) + (portRef AD0 (instanceRef LUT4_54)) + (portRef AD0 (instanceRef LUT4_52)) + (portRef AD0 (instanceRef LUT4_50)) + (portRef AD0 (instanceRef LUT4_48)) + (portRef AD0 (instanceRef LUT4_46)) + (portRef AD0 (instanceRef LUT4_44)) + (portRef AD0 (instanceRef LUT4_42)) + (portRef AD0 (instanceRef LUT4_40)) + (portRef AD0 (instanceRef LUT4_38)) + (portRef AD0 (instanceRef LUT4_36)) + (portRef AD0 (instanceRef LUT4_34)) + (portRef AD0 (instanceRef LUT4_32)) + (portRef AD0 (instanceRef LUT4_30)) + (portRef AD0 (instanceRef LUT4_28)) + (portRef AD0 (instanceRef LUT4_26)) + (portRef AD0 (instanceRef LUT4_24)) + (portRef AD0 (instanceRef LUT4_22)) + (portRef AD0 (instanceRef LUT4_20)) + (portRef AD0 (instanceRef LUT4_18)) + (portRef AD0 (instanceRef LUT4_16)) + (portRef AD0 (instanceRef LUT4_14)) + (portRef AD0 (instanceRef LUT4_12)) + (portRef AD0 (instanceRef LUT4_10)) + (portRef AD0 (instanceRef LUT4_8)) + (portRef AD0 (instanceRef LUT4_6)) + (portRef AD0 (instanceRef LUT4_4)) + (portRef AD0 (instanceRef LUT4_2)) + (portRef AD0 (instanceRef LUT4_0)) + (portRef Q (instanceRef FF_26)) + (portRef D (instanceRef FF_21)))) + (net rptr_13 + (joined + (portRef B0 (instanceRef wcnt_7)) + (portRef A (instanceRef INV_3)) + (portRef AD1 (instanceRef LUT4_94)) + (portRef AD1 (instanceRef LUT4_92)) + (portRef AD1 (instanceRef LUT4_90)) + (portRef AD1 (instanceRef LUT4_88)) + (portRef AD1 (instanceRef LUT4_86)) + (portRef AD1 (instanceRef LUT4_84)) + (portRef AD1 (instanceRef LUT4_82)) + (portRef AD1 (instanceRef LUT4_80)) + (portRef AD1 (instanceRef LUT4_78)) + (portRef AD1 (instanceRef LUT4_76)) + (portRef AD1 (instanceRef LUT4_74)) + (portRef AD1 (instanceRef LUT4_72)) + (portRef AD1 (instanceRef LUT4_70)) + (portRef AD1 (instanceRef LUT4_68)) + (portRef AD1 (instanceRef LUT4_66)) + (portRef AD1 (instanceRef LUT4_64)) + (portRef AD1 (instanceRef LUT4_30)) + (portRef AD1 (instanceRef LUT4_28)) + (portRef AD1 (instanceRef LUT4_26)) + (portRef AD1 (instanceRef LUT4_24)) + (portRef AD1 (instanceRef LUT4_22)) + (portRef AD1 (instanceRef LUT4_20)) + (portRef AD1 (instanceRef LUT4_18)) + (portRef AD1 (instanceRef LUT4_16)) + (portRef AD1 (instanceRef LUT4_14)) + (portRef AD1 (instanceRef LUT4_12)) + (portRef AD1 (instanceRef LUT4_10)) + (portRef AD1 (instanceRef LUT4_8)) + (portRef AD1 (instanceRef LUT4_6)) + (portRef AD1 (instanceRef LUT4_4)) + (portRef AD1 (instanceRef LUT4_2)) + (portRef AD1 (instanceRef LUT4_0)) + (portRef Q (instanceRef FF_27)) + (portRef D (instanceRef FF_22)))) + (net wcount_14 + (joined + (portRef A1 (instanceRef wcnt_7)) + (portRef Q (instanceRef FF_74)) + (portRef D (instanceRef FF_42)) + (portRef A0 (instanceRef w_ctr_7)))) + (net wcount_13 + (joined + (portRef A0 (instanceRef wcnt_7)) + (portRef Q (instanceRef FF_75)) + (portRef D (instanceRef FF_43)) + (portRef A1 (instanceRef w_ctr_6)))) + (net co6_5 + (joined + (portRef CIN (instanceRef wcnt_7)) + (portRef COUT (instanceRef wcnt_6)))) + (net wcnt_sub_15 + (joined + (portRef S0 (instanceRef wcnt_8)) + (portRef D (instanceRef FF_1)))) + (net wcnt_sub_msb + (joined + (portRef A0 (instanceRef wcnt_8)) + (portRef Z (instanceRef XOR2_t0)))) + (net co7_3 + (joined + (portRef CIN (instanceRef wcnt_8)) + (portRef COUT (instanceRef wcnt_7)))) + (net wren_i + (joined + (portRef A1 (instanceRef af_set_cmp_ci_a)) + (portRef Z (instanceRef AND2_t5)) + (portRef A (instanceRef AND2_t3)) + (portRef A (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_10)) + (portRef AD1 (instanceRef LUT4_129)) + (portRef A (instanceRef INV_1)) + (portRef OCEA (instanceRef pdp_ram_0_0_63)) + (portRef CEA (instanceRef pdp_ram_0_0_63)) + (portRef OCEA (instanceRef pdp_ram_0_1_62)) + (portRef CEA (instanceRef pdp_ram_0_1_62)) + (portRef OCEA (instanceRef pdp_ram_0_2_61)) + (portRef CEA (instanceRef pdp_ram_0_2_61)) + (portRef OCEA (instanceRef pdp_ram_0_3_60)) + (portRef CEA (instanceRef pdp_ram_0_3_60)) + (portRef OCEA (instanceRef pdp_ram_1_0_59)) + (portRef CEA (instanceRef pdp_ram_1_0_59)) + (portRef OCEA (instanceRef pdp_ram_1_1_58)) + (portRef CEA (instanceRef pdp_ram_1_1_58)) + (portRef OCEA (instanceRef pdp_ram_1_2_57)) + (portRef CEA (instanceRef pdp_ram_1_2_57)) + (portRef OCEA (instanceRef pdp_ram_1_3_56)) + (portRef CEA (instanceRef pdp_ram_1_3_56)) + (portRef OCEA (instanceRef pdp_ram_2_0_55)) + (portRef CEA (instanceRef pdp_ram_2_0_55)) + (portRef OCEA (instanceRef pdp_ram_2_1_54)) + (portRef CEA (instanceRef pdp_ram_2_1_54)) + (portRef OCEA (instanceRef pdp_ram_2_2_53)) + (portRef CEA (instanceRef pdp_ram_2_2_53)) + (portRef OCEA (instanceRef pdp_ram_2_3_52)) + (portRef CEA (instanceRef pdp_ram_2_3_52)) + (portRef OCEA (instanceRef pdp_ram_3_0_51)) + (portRef CEA (instanceRef pdp_ram_3_0_51)) + (portRef OCEA (instanceRef pdp_ram_3_1_50)) + (portRef CEA (instanceRef pdp_ram_3_1_50)) + (portRef OCEA (instanceRef pdp_ram_3_2_49)) + (portRef CEA (instanceRef pdp_ram_3_2_49)) + (portRef OCEA (instanceRef pdp_ram_3_3_48)) + (portRef CEA (instanceRef pdp_ram_3_3_48)) + (portRef OCEA (instanceRef pdp_ram_4_0_47)) + (portRef CEA (instanceRef pdp_ram_4_0_47)) + (portRef OCEA (instanceRef pdp_ram_4_1_46)) + (portRef CEA (instanceRef pdp_ram_4_1_46)) + (portRef OCEA (instanceRef pdp_ram_4_2_45)) + (portRef CEA (instanceRef pdp_ram_4_2_45)) + (portRef OCEA (instanceRef pdp_ram_4_3_44)) + (portRef CEA (instanceRef pdp_ram_4_3_44)) + (portRef OCEA (instanceRef pdp_ram_5_0_43)) + (portRef CEA (instanceRef pdp_ram_5_0_43)) + (portRef OCEA (instanceRef pdp_ram_5_1_42)) + (portRef CEA (instanceRef pdp_ram_5_1_42)) + (portRef OCEA (instanceRef pdp_ram_5_2_41)) + (portRef CEA (instanceRef pdp_ram_5_2_41)) + (portRef OCEA (instanceRef pdp_ram_5_3_40)) + (portRef CEA (instanceRef pdp_ram_5_3_40)) + (portRef OCEA (instanceRef pdp_ram_6_0_39)) + (portRef CEA (instanceRef pdp_ram_6_0_39)) + (portRef OCEA (instanceRef pdp_ram_6_1_38)) + (portRef CEA (instanceRef pdp_ram_6_1_38)) + (portRef OCEA (instanceRef pdp_ram_6_2_37)) + (portRef CEA (instanceRef pdp_ram_6_2_37)) + (portRef OCEA (instanceRef pdp_ram_6_3_36)) + (portRef CEA (instanceRef pdp_ram_6_3_36)) + (portRef OCEA (instanceRef pdp_ram_7_0_35)) + (portRef CEA (instanceRef pdp_ram_7_0_35)) + (portRef OCEA (instanceRef pdp_ram_7_1_34)) + (portRef CEA (instanceRef pdp_ram_7_1_34)) + (portRef OCEA (instanceRef pdp_ram_7_2_33)) + (portRef CEA (instanceRef pdp_ram_7_2_33)) + (portRef OCEA (instanceRef pdp_ram_7_3_32)) + (portRef CEA (instanceRef pdp_ram_7_3_32)) + (portRef OCEA (instanceRef pdp_ram_8_0_31)) + (portRef CEA (instanceRef pdp_ram_8_0_31)) + (portRef OCEA (instanceRef pdp_ram_8_1_30)) + (portRef CEA (instanceRef pdp_ram_8_1_30)) + (portRef OCEA (instanceRef pdp_ram_8_2_29)) + (portRef CEA (instanceRef pdp_ram_8_2_29)) + (portRef OCEA (instanceRef pdp_ram_8_3_28)) + (portRef CEA (instanceRef pdp_ram_8_3_28)) + (portRef OCEA (instanceRef pdp_ram_9_0_27)) + (portRef CEA (instanceRef pdp_ram_9_0_27)) + (portRef OCEA (instanceRef pdp_ram_9_1_26)) + (portRef CEA (instanceRef pdp_ram_9_1_26)) + (portRef OCEA (instanceRef pdp_ram_9_2_25)) + (portRef CEA (instanceRef pdp_ram_9_2_25)) + (portRef OCEA (instanceRef pdp_ram_9_3_24)) + (portRef CEA (instanceRef pdp_ram_9_3_24)) + (portRef OCEA (instanceRef pdp_ram_10_0_23)) + (portRef CEA (instanceRef pdp_ram_10_0_23)) + (portRef OCEA (instanceRef pdp_ram_10_1_22)) + (portRef CEA (instanceRef pdp_ram_10_1_22)) + (portRef OCEA (instanceRef pdp_ram_10_2_21)) + (portRef CEA (instanceRef pdp_ram_10_2_21)) + (portRef OCEA (instanceRef pdp_ram_10_3_20)) + (portRef CEA (instanceRef pdp_ram_10_3_20)) + (portRef OCEA (instanceRef pdp_ram_11_0_19)) + (portRef CEA (instanceRef pdp_ram_11_0_19)) + (portRef OCEA (instanceRef pdp_ram_11_1_18)) + (portRef CEA (instanceRef pdp_ram_11_1_18)) + (portRef OCEA (instanceRef pdp_ram_11_2_17)) + (portRef CEA (instanceRef pdp_ram_11_2_17)) + (portRef OCEA (instanceRef pdp_ram_11_3_16)) + (portRef CEA (instanceRef pdp_ram_11_3_16)) + (portRef OCEA (instanceRef pdp_ram_12_0_15)) + (portRef CEA (instanceRef pdp_ram_12_0_15)) + (portRef OCEA (instanceRef pdp_ram_12_1_14)) + (portRef CEA (instanceRef pdp_ram_12_1_14)) + (portRef OCEA (instanceRef pdp_ram_12_2_13)) + (portRef CEA (instanceRef pdp_ram_12_2_13)) + (portRef OCEA (instanceRef pdp_ram_12_3_12)) + (portRef CEA (instanceRef pdp_ram_12_3_12)) + (portRef OCEA (instanceRef pdp_ram_13_0_11)) + (portRef CEA (instanceRef pdp_ram_13_0_11)) + (portRef OCEA (instanceRef pdp_ram_13_1_10)) + (portRef CEA (instanceRef pdp_ram_13_1_10)) + (portRef OCEA (instanceRef pdp_ram_13_2_9)) + (portRef CEA (instanceRef pdp_ram_13_2_9)) + (portRef OCEA (instanceRef pdp_ram_13_3_8)) + (portRef CEA (instanceRef pdp_ram_13_3_8)) + (portRef OCEA (instanceRef pdp_ram_14_0_7)) + (portRef CEA (instanceRef pdp_ram_14_0_7)) + (portRef OCEA (instanceRef pdp_ram_14_1_6)) + (portRef CEA (instanceRef pdp_ram_14_1_6)) + (portRef OCEA (instanceRef pdp_ram_14_2_5)) + (portRef CEA (instanceRef pdp_ram_14_2_5)) + (portRef OCEA (instanceRef pdp_ram_14_3_4)) + (portRef CEA (instanceRef pdp_ram_14_3_4)) + (portRef OCEA (instanceRef pdp_ram_15_0_3)) + (portRef CEA (instanceRef pdp_ram_15_0_3)) + (portRef OCEA (instanceRef pdp_ram_15_1_2)) + (portRef CEA (instanceRef pdp_ram_15_1_2)) + (portRef OCEA (instanceRef pdp_ram_15_2_1)) + (portRef CEA (instanceRef pdp_ram_15_2_1)) + (portRef OCEA (instanceRef pdp_ram_15_3_0)) + (portRef CEA (instanceRef pdp_ram_15_3_0)) + (portRef SP (instanceRef FF_88)) + (portRef SP (instanceRef FF_87)) + (portRef SP (instanceRef FF_86)) + (portRef SP (instanceRef FF_85)) + (portRef SP (instanceRef FF_84)) + (portRef SP (instanceRef FF_83)) + (portRef SP (instanceRef FF_82)) + (portRef SP (instanceRef FF_81)) + (portRef SP (instanceRef FF_80)) + (portRef SP (instanceRef FF_79)) + (portRef SP (instanceRef FF_78)) + (portRef SP (instanceRef FF_77)) + (portRef SP (instanceRef FF_76)) + (portRef SP (instanceRef FF_75)) + (portRef SP (instanceRef FF_74)) + (portRef SP (instanceRef FF_73)) + (portRef SP (instanceRef FF_56)) + (portRef SP (instanceRef FF_55)) + (portRef SP (instanceRef FF_54)) + (portRef SP (instanceRef FF_53)) + (portRef SP (instanceRef FF_52)) + (portRef SP (instanceRef FF_51)) + (portRef SP (instanceRef FF_50)) + (portRef SP (instanceRef FF_49)) + (portRef SP (instanceRef FF_48)) + (portRef SP (instanceRef FF_47)) + (portRef SP (instanceRef FF_46)) + (portRef SP (instanceRef FF_45)) + (portRef SP (instanceRef FF_44)) + (portRef SP (instanceRef FF_43)) + (portRef SP (instanceRef FF_42)) + (portRef SP (instanceRef FF_41)) + (portRef B0 (instanceRef g_cmp_0)) + (portRef B1 (instanceRef g_cmp_0)) + (portRef B0 (instanceRef g_cmp_1)) + (portRef B1 (instanceRef g_cmp_1)) + (portRef B0 (instanceRef g_cmp_2)) + (portRef B1 (instanceRef g_cmp_2)) + (portRef B0 (instanceRef g_cmp_3)) + (portRef B1 (instanceRef g_cmp_3)) + (portRef B0 (instanceRef g_cmp_4)) + (portRef B1 (instanceRef g_cmp_4)) + (portRef B0 (instanceRef g_cmp_5)) + (portRef B1 (instanceRef g_cmp_5)) + (portRef B0 (instanceRef g_cmp_6)) + (portRef B1 (instanceRef g_cmp_6)) + (portRef B0 (instanceRef g_cmp_7)) + (portRef B1 (instanceRef af_set_cmp_ci_a)))) + (net x + (joined + (portRef CIN (instanceRef af_set_cmp_ci_a)) + (portRef CIN (instanceRef bdcnt_bctr_cia)) + (portRef CIN (instanceRef e_cmp_ci_a)) + (portRef CIN (instanceRef g_cmp_ci_a)) + (portRef CIN (instanceRef w_ctr_cia)) + (portRef CIN (instanceRef r_ctr_cia)) + (portRef CIN (instanceRef precin_inst1073)))) + (net cmp_ci_2 + (joined + (portRef CIN (instanceRef af_set_cmp_0)) + (portRef COUT (instanceRef af_set_cmp_ci_a)))) + (net wcnt_reg_0 + (joined + (portRef A0 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_16)))) + (net wcnt_reg_1 + (joined + (portRef A1 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_15)))) + (net co0_6 + (joined + (portRef CIN (instanceRef af_set_cmp_1)) + (portRef COUT (instanceRef af_set_cmp_0)))) + (net wcnt_reg_2 + (joined + (portRef A0 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_14)))) + (net wcnt_reg_3 + (joined + (portRef A1 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_13)))) + (net co1_6 + (joined + (portRef CIN (instanceRef af_set_cmp_2)) + (portRef COUT (instanceRef af_set_cmp_1)))) + (net wcnt_reg_4 + (joined + (portRef A0 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_12)))) + (net wcnt_reg_5 + (joined + (portRef A1 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_11)))) + (net co2_6 + (joined + (portRef CIN (instanceRef af_set_cmp_3)) + (portRef COUT (instanceRef af_set_cmp_2)))) + (net wcnt_reg_6 + (joined + (portRef A0 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_10)))) + (net wcnt_reg_7 + (joined + (portRef A1 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_9)))) + (net co3_6 + (joined + (portRef CIN (instanceRef af_set_cmp_4)) + (portRef COUT (instanceRef af_set_cmp_3)))) + (net wcnt_reg_8 + (joined + (portRef A0 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_8)))) + (net wcnt_reg_9 + (joined + (portRef A1 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_7)))) + (net co4_6 + (joined + (portRef CIN (instanceRef af_set_cmp_5)) + (portRef COUT (instanceRef af_set_cmp_4)))) + (net wcnt_reg_10 + (joined + (portRef A0 (instanceRef af_set_cmp_5)) + (portRef Q (instanceRef FF_6)))) + (net wcnt_reg_11 + (joined + (portRef A1 (instanceRef af_set_cmp_5)) + (portRef Q (instanceRef FF_5)))) + (net co5_6 + (joined + (portRef CIN (instanceRef af_set_cmp_6)) + (portRef COUT (instanceRef af_set_cmp_5)))) + (net wcnt_reg_12 + (joined + (portRef A0 (instanceRef af_set_cmp_6)) + (portRef Q (instanceRef FF_4)))) + (net wcnt_reg_13 + (joined + (portRef A1 (instanceRef af_set_cmp_6)) + (portRef Q (instanceRef FF_3)))) + (net co6_6 + (joined + (portRef CIN (instanceRef af_set_cmp_7)) + (portRef COUT (instanceRef af_set_cmp_6)))) + (net wcnt_reg_14 + (joined + (portRef A0 (instanceRef af_set_cmp_7)) + (portRef Q (instanceRef FF_2)))) + (net wcnt_reg_15 + (joined + (portRef A1 (instanceRef af_set_cmp_7)) + (portRef Q (instanceRef FF_1)))) + (net af_set + (joined + (portRef S0 (instanceRef a2)) + (portRef D (instanceRef FF_0)))) + (net scuba_vhi + (joined + (portRef Z (instanceRef scuba_vhi_inst)) + (portRef OCEB (instanceRef pdp_ram_0_0_63)) + (portRef WEA (instanceRef pdp_ram_0_0_63)) + (portRef OCEB (instanceRef pdp_ram_0_1_62)) + (portRef WEA (instanceRef pdp_ram_0_1_62)) + (portRef OCEB (instanceRef pdp_ram_0_2_61)) + (portRef WEA (instanceRef pdp_ram_0_2_61)) + (portRef OCEB (instanceRef pdp_ram_0_3_60)) + (portRef WEA (instanceRef pdp_ram_0_3_60)) + (portRef OCEB (instanceRef pdp_ram_1_0_59)) + (portRef WEA (instanceRef pdp_ram_1_0_59)) + (portRef OCEB (instanceRef pdp_ram_1_1_58)) + (portRef WEA (instanceRef pdp_ram_1_1_58)) + (portRef OCEB (instanceRef pdp_ram_1_2_57)) + (portRef WEA (instanceRef pdp_ram_1_2_57)) + (portRef OCEB (instanceRef pdp_ram_1_3_56)) + (portRef WEA (instanceRef pdp_ram_1_3_56)) + (portRef OCEB (instanceRef pdp_ram_2_0_55)) + (portRef WEA (instanceRef pdp_ram_2_0_55)) + (portRef OCEB (instanceRef pdp_ram_2_1_54)) + (portRef WEA (instanceRef pdp_ram_2_1_54)) + (portRef OCEB (instanceRef pdp_ram_2_2_53)) + (portRef WEA (instanceRef pdp_ram_2_2_53)) + (portRef OCEB (instanceRef pdp_ram_2_3_52)) + (portRef WEA (instanceRef pdp_ram_2_3_52)) + (portRef OCEB (instanceRef pdp_ram_3_0_51)) + (portRef WEA (instanceRef pdp_ram_3_0_51)) + (portRef OCEB (instanceRef pdp_ram_3_1_50)) + (portRef WEA (instanceRef pdp_ram_3_1_50)) + (portRef OCEB (instanceRef pdp_ram_3_2_49)) + (portRef WEA (instanceRef pdp_ram_3_2_49)) + (portRef OCEB (instanceRef pdp_ram_3_3_48)) + (portRef WEA (instanceRef pdp_ram_3_3_48)) + (portRef OCEB (instanceRef pdp_ram_4_0_47)) + (portRef WEA (instanceRef pdp_ram_4_0_47)) + (portRef OCEB (instanceRef pdp_ram_4_1_46)) + (portRef WEA (instanceRef pdp_ram_4_1_46)) + (portRef OCEB (instanceRef pdp_ram_4_2_45)) + (portRef WEA (instanceRef pdp_ram_4_2_45)) + (portRef OCEB (instanceRef pdp_ram_4_3_44)) + (portRef WEA (instanceRef pdp_ram_4_3_44)) + (portRef OCEB (instanceRef pdp_ram_5_0_43)) + (portRef WEA (instanceRef pdp_ram_5_0_43)) + (portRef OCEB (instanceRef pdp_ram_5_1_42)) + (portRef WEA (instanceRef pdp_ram_5_1_42)) + (portRef OCEB (instanceRef pdp_ram_5_2_41)) + (portRef WEA (instanceRef pdp_ram_5_2_41)) + (portRef OCEB (instanceRef pdp_ram_5_3_40)) + (portRef WEA (instanceRef pdp_ram_5_3_40)) + (portRef OCEB (instanceRef pdp_ram_6_0_39)) + (portRef WEA (instanceRef pdp_ram_6_0_39)) + (portRef OCEB (instanceRef pdp_ram_6_1_38)) + (portRef WEA (instanceRef pdp_ram_6_1_38)) + (portRef OCEB (instanceRef pdp_ram_6_2_37)) + (portRef WEA (instanceRef pdp_ram_6_2_37)) + (portRef OCEB (instanceRef pdp_ram_6_3_36)) + (portRef WEA (instanceRef pdp_ram_6_3_36)) + (portRef OCEB (instanceRef pdp_ram_7_0_35)) + (portRef WEA (instanceRef pdp_ram_7_0_35)) + (portRef OCEB (instanceRef pdp_ram_7_1_34)) + (portRef WEA (instanceRef pdp_ram_7_1_34)) + (portRef OCEB (instanceRef pdp_ram_7_2_33)) + (portRef WEA (instanceRef pdp_ram_7_2_33)) + (portRef OCEB (instanceRef pdp_ram_7_3_32)) + (portRef WEA (instanceRef pdp_ram_7_3_32)) + (portRef OCEB (instanceRef pdp_ram_8_0_31)) + (portRef WEA (instanceRef pdp_ram_8_0_31)) + (portRef OCEB (instanceRef pdp_ram_8_1_30)) + (portRef WEA (instanceRef pdp_ram_8_1_30)) + (portRef OCEB (instanceRef pdp_ram_8_2_29)) + (portRef WEA (instanceRef pdp_ram_8_2_29)) + (portRef OCEB (instanceRef pdp_ram_8_3_28)) + (portRef WEA (instanceRef pdp_ram_8_3_28)) + (portRef OCEB (instanceRef pdp_ram_9_0_27)) + (portRef WEA (instanceRef pdp_ram_9_0_27)) + (portRef OCEB (instanceRef pdp_ram_9_1_26)) + (portRef WEA (instanceRef pdp_ram_9_1_26)) + (portRef OCEB (instanceRef pdp_ram_9_2_25)) + (portRef WEA (instanceRef pdp_ram_9_2_25)) + (portRef OCEB (instanceRef pdp_ram_9_3_24)) + (portRef WEA (instanceRef pdp_ram_9_3_24)) + (portRef OCEB (instanceRef pdp_ram_10_0_23)) + (portRef WEA (instanceRef pdp_ram_10_0_23)) + (portRef OCEB (instanceRef pdp_ram_10_1_22)) + (portRef WEA (instanceRef pdp_ram_10_1_22)) + (portRef OCEB (instanceRef pdp_ram_10_2_21)) + (portRef WEA (instanceRef pdp_ram_10_2_21)) + (portRef OCEB (instanceRef pdp_ram_10_3_20)) + (portRef WEA (instanceRef pdp_ram_10_3_20)) + (portRef OCEB (instanceRef pdp_ram_11_0_19)) + (portRef WEA (instanceRef pdp_ram_11_0_19)) + (portRef OCEB (instanceRef pdp_ram_11_1_18)) + (portRef WEA (instanceRef pdp_ram_11_1_18)) + (portRef OCEB (instanceRef pdp_ram_11_2_17)) + (portRef WEA (instanceRef pdp_ram_11_2_17)) + (portRef OCEB (instanceRef pdp_ram_11_3_16)) + (portRef WEA (instanceRef pdp_ram_11_3_16)) + (portRef OCEB (instanceRef pdp_ram_12_0_15)) + (portRef WEA (instanceRef pdp_ram_12_0_15)) + (portRef OCEB (instanceRef pdp_ram_12_1_14)) + (portRef WEA (instanceRef pdp_ram_12_1_14)) + (portRef OCEB (instanceRef pdp_ram_12_2_13)) + (portRef WEA (instanceRef pdp_ram_12_2_13)) + (portRef OCEB (instanceRef pdp_ram_12_3_12)) + (portRef WEA (instanceRef pdp_ram_12_3_12)) + (portRef OCEB (instanceRef pdp_ram_13_0_11)) + (portRef WEA (instanceRef pdp_ram_13_0_11)) + (portRef OCEB (instanceRef pdp_ram_13_1_10)) + (portRef WEA (instanceRef pdp_ram_13_1_10)) + (portRef OCEB (instanceRef pdp_ram_13_2_9)) + (portRef WEA (instanceRef pdp_ram_13_2_9)) + (portRef OCEB (instanceRef pdp_ram_13_3_8)) + (portRef WEA (instanceRef pdp_ram_13_3_8)) + (portRef OCEB (instanceRef pdp_ram_14_0_7)) + (portRef WEA (instanceRef pdp_ram_14_0_7)) + (portRef OCEB (instanceRef pdp_ram_14_1_6)) + (portRef WEA (instanceRef pdp_ram_14_1_6)) + (portRef OCEB (instanceRef pdp_ram_14_2_5)) + (portRef WEA (instanceRef pdp_ram_14_2_5)) + (portRef OCEB (instanceRef pdp_ram_14_3_4)) + (portRef WEA (instanceRef pdp_ram_14_3_4)) + (portRef OCEB (instanceRef pdp_ram_15_0_3)) + (portRef WEA (instanceRef pdp_ram_15_0_3)) + (portRef OCEB (instanceRef pdp_ram_15_1_2)) + (portRef WEA (instanceRef pdp_ram_15_1_2)) + (portRef OCEB (instanceRef pdp_ram_15_2_1)) + (portRef WEA (instanceRef pdp_ram_15_2_1)) + (portRef OCEB (instanceRef pdp_ram_15_3_0)) + (portRef WEA (instanceRef pdp_ram_15_3_0)) + (portRef C1 (instanceRef bdcnt_bctr_cia)) + (portRef C0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_cia)) + (portRef D0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_0)) + (portRef D0 (instanceRef bdcnt_bctr_0)) + (portRef C1 (instanceRef bdcnt_bctr_0)) + (portRef C0 (instanceRef bdcnt_bctr_0)) + (portRef D1 (instanceRef bdcnt_bctr_1)) + (portRef D0 (instanceRef bdcnt_bctr_1)) + (portRef C1 (instanceRef bdcnt_bctr_1)) + (portRef C0 (instanceRef bdcnt_bctr_1)) + (portRef D1 (instanceRef bdcnt_bctr_2)) + (portRef D0 (instanceRef bdcnt_bctr_2)) + (portRef C1 (instanceRef bdcnt_bctr_2)) + (portRef C0 (instanceRef bdcnt_bctr_2)) + (portRef D1 (instanceRef bdcnt_bctr_3)) + (portRef D0 (instanceRef bdcnt_bctr_3)) + (portRef C1 (instanceRef bdcnt_bctr_3)) + (portRef C0 (instanceRef bdcnt_bctr_3)) + (portRef D1 (instanceRef bdcnt_bctr_4)) + (portRef D0 (instanceRef bdcnt_bctr_4)) + (portRef C1 (instanceRef bdcnt_bctr_4)) + (portRef C0 (instanceRef bdcnt_bctr_4)) + (portRef D1 (instanceRef bdcnt_bctr_5)) + (portRef D0 (instanceRef bdcnt_bctr_5)) + (portRef C1 (instanceRef bdcnt_bctr_5)) + (portRef C0 (instanceRef bdcnt_bctr_5)) + (portRef D1 (instanceRef bdcnt_bctr_6)) + (portRef D0 (instanceRef bdcnt_bctr_6)) + (portRef C1 (instanceRef bdcnt_bctr_6)) + (portRef C0 (instanceRef bdcnt_bctr_6)) + (portRef D1 (instanceRef bdcnt_bctr_7)) + (portRef D0 (instanceRef bdcnt_bctr_7)) + (portRef C1 (instanceRef bdcnt_bctr_7)) + (portRef C0 (instanceRef bdcnt_bctr_7)) + (portRef C1 (instanceRef e_cmp_ci_a)) + (portRef C0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_ci_a)) + (portRef D0 (instanceRef e_cmp_ci_a)) + (portRef B1 (instanceRef e_cmp_ci_a)) + (portRef B0 (instanceRef e_cmp_ci_a)) + (portRef A1 (instanceRef e_cmp_ci_a)) + (portRef A0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_0)) + (portRef D0 (instanceRef e_cmp_0)) + (portRef C1 (instanceRef e_cmp_0)) + (portRef C0 (instanceRef e_cmp_0)) + (portRef D1 (instanceRef e_cmp_1)) + (portRef D0 (instanceRef e_cmp_1)) + (portRef C1 (instanceRef e_cmp_1)) + (portRef C0 (instanceRef e_cmp_1)) + (portRef D1 (instanceRef e_cmp_2)) + (portRef D0 (instanceRef e_cmp_2)) + (portRef C1 (instanceRef e_cmp_2)) + (portRef C0 (instanceRef e_cmp_2)) + (portRef D1 (instanceRef e_cmp_3)) + (portRef D0 (instanceRef e_cmp_3)) + (portRef C1 (instanceRef e_cmp_3)) + (portRef C0 (instanceRef e_cmp_3)) + (portRef D1 (instanceRef e_cmp_4)) + (portRef D0 (instanceRef e_cmp_4)) + (portRef C1 (instanceRef e_cmp_4)) + (portRef C0 (instanceRef e_cmp_4)) + (portRef D1 (instanceRef e_cmp_5)) + (portRef D0 (instanceRef e_cmp_5)) + (portRef C1 (instanceRef e_cmp_5)) + (portRef C0 (instanceRef e_cmp_5)) + (portRef D1 (instanceRef e_cmp_6)) + (portRef D0 (instanceRef e_cmp_6)) + (portRef C1 (instanceRef e_cmp_6)) + (portRef C0 (instanceRef e_cmp_6)) + (portRef D1 (instanceRef e_cmp_7)) + (portRef D0 (instanceRef e_cmp_7)) + (portRef C1 (instanceRef e_cmp_7)) + (portRef C0 (instanceRef e_cmp_7)) + (portRef C1 (instanceRef a0)) + (portRef C0 (instanceRef a0)) + (portRef D1 (instanceRef a0)) + (portRef D0 (instanceRef a0)) + (portRef C1 (instanceRef g_cmp_ci_a)) + (portRef C0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_ci_a)) + (portRef D0 (instanceRef g_cmp_ci_a)) + (portRef B1 (instanceRef g_cmp_ci_a)) + (portRef B0 (instanceRef g_cmp_ci_a)) + (portRef A1 (instanceRef g_cmp_ci_a)) + (portRef A0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_0)) + (portRef D0 (instanceRef g_cmp_0)) + (portRef C1 (instanceRef g_cmp_0)) + (portRef C0 (instanceRef g_cmp_0)) + (portRef D1 (instanceRef g_cmp_1)) + (portRef D0 (instanceRef g_cmp_1)) + (portRef C1 (instanceRef g_cmp_1)) + (portRef C0 (instanceRef g_cmp_1)) + (portRef D1 (instanceRef g_cmp_2)) + (portRef D0 (instanceRef g_cmp_2)) + (portRef C1 (instanceRef g_cmp_2)) + (portRef C0 (instanceRef g_cmp_2)) + (portRef D1 (instanceRef g_cmp_3)) + (portRef D0 (instanceRef g_cmp_3)) + (portRef C1 (instanceRef g_cmp_3)) + (portRef C0 (instanceRef g_cmp_3)) + (portRef D1 (instanceRef g_cmp_4)) + (portRef D0 (instanceRef g_cmp_4)) + (portRef C1 (instanceRef g_cmp_4)) + (portRef C0 (instanceRef g_cmp_4)) + (portRef D1 (instanceRef g_cmp_5)) + (portRef D0 (instanceRef g_cmp_5)) + (portRef C1 (instanceRef g_cmp_5)) + (portRef C0 (instanceRef g_cmp_5)) + (portRef D1 (instanceRef g_cmp_6)) + (portRef D0 (instanceRef g_cmp_6)) + (portRef C1 (instanceRef g_cmp_6)) + (portRef C0 (instanceRef g_cmp_6)) + (portRef D1 (instanceRef g_cmp_7)) + (portRef D0 (instanceRef g_cmp_7)) + (portRef C1 (instanceRef g_cmp_7)) + (portRef C0 (instanceRef g_cmp_7)) + (portRef C1 (instanceRef a1)) + (portRef C0 (instanceRef a1)) + (portRef D1 (instanceRef a1)) + (portRef D0 (instanceRef a1)) + (portRef C1 (instanceRef w_ctr_cia)) + (portRef C0 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_cia)) + (portRef D0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_cia)) + (portRef A1 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_0)) + (portRef D0 (instanceRef w_ctr_0)) + (portRef C1 (instanceRef w_ctr_0)) + (portRef C0 (instanceRef w_ctr_0)) + (portRef D1 (instanceRef w_ctr_1)) + (portRef D0 (instanceRef w_ctr_1)) + (portRef C1 (instanceRef w_ctr_1)) + (portRef C0 (instanceRef w_ctr_1)) + (portRef D1 (instanceRef w_ctr_2)) + (portRef D0 (instanceRef w_ctr_2)) + (portRef C1 (instanceRef w_ctr_2)) + (portRef C0 (instanceRef w_ctr_2)) + (portRef D1 (instanceRef w_ctr_3)) + (portRef D0 (instanceRef w_ctr_3)) + (portRef C1 (instanceRef w_ctr_3)) + (portRef C0 (instanceRef w_ctr_3)) + (portRef D1 (instanceRef w_ctr_4)) + (portRef D0 (instanceRef w_ctr_4)) + (portRef C1 (instanceRef w_ctr_4)) + (portRef C0 (instanceRef w_ctr_4)) + (portRef D1 (instanceRef w_ctr_5)) + (portRef D0 (instanceRef w_ctr_5)) + (portRef C1 (instanceRef w_ctr_5)) + (portRef C0 (instanceRef w_ctr_5)) + (portRef D1 (instanceRef w_ctr_6)) + (portRef D0 (instanceRef w_ctr_6)) + (portRef C1 (instanceRef w_ctr_6)) + (portRef C0 (instanceRef w_ctr_6)) + (portRef D1 (instanceRef w_ctr_7)) + (portRef D0 (instanceRef w_ctr_7)) + (portRef C1 (instanceRef w_ctr_7)) + (portRef C0 (instanceRef w_ctr_7)) + (portRef C1 (instanceRef r_ctr_cia)) + (portRef C0 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_cia)) + (portRef D0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_cia)) + (portRef A1 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_0)) + (portRef D0 (instanceRef r_ctr_0)) + (portRef C1 (instanceRef r_ctr_0)) + (portRef C0 (instanceRef r_ctr_0)) + (portRef D1 (instanceRef r_ctr_1)) + (portRef D0 (instanceRef r_ctr_1)) + (portRef C1 (instanceRef r_ctr_1)) + (portRef C0 (instanceRef r_ctr_1)) + (portRef D1 (instanceRef r_ctr_2)) + (portRef D0 (instanceRef r_ctr_2)) + (portRef C1 (instanceRef r_ctr_2)) + (portRef C0 (instanceRef r_ctr_2)) + (portRef D1 (instanceRef r_ctr_3)) + (portRef D0 (instanceRef r_ctr_3)) + (portRef C1 (instanceRef r_ctr_3)) + (portRef C0 (instanceRef r_ctr_3)) + (portRef D1 (instanceRef r_ctr_4)) + (portRef D0 (instanceRef r_ctr_4)) + (portRef C1 (instanceRef r_ctr_4)) + (portRef C0 (instanceRef r_ctr_4)) + (portRef D1 (instanceRef r_ctr_5)) + (portRef D0 (instanceRef r_ctr_5)) + (portRef C1 (instanceRef r_ctr_5)) + (portRef C0 (instanceRef r_ctr_5)) + (portRef D1 (instanceRef r_ctr_6)) + (portRef D0 (instanceRef r_ctr_6)) + (portRef C1 (instanceRef r_ctr_6)) + (portRef C0 (instanceRef r_ctr_6)) + (portRef D1 (instanceRef r_ctr_7)) + (portRef D0 (instanceRef r_ctr_7)) + (portRef C1 (instanceRef r_ctr_7)) + (portRef C0 (instanceRef r_ctr_7)) + (portRef C1 (instanceRef precin_inst1073)) + (portRef C0 (instanceRef precin_inst1073)) + (portRef D1 (instanceRef precin_inst1073)) + (portRef D0 (instanceRef precin_inst1073)) + (portRef B1 (instanceRef precin_inst1073)) + (portRef B0 (instanceRef precin_inst1073)) + (portRef A1 (instanceRef precin_inst1073)) + (portRef A0 (instanceRef precin_inst1073)) + (portRef C1 (instanceRef wcnt_0)) + (portRef C0 (instanceRef wcnt_0)) + (portRef D1 (instanceRef wcnt_0)) + (portRef D0 (instanceRef wcnt_0)) + (portRef C1 (instanceRef wcnt_1)) + (portRef C0 (instanceRef wcnt_1)) + (portRef D1 (instanceRef wcnt_1)) + (portRef D0 (instanceRef wcnt_1)) + (portRef C1 (instanceRef wcnt_2)) + (portRef C0 (instanceRef wcnt_2)) + (portRef D1 (instanceRef wcnt_2)) + (portRef D0 (instanceRef wcnt_2)) + (portRef C1 (instanceRef wcnt_3)) + (portRef C0 (instanceRef wcnt_3)) + (portRef D1 (instanceRef wcnt_3)) + (portRef D0 (instanceRef wcnt_3)) + (portRef C1 (instanceRef wcnt_4)) + (portRef C0 (instanceRef wcnt_4)) + (portRef D1 (instanceRef wcnt_4)) + (portRef D0 (instanceRef wcnt_4)) + (portRef C1 (instanceRef wcnt_5)) + (portRef C0 (instanceRef wcnt_5)) + (portRef D1 (instanceRef wcnt_5)) + (portRef D0 (instanceRef wcnt_5)) + (portRef C1 (instanceRef wcnt_6)) + (portRef C0 (instanceRef wcnt_6)) + (portRef D1 (instanceRef wcnt_6)) + (portRef D0 (instanceRef wcnt_6)) + (portRef C1 (instanceRef wcnt_7)) + (portRef C0 (instanceRef wcnt_7)) + (portRef D1 (instanceRef wcnt_7)) + (portRef D0 (instanceRef wcnt_7)) + (portRef C1 (instanceRef wcnt_8)) + (portRef C0 (instanceRef wcnt_8)) + (portRef D1 (instanceRef wcnt_8)) + (portRef D0 (instanceRef wcnt_8)) + (portRef C1 (instanceRef af_set_cmp_ci_a)) + (portRef C0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_ci_a)) + (portRef D0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_0)) + (portRef D0 (instanceRef af_set_cmp_0)) + (portRef C1 (instanceRef af_set_cmp_0)) + (portRef C0 (instanceRef af_set_cmp_0)) + (portRef D1 (instanceRef af_set_cmp_1)) + (portRef D0 (instanceRef af_set_cmp_1)) + (portRef C1 (instanceRef af_set_cmp_1)) + (portRef C0 (instanceRef af_set_cmp_1)) + (portRef D1 (instanceRef af_set_cmp_2)) + (portRef D0 (instanceRef af_set_cmp_2)) + (portRef C1 (instanceRef af_set_cmp_2)) + (portRef C0 (instanceRef af_set_cmp_2)) + (portRef D1 (instanceRef af_set_cmp_3)) + (portRef D0 (instanceRef af_set_cmp_3)) + (portRef C1 (instanceRef af_set_cmp_3)) + (portRef C0 (instanceRef af_set_cmp_3)) + (portRef D1 (instanceRef af_set_cmp_4)) + (portRef D0 (instanceRef af_set_cmp_4)) + (portRef C1 (instanceRef af_set_cmp_4)) + (portRef C0 (instanceRef af_set_cmp_4)) + (portRef D1 (instanceRef af_set_cmp_5)) + (portRef D0 (instanceRef af_set_cmp_5)) + (portRef C1 (instanceRef af_set_cmp_5)) + (portRef C0 (instanceRef af_set_cmp_5)) + (portRef D1 (instanceRef af_set_cmp_6)) + (portRef D0 (instanceRef af_set_cmp_6)) + (portRef C1 (instanceRef af_set_cmp_6)) + (portRef C0 (instanceRef af_set_cmp_6)) + (portRef D1 (instanceRef af_set_cmp_7)) + (portRef D0 (instanceRef af_set_cmp_7)) + (portRef C1 (instanceRef af_set_cmp_7)) + (portRef C0 (instanceRef af_set_cmp_7)) + (portRef C1 (instanceRef a2)) + (portRef C0 (instanceRef a2)) + (portRef D1 (instanceRef a2)) + (portRef D0 (instanceRef a2)))) + (net scuba_vlo + (joined + (portRef Z (instanceRef scuba_vlo_inst)) + (portRef AD3 (instanceRef LUT4_129)) + (portRef AD3 (instanceRef LUT4_128)) + (portRef CSB2 (instanceRef pdp_ram_0_0_63)) + (portRef CSA2 (instanceRef pdp_ram_0_0_63)) + (portRef CSB1 (instanceRef pdp_ram_0_0_63)) + (portRef CSA1 (instanceRef pdp_ram_0_0_63)) + (portRef WEB (instanceRef pdp_ram_0_0_63)) + (portRef ADB2 (instanceRef pdp_ram_0_0_63)) + (portRef ADA2 (instanceRef pdp_ram_0_0_63)) + (portRef ADB1 (instanceRef pdp_ram_0_0_63)) + (portRef ADA1 (instanceRef pdp_ram_0_0_63)) + (portRef ADB0 (instanceRef pdp_ram_0_0_63)) + (portRef ADA0 (instanceRef pdp_ram_0_0_63)) + (portRef DIB17 (instanceRef pdp_ram_0_0_63)) + (portRef DIA17 (instanceRef pdp_ram_0_0_63)) + (portRef DIB16 (instanceRef pdp_ram_0_0_63)) + (portRef DIA16 (instanceRef pdp_ram_0_0_63)) + (portRef DIB15 (instanceRef pdp_ram_0_0_63)) + (portRef DIA15 (instanceRef pdp_ram_0_0_63)) + (portRef DIB14 (instanceRef pdp_ram_0_0_63)) + (portRef DIA14 (instanceRef pdp_ram_0_0_63)) + (portRef DIB13 (instanceRef pdp_ram_0_0_63)) + (portRef DIA13 (instanceRef pdp_ram_0_0_63)) + (portRef DIB12 (instanceRef pdp_ram_0_0_63)) + (portRef DIA12 (instanceRef pdp_ram_0_0_63)) + (portRef DIB11 (instanceRef pdp_ram_0_0_63)) + (portRef DIA11 (instanceRef pdp_ram_0_0_63)) + (portRef DIB10 (instanceRef pdp_ram_0_0_63)) + (portRef DIA10 (instanceRef pdp_ram_0_0_63)) + (portRef DIB9 (instanceRef pdp_ram_0_0_63)) + (portRef DIA9 (instanceRef pdp_ram_0_0_63)) + (portRef DIB8 (instanceRef pdp_ram_0_0_63)) + (portRef DIB7 (instanceRef pdp_ram_0_0_63)) + (portRef DIB6 (instanceRef pdp_ram_0_0_63)) + (portRef DIB5 (instanceRef pdp_ram_0_0_63)) + (portRef DIB4 (instanceRef pdp_ram_0_0_63)) + (portRef DIB3 (instanceRef pdp_ram_0_0_63)) + (portRef DIB2 (instanceRef pdp_ram_0_0_63)) + (portRef DIB1 (instanceRef pdp_ram_0_0_63)) + (portRef DIB0 (instanceRef pdp_ram_0_0_63)) + (portRef CSB2 (instanceRef pdp_ram_0_1_62)) + (portRef CSA2 (instanceRef pdp_ram_0_1_62)) + (portRef CSB1 (instanceRef pdp_ram_0_1_62)) + (portRef CSA1 (instanceRef pdp_ram_0_1_62)) + (portRef WEB (instanceRef pdp_ram_0_1_62)) + (portRef ADB2 (instanceRef pdp_ram_0_1_62)) + (portRef ADA2 (instanceRef pdp_ram_0_1_62)) + (portRef ADB1 (instanceRef pdp_ram_0_1_62)) + (portRef ADA1 (instanceRef pdp_ram_0_1_62)) + (portRef ADB0 (instanceRef pdp_ram_0_1_62)) + (portRef ADA0 (instanceRef pdp_ram_0_1_62)) + (portRef DIB17 (instanceRef pdp_ram_0_1_62)) + (portRef DIA17 (instanceRef pdp_ram_0_1_62)) + (portRef DIB16 (instanceRef pdp_ram_0_1_62)) + (portRef DIA16 (instanceRef pdp_ram_0_1_62)) + (portRef DIB15 (instanceRef pdp_ram_0_1_62)) + (portRef DIA15 (instanceRef pdp_ram_0_1_62)) + (portRef DIB14 (instanceRef pdp_ram_0_1_62)) + (portRef DIA14 (instanceRef pdp_ram_0_1_62)) + (portRef DIB13 (instanceRef pdp_ram_0_1_62)) + (portRef DIA13 (instanceRef pdp_ram_0_1_62)) + (portRef DIB12 (instanceRef pdp_ram_0_1_62)) + (portRef DIA12 (instanceRef pdp_ram_0_1_62)) + (portRef DIB11 (instanceRef pdp_ram_0_1_62)) + (portRef DIA11 (instanceRef pdp_ram_0_1_62)) + (portRef DIB10 (instanceRef pdp_ram_0_1_62)) + (portRef DIA10 (instanceRef pdp_ram_0_1_62)) + (portRef DIB9 (instanceRef pdp_ram_0_1_62)) + (portRef DIA9 (instanceRef pdp_ram_0_1_62)) + (portRef DIB8 (instanceRef pdp_ram_0_1_62)) + (portRef DIB7 (instanceRef pdp_ram_0_1_62)) + (portRef DIB6 (instanceRef pdp_ram_0_1_62)) + (portRef DIB5 (instanceRef pdp_ram_0_1_62)) + (portRef DIB4 (instanceRef pdp_ram_0_1_62)) + (portRef DIB3 (instanceRef pdp_ram_0_1_62)) + (portRef DIB2 (instanceRef pdp_ram_0_1_62)) + (portRef DIB1 (instanceRef pdp_ram_0_1_62)) + (portRef DIB0 (instanceRef pdp_ram_0_1_62)) + (portRef CSB2 (instanceRef pdp_ram_0_2_61)) + (portRef CSA2 (instanceRef pdp_ram_0_2_61)) + (portRef CSB1 (instanceRef pdp_ram_0_2_61)) + (portRef CSA1 (instanceRef pdp_ram_0_2_61)) + (portRef WEB (instanceRef pdp_ram_0_2_61)) + (portRef ADB2 (instanceRef pdp_ram_0_2_61)) + (portRef ADA2 (instanceRef pdp_ram_0_2_61)) + (portRef ADB1 (instanceRef pdp_ram_0_2_61)) + (portRef ADA1 (instanceRef pdp_ram_0_2_61)) + (portRef ADB0 (instanceRef pdp_ram_0_2_61)) + (portRef ADA0 (instanceRef pdp_ram_0_2_61)) + (portRef DIB17 (instanceRef pdp_ram_0_2_61)) + (portRef DIA17 (instanceRef pdp_ram_0_2_61)) + (portRef DIB16 (instanceRef pdp_ram_0_2_61)) + (portRef DIA16 (instanceRef pdp_ram_0_2_61)) + (portRef DIB15 (instanceRef pdp_ram_0_2_61)) + (portRef DIA15 (instanceRef pdp_ram_0_2_61)) + (portRef DIB14 (instanceRef pdp_ram_0_2_61)) + (portRef DIA14 (instanceRef pdp_ram_0_2_61)) + (portRef DIB13 (instanceRef pdp_ram_0_2_61)) + (portRef DIA13 (instanceRef pdp_ram_0_2_61)) + (portRef DIB12 (instanceRef pdp_ram_0_2_61)) + (portRef DIA12 (instanceRef pdp_ram_0_2_61)) + (portRef DIB11 (instanceRef pdp_ram_0_2_61)) + (portRef DIA11 (instanceRef pdp_ram_0_2_61)) + (portRef DIB10 (instanceRef pdp_ram_0_2_61)) + (portRef DIA10 (instanceRef pdp_ram_0_2_61)) + (portRef DIB9 (instanceRef pdp_ram_0_2_61)) + (portRef DIA9 (instanceRef pdp_ram_0_2_61)) + (portRef DIB8 (instanceRef pdp_ram_0_2_61)) + (portRef DIB7 (instanceRef pdp_ram_0_2_61)) + (portRef DIB6 (instanceRef pdp_ram_0_2_61)) + (portRef DIB5 (instanceRef pdp_ram_0_2_61)) + (portRef DIB4 (instanceRef pdp_ram_0_2_61)) + (portRef DIB3 (instanceRef pdp_ram_0_2_61)) + (portRef DIB2 (instanceRef pdp_ram_0_2_61)) + (portRef DIB1 (instanceRef pdp_ram_0_2_61)) + (portRef DIB0 (instanceRef pdp_ram_0_2_61)) + (portRef CSB2 (instanceRef pdp_ram_0_3_60)) + (portRef CSA2 (instanceRef pdp_ram_0_3_60)) + (portRef CSB1 (instanceRef pdp_ram_0_3_60)) + (portRef CSA1 (instanceRef pdp_ram_0_3_60)) + (portRef WEB (instanceRef pdp_ram_0_3_60)) + (portRef ADB2 (instanceRef pdp_ram_0_3_60)) + (portRef ADA2 (instanceRef pdp_ram_0_3_60)) + (portRef ADB1 (instanceRef pdp_ram_0_3_60)) + (portRef ADA1 (instanceRef pdp_ram_0_3_60)) + (portRef ADB0 (instanceRef pdp_ram_0_3_60)) + (portRef ADA0 (instanceRef pdp_ram_0_3_60)) + (portRef DIB17 (instanceRef pdp_ram_0_3_60)) + (portRef DIA17 (instanceRef pdp_ram_0_3_60)) + (portRef DIB16 (instanceRef pdp_ram_0_3_60)) + (portRef DIA16 (instanceRef pdp_ram_0_3_60)) + (portRef DIB15 (instanceRef pdp_ram_0_3_60)) + (portRef DIA15 (instanceRef pdp_ram_0_3_60)) + (portRef DIB14 (instanceRef pdp_ram_0_3_60)) + (portRef DIA14 (instanceRef pdp_ram_0_3_60)) + (portRef DIB13 (instanceRef pdp_ram_0_3_60)) + (portRef DIA13 (instanceRef pdp_ram_0_3_60)) + (portRef DIB12 (instanceRef pdp_ram_0_3_60)) + (portRef DIA12 (instanceRef pdp_ram_0_3_60)) + (portRef DIB11 (instanceRef pdp_ram_0_3_60)) + (portRef DIA11 (instanceRef pdp_ram_0_3_60)) + (portRef DIB10 (instanceRef pdp_ram_0_3_60)) + (portRef DIA10 (instanceRef pdp_ram_0_3_60)) + (portRef DIB9 (instanceRef pdp_ram_0_3_60)) + (portRef DIA9 (instanceRef pdp_ram_0_3_60)) + (portRef DIB8 (instanceRef pdp_ram_0_3_60)) + (portRef DIB7 (instanceRef pdp_ram_0_3_60)) + (portRef DIB6 (instanceRef pdp_ram_0_3_60)) + (portRef DIB5 (instanceRef pdp_ram_0_3_60)) + (portRef DIB4 (instanceRef pdp_ram_0_3_60)) + (portRef DIB3 (instanceRef pdp_ram_0_3_60)) + (portRef DIB2 (instanceRef pdp_ram_0_3_60)) + (portRef DIB1 (instanceRef pdp_ram_0_3_60)) + (portRef DIB0 (instanceRef pdp_ram_0_3_60)) + (portRef CSB2 (instanceRef pdp_ram_1_0_59)) + (portRef CSA2 (instanceRef pdp_ram_1_0_59)) + (portRef CSB1 (instanceRef pdp_ram_1_0_59)) + (portRef CSA1 (instanceRef pdp_ram_1_0_59)) + (portRef WEB (instanceRef pdp_ram_1_0_59)) + (portRef ADB2 (instanceRef pdp_ram_1_0_59)) + (portRef ADA2 (instanceRef pdp_ram_1_0_59)) + (portRef ADB1 (instanceRef pdp_ram_1_0_59)) + (portRef ADA1 (instanceRef pdp_ram_1_0_59)) + (portRef ADB0 (instanceRef pdp_ram_1_0_59)) + (portRef ADA0 (instanceRef pdp_ram_1_0_59)) + (portRef DIB17 (instanceRef pdp_ram_1_0_59)) + (portRef DIA17 (instanceRef pdp_ram_1_0_59)) + (portRef DIB16 (instanceRef pdp_ram_1_0_59)) + (portRef DIA16 (instanceRef pdp_ram_1_0_59)) + (portRef DIB15 (instanceRef pdp_ram_1_0_59)) + (portRef DIA15 (instanceRef pdp_ram_1_0_59)) + (portRef DIB14 (instanceRef pdp_ram_1_0_59)) + (portRef DIA14 (instanceRef pdp_ram_1_0_59)) + (portRef DIB13 (instanceRef pdp_ram_1_0_59)) + (portRef DIA13 (instanceRef pdp_ram_1_0_59)) + (portRef DIB12 (instanceRef pdp_ram_1_0_59)) + (portRef DIA12 (instanceRef pdp_ram_1_0_59)) + (portRef DIB11 (instanceRef pdp_ram_1_0_59)) + (portRef DIA11 (instanceRef pdp_ram_1_0_59)) + (portRef DIB10 (instanceRef pdp_ram_1_0_59)) + (portRef DIA10 (instanceRef pdp_ram_1_0_59)) + (portRef DIB9 (instanceRef pdp_ram_1_0_59)) + (portRef DIA9 (instanceRef pdp_ram_1_0_59)) + (portRef DIB8 (instanceRef pdp_ram_1_0_59)) + (portRef DIB7 (instanceRef pdp_ram_1_0_59)) + (portRef DIB6 (instanceRef pdp_ram_1_0_59)) + (portRef DIB5 (instanceRef pdp_ram_1_0_59)) + (portRef DIB4 (instanceRef pdp_ram_1_0_59)) + (portRef DIB3 (instanceRef pdp_ram_1_0_59)) + (portRef DIB2 (instanceRef pdp_ram_1_0_59)) + (portRef DIB1 (instanceRef pdp_ram_1_0_59)) + (portRef DIB0 (instanceRef pdp_ram_1_0_59)) + (portRef CSB2 (instanceRef pdp_ram_1_1_58)) + (portRef CSA2 (instanceRef pdp_ram_1_1_58)) + (portRef CSB1 (instanceRef pdp_ram_1_1_58)) + (portRef CSA1 (instanceRef pdp_ram_1_1_58)) + (portRef WEB (instanceRef pdp_ram_1_1_58)) + (portRef ADB2 (instanceRef pdp_ram_1_1_58)) + (portRef ADA2 (instanceRef pdp_ram_1_1_58)) + (portRef ADB1 (instanceRef pdp_ram_1_1_58)) + (portRef ADA1 (instanceRef pdp_ram_1_1_58)) + (portRef ADB0 (instanceRef pdp_ram_1_1_58)) + (portRef ADA0 (instanceRef pdp_ram_1_1_58)) + (portRef DIB17 (instanceRef pdp_ram_1_1_58)) + (portRef DIA17 (instanceRef pdp_ram_1_1_58)) + (portRef DIB16 (instanceRef pdp_ram_1_1_58)) + (portRef DIA16 (instanceRef pdp_ram_1_1_58)) + (portRef DIB15 (instanceRef pdp_ram_1_1_58)) + (portRef DIA15 (instanceRef pdp_ram_1_1_58)) + (portRef DIB14 (instanceRef pdp_ram_1_1_58)) + (portRef DIA14 (instanceRef pdp_ram_1_1_58)) + (portRef DIB13 (instanceRef pdp_ram_1_1_58)) + (portRef DIA13 (instanceRef pdp_ram_1_1_58)) + (portRef DIB12 (instanceRef pdp_ram_1_1_58)) + (portRef DIA12 (instanceRef pdp_ram_1_1_58)) + (portRef DIB11 (instanceRef pdp_ram_1_1_58)) + (portRef DIA11 (instanceRef pdp_ram_1_1_58)) + (portRef DIB10 (instanceRef pdp_ram_1_1_58)) + (portRef DIA10 (instanceRef pdp_ram_1_1_58)) + (portRef DIB9 (instanceRef pdp_ram_1_1_58)) + (portRef DIA9 (instanceRef pdp_ram_1_1_58)) + (portRef DIB8 (instanceRef pdp_ram_1_1_58)) + (portRef DIB7 (instanceRef pdp_ram_1_1_58)) + (portRef DIB6 (instanceRef pdp_ram_1_1_58)) + (portRef DIB5 (instanceRef pdp_ram_1_1_58)) + (portRef DIB4 (instanceRef pdp_ram_1_1_58)) + (portRef DIB3 (instanceRef pdp_ram_1_1_58)) + (portRef DIB2 (instanceRef pdp_ram_1_1_58)) + (portRef DIB1 (instanceRef pdp_ram_1_1_58)) + (portRef DIB0 (instanceRef pdp_ram_1_1_58)) + (portRef CSB2 (instanceRef pdp_ram_1_2_57)) + (portRef CSA2 (instanceRef pdp_ram_1_2_57)) + (portRef CSB1 (instanceRef pdp_ram_1_2_57)) + (portRef CSA1 (instanceRef pdp_ram_1_2_57)) + (portRef WEB (instanceRef pdp_ram_1_2_57)) + (portRef ADB2 (instanceRef pdp_ram_1_2_57)) + (portRef ADA2 (instanceRef pdp_ram_1_2_57)) + (portRef ADB1 (instanceRef pdp_ram_1_2_57)) + (portRef ADA1 (instanceRef pdp_ram_1_2_57)) + (portRef ADB0 (instanceRef pdp_ram_1_2_57)) + (portRef ADA0 (instanceRef pdp_ram_1_2_57)) + (portRef DIB17 (instanceRef pdp_ram_1_2_57)) + (portRef DIA17 (instanceRef pdp_ram_1_2_57)) + (portRef DIB16 (instanceRef pdp_ram_1_2_57)) + (portRef DIA16 (instanceRef pdp_ram_1_2_57)) + (portRef DIB15 (instanceRef pdp_ram_1_2_57)) + (portRef DIA15 (instanceRef pdp_ram_1_2_57)) + (portRef DIB14 (instanceRef pdp_ram_1_2_57)) + (portRef DIA14 (instanceRef pdp_ram_1_2_57)) + (portRef DIB13 (instanceRef pdp_ram_1_2_57)) + (portRef DIA13 (instanceRef pdp_ram_1_2_57)) + (portRef DIB12 (instanceRef pdp_ram_1_2_57)) + (portRef DIA12 (instanceRef pdp_ram_1_2_57)) + (portRef DIB11 (instanceRef pdp_ram_1_2_57)) + (portRef DIA11 (instanceRef pdp_ram_1_2_57)) + (portRef DIB10 (instanceRef pdp_ram_1_2_57)) + (portRef DIA10 (instanceRef pdp_ram_1_2_57)) + (portRef DIB9 (instanceRef pdp_ram_1_2_57)) + (portRef DIA9 (instanceRef pdp_ram_1_2_57)) + (portRef DIB8 (instanceRef pdp_ram_1_2_57)) + (portRef DIB7 (instanceRef pdp_ram_1_2_57)) + (portRef DIB6 (instanceRef pdp_ram_1_2_57)) + (portRef DIB5 (instanceRef pdp_ram_1_2_57)) + (portRef DIB4 (instanceRef pdp_ram_1_2_57)) + (portRef DIB3 (instanceRef pdp_ram_1_2_57)) + (portRef DIB2 (instanceRef pdp_ram_1_2_57)) + (portRef DIB1 (instanceRef pdp_ram_1_2_57)) + (portRef DIB0 (instanceRef pdp_ram_1_2_57)) + (portRef CSB2 (instanceRef pdp_ram_1_3_56)) + (portRef CSA2 (instanceRef pdp_ram_1_3_56)) + (portRef CSB1 (instanceRef pdp_ram_1_3_56)) + (portRef CSA1 (instanceRef pdp_ram_1_3_56)) + (portRef WEB (instanceRef pdp_ram_1_3_56)) + (portRef ADB2 (instanceRef pdp_ram_1_3_56)) + (portRef ADA2 (instanceRef pdp_ram_1_3_56)) + (portRef ADB1 (instanceRef pdp_ram_1_3_56)) + (portRef ADA1 (instanceRef pdp_ram_1_3_56)) + (portRef ADB0 (instanceRef pdp_ram_1_3_56)) + (portRef ADA0 (instanceRef pdp_ram_1_3_56)) + (portRef DIB17 (instanceRef pdp_ram_1_3_56)) + (portRef DIA17 (instanceRef pdp_ram_1_3_56)) + (portRef DIB16 (instanceRef pdp_ram_1_3_56)) + (portRef DIA16 (instanceRef pdp_ram_1_3_56)) + (portRef DIB15 (instanceRef pdp_ram_1_3_56)) + (portRef DIA15 (instanceRef pdp_ram_1_3_56)) + (portRef DIB14 (instanceRef pdp_ram_1_3_56)) + (portRef DIA14 (instanceRef pdp_ram_1_3_56)) + (portRef DIB13 (instanceRef pdp_ram_1_3_56)) + (portRef DIA13 (instanceRef pdp_ram_1_3_56)) + (portRef DIB12 (instanceRef pdp_ram_1_3_56)) + (portRef DIA12 (instanceRef pdp_ram_1_3_56)) + (portRef DIB11 (instanceRef pdp_ram_1_3_56)) + (portRef DIA11 (instanceRef pdp_ram_1_3_56)) + (portRef DIB10 (instanceRef pdp_ram_1_3_56)) + (portRef DIA10 (instanceRef pdp_ram_1_3_56)) + (portRef DIB9 (instanceRef pdp_ram_1_3_56)) + (portRef DIA9 (instanceRef pdp_ram_1_3_56)) + (portRef DIB8 (instanceRef pdp_ram_1_3_56)) + (portRef DIB7 (instanceRef pdp_ram_1_3_56)) + (portRef DIB6 (instanceRef pdp_ram_1_3_56)) + (portRef DIB5 (instanceRef pdp_ram_1_3_56)) + (portRef DIB4 (instanceRef pdp_ram_1_3_56)) + (portRef DIB3 (instanceRef pdp_ram_1_3_56)) + (portRef DIB2 (instanceRef pdp_ram_1_3_56)) + (portRef DIB1 (instanceRef pdp_ram_1_3_56)) + (portRef DIB0 (instanceRef pdp_ram_1_3_56)) + (portRef CSB2 (instanceRef pdp_ram_2_0_55)) + (portRef CSA2 (instanceRef pdp_ram_2_0_55)) + (portRef CSB1 (instanceRef pdp_ram_2_0_55)) + (portRef CSA1 (instanceRef pdp_ram_2_0_55)) + (portRef WEB (instanceRef pdp_ram_2_0_55)) + (portRef ADB2 (instanceRef pdp_ram_2_0_55)) + (portRef ADA2 (instanceRef pdp_ram_2_0_55)) + (portRef ADB1 (instanceRef pdp_ram_2_0_55)) + (portRef ADA1 (instanceRef pdp_ram_2_0_55)) + (portRef ADB0 (instanceRef pdp_ram_2_0_55)) + (portRef ADA0 (instanceRef pdp_ram_2_0_55)) + (portRef DIB17 (instanceRef pdp_ram_2_0_55)) + (portRef DIA17 (instanceRef pdp_ram_2_0_55)) + (portRef DIB16 (instanceRef pdp_ram_2_0_55)) + (portRef DIA16 (instanceRef pdp_ram_2_0_55)) + (portRef DIB15 (instanceRef pdp_ram_2_0_55)) + (portRef DIA15 (instanceRef pdp_ram_2_0_55)) + (portRef DIB14 (instanceRef pdp_ram_2_0_55)) + (portRef DIA14 (instanceRef pdp_ram_2_0_55)) + (portRef DIB13 (instanceRef pdp_ram_2_0_55)) + (portRef DIA13 (instanceRef pdp_ram_2_0_55)) + (portRef DIB12 (instanceRef pdp_ram_2_0_55)) + (portRef DIA12 (instanceRef pdp_ram_2_0_55)) + (portRef DIB11 (instanceRef pdp_ram_2_0_55)) + (portRef DIA11 (instanceRef pdp_ram_2_0_55)) + (portRef DIB10 (instanceRef pdp_ram_2_0_55)) + (portRef DIA10 (instanceRef pdp_ram_2_0_55)) + (portRef DIB9 (instanceRef pdp_ram_2_0_55)) + (portRef DIA9 (instanceRef pdp_ram_2_0_55)) + (portRef DIB8 (instanceRef pdp_ram_2_0_55)) + (portRef DIB7 (instanceRef pdp_ram_2_0_55)) + (portRef DIB6 (instanceRef pdp_ram_2_0_55)) + (portRef DIB5 (instanceRef pdp_ram_2_0_55)) + (portRef DIB4 (instanceRef pdp_ram_2_0_55)) + (portRef DIB3 (instanceRef pdp_ram_2_0_55)) + (portRef DIB2 (instanceRef pdp_ram_2_0_55)) + (portRef DIB1 (instanceRef pdp_ram_2_0_55)) + (portRef DIB0 (instanceRef pdp_ram_2_0_55)) + (portRef CSB2 (instanceRef pdp_ram_2_1_54)) + (portRef CSA2 (instanceRef pdp_ram_2_1_54)) + (portRef CSB1 (instanceRef pdp_ram_2_1_54)) + (portRef CSA1 (instanceRef pdp_ram_2_1_54)) + (portRef WEB (instanceRef pdp_ram_2_1_54)) + (portRef ADB2 (instanceRef pdp_ram_2_1_54)) + (portRef ADA2 (instanceRef pdp_ram_2_1_54)) + (portRef ADB1 (instanceRef pdp_ram_2_1_54)) + (portRef ADA1 (instanceRef pdp_ram_2_1_54)) + (portRef ADB0 (instanceRef pdp_ram_2_1_54)) + (portRef ADA0 (instanceRef pdp_ram_2_1_54)) + (portRef DIB17 (instanceRef pdp_ram_2_1_54)) + (portRef DIA17 (instanceRef pdp_ram_2_1_54)) + (portRef DIB16 (instanceRef pdp_ram_2_1_54)) + (portRef DIA16 (instanceRef pdp_ram_2_1_54)) + (portRef DIB15 (instanceRef pdp_ram_2_1_54)) + (portRef DIA15 (instanceRef pdp_ram_2_1_54)) + (portRef DIB14 (instanceRef pdp_ram_2_1_54)) + (portRef DIA14 (instanceRef pdp_ram_2_1_54)) + (portRef DIB13 (instanceRef pdp_ram_2_1_54)) + (portRef DIA13 (instanceRef pdp_ram_2_1_54)) + (portRef DIB12 (instanceRef pdp_ram_2_1_54)) + (portRef DIA12 (instanceRef pdp_ram_2_1_54)) + (portRef DIB11 (instanceRef pdp_ram_2_1_54)) + (portRef DIA11 (instanceRef pdp_ram_2_1_54)) + (portRef DIB10 (instanceRef pdp_ram_2_1_54)) + (portRef DIA10 (instanceRef pdp_ram_2_1_54)) + (portRef DIB9 (instanceRef pdp_ram_2_1_54)) + (portRef DIA9 (instanceRef pdp_ram_2_1_54)) + (portRef DIB8 (instanceRef pdp_ram_2_1_54)) + (portRef DIB7 (instanceRef pdp_ram_2_1_54)) + (portRef DIB6 (instanceRef pdp_ram_2_1_54)) + (portRef DIB5 (instanceRef pdp_ram_2_1_54)) + (portRef DIB4 (instanceRef pdp_ram_2_1_54)) + (portRef DIB3 (instanceRef pdp_ram_2_1_54)) + (portRef DIB2 (instanceRef pdp_ram_2_1_54)) + (portRef DIB1 (instanceRef pdp_ram_2_1_54)) + (portRef DIB0 (instanceRef pdp_ram_2_1_54)) + (portRef CSB2 (instanceRef pdp_ram_2_2_53)) + (portRef CSA2 (instanceRef pdp_ram_2_2_53)) + (portRef CSB1 (instanceRef pdp_ram_2_2_53)) + (portRef CSA1 (instanceRef pdp_ram_2_2_53)) + (portRef WEB (instanceRef pdp_ram_2_2_53)) + (portRef ADB2 (instanceRef pdp_ram_2_2_53)) + (portRef ADA2 (instanceRef pdp_ram_2_2_53)) + (portRef ADB1 (instanceRef pdp_ram_2_2_53)) + (portRef ADA1 (instanceRef pdp_ram_2_2_53)) + (portRef ADB0 (instanceRef pdp_ram_2_2_53)) + (portRef ADA0 (instanceRef pdp_ram_2_2_53)) + (portRef DIB17 (instanceRef pdp_ram_2_2_53)) + (portRef DIA17 (instanceRef pdp_ram_2_2_53)) + (portRef DIB16 (instanceRef pdp_ram_2_2_53)) + (portRef DIA16 (instanceRef pdp_ram_2_2_53)) + (portRef DIB15 (instanceRef pdp_ram_2_2_53)) + (portRef DIA15 (instanceRef pdp_ram_2_2_53)) + (portRef DIB14 (instanceRef pdp_ram_2_2_53)) + (portRef DIA14 (instanceRef pdp_ram_2_2_53)) + (portRef DIB13 (instanceRef pdp_ram_2_2_53)) + (portRef DIA13 (instanceRef pdp_ram_2_2_53)) + (portRef DIB12 (instanceRef pdp_ram_2_2_53)) + (portRef DIA12 (instanceRef pdp_ram_2_2_53)) + (portRef DIB11 (instanceRef pdp_ram_2_2_53)) + (portRef DIA11 (instanceRef pdp_ram_2_2_53)) + (portRef DIB10 (instanceRef pdp_ram_2_2_53)) + (portRef DIA10 (instanceRef pdp_ram_2_2_53)) + (portRef DIB9 (instanceRef pdp_ram_2_2_53)) + (portRef DIA9 (instanceRef pdp_ram_2_2_53)) + (portRef DIB8 (instanceRef pdp_ram_2_2_53)) + (portRef DIB7 (instanceRef pdp_ram_2_2_53)) + (portRef DIB6 (instanceRef pdp_ram_2_2_53)) + (portRef DIB5 (instanceRef pdp_ram_2_2_53)) + (portRef DIB4 (instanceRef pdp_ram_2_2_53)) + (portRef DIB3 (instanceRef pdp_ram_2_2_53)) + (portRef DIB2 (instanceRef pdp_ram_2_2_53)) + (portRef DIB1 (instanceRef pdp_ram_2_2_53)) + (portRef DIB0 (instanceRef pdp_ram_2_2_53)) + (portRef CSB2 (instanceRef pdp_ram_2_3_52)) + (portRef CSA2 (instanceRef pdp_ram_2_3_52)) + (portRef CSB1 (instanceRef pdp_ram_2_3_52)) + (portRef CSA1 (instanceRef pdp_ram_2_3_52)) + (portRef WEB (instanceRef pdp_ram_2_3_52)) + (portRef ADB2 (instanceRef pdp_ram_2_3_52)) + (portRef ADA2 (instanceRef pdp_ram_2_3_52)) + (portRef ADB1 (instanceRef pdp_ram_2_3_52)) + (portRef ADA1 (instanceRef pdp_ram_2_3_52)) + (portRef ADB0 (instanceRef pdp_ram_2_3_52)) + (portRef ADA0 (instanceRef pdp_ram_2_3_52)) + (portRef DIB17 (instanceRef pdp_ram_2_3_52)) + (portRef DIA17 (instanceRef pdp_ram_2_3_52)) + (portRef DIB16 (instanceRef pdp_ram_2_3_52)) + (portRef DIA16 (instanceRef pdp_ram_2_3_52)) + (portRef DIB15 (instanceRef pdp_ram_2_3_52)) + (portRef DIA15 (instanceRef pdp_ram_2_3_52)) + (portRef DIB14 (instanceRef pdp_ram_2_3_52)) + (portRef DIA14 (instanceRef pdp_ram_2_3_52)) + (portRef DIB13 (instanceRef pdp_ram_2_3_52)) + (portRef DIA13 (instanceRef pdp_ram_2_3_52)) + (portRef DIB12 (instanceRef pdp_ram_2_3_52)) + (portRef DIA12 (instanceRef pdp_ram_2_3_52)) + (portRef DIB11 (instanceRef pdp_ram_2_3_52)) + (portRef DIA11 (instanceRef pdp_ram_2_3_52)) + (portRef DIB10 (instanceRef pdp_ram_2_3_52)) + (portRef DIA10 (instanceRef pdp_ram_2_3_52)) + (portRef DIB9 (instanceRef pdp_ram_2_3_52)) + (portRef DIA9 (instanceRef pdp_ram_2_3_52)) + (portRef DIB8 (instanceRef pdp_ram_2_3_52)) + (portRef DIB7 (instanceRef pdp_ram_2_3_52)) + (portRef DIB6 (instanceRef pdp_ram_2_3_52)) + (portRef DIB5 (instanceRef pdp_ram_2_3_52)) + (portRef DIB4 (instanceRef pdp_ram_2_3_52)) + (portRef DIB3 (instanceRef pdp_ram_2_3_52)) + (portRef DIB2 (instanceRef pdp_ram_2_3_52)) + (portRef DIB1 (instanceRef pdp_ram_2_3_52)) + (portRef DIB0 (instanceRef pdp_ram_2_3_52)) + (portRef CSB2 (instanceRef pdp_ram_3_0_51)) + (portRef CSA2 (instanceRef pdp_ram_3_0_51)) + (portRef CSB1 (instanceRef pdp_ram_3_0_51)) + (portRef CSA1 (instanceRef pdp_ram_3_0_51)) + (portRef WEB (instanceRef pdp_ram_3_0_51)) + (portRef ADB2 (instanceRef pdp_ram_3_0_51)) + (portRef ADA2 (instanceRef pdp_ram_3_0_51)) + (portRef ADB1 (instanceRef pdp_ram_3_0_51)) + (portRef ADA1 (instanceRef pdp_ram_3_0_51)) + (portRef ADB0 (instanceRef pdp_ram_3_0_51)) + (portRef ADA0 (instanceRef pdp_ram_3_0_51)) + (portRef DIB17 (instanceRef pdp_ram_3_0_51)) + (portRef DIA17 (instanceRef pdp_ram_3_0_51)) + (portRef DIB16 (instanceRef pdp_ram_3_0_51)) + (portRef DIA16 (instanceRef pdp_ram_3_0_51)) + (portRef DIB15 (instanceRef pdp_ram_3_0_51)) + (portRef DIA15 (instanceRef pdp_ram_3_0_51)) + (portRef DIB14 (instanceRef pdp_ram_3_0_51)) + (portRef DIA14 (instanceRef pdp_ram_3_0_51)) + (portRef DIB13 (instanceRef pdp_ram_3_0_51)) + (portRef DIA13 (instanceRef pdp_ram_3_0_51)) + (portRef DIB12 (instanceRef pdp_ram_3_0_51)) + (portRef DIA12 (instanceRef pdp_ram_3_0_51)) + (portRef DIB11 (instanceRef pdp_ram_3_0_51)) + (portRef DIA11 (instanceRef pdp_ram_3_0_51)) + (portRef DIB10 (instanceRef pdp_ram_3_0_51)) + (portRef DIA10 (instanceRef pdp_ram_3_0_51)) + (portRef DIB9 (instanceRef pdp_ram_3_0_51)) + (portRef DIA9 (instanceRef pdp_ram_3_0_51)) + (portRef DIB8 (instanceRef pdp_ram_3_0_51)) + (portRef DIB7 (instanceRef pdp_ram_3_0_51)) + (portRef DIB6 (instanceRef pdp_ram_3_0_51)) + (portRef DIB5 (instanceRef pdp_ram_3_0_51)) + (portRef DIB4 (instanceRef pdp_ram_3_0_51)) + (portRef DIB3 (instanceRef pdp_ram_3_0_51)) + (portRef DIB2 (instanceRef pdp_ram_3_0_51)) + (portRef DIB1 (instanceRef pdp_ram_3_0_51)) + (portRef DIB0 (instanceRef pdp_ram_3_0_51)) + (portRef CSB2 (instanceRef pdp_ram_3_1_50)) + (portRef CSA2 (instanceRef pdp_ram_3_1_50)) + (portRef CSB1 (instanceRef pdp_ram_3_1_50)) + (portRef CSA1 (instanceRef pdp_ram_3_1_50)) + (portRef WEB (instanceRef pdp_ram_3_1_50)) + (portRef ADB2 (instanceRef pdp_ram_3_1_50)) + (portRef ADA2 (instanceRef pdp_ram_3_1_50)) + (portRef ADB1 (instanceRef pdp_ram_3_1_50)) + (portRef ADA1 (instanceRef pdp_ram_3_1_50)) + (portRef ADB0 (instanceRef pdp_ram_3_1_50)) + (portRef ADA0 (instanceRef pdp_ram_3_1_50)) + (portRef DIB17 (instanceRef pdp_ram_3_1_50)) + (portRef DIA17 (instanceRef pdp_ram_3_1_50)) + (portRef DIB16 (instanceRef pdp_ram_3_1_50)) + (portRef DIA16 (instanceRef pdp_ram_3_1_50)) + (portRef DIB15 (instanceRef pdp_ram_3_1_50)) + (portRef DIA15 (instanceRef pdp_ram_3_1_50)) + (portRef DIB14 (instanceRef pdp_ram_3_1_50)) + (portRef DIA14 (instanceRef pdp_ram_3_1_50)) + (portRef DIB13 (instanceRef pdp_ram_3_1_50)) + (portRef DIA13 (instanceRef pdp_ram_3_1_50)) + (portRef DIB12 (instanceRef pdp_ram_3_1_50)) + (portRef DIA12 (instanceRef pdp_ram_3_1_50)) + (portRef DIB11 (instanceRef pdp_ram_3_1_50)) + (portRef DIA11 (instanceRef pdp_ram_3_1_50)) + (portRef DIB10 (instanceRef pdp_ram_3_1_50)) + (portRef DIA10 (instanceRef pdp_ram_3_1_50)) + (portRef DIB9 (instanceRef pdp_ram_3_1_50)) + (portRef DIA9 (instanceRef pdp_ram_3_1_50)) + (portRef DIB8 (instanceRef pdp_ram_3_1_50)) + (portRef DIB7 (instanceRef pdp_ram_3_1_50)) + (portRef DIB6 (instanceRef pdp_ram_3_1_50)) + (portRef DIB5 (instanceRef pdp_ram_3_1_50)) + (portRef DIB4 (instanceRef pdp_ram_3_1_50)) + (portRef DIB3 (instanceRef pdp_ram_3_1_50)) + (portRef DIB2 (instanceRef pdp_ram_3_1_50)) + (portRef DIB1 (instanceRef pdp_ram_3_1_50)) + (portRef DIB0 (instanceRef pdp_ram_3_1_50)) + (portRef CSB2 (instanceRef pdp_ram_3_2_49)) + (portRef CSA2 (instanceRef pdp_ram_3_2_49)) + (portRef CSB1 (instanceRef pdp_ram_3_2_49)) + (portRef CSA1 (instanceRef pdp_ram_3_2_49)) + (portRef WEB (instanceRef pdp_ram_3_2_49)) + (portRef ADB2 (instanceRef pdp_ram_3_2_49)) + (portRef ADA2 (instanceRef pdp_ram_3_2_49)) + (portRef ADB1 (instanceRef pdp_ram_3_2_49)) + (portRef ADA1 (instanceRef pdp_ram_3_2_49)) + (portRef ADB0 (instanceRef pdp_ram_3_2_49)) + (portRef ADA0 (instanceRef pdp_ram_3_2_49)) + (portRef DIB17 (instanceRef pdp_ram_3_2_49)) + (portRef DIA17 (instanceRef pdp_ram_3_2_49)) + (portRef DIB16 (instanceRef pdp_ram_3_2_49)) + (portRef DIA16 (instanceRef pdp_ram_3_2_49)) + (portRef DIB15 (instanceRef pdp_ram_3_2_49)) + (portRef DIA15 (instanceRef pdp_ram_3_2_49)) + (portRef DIB14 (instanceRef pdp_ram_3_2_49)) + (portRef DIA14 (instanceRef pdp_ram_3_2_49)) + (portRef DIB13 (instanceRef pdp_ram_3_2_49)) + (portRef DIA13 (instanceRef pdp_ram_3_2_49)) + (portRef DIB12 (instanceRef pdp_ram_3_2_49)) + (portRef DIA12 (instanceRef pdp_ram_3_2_49)) + (portRef DIB11 (instanceRef pdp_ram_3_2_49)) + (portRef DIA11 (instanceRef pdp_ram_3_2_49)) + (portRef DIB10 (instanceRef pdp_ram_3_2_49)) + (portRef DIA10 (instanceRef pdp_ram_3_2_49)) + (portRef DIB9 (instanceRef pdp_ram_3_2_49)) + (portRef DIA9 (instanceRef pdp_ram_3_2_49)) + (portRef DIB8 (instanceRef pdp_ram_3_2_49)) + (portRef DIB7 (instanceRef pdp_ram_3_2_49)) + (portRef DIB6 (instanceRef pdp_ram_3_2_49)) + (portRef DIB5 (instanceRef pdp_ram_3_2_49)) + (portRef DIB4 (instanceRef pdp_ram_3_2_49)) + (portRef DIB3 (instanceRef pdp_ram_3_2_49)) + (portRef DIB2 (instanceRef pdp_ram_3_2_49)) + (portRef DIB1 (instanceRef pdp_ram_3_2_49)) + (portRef DIB0 (instanceRef pdp_ram_3_2_49)) + (portRef CSB2 (instanceRef pdp_ram_3_3_48)) + (portRef CSA2 (instanceRef pdp_ram_3_3_48)) + (portRef CSB1 (instanceRef pdp_ram_3_3_48)) + (portRef CSA1 (instanceRef pdp_ram_3_3_48)) + (portRef WEB (instanceRef pdp_ram_3_3_48)) + (portRef ADB2 (instanceRef pdp_ram_3_3_48)) + (portRef ADA2 (instanceRef pdp_ram_3_3_48)) + (portRef ADB1 (instanceRef pdp_ram_3_3_48)) + (portRef ADA1 (instanceRef pdp_ram_3_3_48)) + (portRef ADB0 (instanceRef pdp_ram_3_3_48)) + (portRef ADA0 (instanceRef pdp_ram_3_3_48)) + (portRef DIB17 (instanceRef pdp_ram_3_3_48)) + (portRef DIA17 (instanceRef pdp_ram_3_3_48)) + (portRef DIB16 (instanceRef pdp_ram_3_3_48)) + (portRef DIA16 (instanceRef pdp_ram_3_3_48)) + (portRef DIB15 (instanceRef pdp_ram_3_3_48)) + (portRef DIA15 (instanceRef pdp_ram_3_3_48)) + (portRef DIB14 (instanceRef pdp_ram_3_3_48)) + (portRef DIA14 (instanceRef pdp_ram_3_3_48)) + (portRef DIB13 (instanceRef pdp_ram_3_3_48)) + (portRef DIA13 (instanceRef pdp_ram_3_3_48)) + (portRef DIB12 (instanceRef pdp_ram_3_3_48)) + (portRef DIA12 (instanceRef pdp_ram_3_3_48)) + (portRef DIB11 (instanceRef pdp_ram_3_3_48)) + (portRef DIA11 (instanceRef pdp_ram_3_3_48)) + (portRef DIB10 (instanceRef pdp_ram_3_3_48)) + (portRef DIA10 (instanceRef pdp_ram_3_3_48)) + (portRef DIB9 (instanceRef pdp_ram_3_3_48)) + (portRef DIA9 (instanceRef pdp_ram_3_3_48)) + (portRef DIB8 (instanceRef pdp_ram_3_3_48)) + (portRef DIB7 (instanceRef pdp_ram_3_3_48)) + (portRef DIB6 (instanceRef pdp_ram_3_3_48)) + (portRef DIB5 (instanceRef pdp_ram_3_3_48)) + (portRef DIB4 (instanceRef pdp_ram_3_3_48)) + (portRef DIB3 (instanceRef pdp_ram_3_3_48)) + (portRef DIB2 (instanceRef pdp_ram_3_3_48)) + (portRef DIB1 (instanceRef pdp_ram_3_3_48)) + (portRef DIB0 (instanceRef pdp_ram_3_3_48)) + (portRef CSB2 (instanceRef pdp_ram_4_0_47)) + (portRef CSA2 (instanceRef pdp_ram_4_0_47)) + (portRef CSB1 (instanceRef pdp_ram_4_0_47)) + (portRef CSA1 (instanceRef pdp_ram_4_0_47)) + (portRef WEB (instanceRef pdp_ram_4_0_47)) + (portRef ADB2 (instanceRef pdp_ram_4_0_47)) + (portRef ADA2 (instanceRef pdp_ram_4_0_47)) + (portRef ADB1 (instanceRef pdp_ram_4_0_47)) + (portRef ADA1 (instanceRef pdp_ram_4_0_47)) + (portRef ADB0 (instanceRef pdp_ram_4_0_47)) + (portRef ADA0 (instanceRef pdp_ram_4_0_47)) + (portRef DIB17 (instanceRef pdp_ram_4_0_47)) + (portRef DIA17 (instanceRef pdp_ram_4_0_47)) + (portRef DIB16 (instanceRef pdp_ram_4_0_47)) + (portRef DIA16 (instanceRef pdp_ram_4_0_47)) + (portRef DIB15 (instanceRef pdp_ram_4_0_47)) + (portRef DIA15 (instanceRef pdp_ram_4_0_47)) + (portRef DIB14 (instanceRef pdp_ram_4_0_47)) + (portRef DIA14 (instanceRef pdp_ram_4_0_47)) + (portRef DIB13 (instanceRef pdp_ram_4_0_47)) + (portRef DIA13 (instanceRef pdp_ram_4_0_47)) + (portRef DIB12 (instanceRef pdp_ram_4_0_47)) + (portRef DIA12 (instanceRef pdp_ram_4_0_47)) + (portRef DIB11 (instanceRef pdp_ram_4_0_47)) + (portRef DIA11 (instanceRef pdp_ram_4_0_47)) + (portRef DIB10 (instanceRef pdp_ram_4_0_47)) + (portRef DIA10 (instanceRef pdp_ram_4_0_47)) + (portRef DIB9 (instanceRef pdp_ram_4_0_47)) + (portRef DIA9 (instanceRef pdp_ram_4_0_47)) + (portRef DIB8 (instanceRef pdp_ram_4_0_47)) + (portRef DIB7 (instanceRef pdp_ram_4_0_47)) + (portRef DIB6 (instanceRef pdp_ram_4_0_47)) + (portRef DIB5 (instanceRef pdp_ram_4_0_47)) + (portRef DIB4 (instanceRef pdp_ram_4_0_47)) + (portRef DIB3 (instanceRef pdp_ram_4_0_47)) + (portRef DIB2 (instanceRef pdp_ram_4_0_47)) + (portRef DIB1 (instanceRef pdp_ram_4_0_47)) + (portRef DIB0 (instanceRef pdp_ram_4_0_47)) + (portRef CSB2 (instanceRef pdp_ram_4_1_46)) + (portRef CSA2 (instanceRef pdp_ram_4_1_46)) + (portRef CSB1 (instanceRef pdp_ram_4_1_46)) + (portRef CSA1 (instanceRef pdp_ram_4_1_46)) + (portRef WEB (instanceRef pdp_ram_4_1_46)) + (portRef ADB2 (instanceRef pdp_ram_4_1_46)) + (portRef ADA2 (instanceRef pdp_ram_4_1_46)) + (portRef ADB1 (instanceRef pdp_ram_4_1_46)) + (portRef ADA1 (instanceRef pdp_ram_4_1_46)) + (portRef ADB0 (instanceRef pdp_ram_4_1_46)) + (portRef ADA0 (instanceRef pdp_ram_4_1_46)) + (portRef DIB17 (instanceRef pdp_ram_4_1_46)) + (portRef DIA17 (instanceRef pdp_ram_4_1_46)) + (portRef DIB16 (instanceRef pdp_ram_4_1_46)) + (portRef DIA16 (instanceRef pdp_ram_4_1_46)) + (portRef DIB15 (instanceRef pdp_ram_4_1_46)) + (portRef DIA15 (instanceRef pdp_ram_4_1_46)) + (portRef DIB14 (instanceRef pdp_ram_4_1_46)) + (portRef DIA14 (instanceRef pdp_ram_4_1_46)) + (portRef DIB13 (instanceRef pdp_ram_4_1_46)) + (portRef DIA13 (instanceRef pdp_ram_4_1_46)) + (portRef DIB12 (instanceRef pdp_ram_4_1_46)) + (portRef DIA12 (instanceRef pdp_ram_4_1_46)) + (portRef DIB11 (instanceRef pdp_ram_4_1_46)) + (portRef DIA11 (instanceRef pdp_ram_4_1_46)) + (portRef DIB10 (instanceRef pdp_ram_4_1_46)) + (portRef DIA10 (instanceRef pdp_ram_4_1_46)) + (portRef DIB9 (instanceRef pdp_ram_4_1_46)) + (portRef DIA9 (instanceRef pdp_ram_4_1_46)) + (portRef DIB8 (instanceRef pdp_ram_4_1_46)) + (portRef DIB7 (instanceRef pdp_ram_4_1_46)) + (portRef DIB6 (instanceRef pdp_ram_4_1_46)) + (portRef DIB5 (instanceRef pdp_ram_4_1_46)) + (portRef DIB4 (instanceRef pdp_ram_4_1_46)) + (portRef DIB3 (instanceRef pdp_ram_4_1_46)) + (portRef DIB2 (instanceRef pdp_ram_4_1_46)) + (portRef DIB1 (instanceRef pdp_ram_4_1_46)) + (portRef DIB0 (instanceRef pdp_ram_4_1_46)) + (portRef CSB2 (instanceRef pdp_ram_4_2_45)) + (portRef CSA2 (instanceRef pdp_ram_4_2_45)) + (portRef CSB1 (instanceRef pdp_ram_4_2_45)) + (portRef CSA1 (instanceRef pdp_ram_4_2_45)) + (portRef WEB (instanceRef pdp_ram_4_2_45)) + (portRef ADB2 (instanceRef pdp_ram_4_2_45)) + (portRef ADA2 (instanceRef pdp_ram_4_2_45)) + (portRef ADB1 (instanceRef pdp_ram_4_2_45)) + (portRef ADA1 (instanceRef pdp_ram_4_2_45)) + (portRef ADB0 (instanceRef pdp_ram_4_2_45)) + (portRef ADA0 (instanceRef pdp_ram_4_2_45)) + (portRef DIB17 (instanceRef pdp_ram_4_2_45)) + (portRef DIA17 (instanceRef pdp_ram_4_2_45)) + (portRef DIB16 (instanceRef pdp_ram_4_2_45)) + (portRef DIA16 (instanceRef pdp_ram_4_2_45)) + (portRef DIB15 (instanceRef pdp_ram_4_2_45)) + (portRef DIA15 (instanceRef pdp_ram_4_2_45)) + (portRef DIB14 (instanceRef pdp_ram_4_2_45)) + (portRef DIA14 (instanceRef pdp_ram_4_2_45)) + (portRef DIB13 (instanceRef pdp_ram_4_2_45)) + (portRef DIA13 (instanceRef pdp_ram_4_2_45)) + (portRef DIB12 (instanceRef pdp_ram_4_2_45)) + (portRef DIA12 (instanceRef pdp_ram_4_2_45)) + (portRef DIB11 (instanceRef pdp_ram_4_2_45)) + (portRef DIA11 (instanceRef pdp_ram_4_2_45)) + (portRef DIB10 (instanceRef pdp_ram_4_2_45)) + (portRef DIA10 (instanceRef pdp_ram_4_2_45)) + (portRef DIB9 (instanceRef pdp_ram_4_2_45)) + (portRef DIA9 (instanceRef pdp_ram_4_2_45)) + (portRef DIB8 (instanceRef pdp_ram_4_2_45)) + (portRef DIB7 (instanceRef pdp_ram_4_2_45)) + (portRef DIB6 (instanceRef pdp_ram_4_2_45)) + (portRef DIB5 (instanceRef pdp_ram_4_2_45)) + (portRef DIB4 (instanceRef pdp_ram_4_2_45)) + (portRef DIB3 (instanceRef pdp_ram_4_2_45)) + (portRef DIB2 (instanceRef pdp_ram_4_2_45)) + (portRef DIB1 (instanceRef pdp_ram_4_2_45)) + (portRef DIB0 (instanceRef pdp_ram_4_2_45)) + (portRef CSB2 (instanceRef pdp_ram_4_3_44)) + (portRef CSA2 (instanceRef pdp_ram_4_3_44)) + (portRef CSB1 (instanceRef pdp_ram_4_3_44)) + (portRef CSA1 (instanceRef pdp_ram_4_3_44)) + (portRef WEB (instanceRef pdp_ram_4_3_44)) + (portRef ADB2 (instanceRef pdp_ram_4_3_44)) + (portRef ADA2 (instanceRef pdp_ram_4_3_44)) + (portRef ADB1 (instanceRef pdp_ram_4_3_44)) + (portRef ADA1 (instanceRef pdp_ram_4_3_44)) + (portRef ADB0 (instanceRef pdp_ram_4_3_44)) + (portRef ADA0 (instanceRef pdp_ram_4_3_44)) + (portRef DIB17 (instanceRef pdp_ram_4_3_44)) + (portRef DIA17 (instanceRef pdp_ram_4_3_44)) + (portRef DIB16 (instanceRef pdp_ram_4_3_44)) + (portRef DIA16 (instanceRef pdp_ram_4_3_44)) + (portRef DIB15 (instanceRef pdp_ram_4_3_44)) + (portRef DIA15 (instanceRef pdp_ram_4_3_44)) + (portRef DIB14 (instanceRef pdp_ram_4_3_44)) + (portRef DIA14 (instanceRef pdp_ram_4_3_44)) + (portRef DIB13 (instanceRef pdp_ram_4_3_44)) + (portRef DIA13 (instanceRef pdp_ram_4_3_44)) + (portRef DIB12 (instanceRef pdp_ram_4_3_44)) + (portRef DIA12 (instanceRef pdp_ram_4_3_44)) + (portRef DIB11 (instanceRef pdp_ram_4_3_44)) + (portRef DIA11 (instanceRef pdp_ram_4_3_44)) + (portRef DIB10 (instanceRef pdp_ram_4_3_44)) + (portRef DIA10 (instanceRef pdp_ram_4_3_44)) + (portRef DIB9 (instanceRef pdp_ram_4_3_44)) + (portRef DIA9 (instanceRef pdp_ram_4_3_44)) + (portRef DIB8 (instanceRef pdp_ram_4_3_44)) + (portRef DIB7 (instanceRef pdp_ram_4_3_44)) + (portRef DIB6 (instanceRef pdp_ram_4_3_44)) + (portRef DIB5 (instanceRef pdp_ram_4_3_44)) + (portRef DIB4 (instanceRef pdp_ram_4_3_44)) + (portRef DIB3 (instanceRef pdp_ram_4_3_44)) + (portRef DIB2 (instanceRef pdp_ram_4_3_44)) + (portRef DIB1 (instanceRef pdp_ram_4_3_44)) + (portRef DIB0 (instanceRef pdp_ram_4_3_44)) + (portRef CSB2 (instanceRef pdp_ram_5_0_43)) + (portRef CSA2 (instanceRef pdp_ram_5_0_43)) + (portRef CSB1 (instanceRef pdp_ram_5_0_43)) + (portRef CSA1 (instanceRef pdp_ram_5_0_43)) + (portRef WEB (instanceRef pdp_ram_5_0_43)) + (portRef ADB2 (instanceRef pdp_ram_5_0_43)) + (portRef ADA2 (instanceRef pdp_ram_5_0_43)) + (portRef ADB1 (instanceRef pdp_ram_5_0_43)) + (portRef ADA1 (instanceRef pdp_ram_5_0_43)) + (portRef ADB0 (instanceRef pdp_ram_5_0_43)) + (portRef ADA0 (instanceRef pdp_ram_5_0_43)) + (portRef DIB17 (instanceRef pdp_ram_5_0_43)) + (portRef DIA17 (instanceRef pdp_ram_5_0_43)) + (portRef DIB16 (instanceRef pdp_ram_5_0_43)) + (portRef DIA16 (instanceRef pdp_ram_5_0_43)) + (portRef DIB15 (instanceRef pdp_ram_5_0_43)) + (portRef DIA15 (instanceRef pdp_ram_5_0_43)) + (portRef DIB14 (instanceRef pdp_ram_5_0_43)) + (portRef DIA14 (instanceRef pdp_ram_5_0_43)) + (portRef DIB13 (instanceRef pdp_ram_5_0_43)) + (portRef DIA13 (instanceRef pdp_ram_5_0_43)) + (portRef DIB12 (instanceRef pdp_ram_5_0_43)) + (portRef DIA12 (instanceRef pdp_ram_5_0_43)) + (portRef DIB11 (instanceRef pdp_ram_5_0_43)) + (portRef DIA11 (instanceRef pdp_ram_5_0_43)) + (portRef DIB10 (instanceRef pdp_ram_5_0_43)) + (portRef DIA10 (instanceRef pdp_ram_5_0_43)) + (portRef DIB9 (instanceRef pdp_ram_5_0_43)) + (portRef DIA9 (instanceRef pdp_ram_5_0_43)) + (portRef DIB8 (instanceRef pdp_ram_5_0_43)) + (portRef DIB7 (instanceRef pdp_ram_5_0_43)) + (portRef DIB6 (instanceRef pdp_ram_5_0_43)) + (portRef DIB5 (instanceRef pdp_ram_5_0_43)) + (portRef DIB4 (instanceRef pdp_ram_5_0_43)) + (portRef DIB3 (instanceRef pdp_ram_5_0_43)) + (portRef DIB2 (instanceRef pdp_ram_5_0_43)) + (portRef DIB1 (instanceRef pdp_ram_5_0_43)) + (portRef DIB0 (instanceRef pdp_ram_5_0_43)) + (portRef CSB2 (instanceRef pdp_ram_5_1_42)) + (portRef CSA2 (instanceRef pdp_ram_5_1_42)) + (portRef CSB1 (instanceRef pdp_ram_5_1_42)) + (portRef CSA1 (instanceRef pdp_ram_5_1_42)) + (portRef WEB (instanceRef pdp_ram_5_1_42)) + (portRef ADB2 (instanceRef pdp_ram_5_1_42)) + (portRef ADA2 (instanceRef pdp_ram_5_1_42)) + (portRef ADB1 (instanceRef pdp_ram_5_1_42)) + (portRef ADA1 (instanceRef pdp_ram_5_1_42)) + (portRef ADB0 (instanceRef pdp_ram_5_1_42)) + (portRef ADA0 (instanceRef pdp_ram_5_1_42)) + (portRef DIB17 (instanceRef pdp_ram_5_1_42)) + (portRef DIA17 (instanceRef pdp_ram_5_1_42)) + (portRef DIB16 (instanceRef pdp_ram_5_1_42)) + (portRef DIA16 (instanceRef pdp_ram_5_1_42)) + (portRef DIB15 (instanceRef pdp_ram_5_1_42)) + (portRef DIA15 (instanceRef pdp_ram_5_1_42)) + (portRef DIB14 (instanceRef pdp_ram_5_1_42)) + (portRef DIA14 (instanceRef pdp_ram_5_1_42)) + (portRef DIB13 (instanceRef pdp_ram_5_1_42)) + (portRef DIA13 (instanceRef pdp_ram_5_1_42)) + (portRef DIB12 (instanceRef pdp_ram_5_1_42)) + (portRef DIA12 (instanceRef pdp_ram_5_1_42)) + (portRef DIB11 (instanceRef pdp_ram_5_1_42)) + (portRef DIA11 (instanceRef pdp_ram_5_1_42)) + (portRef DIB10 (instanceRef pdp_ram_5_1_42)) + (portRef DIA10 (instanceRef pdp_ram_5_1_42)) + (portRef DIB9 (instanceRef pdp_ram_5_1_42)) + (portRef DIA9 (instanceRef pdp_ram_5_1_42)) + (portRef DIB8 (instanceRef pdp_ram_5_1_42)) + (portRef DIB7 (instanceRef pdp_ram_5_1_42)) + (portRef DIB6 (instanceRef pdp_ram_5_1_42)) + (portRef DIB5 (instanceRef pdp_ram_5_1_42)) + (portRef DIB4 (instanceRef pdp_ram_5_1_42)) + (portRef DIB3 (instanceRef pdp_ram_5_1_42)) + (portRef DIB2 (instanceRef pdp_ram_5_1_42)) + (portRef DIB1 (instanceRef pdp_ram_5_1_42)) + (portRef DIB0 (instanceRef pdp_ram_5_1_42)) + (portRef CSB2 (instanceRef pdp_ram_5_2_41)) + (portRef CSA2 (instanceRef pdp_ram_5_2_41)) + (portRef CSB1 (instanceRef pdp_ram_5_2_41)) + (portRef CSA1 (instanceRef pdp_ram_5_2_41)) + (portRef WEB (instanceRef pdp_ram_5_2_41)) + (portRef ADB2 (instanceRef pdp_ram_5_2_41)) + (portRef ADA2 (instanceRef pdp_ram_5_2_41)) + (portRef ADB1 (instanceRef pdp_ram_5_2_41)) + (portRef ADA1 (instanceRef pdp_ram_5_2_41)) + (portRef ADB0 (instanceRef pdp_ram_5_2_41)) + (portRef ADA0 (instanceRef pdp_ram_5_2_41)) + (portRef DIB17 (instanceRef pdp_ram_5_2_41)) + (portRef DIA17 (instanceRef pdp_ram_5_2_41)) + (portRef DIB16 (instanceRef pdp_ram_5_2_41)) + (portRef DIA16 (instanceRef pdp_ram_5_2_41)) + (portRef DIB15 (instanceRef pdp_ram_5_2_41)) + (portRef DIA15 (instanceRef pdp_ram_5_2_41)) + (portRef DIB14 (instanceRef pdp_ram_5_2_41)) + (portRef DIA14 (instanceRef pdp_ram_5_2_41)) + (portRef DIB13 (instanceRef pdp_ram_5_2_41)) + (portRef DIA13 (instanceRef pdp_ram_5_2_41)) + (portRef DIB12 (instanceRef pdp_ram_5_2_41)) + (portRef DIA12 (instanceRef pdp_ram_5_2_41)) + (portRef DIB11 (instanceRef pdp_ram_5_2_41)) + (portRef DIA11 (instanceRef pdp_ram_5_2_41)) + (portRef DIB10 (instanceRef pdp_ram_5_2_41)) + (portRef DIA10 (instanceRef pdp_ram_5_2_41)) + (portRef DIB9 (instanceRef pdp_ram_5_2_41)) + (portRef DIA9 (instanceRef pdp_ram_5_2_41)) + (portRef DIB8 (instanceRef pdp_ram_5_2_41)) + (portRef DIB7 (instanceRef pdp_ram_5_2_41)) + (portRef DIB6 (instanceRef pdp_ram_5_2_41)) + (portRef DIB5 (instanceRef pdp_ram_5_2_41)) + (portRef DIB4 (instanceRef pdp_ram_5_2_41)) + (portRef DIB3 (instanceRef pdp_ram_5_2_41)) + (portRef DIB2 (instanceRef pdp_ram_5_2_41)) + (portRef DIB1 (instanceRef pdp_ram_5_2_41)) + (portRef DIB0 (instanceRef pdp_ram_5_2_41)) + (portRef CSB2 (instanceRef pdp_ram_5_3_40)) + (portRef CSA2 (instanceRef pdp_ram_5_3_40)) + (portRef CSB1 (instanceRef pdp_ram_5_3_40)) + (portRef CSA1 (instanceRef pdp_ram_5_3_40)) + (portRef WEB (instanceRef pdp_ram_5_3_40)) + (portRef ADB2 (instanceRef pdp_ram_5_3_40)) + (portRef ADA2 (instanceRef pdp_ram_5_3_40)) + (portRef ADB1 (instanceRef pdp_ram_5_3_40)) + (portRef ADA1 (instanceRef pdp_ram_5_3_40)) + (portRef ADB0 (instanceRef pdp_ram_5_3_40)) + (portRef ADA0 (instanceRef pdp_ram_5_3_40)) + (portRef DIB17 (instanceRef pdp_ram_5_3_40)) + (portRef DIA17 (instanceRef pdp_ram_5_3_40)) + (portRef DIB16 (instanceRef pdp_ram_5_3_40)) + (portRef DIA16 (instanceRef pdp_ram_5_3_40)) + (portRef DIB15 (instanceRef pdp_ram_5_3_40)) + (portRef DIA15 (instanceRef pdp_ram_5_3_40)) + (portRef DIB14 (instanceRef pdp_ram_5_3_40)) + (portRef DIA14 (instanceRef pdp_ram_5_3_40)) + (portRef DIB13 (instanceRef pdp_ram_5_3_40)) + (portRef DIA13 (instanceRef pdp_ram_5_3_40)) + (portRef DIB12 (instanceRef pdp_ram_5_3_40)) + (portRef DIA12 (instanceRef pdp_ram_5_3_40)) + (portRef DIB11 (instanceRef pdp_ram_5_3_40)) + (portRef DIA11 (instanceRef pdp_ram_5_3_40)) + (portRef DIB10 (instanceRef pdp_ram_5_3_40)) + (portRef DIA10 (instanceRef pdp_ram_5_3_40)) + (portRef DIB9 (instanceRef pdp_ram_5_3_40)) + (portRef DIA9 (instanceRef pdp_ram_5_3_40)) + (portRef DIB8 (instanceRef pdp_ram_5_3_40)) + (portRef DIB7 (instanceRef pdp_ram_5_3_40)) + (portRef DIB6 (instanceRef pdp_ram_5_3_40)) + (portRef DIB5 (instanceRef pdp_ram_5_3_40)) + (portRef DIB4 (instanceRef pdp_ram_5_3_40)) + (portRef DIB3 (instanceRef pdp_ram_5_3_40)) + (portRef DIB2 (instanceRef pdp_ram_5_3_40)) + (portRef DIB1 (instanceRef pdp_ram_5_3_40)) + (portRef DIB0 (instanceRef pdp_ram_5_3_40)) + (portRef CSB2 (instanceRef pdp_ram_6_0_39)) + (portRef CSA2 (instanceRef pdp_ram_6_0_39)) + (portRef CSB1 (instanceRef pdp_ram_6_0_39)) + (portRef CSA1 (instanceRef pdp_ram_6_0_39)) + (portRef WEB (instanceRef pdp_ram_6_0_39)) + (portRef ADB2 (instanceRef pdp_ram_6_0_39)) + (portRef ADA2 (instanceRef pdp_ram_6_0_39)) + (portRef ADB1 (instanceRef pdp_ram_6_0_39)) + (portRef ADA1 (instanceRef pdp_ram_6_0_39)) + (portRef ADB0 (instanceRef pdp_ram_6_0_39)) + (portRef ADA0 (instanceRef pdp_ram_6_0_39)) + (portRef DIB17 (instanceRef pdp_ram_6_0_39)) + (portRef DIA17 (instanceRef pdp_ram_6_0_39)) + (portRef DIB16 (instanceRef pdp_ram_6_0_39)) + (portRef DIA16 (instanceRef pdp_ram_6_0_39)) + (portRef DIB15 (instanceRef pdp_ram_6_0_39)) + (portRef DIA15 (instanceRef pdp_ram_6_0_39)) + (portRef DIB14 (instanceRef pdp_ram_6_0_39)) + (portRef DIA14 (instanceRef pdp_ram_6_0_39)) + (portRef DIB13 (instanceRef pdp_ram_6_0_39)) + (portRef DIA13 (instanceRef pdp_ram_6_0_39)) + (portRef DIB12 (instanceRef pdp_ram_6_0_39)) + (portRef DIA12 (instanceRef pdp_ram_6_0_39)) + (portRef DIB11 (instanceRef pdp_ram_6_0_39)) + (portRef DIA11 (instanceRef pdp_ram_6_0_39)) + (portRef DIB10 (instanceRef pdp_ram_6_0_39)) + (portRef DIA10 (instanceRef pdp_ram_6_0_39)) + (portRef DIB9 (instanceRef pdp_ram_6_0_39)) + (portRef DIA9 (instanceRef pdp_ram_6_0_39)) + (portRef DIB8 (instanceRef pdp_ram_6_0_39)) + (portRef DIB7 (instanceRef pdp_ram_6_0_39)) + (portRef DIB6 (instanceRef pdp_ram_6_0_39)) + (portRef DIB5 (instanceRef pdp_ram_6_0_39)) + (portRef DIB4 (instanceRef pdp_ram_6_0_39)) + (portRef DIB3 (instanceRef pdp_ram_6_0_39)) + (portRef DIB2 (instanceRef pdp_ram_6_0_39)) + (portRef DIB1 (instanceRef pdp_ram_6_0_39)) + (portRef DIB0 (instanceRef pdp_ram_6_0_39)) + (portRef CSB2 (instanceRef pdp_ram_6_1_38)) + (portRef CSA2 (instanceRef pdp_ram_6_1_38)) + (portRef CSB1 (instanceRef pdp_ram_6_1_38)) + (portRef CSA1 (instanceRef pdp_ram_6_1_38)) + (portRef WEB (instanceRef pdp_ram_6_1_38)) + (portRef ADB2 (instanceRef pdp_ram_6_1_38)) + (portRef ADA2 (instanceRef pdp_ram_6_1_38)) + (portRef ADB1 (instanceRef pdp_ram_6_1_38)) + (portRef ADA1 (instanceRef pdp_ram_6_1_38)) + (portRef ADB0 (instanceRef pdp_ram_6_1_38)) + (portRef ADA0 (instanceRef pdp_ram_6_1_38)) + (portRef DIB17 (instanceRef pdp_ram_6_1_38)) + (portRef DIA17 (instanceRef pdp_ram_6_1_38)) + (portRef DIB16 (instanceRef pdp_ram_6_1_38)) + (portRef DIA16 (instanceRef pdp_ram_6_1_38)) + (portRef DIB15 (instanceRef pdp_ram_6_1_38)) + (portRef DIA15 (instanceRef pdp_ram_6_1_38)) + (portRef DIB14 (instanceRef pdp_ram_6_1_38)) + (portRef DIA14 (instanceRef pdp_ram_6_1_38)) + (portRef DIB13 (instanceRef pdp_ram_6_1_38)) + (portRef DIA13 (instanceRef pdp_ram_6_1_38)) + (portRef DIB12 (instanceRef pdp_ram_6_1_38)) + (portRef DIA12 (instanceRef pdp_ram_6_1_38)) + (portRef DIB11 (instanceRef pdp_ram_6_1_38)) + (portRef DIA11 (instanceRef pdp_ram_6_1_38)) + (portRef DIB10 (instanceRef pdp_ram_6_1_38)) + (portRef DIA10 (instanceRef pdp_ram_6_1_38)) + (portRef DIB9 (instanceRef pdp_ram_6_1_38)) + (portRef DIA9 (instanceRef pdp_ram_6_1_38)) + (portRef DIB8 (instanceRef pdp_ram_6_1_38)) + (portRef DIB7 (instanceRef pdp_ram_6_1_38)) + (portRef DIB6 (instanceRef pdp_ram_6_1_38)) + (portRef DIB5 (instanceRef pdp_ram_6_1_38)) + (portRef DIB4 (instanceRef pdp_ram_6_1_38)) + (portRef DIB3 (instanceRef pdp_ram_6_1_38)) + (portRef DIB2 (instanceRef pdp_ram_6_1_38)) + (portRef DIB1 (instanceRef pdp_ram_6_1_38)) + (portRef DIB0 (instanceRef pdp_ram_6_1_38)) + (portRef CSB2 (instanceRef pdp_ram_6_2_37)) + (portRef CSA2 (instanceRef pdp_ram_6_2_37)) + (portRef CSB1 (instanceRef pdp_ram_6_2_37)) + (portRef CSA1 (instanceRef pdp_ram_6_2_37)) + (portRef WEB (instanceRef pdp_ram_6_2_37)) + (portRef ADB2 (instanceRef pdp_ram_6_2_37)) + (portRef ADA2 (instanceRef pdp_ram_6_2_37)) + (portRef ADB1 (instanceRef pdp_ram_6_2_37)) + (portRef ADA1 (instanceRef pdp_ram_6_2_37)) + (portRef ADB0 (instanceRef pdp_ram_6_2_37)) + (portRef ADA0 (instanceRef pdp_ram_6_2_37)) + (portRef DIB17 (instanceRef pdp_ram_6_2_37)) + (portRef DIA17 (instanceRef pdp_ram_6_2_37)) + (portRef DIB16 (instanceRef pdp_ram_6_2_37)) + (portRef DIA16 (instanceRef pdp_ram_6_2_37)) + (portRef DIB15 (instanceRef pdp_ram_6_2_37)) + (portRef DIA15 (instanceRef pdp_ram_6_2_37)) + (portRef DIB14 (instanceRef pdp_ram_6_2_37)) + (portRef DIA14 (instanceRef pdp_ram_6_2_37)) + (portRef DIB13 (instanceRef pdp_ram_6_2_37)) + (portRef DIA13 (instanceRef pdp_ram_6_2_37)) + (portRef DIB12 (instanceRef pdp_ram_6_2_37)) + (portRef DIA12 (instanceRef pdp_ram_6_2_37)) + (portRef DIB11 (instanceRef pdp_ram_6_2_37)) + (portRef DIA11 (instanceRef pdp_ram_6_2_37)) + (portRef DIB10 (instanceRef pdp_ram_6_2_37)) + (portRef DIA10 (instanceRef pdp_ram_6_2_37)) + (portRef DIB9 (instanceRef pdp_ram_6_2_37)) + (portRef DIA9 (instanceRef pdp_ram_6_2_37)) + (portRef DIB8 (instanceRef pdp_ram_6_2_37)) + (portRef DIB7 (instanceRef pdp_ram_6_2_37)) + (portRef DIB6 (instanceRef pdp_ram_6_2_37)) + (portRef DIB5 (instanceRef pdp_ram_6_2_37)) + (portRef DIB4 (instanceRef pdp_ram_6_2_37)) + (portRef DIB3 (instanceRef pdp_ram_6_2_37)) + (portRef DIB2 (instanceRef pdp_ram_6_2_37)) + (portRef DIB1 (instanceRef pdp_ram_6_2_37)) + (portRef DIB0 (instanceRef pdp_ram_6_2_37)) + (portRef CSB2 (instanceRef pdp_ram_6_3_36)) + (portRef CSA2 (instanceRef pdp_ram_6_3_36)) + (portRef CSB1 (instanceRef pdp_ram_6_3_36)) + (portRef CSA1 (instanceRef pdp_ram_6_3_36)) + (portRef WEB (instanceRef pdp_ram_6_3_36)) + (portRef ADB2 (instanceRef pdp_ram_6_3_36)) + (portRef ADA2 (instanceRef pdp_ram_6_3_36)) + (portRef ADB1 (instanceRef pdp_ram_6_3_36)) + (portRef ADA1 (instanceRef pdp_ram_6_3_36)) + (portRef ADB0 (instanceRef pdp_ram_6_3_36)) + (portRef ADA0 (instanceRef pdp_ram_6_3_36)) + (portRef DIB17 (instanceRef pdp_ram_6_3_36)) + (portRef DIA17 (instanceRef pdp_ram_6_3_36)) + (portRef DIB16 (instanceRef pdp_ram_6_3_36)) + (portRef DIA16 (instanceRef pdp_ram_6_3_36)) + (portRef DIB15 (instanceRef pdp_ram_6_3_36)) + (portRef DIA15 (instanceRef pdp_ram_6_3_36)) + (portRef DIB14 (instanceRef pdp_ram_6_3_36)) + (portRef DIA14 (instanceRef pdp_ram_6_3_36)) + (portRef DIB13 (instanceRef pdp_ram_6_3_36)) + (portRef DIA13 (instanceRef pdp_ram_6_3_36)) + (portRef DIB12 (instanceRef pdp_ram_6_3_36)) + (portRef DIA12 (instanceRef pdp_ram_6_3_36)) + (portRef DIB11 (instanceRef pdp_ram_6_3_36)) + (portRef DIA11 (instanceRef pdp_ram_6_3_36)) + (portRef DIB10 (instanceRef pdp_ram_6_3_36)) + (portRef DIA10 (instanceRef pdp_ram_6_3_36)) + (portRef DIB9 (instanceRef pdp_ram_6_3_36)) + (portRef DIA9 (instanceRef pdp_ram_6_3_36)) + (portRef DIB8 (instanceRef pdp_ram_6_3_36)) + (portRef DIB7 (instanceRef pdp_ram_6_3_36)) + (portRef DIB6 (instanceRef pdp_ram_6_3_36)) + (portRef DIB5 (instanceRef pdp_ram_6_3_36)) + (portRef DIB4 (instanceRef pdp_ram_6_3_36)) + (portRef DIB3 (instanceRef pdp_ram_6_3_36)) + (portRef DIB2 (instanceRef pdp_ram_6_3_36)) + (portRef DIB1 (instanceRef pdp_ram_6_3_36)) + (portRef DIB0 (instanceRef pdp_ram_6_3_36)) + (portRef CSB2 (instanceRef pdp_ram_7_0_35)) + (portRef CSA2 (instanceRef pdp_ram_7_0_35)) + (portRef CSB1 (instanceRef pdp_ram_7_0_35)) + (portRef CSA1 (instanceRef pdp_ram_7_0_35)) + (portRef WEB (instanceRef pdp_ram_7_0_35)) + (portRef ADB2 (instanceRef pdp_ram_7_0_35)) + (portRef ADA2 (instanceRef pdp_ram_7_0_35)) + (portRef ADB1 (instanceRef pdp_ram_7_0_35)) + (portRef ADA1 (instanceRef pdp_ram_7_0_35)) + (portRef ADB0 (instanceRef pdp_ram_7_0_35)) + (portRef ADA0 (instanceRef pdp_ram_7_0_35)) + (portRef DIB17 (instanceRef pdp_ram_7_0_35)) + (portRef DIA17 (instanceRef pdp_ram_7_0_35)) + (portRef DIB16 (instanceRef pdp_ram_7_0_35)) + (portRef DIA16 (instanceRef pdp_ram_7_0_35)) + (portRef DIB15 (instanceRef pdp_ram_7_0_35)) + (portRef DIA15 (instanceRef pdp_ram_7_0_35)) + (portRef DIB14 (instanceRef pdp_ram_7_0_35)) + (portRef DIA14 (instanceRef pdp_ram_7_0_35)) + (portRef DIB13 (instanceRef pdp_ram_7_0_35)) + (portRef DIA13 (instanceRef pdp_ram_7_0_35)) + (portRef DIB12 (instanceRef pdp_ram_7_0_35)) + (portRef DIA12 (instanceRef pdp_ram_7_0_35)) + (portRef DIB11 (instanceRef pdp_ram_7_0_35)) + (portRef DIA11 (instanceRef pdp_ram_7_0_35)) + (portRef DIB10 (instanceRef pdp_ram_7_0_35)) + (portRef DIA10 (instanceRef pdp_ram_7_0_35)) + (portRef DIB9 (instanceRef pdp_ram_7_0_35)) + (portRef DIA9 (instanceRef pdp_ram_7_0_35)) + (portRef DIB8 (instanceRef pdp_ram_7_0_35)) + (portRef DIB7 (instanceRef pdp_ram_7_0_35)) + (portRef DIB6 (instanceRef pdp_ram_7_0_35)) + (portRef DIB5 (instanceRef pdp_ram_7_0_35)) + (portRef DIB4 (instanceRef pdp_ram_7_0_35)) + (portRef DIB3 (instanceRef pdp_ram_7_0_35)) + (portRef DIB2 (instanceRef pdp_ram_7_0_35)) + (portRef DIB1 (instanceRef pdp_ram_7_0_35)) + (portRef DIB0 (instanceRef pdp_ram_7_0_35)) + (portRef CSB2 (instanceRef pdp_ram_7_1_34)) + (portRef CSA2 (instanceRef pdp_ram_7_1_34)) + (portRef CSB1 (instanceRef pdp_ram_7_1_34)) + (portRef CSA1 (instanceRef pdp_ram_7_1_34)) + (portRef WEB (instanceRef pdp_ram_7_1_34)) + (portRef ADB2 (instanceRef pdp_ram_7_1_34)) + (portRef ADA2 (instanceRef pdp_ram_7_1_34)) + (portRef ADB1 (instanceRef pdp_ram_7_1_34)) + (portRef ADA1 (instanceRef pdp_ram_7_1_34)) + (portRef ADB0 (instanceRef pdp_ram_7_1_34)) + (portRef ADA0 (instanceRef pdp_ram_7_1_34)) + (portRef DIB17 (instanceRef pdp_ram_7_1_34)) + (portRef DIA17 (instanceRef pdp_ram_7_1_34)) + (portRef DIB16 (instanceRef pdp_ram_7_1_34)) + (portRef DIA16 (instanceRef pdp_ram_7_1_34)) + (portRef DIB15 (instanceRef pdp_ram_7_1_34)) + (portRef DIA15 (instanceRef pdp_ram_7_1_34)) + (portRef DIB14 (instanceRef pdp_ram_7_1_34)) + (portRef DIA14 (instanceRef pdp_ram_7_1_34)) + (portRef DIB13 (instanceRef pdp_ram_7_1_34)) + (portRef DIA13 (instanceRef pdp_ram_7_1_34)) + (portRef DIB12 (instanceRef pdp_ram_7_1_34)) + (portRef DIA12 (instanceRef pdp_ram_7_1_34)) + (portRef DIB11 (instanceRef pdp_ram_7_1_34)) + (portRef DIA11 (instanceRef pdp_ram_7_1_34)) + (portRef DIB10 (instanceRef pdp_ram_7_1_34)) + (portRef DIA10 (instanceRef pdp_ram_7_1_34)) + (portRef DIB9 (instanceRef pdp_ram_7_1_34)) + (portRef DIA9 (instanceRef pdp_ram_7_1_34)) + (portRef DIB8 (instanceRef pdp_ram_7_1_34)) + (portRef DIB7 (instanceRef pdp_ram_7_1_34)) + (portRef DIB6 (instanceRef pdp_ram_7_1_34)) + (portRef DIB5 (instanceRef pdp_ram_7_1_34)) + (portRef DIB4 (instanceRef pdp_ram_7_1_34)) + (portRef DIB3 (instanceRef pdp_ram_7_1_34)) + (portRef DIB2 (instanceRef pdp_ram_7_1_34)) + (portRef DIB1 (instanceRef pdp_ram_7_1_34)) + (portRef DIB0 (instanceRef pdp_ram_7_1_34)) + (portRef CSB2 (instanceRef pdp_ram_7_2_33)) + (portRef CSA2 (instanceRef pdp_ram_7_2_33)) + (portRef CSB1 (instanceRef pdp_ram_7_2_33)) + (portRef CSA1 (instanceRef pdp_ram_7_2_33)) + (portRef WEB (instanceRef pdp_ram_7_2_33)) + (portRef ADB2 (instanceRef pdp_ram_7_2_33)) + (portRef ADA2 (instanceRef pdp_ram_7_2_33)) + (portRef ADB1 (instanceRef pdp_ram_7_2_33)) + (portRef ADA1 (instanceRef pdp_ram_7_2_33)) + (portRef ADB0 (instanceRef pdp_ram_7_2_33)) + (portRef ADA0 (instanceRef pdp_ram_7_2_33)) + (portRef DIB17 (instanceRef pdp_ram_7_2_33)) + (portRef DIA17 (instanceRef pdp_ram_7_2_33)) + (portRef DIB16 (instanceRef pdp_ram_7_2_33)) + (portRef DIA16 (instanceRef pdp_ram_7_2_33)) + (portRef DIB15 (instanceRef pdp_ram_7_2_33)) + (portRef DIA15 (instanceRef pdp_ram_7_2_33)) + (portRef DIB14 (instanceRef pdp_ram_7_2_33)) + (portRef DIA14 (instanceRef pdp_ram_7_2_33)) + (portRef DIB13 (instanceRef pdp_ram_7_2_33)) + (portRef DIA13 (instanceRef pdp_ram_7_2_33)) + (portRef DIB12 (instanceRef pdp_ram_7_2_33)) + (portRef DIA12 (instanceRef pdp_ram_7_2_33)) + (portRef DIB11 (instanceRef pdp_ram_7_2_33)) + (portRef DIA11 (instanceRef pdp_ram_7_2_33)) + (portRef DIB10 (instanceRef pdp_ram_7_2_33)) + (portRef DIA10 (instanceRef pdp_ram_7_2_33)) + (portRef DIB9 (instanceRef pdp_ram_7_2_33)) + (portRef DIA9 (instanceRef pdp_ram_7_2_33)) + (portRef DIB8 (instanceRef pdp_ram_7_2_33)) + (portRef DIB7 (instanceRef pdp_ram_7_2_33)) + (portRef DIB6 (instanceRef pdp_ram_7_2_33)) + (portRef DIB5 (instanceRef pdp_ram_7_2_33)) + (portRef DIB4 (instanceRef pdp_ram_7_2_33)) + (portRef DIB3 (instanceRef pdp_ram_7_2_33)) + (portRef DIB2 (instanceRef pdp_ram_7_2_33)) + (portRef DIB1 (instanceRef pdp_ram_7_2_33)) + (portRef DIB0 (instanceRef pdp_ram_7_2_33)) + (portRef CSB2 (instanceRef pdp_ram_7_3_32)) + (portRef CSA2 (instanceRef pdp_ram_7_3_32)) + (portRef CSB1 (instanceRef pdp_ram_7_3_32)) + (portRef CSA1 (instanceRef pdp_ram_7_3_32)) + (portRef WEB (instanceRef pdp_ram_7_3_32)) + (portRef ADB2 (instanceRef pdp_ram_7_3_32)) + (portRef ADA2 (instanceRef pdp_ram_7_3_32)) + (portRef ADB1 (instanceRef pdp_ram_7_3_32)) + (portRef ADA1 (instanceRef pdp_ram_7_3_32)) + (portRef ADB0 (instanceRef pdp_ram_7_3_32)) + (portRef ADA0 (instanceRef pdp_ram_7_3_32)) + (portRef DIB17 (instanceRef pdp_ram_7_3_32)) + (portRef DIA17 (instanceRef pdp_ram_7_3_32)) + (portRef DIB16 (instanceRef pdp_ram_7_3_32)) + (portRef DIA16 (instanceRef pdp_ram_7_3_32)) + (portRef DIB15 (instanceRef pdp_ram_7_3_32)) + (portRef DIA15 (instanceRef pdp_ram_7_3_32)) + (portRef DIB14 (instanceRef pdp_ram_7_3_32)) + (portRef DIA14 (instanceRef pdp_ram_7_3_32)) + (portRef DIB13 (instanceRef pdp_ram_7_3_32)) + (portRef DIA13 (instanceRef pdp_ram_7_3_32)) + (portRef DIB12 (instanceRef pdp_ram_7_3_32)) + (portRef DIA12 (instanceRef pdp_ram_7_3_32)) + (portRef DIB11 (instanceRef pdp_ram_7_3_32)) + (portRef DIA11 (instanceRef pdp_ram_7_3_32)) + (portRef DIB10 (instanceRef pdp_ram_7_3_32)) + (portRef DIA10 (instanceRef pdp_ram_7_3_32)) + (portRef DIB9 (instanceRef pdp_ram_7_3_32)) + (portRef DIA9 (instanceRef pdp_ram_7_3_32)) + (portRef DIB8 (instanceRef pdp_ram_7_3_32)) + (portRef DIB7 (instanceRef pdp_ram_7_3_32)) + (portRef DIB6 (instanceRef pdp_ram_7_3_32)) + (portRef DIB5 (instanceRef pdp_ram_7_3_32)) + (portRef DIB4 (instanceRef pdp_ram_7_3_32)) + (portRef DIB3 (instanceRef pdp_ram_7_3_32)) + (portRef DIB2 (instanceRef pdp_ram_7_3_32)) + (portRef DIB1 (instanceRef pdp_ram_7_3_32)) + (portRef DIB0 (instanceRef pdp_ram_7_3_32)) + (portRef CSB2 (instanceRef pdp_ram_8_0_31)) + (portRef CSA2 (instanceRef pdp_ram_8_0_31)) + (portRef CSB1 (instanceRef pdp_ram_8_0_31)) + (portRef CSA1 (instanceRef pdp_ram_8_0_31)) + (portRef WEB (instanceRef pdp_ram_8_0_31)) + (portRef ADB2 (instanceRef pdp_ram_8_0_31)) + (portRef ADA2 (instanceRef pdp_ram_8_0_31)) + (portRef ADB1 (instanceRef pdp_ram_8_0_31)) + (portRef ADA1 (instanceRef pdp_ram_8_0_31)) + (portRef ADB0 (instanceRef pdp_ram_8_0_31)) + (portRef ADA0 (instanceRef pdp_ram_8_0_31)) + (portRef DIB17 (instanceRef pdp_ram_8_0_31)) + (portRef DIA17 (instanceRef pdp_ram_8_0_31)) + (portRef DIB16 (instanceRef pdp_ram_8_0_31)) + (portRef DIA16 (instanceRef pdp_ram_8_0_31)) + (portRef DIB15 (instanceRef pdp_ram_8_0_31)) + (portRef DIA15 (instanceRef pdp_ram_8_0_31)) + (portRef DIB14 (instanceRef pdp_ram_8_0_31)) + (portRef DIA14 (instanceRef pdp_ram_8_0_31)) + (portRef DIB13 (instanceRef pdp_ram_8_0_31)) + (portRef DIA13 (instanceRef pdp_ram_8_0_31)) + (portRef DIB12 (instanceRef pdp_ram_8_0_31)) + (portRef DIA12 (instanceRef pdp_ram_8_0_31)) + (portRef DIB11 (instanceRef pdp_ram_8_0_31)) + (portRef DIA11 (instanceRef pdp_ram_8_0_31)) + (portRef DIB10 (instanceRef pdp_ram_8_0_31)) + (portRef DIA10 (instanceRef pdp_ram_8_0_31)) + (portRef DIB9 (instanceRef pdp_ram_8_0_31)) + (portRef DIA9 (instanceRef pdp_ram_8_0_31)) + (portRef DIB8 (instanceRef pdp_ram_8_0_31)) + (portRef DIB7 (instanceRef pdp_ram_8_0_31)) + (portRef DIB6 (instanceRef pdp_ram_8_0_31)) + (portRef DIB5 (instanceRef pdp_ram_8_0_31)) + (portRef DIB4 (instanceRef pdp_ram_8_0_31)) + (portRef DIB3 (instanceRef pdp_ram_8_0_31)) + (portRef DIB2 (instanceRef pdp_ram_8_0_31)) + (portRef DIB1 (instanceRef pdp_ram_8_0_31)) + (portRef DIB0 (instanceRef pdp_ram_8_0_31)) + (portRef CSB2 (instanceRef pdp_ram_8_1_30)) + (portRef CSA2 (instanceRef pdp_ram_8_1_30)) + (portRef CSB1 (instanceRef pdp_ram_8_1_30)) + (portRef CSA1 (instanceRef pdp_ram_8_1_30)) + (portRef WEB (instanceRef pdp_ram_8_1_30)) + (portRef ADB2 (instanceRef pdp_ram_8_1_30)) + (portRef ADA2 (instanceRef pdp_ram_8_1_30)) + (portRef ADB1 (instanceRef pdp_ram_8_1_30)) + (portRef ADA1 (instanceRef pdp_ram_8_1_30)) + (portRef ADB0 (instanceRef pdp_ram_8_1_30)) + (portRef ADA0 (instanceRef pdp_ram_8_1_30)) + (portRef DIB17 (instanceRef pdp_ram_8_1_30)) + (portRef DIA17 (instanceRef pdp_ram_8_1_30)) + (portRef DIB16 (instanceRef pdp_ram_8_1_30)) + (portRef DIA16 (instanceRef pdp_ram_8_1_30)) + (portRef DIB15 (instanceRef pdp_ram_8_1_30)) + (portRef DIA15 (instanceRef pdp_ram_8_1_30)) + (portRef DIB14 (instanceRef pdp_ram_8_1_30)) + (portRef DIA14 (instanceRef pdp_ram_8_1_30)) + (portRef DIB13 (instanceRef pdp_ram_8_1_30)) + (portRef DIA13 (instanceRef pdp_ram_8_1_30)) + (portRef DIB12 (instanceRef pdp_ram_8_1_30)) + (portRef DIA12 (instanceRef pdp_ram_8_1_30)) + (portRef DIB11 (instanceRef pdp_ram_8_1_30)) + (portRef DIA11 (instanceRef pdp_ram_8_1_30)) + (portRef DIB10 (instanceRef pdp_ram_8_1_30)) + (portRef DIA10 (instanceRef pdp_ram_8_1_30)) + (portRef DIB9 (instanceRef pdp_ram_8_1_30)) + (portRef DIA9 (instanceRef pdp_ram_8_1_30)) + (portRef DIB8 (instanceRef pdp_ram_8_1_30)) + (portRef DIB7 (instanceRef pdp_ram_8_1_30)) + (portRef DIB6 (instanceRef pdp_ram_8_1_30)) + (portRef DIB5 (instanceRef pdp_ram_8_1_30)) + (portRef DIB4 (instanceRef pdp_ram_8_1_30)) + (portRef DIB3 (instanceRef pdp_ram_8_1_30)) + (portRef DIB2 (instanceRef pdp_ram_8_1_30)) + (portRef DIB1 (instanceRef pdp_ram_8_1_30)) + (portRef DIB0 (instanceRef pdp_ram_8_1_30)) + (portRef CSB2 (instanceRef pdp_ram_8_2_29)) + (portRef CSA2 (instanceRef pdp_ram_8_2_29)) + (portRef CSB1 (instanceRef pdp_ram_8_2_29)) + (portRef CSA1 (instanceRef pdp_ram_8_2_29)) + (portRef WEB (instanceRef pdp_ram_8_2_29)) + (portRef ADB2 (instanceRef pdp_ram_8_2_29)) + (portRef ADA2 (instanceRef pdp_ram_8_2_29)) + (portRef ADB1 (instanceRef pdp_ram_8_2_29)) + (portRef ADA1 (instanceRef pdp_ram_8_2_29)) + (portRef ADB0 (instanceRef pdp_ram_8_2_29)) + (portRef ADA0 (instanceRef pdp_ram_8_2_29)) + (portRef DIB17 (instanceRef pdp_ram_8_2_29)) + (portRef DIA17 (instanceRef pdp_ram_8_2_29)) + (portRef DIB16 (instanceRef pdp_ram_8_2_29)) + (portRef DIA16 (instanceRef pdp_ram_8_2_29)) + (portRef DIB15 (instanceRef pdp_ram_8_2_29)) + (portRef DIA15 (instanceRef pdp_ram_8_2_29)) + (portRef DIB14 (instanceRef pdp_ram_8_2_29)) + (portRef DIA14 (instanceRef pdp_ram_8_2_29)) + (portRef DIB13 (instanceRef pdp_ram_8_2_29)) + (portRef DIA13 (instanceRef pdp_ram_8_2_29)) + (portRef DIB12 (instanceRef pdp_ram_8_2_29)) + (portRef DIA12 (instanceRef pdp_ram_8_2_29)) + (portRef DIB11 (instanceRef pdp_ram_8_2_29)) + (portRef DIA11 (instanceRef pdp_ram_8_2_29)) + (portRef DIB10 (instanceRef pdp_ram_8_2_29)) + (portRef DIA10 (instanceRef pdp_ram_8_2_29)) + (portRef DIB9 (instanceRef pdp_ram_8_2_29)) + (portRef DIA9 (instanceRef pdp_ram_8_2_29)) + (portRef DIB8 (instanceRef pdp_ram_8_2_29)) + (portRef DIB7 (instanceRef pdp_ram_8_2_29)) + (portRef DIB6 (instanceRef pdp_ram_8_2_29)) + (portRef DIB5 (instanceRef pdp_ram_8_2_29)) + (portRef DIB4 (instanceRef pdp_ram_8_2_29)) + (portRef DIB3 (instanceRef pdp_ram_8_2_29)) + (portRef DIB2 (instanceRef pdp_ram_8_2_29)) + (portRef DIB1 (instanceRef pdp_ram_8_2_29)) + (portRef DIB0 (instanceRef pdp_ram_8_2_29)) + (portRef CSB2 (instanceRef pdp_ram_8_3_28)) + (portRef CSA2 (instanceRef pdp_ram_8_3_28)) + (portRef CSB1 (instanceRef pdp_ram_8_3_28)) + (portRef CSA1 (instanceRef pdp_ram_8_3_28)) + (portRef WEB (instanceRef pdp_ram_8_3_28)) + (portRef ADB2 (instanceRef pdp_ram_8_3_28)) + (portRef ADA2 (instanceRef pdp_ram_8_3_28)) + (portRef ADB1 (instanceRef pdp_ram_8_3_28)) + (portRef ADA1 (instanceRef pdp_ram_8_3_28)) + (portRef ADB0 (instanceRef pdp_ram_8_3_28)) + (portRef ADA0 (instanceRef pdp_ram_8_3_28)) + (portRef DIB17 (instanceRef pdp_ram_8_3_28)) + (portRef DIA17 (instanceRef pdp_ram_8_3_28)) + (portRef DIB16 (instanceRef pdp_ram_8_3_28)) + (portRef DIA16 (instanceRef pdp_ram_8_3_28)) + (portRef DIB15 (instanceRef pdp_ram_8_3_28)) + (portRef DIA15 (instanceRef pdp_ram_8_3_28)) + (portRef DIB14 (instanceRef pdp_ram_8_3_28)) + (portRef DIA14 (instanceRef pdp_ram_8_3_28)) + (portRef DIB13 (instanceRef pdp_ram_8_3_28)) + (portRef DIA13 (instanceRef pdp_ram_8_3_28)) + (portRef DIB12 (instanceRef pdp_ram_8_3_28)) + (portRef DIA12 (instanceRef pdp_ram_8_3_28)) + (portRef DIB11 (instanceRef pdp_ram_8_3_28)) + (portRef DIA11 (instanceRef pdp_ram_8_3_28)) + (portRef DIB10 (instanceRef pdp_ram_8_3_28)) + (portRef DIA10 (instanceRef pdp_ram_8_3_28)) + (portRef DIB9 (instanceRef pdp_ram_8_3_28)) + (portRef DIA9 (instanceRef pdp_ram_8_3_28)) + (portRef DIB8 (instanceRef pdp_ram_8_3_28)) + (portRef DIB7 (instanceRef pdp_ram_8_3_28)) + (portRef DIB6 (instanceRef pdp_ram_8_3_28)) + (portRef DIB5 (instanceRef pdp_ram_8_3_28)) + (portRef DIB4 (instanceRef pdp_ram_8_3_28)) + (portRef DIB3 (instanceRef pdp_ram_8_3_28)) + (portRef DIB2 (instanceRef pdp_ram_8_3_28)) + (portRef DIB1 (instanceRef pdp_ram_8_3_28)) + (portRef DIB0 (instanceRef pdp_ram_8_3_28)) + (portRef CSB2 (instanceRef pdp_ram_9_0_27)) + (portRef CSA2 (instanceRef pdp_ram_9_0_27)) + (portRef CSB1 (instanceRef pdp_ram_9_0_27)) + (portRef CSA1 (instanceRef pdp_ram_9_0_27)) + (portRef WEB (instanceRef pdp_ram_9_0_27)) + (portRef ADB2 (instanceRef pdp_ram_9_0_27)) + (portRef ADA2 (instanceRef pdp_ram_9_0_27)) + (portRef ADB1 (instanceRef pdp_ram_9_0_27)) + (portRef ADA1 (instanceRef pdp_ram_9_0_27)) + (portRef ADB0 (instanceRef pdp_ram_9_0_27)) + (portRef ADA0 (instanceRef pdp_ram_9_0_27)) + (portRef DIB17 (instanceRef pdp_ram_9_0_27)) + (portRef DIA17 (instanceRef pdp_ram_9_0_27)) + (portRef DIB16 (instanceRef pdp_ram_9_0_27)) + (portRef DIA16 (instanceRef pdp_ram_9_0_27)) + (portRef DIB15 (instanceRef pdp_ram_9_0_27)) + (portRef DIA15 (instanceRef pdp_ram_9_0_27)) + (portRef DIB14 (instanceRef pdp_ram_9_0_27)) + (portRef DIA14 (instanceRef pdp_ram_9_0_27)) + (portRef DIB13 (instanceRef pdp_ram_9_0_27)) + (portRef DIA13 (instanceRef pdp_ram_9_0_27)) + (portRef DIB12 (instanceRef pdp_ram_9_0_27)) + (portRef DIA12 (instanceRef pdp_ram_9_0_27)) + (portRef DIB11 (instanceRef pdp_ram_9_0_27)) + (portRef DIA11 (instanceRef pdp_ram_9_0_27)) + (portRef DIB10 (instanceRef pdp_ram_9_0_27)) + (portRef DIA10 (instanceRef pdp_ram_9_0_27)) + (portRef DIB9 (instanceRef pdp_ram_9_0_27)) + (portRef DIA9 (instanceRef pdp_ram_9_0_27)) + (portRef DIB8 (instanceRef pdp_ram_9_0_27)) + (portRef DIB7 (instanceRef pdp_ram_9_0_27)) + (portRef DIB6 (instanceRef pdp_ram_9_0_27)) + (portRef DIB5 (instanceRef pdp_ram_9_0_27)) + (portRef DIB4 (instanceRef pdp_ram_9_0_27)) + (portRef DIB3 (instanceRef pdp_ram_9_0_27)) + (portRef DIB2 (instanceRef pdp_ram_9_0_27)) + (portRef DIB1 (instanceRef pdp_ram_9_0_27)) + (portRef DIB0 (instanceRef pdp_ram_9_0_27)) + (portRef CSB2 (instanceRef pdp_ram_9_1_26)) + (portRef CSA2 (instanceRef pdp_ram_9_1_26)) + (portRef CSB1 (instanceRef pdp_ram_9_1_26)) + (portRef CSA1 (instanceRef pdp_ram_9_1_26)) + (portRef WEB (instanceRef pdp_ram_9_1_26)) + (portRef ADB2 (instanceRef pdp_ram_9_1_26)) + (portRef ADA2 (instanceRef pdp_ram_9_1_26)) + (portRef ADB1 (instanceRef pdp_ram_9_1_26)) + (portRef ADA1 (instanceRef pdp_ram_9_1_26)) + (portRef ADB0 (instanceRef pdp_ram_9_1_26)) + (portRef ADA0 (instanceRef pdp_ram_9_1_26)) + (portRef DIB17 (instanceRef pdp_ram_9_1_26)) + (portRef DIA17 (instanceRef pdp_ram_9_1_26)) + (portRef DIB16 (instanceRef pdp_ram_9_1_26)) + (portRef DIA16 (instanceRef pdp_ram_9_1_26)) + (portRef DIB15 (instanceRef pdp_ram_9_1_26)) + (portRef DIA15 (instanceRef pdp_ram_9_1_26)) + (portRef DIB14 (instanceRef pdp_ram_9_1_26)) + (portRef DIA14 (instanceRef pdp_ram_9_1_26)) + (portRef DIB13 (instanceRef pdp_ram_9_1_26)) + (portRef DIA13 (instanceRef pdp_ram_9_1_26)) + (portRef DIB12 (instanceRef pdp_ram_9_1_26)) + (portRef DIA12 (instanceRef pdp_ram_9_1_26)) + (portRef DIB11 (instanceRef pdp_ram_9_1_26)) + (portRef DIA11 (instanceRef pdp_ram_9_1_26)) + (portRef DIB10 (instanceRef pdp_ram_9_1_26)) + (portRef DIA10 (instanceRef pdp_ram_9_1_26)) + (portRef DIB9 (instanceRef pdp_ram_9_1_26)) + (portRef DIA9 (instanceRef pdp_ram_9_1_26)) + (portRef DIB8 (instanceRef pdp_ram_9_1_26)) + (portRef DIB7 (instanceRef pdp_ram_9_1_26)) + (portRef DIB6 (instanceRef pdp_ram_9_1_26)) + (portRef DIB5 (instanceRef pdp_ram_9_1_26)) + (portRef DIB4 (instanceRef pdp_ram_9_1_26)) + (portRef DIB3 (instanceRef pdp_ram_9_1_26)) + (portRef DIB2 (instanceRef pdp_ram_9_1_26)) + (portRef DIB1 (instanceRef pdp_ram_9_1_26)) + (portRef DIB0 (instanceRef pdp_ram_9_1_26)) + (portRef CSB2 (instanceRef pdp_ram_9_2_25)) + (portRef CSA2 (instanceRef pdp_ram_9_2_25)) + (portRef CSB1 (instanceRef pdp_ram_9_2_25)) + (portRef CSA1 (instanceRef pdp_ram_9_2_25)) + (portRef WEB (instanceRef pdp_ram_9_2_25)) + (portRef ADB2 (instanceRef pdp_ram_9_2_25)) + (portRef ADA2 (instanceRef pdp_ram_9_2_25)) + (portRef ADB1 (instanceRef pdp_ram_9_2_25)) + (portRef ADA1 (instanceRef pdp_ram_9_2_25)) + (portRef ADB0 (instanceRef pdp_ram_9_2_25)) + (portRef ADA0 (instanceRef pdp_ram_9_2_25)) + (portRef DIB17 (instanceRef pdp_ram_9_2_25)) + (portRef DIA17 (instanceRef pdp_ram_9_2_25)) + (portRef DIB16 (instanceRef pdp_ram_9_2_25)) + (portRef DIA16 (instanceRef pdp_ram_9_2_25)) + (portRef DIB15 (instanceRef pdp_ram_9_2_25)) + (portRef DIA15 (instanceRef pdp_ram_9_2_25)) + (portRef DIB14 (instanceRef pdp_ram_9_2_25)) + (portRef DIA14 (instanceRef pdp_ram_9_2_25)) + (portRef DIB13 (instanceRef pdp_ram_9_2_25)) + (portRef DIA13 (instanceRef pdp_ram_9_2_25)) + (portRef DIB12 (instanceRef pdp_ram_9_2_25)) + (portRef DIA12 (instanceRef pdp_ram_9_2_25)) + (portRef DIB11 (instanceRef pdp_ram_9_2_25)) + (portRef DIA11 (instanceRef pdp_ram_9_2_25)) + (portRef DIB10 (instanceRef pdp_ram_9_2_25)) + (portRef DIA10 (instanceRef pdp_ram_9_2_25)) + (portRef DIB9 (instanceRef pdp_ram_9_2_25)) + (portRef DIA9 (instanceRef pdp_ram_9_2_25)) + (portRef DIB8 (instanceRef pdp_ram_9_2_25)) + (portRef DIB7 (instanceRef pdp_ram_9_2_25)) + (portRef DIB6 (instanceRef pdp_ram_9_2_25)) + (portRef DIB5 (instanceRef pdp_ram_9_2_25)) + (portRef DIB4 (instanceRef pdp_ram_9_2_25)) + (portRef DIB3 (instanceRef pdp_ram_9_2_25)) + (portRef DIB2 (instanceRef pdp_ram_9_2_25)) + (portRef DIB1 (instanceRef pdp_ram_9_2_25)) + (portRef DIB0 (instanceRef pdp_ram_9_2_25)) + (portRef CSB2 (instanceRef pdp_ram_9_3_24)) + (portRef CSA2 (instanceRef pdp_ram_9_3_24)) + (portRef CSB1 (instanceRef pdp_ram_9_3_24)) + (portRef CSA1 (instanceRef pdp_ram_9_3_24)) + (portRef WEB (instanceRef pdp_ram_9_3_24)) + (portRef ADB2 (instanceRef pdp_ram_9_3_24)) + (portRef ADA2 (instanceRef pdp_ram_9_3_24)) + (portRef ADB1 (instanceRef pdp_ram_9_3_24)) + (portRef ADA1 (instanceRef pdp_ram_9_3_24)) + (portRef ADB0 (instanceRef pdp_ram_9_3_24)) + (portRef ADA0 (instanceRef pdp_ram_9_3_24)) + (portRef DIB17 (instanceRef pdp_ram_9_3_24)) + (portRef DIA17 (instanceRef pdp_ram_9_3_24)) + (portRef DIB16 (instanceRef pdp_ram_9_3_24)) + (portRef DIA16 (instanceRef pdp_ram_9_3_24)) + (portRef DIB15 (instanceRef pdp_ram_9_3_24)) + (portRef DIA15 (instanceRef pdp_ram_9_3_24)) + (portRef DIB14 (instanceRef pdp_ram_9_3_24)) + (portRef DIA14 (instanceRef pdp_ram_9_3_24)) + (portRef DIB13 (instanceRef pdp_ram_9_3_24)) + (portRef DIA13 (instanceRef pdp_ram_9_3_24)) + (portRef DIB12 (instanceRef pdp_ram_9_3_24)) + (portRef DIA12 (instanceRef pdp_ram_9_3_24)) + (portRef DIB11 (instanceRef pdp_ram_9_3_24)) + (portRef DIA11 (instanceRef pdp_ram_9_3_24)) + (portRef DIB10 (instanceRef pdp_ram_9_3_24)) + (portRef DIA10 (instanceRef pdp_ram_9_3_24)) + (portRef DIB9 (instanceRef pdp_ram_9_3_24)) + (portRef DIA9 (instanceRef pdp_ram_9_3_24)) + (portRef DIB8 (instanceRef pdp_ram_9_3_24)) + (portRef DIB7 (instanceRef pdp_ram_9_3_24)) + (portRef DIB6 (instanceRef pdp_ram_9_3_24)) + (portRef DIB5 (instanceRef pdp_ram_9_3_24)) + (portRef DIB4 (instanceRef pdp_ram_9_3_24)) + (portRef DIB3 (instanceRef pdp_ram_9_3_24)) + (portRef DIB2 (instanceRef pdp_ram_9_3_24)) + (portRef DIB1 (instanceRef pdp_ram_9_3_24)) + (portRef DIB0 (instanceRef pdp_ram_9_3_24)) + (portRef CSB2 (instanceRef pdp_ram_10_0_23)) + (portRef CSA2 (instanceRef pdp_ram_10_0_23)) + (portRef CSB1 (instanceRef pdp_ram_10_0_23)) + (portRef CSA1 (instanceRef pdp_ram_10_0_23)) + (portRef WEB (instanceRef pdp_ram_10_0_23)) + (portRef ADB2 (instanceRef pdp_ram_10_0_23)) + (portRef ADA2 (instanceRef pdp_ram_10_0_23)) + (portRef ADB1 (instanceRef pdp_ram_10_0_23)) + (portRef ADA1 (instanceRef pdp_ram_10_0_23)) + (portRef ADB0 (instanceRef pdp_ram_10_0_23)) + (portRef ADA0 (instanceRef pdp_ram_10_0_23)) + (portRef DIB17 (instanceRef pdp_ram_10_0_23)) + (portRef DIA17 (instanceRef pdp_ram_10_0_23)) + (portRef DIB16 (instanceRef pdp_ram_10_0_23)) + (portRef DIA16 (instanceRef pdp_ram_10_0_23)) + (portRef DIB15 (instanceRef pdp_ram_10_0_23)) + (portRef DIA15 (instanceRef pdp_ram_10_0_23)) + (portRef DIB14 (instanceRef pdp_ram_10_0_23)) + (portRef DIA14 (instanceRef pdp_ram_10_0_23)) + (portRef DIB13 (instanceRef pdp_ram_10_0_23)) + (portRef DIA13 (instanceRef pdp_ram_10_0_23)) + (portRef DIB12 (instanceRef pdp_ram_10_0_23)) + (portRef DIA12 (instanceRef pdp_ram_10_0_23)) + (portRef DIB11 (instanceRef pdp_ram_10_0_23)) + (portRef DIA11 (instanceRef pdp_ram_10_0_23)) + (portRef DIB10 (instanceRef pdp_ram_10_0_23)) + (portRef DIA10 (instanceRef pdp_ram_10_0_23)) + (portRef DIB9 (instanceRef pdp_ram_10_0_23)) + (portRef DIA9 (instanceRef pdp_ram_10_0_23)) + (portRef DIB8 (instanceRef pdp_ram_10_0_23)) + (portRef DIB7 (instanceRef pdp_ram_10_0_23)) + (portRef DIB6 (instanceRef pdp_ram_10_0_23)) + (portRef DIB5 (instanceRef pdp_ram_10_0_23)) + (portRef DIB4 (instanceRef pdp_ram_10_0_23)) + (portRef DIB3 (instanceRef pdp_ram_10_0_23)) + (portRef DIB2 (instanceRef pdp_ram_10_0_23)) + (portRef DIB1 (instanceRef pdp_ram_10_0_23)) + (portRef DIB0 (instanceRef pdp_ram_10_0_23)) + (portRef CSB2 (instanceRef pdp_ram_10_1_22)) + (portRef CSA2 (instanceRef pdp_ram_10_1_22)) + (portRef CSB1 (instanceRef pdp_ram_10_1_22)) + (portRef CSA1 (instanceRef pdp_ram_10_1_22)) + (portRef WEB (instanceRef pdp_ram_10_1_22)) + (portRef ADB2 (instanceRef pdp_ram_10_1_22)) + (portRef ADA2 (instanceRef pdp_ram_10_1_22)) + (portRef ADB1 (instanceRef pdp_ram_10_1_22)) + (portRef ADA1 (instanceRef pdp_ram_10_1_22)) + (portRef ADB0 (instanceRef pdp_ram_10_1_22)) + (portRef ADA0 (instanceRef pdp_ram_10_1_22)) + (portRef DIB17 (instanceRef pdp_ram_10_1_22)) + (portRef DIA17 (instanceRef pdp_ram_10_1_22)) + (portRef DIB16 (instanceRef pdp_ram_10_1_22)) + (portRef DIA16 (instanceRef pdp_ram_10_1_22)) + (portRef DIB15 (instanceRef pdp_ram_10_1_22)) + (portRef DIA15 (instanceRef pdp_ram_10_1_22)) + (portRef DIB14 (instanceRef pdp_ram_10_1_22)) + (portRef DIA14 (instanceRef pdp_ram_10_1_22)) + (portRef DIB13 (instanceRef pdp_ram_10_1_22)) + (portRef DIA13 (instanceRef pdp_ram_10_1_22)) + (portRef DIB12 (instanceRef pdp_ram_10_1_22)) + (portRef DIA12 (instanceRef pdp_ram_10_1_22)) + (portRef DIB11 (instanceRef pdp_ram_10_1_22)) + (portRef DIA11 (instanceRef pdp_ram_10_1_22)) + (portRef DIB10 (instanceRef pdp_ram_10_1_22)) + (portRef DIA10 (instanceRef pdp_ram_10_1_22)) + (portRef DIB9 (instanceRef pdp_ram_10_1_22)) + (portRef DIA9 (instanceRef pdp_ram_10_1_22)) + (portRef DIB8 (instanceRef pdp_ram_10_1_22)) + (portRef DIB7 (instanceRef pdp_ram_10_1_22)) + (portRef DIB6 (instanceRef pdp_ram_10_1_22)) + (portRef DIB5 (instanceRef pdp_ram_10_1_22)) + (portRef DIB4 (instanceRef pdp_ram_10_1_22)) + (portRef DIB3 (instanceRef pdp_ram_10_1_22)) + (portRef DIB2 (instanceRef pdp_ram_10_1_22)) + (portRef DIB1 (instanceRef pdp_ram_10_1_22)) + (portRef DIB0 (instanceRef pdp_ram_10_1_22)) + (portRef CSB2 (instanceRef pdp_ram_10_2_21)) + (portRef CSA2 (instanceRef pdp_ram_10_2_21)) + (portRef CSB1 (instanceRef pdp_ram_10_2_21)) + (portRef CSA1 (instanceRef pdp_ram_10_2_21)) + (portRef WEB (instanceRef pdp_ram_10_2_21)) + (portRef ADB2 (instanceRef pdp_ram_10_2_21)) + (portRef ADA2 (instanceRef pdp_ram_10_2_21)) + (portRef ADB1 (instanceRef pdp_ram_10_2_21)) + (portRef ADA1 (instanceRef pdp_ram_10_2_21)) + (portRef ADB0 (instanceRef pdp_ram_10_2_21)) + (portRef ADA0 (instanceRef pdp_ram_10_2_21)) + (portRef DIB17 (instanceRef pdp_ram_10_2_21)) + (portRef DIA17 (instanceRef pdp_ram_10_2_21)) + (portRef DIB16 (instanceRef pdp_ram_10_2_21)) + (portRef DIA16 (instanceRef pdp_ram_10_2_21)) + (portRef DIB15 (instanceRef pdp_ram_10_2_21)) + (portRef DIA15 (instanceRef pdp_ram_10_2_21)) + (portRef DIB14 (instanceRef pdp_ram_10_2_21)) + (portRef DIA14 (instanceRef pdp_ram_10_2_21)) + (portRef DIB13 (instanceRef pdp_ram_10_2_21)) + (portRef DIA13 (instanceRef pdp_ram_10_2_21)) + (portRef DIB12 (instanceRef pdp_ram_10_2_21)) + (portRef DIA12 (instanceRef pdp_ram_10_2_21)) + (portRef DIB11 (instanceRef pdp_ram_10_2_21)) + (portRef DIA11 (instanceRef pdp_ram_10_2_21)) + (portRef DIB10 (instanceRef pdp_ram_10_2_21)) + (portRef DIA10 (instanceRef pdp_ram_10_2_21)) + (portRef DIB9 (instanceRef pdp_ram_10_2_21)) + (portRef DIA9 (instanceRef pdp_ram_10_2_21)) + (portRef DIB8 (instanceRef pdp_ram_10_2_21)) + (portRef DIB7 (instanceRef pdp_ram_10_2_21)) + (portRef DIB6 (instanceRef pdp_ram_10_2_21)) + (portRef DIB5 (instanceRef pdp_ram_10_2_21)) + (portRef DIB4 (instanceRef pdp_ram_10_2_21)) + (portRef DIB3 (instanceRef pdp_ram_10_2_21)) + (portRef DIB2 (instanceRef pdp_ram_10_2_21)) + (portRef DIB1 (instanceRef pdp_ram_10_2_21)) + (portRef DIB0 (instanceRef pdp_ram_10_2_21)) + (portRef CSB2 (instanceRef pdp_ram_10_3_20)) + (portRef CSA2 (instanceRef pdp_ram_10_3_20)) + (portRef CSB1 (instanceRef pdp_ram_10_3_20)) + (portRef CSA1 (instanceRef pdp_ram_10_3_20)) + (portRef WEB (instanceRef pdp_ram_10_3_20)) + (portRef ADB2 (instanceRef pdp_ram_10_3_20)) + (portRef ADA2 (instanceRef pdp_ram_10_3_20)) + (portRef ADB1 (instanceRef pdp_ram_10_3_20)) + (portRef ADA1 (instanceRef pdp_ram_10_3_20)) + (portRef ADB0 (instanceRef pdp_ram_10_3_20)) + (portRef ADA0 (instanceRef pdp_ram_10_3_20)) + (portRef DIB17 (instanceRef pdp_ram_10_3_20)) + (portRef DIA17 (instanceRef pdp_ram_10_3_20)) + (portRef DIB16 (instanceRef pdp_ram_10_3_20)) + (portRef DIA16 (instanceRef pdp_ram_10_3_20)) + (portRef DIB15 (instanceRef pdp_ram_10_3_20)) + (portRef DIA15 (instanceRef pdp_ram_10_3_20)) + (portRef DIB14 (instanceRef pdp_ram_10_3_20)) + (portRef DIA14 (instanceRef pdp_ram_10_3_20)) + (portRef DIB13 (instanceRef pdp_ram_10_3_20)) + (portRef DIA13 (instanceRef pdp_ram_10_3_20)) + (portRef DIB12 (instanceRef pdp_ram_10_3_20)) + (portRef DIA12 (instanceRef pdp_ram_10_3_20)) + (portRef DIB11 (instanceRef pdp_ram_10_3_20)) + (portRef DIA11 (instanceRef pdp_ram_10_3_20)) + (portRef DIB10 (instanceRef pdp_ram_10_3_20)) + (portRef DIA10 (instanceRef pdp_ram_10_3_20)) + (portRef DIB9 (instanceRef pdp_ram_10_3_20)) + (portRef DIA9 (instanceRef pdp_ram_10_3_20)) + (portRef DIB8 (instanceRef pdp_ram_10_3_20)) + (portRef DIB7 (instanceRef pdp_ram_10_3_20)) + (portRef DIB6 (instanceRef pdp_ram_10_3_20)) + (portRef DIB5 (instanceRef pdp_ram_10_3_20)) + (portRef DIB4 (instanceRef pdp_ram_10_3_20)) + (portRef DIB3 (instanceRef pdp_ram_10_3_20)) + (portRef DIB2 (instanceRef pdp_ram_10_3_20)) + (portRef DIB1 (instanceRef pdp_ram_10_3_20)) + (portRef DIB0 (instanceRef pdp_ram_10_3_20)) + (portRef CSB2 (instanceRef pdp_ram_11_0_19)) + (portRef CSA2 (instanceRef pdp_ram_11_0_19)) + (portRef CSB1 (instanceRef pdp_ram_11_0_19)) + (portRef CSA1 (instanceRef pdp_ram_11_0_19)) + (portRef WEB (instanceRef pdp_ram_11_0_19)) + (portRef ADB2 (instanceRef pdp_ram_11_0_19)) + (portRef ADA2 (instanceRef pdp_ram_11_0_19)) + (portRef ADB1 (instanceRef pdp_ram_11_0_19)) + (portRef ADA1 (instanceRef pdp_ram_11_0_19)) + (portRef ADB0 (instanceRef pdp_ram_11_0_19)) + (portRef ADA0 (instanceRef pdp_ram_11_0_19)) + (portRef DIB17 (instanceRef pdp_ram_11_0_19)) + (portRef DIA17 (instanceRef pdp_ram_11_0_19)) + (portRef DIB16 (instanceRef pdp_ram_11_0_19)) + (portRef DIA16 (instanceRef pdp_ram_11_0_19)) + (portRef DIB15 (instanceRef pdp_ram_11_0_19)) + (portRef DIA15 (instanceRef pdp_ram_11_0_19)) + (portRef DIB14 (instanceRef pdp_ram_11_0_19)) + (portRef DIA14 (instanceRef pdp_ram_11_0_19)) + (portRef DIB13 (instanceRef pdp_ram_11_0_19)) + (portRef DIA13 (instanceRef pdp_ram_11_0_19)) + (portRef DIB12 (instanceRef pdp_ram_11_0_19)) + (portRef DIA12 (instanceRef pdp_ram_11_0_19)) + (portRef DIB11 (instanceRef pdp_ram_11_0_19)) + (portRef DIA11 (instanceRef pdp_ram_11_0_19)) + (portRef DIB10 (instanceRef pdp_ram_11_0_19)) + (portRef DIA10 (instanceRef pdp_ram_11_0_19)) + (portRef DIB9 (instanceRef pdp_ram_11_0_19)) + (portRef DIA9 (instanceRef pdp_ram_11_0_19)) + (portRef DIB8 (instanceRef pdp_ram_11_0_19)) + (portRef DIB7 (instanceRef pdp_ram_11_0_19)) + (portRef DIB6 (instanceRef pdp_ram_11_0_19)) + (portRef DIB5 (instanceRef pdp_ram_11_0_19)) + (portRef DIB4 (instanceRef pdp_ram_11_0_19)) + (portRef DIB3 (instanceRef pdp_ram_11_0_19)) + (portRef DIB2 (instanceRef pdp_ram_11_0_19)) + (portRef DIB1 (instanceRef pdp_ram_11_0_19)) + (portRef DIB0 (instanceRef pdp_ram_11_0_19)) + (portRef CSB2 (instanceRef pdp_ram_11_1_18)) + (portRef CSA2 (instanceRef pdp_ram_11_1_18)) + (portRef CSB1 (instanceRef pdp_ram_11_1_18)) + (portRef CSA1 (instanceRef pdp_ram_11_1_18)) + (portRef WEB (instanceRef pdp_ram_11_1_18)) + (portRef ADB2 (instanceRef pdp_ram_11_1_18)) + (portRef ADA2 (instanceRef pdp_ram_11_1_18)) + (portRef ADB1 (instanceRef pdp_ram_11_1_18)) + (portRef ADA1 (instanceRef pdp_ram_11_1_18)) + (portRef ADB0 (instanceRef pdp_ram_11_1_18)) + (portRef ADA0 (instanceRef pdp_ram_11_1_18)) + (portRef DIB17 (instanceRef pdp_ram_11_1_18)) + (portRef DIA17 (instanceRef pdp_ram_11_1_18)) + (portRef DIB16 (instanceRef pdp_ram_11_1_18)) + (portRef DIA16 (instanceRef pdp_ram_11_1_18)) + (portRef DIB15 (instanceRef pdp_ram_11_1_18)) + (portRef DIA15 (instanceRef pdp_ram_11_1_18)) + (portRef DIB14 (instanceRef pdp_ram_11_1_18)) + (portRef DIA14 (instanceRef pdp_ram_11_1_18)) + (portRef DIB13 (instanceRef pdp_ram_11_1_18)) + (portRef DIA13 (instanceRef pdp_ram_11_1_18)) + (portRef DIB12 (instanceRef pdp_ram_11_1_18)) + (portRef DIA12 (instanceRef pdp_ram_11_1_18)) + (portRef DIB11 (instanceRef pdp_ram_11_1_18)) + (portRef DIA11 (instanceRef pdp_ram_11_1_18)) + (portRef DIB10 (instanceRef pdp_ram_11_1_18)) + (portRef DIA10 (instanceRef pdp_ram_11_1_18)) + (portRef DIB9 (instanceRef pdp_ram_11_1_18)) + (portRef DIA9 (instanceRef pdp_ram_11_1_18)) + (portRef DIB8 (instanceRef pdp_ram_11_1_18)) + (portRef DIB7 (instanceRef pdp_ram_11_1_18)) + (portRef DIB6 (instanceRef pdp_ram_11_1_18)) + (portRef DIB5 (instanceRef pdp_ram_11_1_18)) + (portRef DIB4 (instanceRef pdp_ram_11_1_18)) + (portRef DIB3 (instanceRef pdp_ram_11_1_18)) + (portRef DIB2 (instanceRef pdp_ram_11_1_18)) + (portRef DIB1 (instanceRef pdp_ram_11_1_18)) + (portRef DIB0 (instanceRef pdp_ram_11_1_18)) + (portRef CSB2 (instanceRef pdp_ram_11_2_17)) + (portRef CSA2 (instanceRef pdp_ram_11_2_17)) + (portRef CSB1 (instanceRef pdp_ram_11_2_17)) + (portRef CSA1 (instanceRef pdp_ram_11_2_17)) + (portRef WEB (instanceRef pdp_ram_11_2_17)) + (portRef ADB2 (instanceRef pdp_ram_11_2_17)) + (portRef ADA2 (instanceRef pdp_ram_11_2_17)) + (portRef ADB1 (instanceRef pdp_ram_11_2_17)) + (portRef ADA1 (instanceRef pdp_ram_11_2_17)) + (portRef ADB0 (instanceRef pdp_ram_11_2_17)) + (portRef ADA0 (instanceRef pdp_ram_11_2_17)) + (portRef DIB17 (instanceRef pdp_ram_11_2_17)) + (portRef DIA17 (instanceRef pdp_ram_11_2_17)) + (portRef DIB16 (instanceRef pdp_ram_11_2_17)) + (portRef DIA16 (instanceRef pdp_ram_11_2_17)) + (portRef DIB15 (instanceRef pdp_ram_11_2_17)) + (portRef DIA15 (instanceRef pdp_ram_11_2_17)) + (portRef DIB14 (instanceRef pdp_ram_11_2_17)) + (portRef DIA14 (instanceRef pdp_ram_11_2_17)) + (portRef DIB13 (instanceRef pdp_ram_11_2_17)) + (portRef DIA13 (instanceRef pdp_ram_11_2_17)) + (portRef DIB12 (instanceRef pdp_ram_11_2_17)) + (portRef DIA12 (instanceRef pdp_ram_11_2_17)) + (portRef DIB11 (instanceRef pdp_ram_11_2_17)) + (portRef DIA11 (instanceRef pdp_ram_11_2_17)) + (portRef DIB10 (instanceRef pdp_ram_11_2_17)) + (portRef DIA10 (instanceRef pdp_ram_11_2_17)) + (portRef DIB9 (instanceRef pdp_ram_11_2_17)) + (portRef DIA9 (instanceRef pdp_ram_11_2_17)) + (portRef DIB8 (instanceRef pdp_ram_11_2_17)) + (portRef DIB7 (instanceRef pdp_ram_11_2_17)) + (portRef DIB6 (instanceRef pdp_ram_11_2_17)) + (portRef DIB5 (instanceRef pdp_ram_11_2_17)) + (portRef DIB4 (instanceRef pdp_ram_11_2_17)) + (portRef DIB3 (instanceRef pdp_ram_11_2_17)) + (portRef DIB2 (instanceRef pdp_ram_11_2_17)) + (portRef DIB1 (instanceRef pdp_ram_11_2_17)) + (portRef DIB0 (instanceRef pdp_ram_11_2_17)) + (portRef CSB2 (instanceRef pdp_ram_11_3_16)) + (portRef CSA2 (instanceRef pdp_ram_11_3_16)) + (portRef CSB1 (instanceRef pdp_ram_11_3_16)) + (portRef CSA1 (instanceRef pdp_ram_11_3_16)) + (portRef WEB (instanceRef pdp_ram_11_3_16)) + (portRef ADB2 (instanceRef pdp_ram_11_3_16)) + (portRef ADA2 (instanceRef pdp_ram_11_3_16)) + (portRef ADB1 (instanceRef pdp_ram_11_3_16)) + (portRef ADA1 (instanceRef pdp_ram_11_3_16)) + (portRef ADB0 (instanceRef pdp_ram_11_3_16)) + (portRef ADA0 (instanceRef pdp_ram_11_3_16)) + (portRef DIB17 (instanceRef pdp_ram_11_3_16)) + (portRef DIA17 (instanceRef pdp_ram_11_3_16)) + (portRef DIB16 (instanceRef pdp_ram_11_3_16)) + (portRef DIA16 (instanceRef pdp_ram_11_3_16)) + (portRef DIB15 (instanceRef pdp_ram_11_3_16)) + (portRef DIA15 (instanceRef pdp_ram_11_3_16)) + (portRef DIB14 (instanceRef pdp_ram_11_3_16)) + (portRef DIA14 (instanceRef pdp_ram_11_3_16)) + (portRef DIB13 (instanceRef pdp_ram_11_3_16)) + (portRef DIA13 (instanceRef pdp_ram_11_3_16)) + (portRef DIB12 (instanceRef pdp_ram_11_3_16)) + (portRef DIA12 (instanceRef pdp_ram_11_3_16)) + (portRef DIB11 (instanceRef pdp_ram_11_3_16)) + (portRef DIA11 (instanceRef pdp_ram_11_3_16)) + (portRef DIB10 (instanceRef pdp_ram_11_3_16)) + (portRef DIA10 (instanceRef pdp_ram_11_3_16)) + (portRef DIB9 (instanceRef pdp_ram_11_3_16)) + (portRef DIA9 (instanceRef pdp_ram_11_3_16)) + (portRef DIB8 (instanceRef pdp_ram_11_3_16)) + (portRef DIB7 (instanceRef pdp_ram_11_3_16)) + (portRef DIB6 (instanceRef pdp_ram_11_3_16)) + (portRef DIB5 (instanceRef pdp_ram_11_3_16)) + (portRef DIB4 (instanceRef pdp_ram_11_3_16)) + (portRef DIB3 (instanceRef pdp_ram_11_3_16)) + (portRef DIB2 (instanceRef pdp_ram_11_3_16)) + (portRef DIB1 (instanceRef pdp_ram_11_3_16)) + (portRef DIB0 (instanceRef pdp_ram_11_3_16)) + (portRef CSB2 (instanceRef pdp_ram_12_0_15)) + (portRef CSA2 (instanceRef pdp_ram_12_0_15)) + (portRef CSB1 (instanceRef pdp_ram_12_0_15)) + (portRef CSA1 (instanceRef pdp_ram_12_0_15)) + (portRef WEB (instanceRef pdp_ram_12_0_15)) + (portRef ADB2 (instanceRef pdp_ram_12_0_15)) + (portRef ADA2 (instanceRef pdp_ram_12_0_15)) + (portRef ADB1 (instanceRef pdp_ram_12_0_15)) + (portRef ADA1 (instanceRef pdp_ram_12_0_15)) + (portRef ADB0 (instanceRef pdp_ram_12_0_15)) + (portRef ADA0 (instanceRef pdp_ram_12_0_15)) + (portRef DIB17 (instanceRef pdp_ram_12_0_15)) + (portRef DIA17 (instanceRef pdp_ram_12_0_15)) + (portRef DIB16 (instanceRef pdp_ram_12_0_15)) + (portRef DIA16 (instanceRef pdp_ram_12_0_15)) + (portRef DIB15 (instanceRef pdp_ram_12_0_15)) + (portRef DIA15 (instanceRef pdp_ram_12_0_15)) + (portRef DIB14 (instanceRef pdp_ram_12_0_15)) + (portRef DIA14 (instanceRef pdp_ram_12_0_15)) + (portRef DIB13 (instanceRef pdp_ram_12_0_15)) + (portRef DIA13 (instanceRef pdp_ram_12_0_15)) + (portRef DIB12 (instanceRef pdp_ram_12_0_15)) + (portRef DIA12 (instanceRef pdp_ram_12_0_15)) + (portRef DIB11 (instanceRef pdp_ram_12_0_15)) + (portRef DIA11 (instanceRef pdp_ram_12_0_15)) + (portRef DIB10 (instanceRef pdp_ram_12_0_15)) + (portRef DIA10 (instanceRef pdp_ram_12_0_15)) + (portRef DIB9 (instanceRef pdp_ram_12_0_15)) + (portRef DIA9 (instanceRef pdp_ram_12_0_15)) + (portRef DIB8 (instanceRef pdp_ram_12_0_15)) + (portRef DIB7 (instanceRef pdp_ram_12_0_15)) + (portRef DIB6 (instanceRef pdp_ram_12_0_15)) + (portRef DIB5 (instanceRef pdp_ram_12_0_15)) + (portRef DIB4 (instanceRef pdp_ram_12_0_15)) + (portRef DIB3 (instanceRef pdp_ram_12_0_15)) + (portRef DIB2 (instanceRef pdp_ram_12_0_15)) + (portRef DIB1 (instanceRef pdp_ram_12_0_15)) + (portRef DIB0 (instanceRef pdp_ram_12_0_15)) + (portRef CSB2 (instanceRef pdp_ram_12_1_14)) + (portRef CSA2 (instanceRef pdp_ram_12_1_14)) + (portRef CSB1 (instanceRef pdp_ram_12_1_14)) + (portRef CSA1 (instanceRef pdp_ram_12_1_14)) + (portRef WEB (instanceRef pdp_ram_12_1_14)) + (portRef ADB2 (instanceRef pdp_ram_12_1_14)) + (portRef ADA2 (instanceRef pdp_ram_12_1_14)) + (portRef ADB1 (instanceRef pdp_ram_12_1_14)) + (portRef ADA1 (instanceRef pdp_ram_12_1_14)) + (portRef ADB0 (instanceRef pdp_ram_12_1_14)) + (portRef ADA0 (instanceRef pdp_ram_12_1_14)) + (portRef DIB17 (instanceRef pdp_ram_12_1_14)) + (portRef DIA17 (instanceRef pdp_ram_12_1_14)) + (portRef DIB16 (instanceRef pdp_ram_12_1_14)) + (portRef DIA16 (instanceRef pdp_ram_12_1_14)) + (portRef DIB15 (instanceRef pdp_ram_12_1_14)) + (portRef DIA15 (instanceRef pdp_ram_12_1_14)) + (portRef DIB14 (instanceRef pdp_ram_12_1_14)) + (portRef DIA14 (instanceRef pdp_ram_12_1_14)) + (portRef DIB13 (instanceRef pdp_ram_12_1_14)) + (portRef DIA13 (instanceRef pdp_ram_12_1_14)) + (portRef DIB12 (instanceRef pdp_ram_12_1_14)) + (portRef DIA12 (instanceRef pdp_ram_12_1_14)) + (portRef DIB11 (instanceRef pdp_ram_12_1_14)) + (portRef DIA11 (instanceRef pdp_ram_12_1_14)) + (portRef DIB10 (instanceRef pdp_ram_12_1_14)) + (portRef DIA10 (instanceRef pdp_ram_12_1_14)) + (portRef DIB9 (instanceRef pdp_ram_12_1_14)) + (portRef DIA9 (instanceRef pdp_ram_12_1_14)) + (portRef DIB8 (instanceRef pdp_ram_12_1_14)) + (portRef DIB7 (instanceRef pdp_ram_12_1_14)) + (portRef DIB6 (instanceRef pdp_ram_12_1_14)) + (portRef DIB5 (instanceRef pdp_ram_12_1_14)) + (portRef DIB4 (instanceRef pdp_ram_12_1_14)) + (portRef DIB3 (instanceRef pdp_ram_12_1_14)) + (portRef DIB2 (instanceRef pdp_ram_12_1_14)) + (portRef DIB1 (instanceRef pdp_ram_12_1_14)) + (portRef DIB0 (instanceRef pdp_ram_12_1_14)) + (portRef CSB2 (instanceRef pdp_ram_12_2_13)) + (portRef CSA2 (instanceRef pdp_ram_12_2_13)) + (portRef CSB1 (instanceRef pdp_ram_12_2_13)) + (portRef CSA1 (instanceRef pdp_ram_12_2_13)) + (portRef WEB (instanceRef pdp_ram_12_2_13)) + (portRef ADB2 (instanceRef pdp_ram_12_2_13)) + (portRef ADA2 (instanceRef pdp_ram_12_2_13)) + (portRef ADB1 (instanceRef pdp_ram_12_2_13)) + (portRef ADA1 (instanceRef pdp_ram_12_2_13)) + (portRef ADB0 (instanceRef pdp_ram_12_2_13)) + (portRef ADA0 (instanceRef pdp_ram_12_2_13)) + (portRef DIB17 (instanceRef pdp_ram_12_2_13)) + (portRef DIA17 (instanceRef pdp_ram_12_2_13)) + (portRef DIB16 (instanceRef pdp_ram_12_2_13)) + (portRef DIA16 (instanceRef pdp_ram_12_2_13)) + (portRef DIB15 (instanceRef pdp_ram_12_2_13)) + (portRef DIA15 (instanceRef pdp_ram_12_2_13)) + (portRef DIB14 (instanceRef pdp_ram_12_2_13)) + (portRef DIA14 (instanceRef pdp_ram_12_2_13)) + (portRef DIB13 (instanceRef pdp_ram_12_2_13)) + (portRef DIA13 (instanceRef pdp_ram_12_2_13)) + (portRef DIB12 (instanceRef pdp_ram_12_2_13)) + (portRef DIA12 (instanceRef pdp_ram_12_2_13)) + (portRef DIB11 (instanceRef pdp_ram_12_2_13)) + (portRef DIA11 (instanceRef pdp_ram_12_2_13)) + (portRef DIB10 (instanceRef pdp_ram_12_2_13)) + (portRef DIA10 (instanceRef pdp_ram_12_2_13)) + (portRef DIB9 (instanceRef pdp_ram_12_2_13)) + (portRef DIA9 (instanceRef pdp_ram_12_2_13)) + (portRef DIB8 (instanceRef pdp_ram_12_2_13)) + (portRef DIB7 (instanceRef pdp_ram_12_2_13)) + (portRef DIB6 (instanceRef pdp_ram_12_2_13)) + (portRef DIB5 (instanceRef pdp_ram_12_2_13)) + (portRef DIB4 (instanceRef pdp_ram_12_2_13)) + (portRef DIB3 (instanceRef pdp_ram_12_2_13)) + (portRef DIB2 (instanceRef pdp_ram_12_2_13)) + (portRef DIB1 (instanceRef pdp_ram_12_2_13)) + (portRef DIB0 (instanceRef pdp_ram_12_2_13)) + (portRef CSB2 (instanceRef pdp_ram_12_3_12)) + (portRef CSA2 (instanceRef pdp_ram_12_3_12)) + (portRef CSB1 (instanceRef pdp_ram_12_3_12)) + (portRef CSA1 (instanceRef pdp_ram_12_3_12)) + (portRef WEB (instanceRef pdp_ram_12_3_12)) + (portRef ADB2 (instanceRef pdp_ram_12_3_12)) + (portRef ADA2 (instanceRef pdp_ram_12_3_12)) + (portRef ADB1 (instanceRef pdp_ram_12_3_12)) + (portRef ADA1 (instanceRef pdp_ram_12_3_12)) + (portRef ADB0 (instanceRef pdp_ram_12_3_12)) + (portRef ADA0 (instanceRef pdp_ram_12_3_12)) + (portRef DIB17 (instanceRef pdp_ram_12_3_12)) + (portRef DIA17 (instanceRef pdp_ram_12_3_12)) + (portRef DIB16 (instanceRef pdp_ram_12_3_12)) + (portRef DIA16 (instanceRef pdp_ram_12_3_12)) + (portRef DIB15 (instanceRef pdp_ram_12_3_12)) + (portRef DIA15 (instanceRef pdp_ram_12_3_12)) + (portRef DIB14 (instanceRef pdp_ram_12_3_12)) + (portRef DIA14 (instanceRef pdp_ram_12_3_12)) + (portRef DIB13 (instanceRef pdp_ram_12_3_12)) + (portRef DIA13 (instanceRef pdp_ram_12_3_12)) + (portRef DIB12 (instanceRef pdp_ram_12_3_12)) + (portRef DIA12 (instanceRef pdp_ram_12_3_12)) + (portRef DIB11 (instanceRef pdp_ram_12_3_12)) + (portRef DIA11 (instanceRef pdp_ram_12_3_12)) + (portRef DIB10 (instanceRef pdp_ram_12_3_12)) + (portRef DIA10 (instanceRef pdp_ram_12_3_12)) + (portRef DIB9 (instanceRef pdp_ram_12_3_12)) + (portRef DIA9 (instanceRef pdp_ram_12_3_12)) + (portRef DIB8 (instanceRef pdp_ram_12_3_12)) + (portRef DIB7 (instanceRef pdp_ram_12_3_12)) + (portRef DIB6 (instanceRef pdp_ram_12_3_12)) + (portRef DIB5 (instanceRef pdp_ram_12_3_12)) + (portRef DIB4 (instanceRef pdp_ram_12_3_12)) + (portRef DIB3 (instanceRef pdp_ram_12_3_12)) + (portRef DIB2 (instanceRef pdp_ram_12_3_12)) + (portRef DIB1 (instanceRef pdp_ram_12_3_12)) + (portRef DIB0 (instanceRef pdp_ram_12_3_12)) + (portRef CSB2 (instanceRef pdp_ram_13_0_11)) + (portRef CSA2 (instanceRef pdp_ram_13_0_11)) + (portRef CSB1 (instanceRef pdp_ram_13_0_11)) + (portRef CSA1 (instanceRef pdp_ram_13_0_11)) + (portRef WEB (instanceRef pdp_ram_13_0_11)) + (portRef ADB2 (instanceRef pdp_ram_13_0_11)) + (portRef ADA2 (instanceRef pdp_ram_13_0_11)) + (portRef ADB1 (instanceRef pdp_ram_13_0_11)) + (portRef ADA1 (instanceRef pdp_ram_13_0_11)) + (portRef ADB0 (instanceRef pdp_ram_13_0_11)) + (portRef ADA0 (instanceRef pdp_ram_13_0_11)) + (portRef DIB17 (instanceRef pdp_ram_13_0_11)) + (portRef DIA17 (instanceRef pdp_ram_13_0_11)) + (portRef DIB16 (instanceRef pdp_ram_13_0_11)) + (portRef DIA16 (instanceRef pdp_ram_13_0_11)) + (portRef DIB15 (instanceRef pdp_ram_13_0_11)) + (portRef DIA15 (instanceRef pdp_ram_13_0_11)) + (portRef DIB14 (instanceRef pdp_ram_13_0_11)) + (portRef DIA14 (instanceRef pdp_ram_13_0_11)) + (portRef DIB13 (instanceRef pdp_ram_13_0_11)) + (portRef DIA13 (instanceRef pdp_ram_13_0_11)) + (portRef DIB12 (instanceRef pdp_ram_13_0_11)) + (portRef DIA12 (instanceRef pdp_ram_13_0_11)) + (portRef DIB11 (instanceRef pdp_ram_13_0_11)) + (portRef DIA11 (instanceRef pdp_ram_13_0_11)) + (portRef DIB10 (instanceRef pdp_ram_13_0_11)) + (portRef DIA10 (instanceRef pdp_ram_13_0_11)) + (portRef DIB9 (instanceRef pdp_ram_13_0_11)) + (portRef DIA9 (instanceRef pdp_ram_13_0_11)) + (portRef DIB8 (instanceRef pdp_ram_13_0_11)) + (portRef DIB7 (instanceRef pdp_ram_13_0_11)) + (portRef DIB6 (instanceRef pdp_ram_13_0_11)) + (portRef DIB5 (instanceRef pdp_ram_13_0_11)) + (portRef DIB4 (instanceRef pdp_ram_13_0_11)) + (portRef DIB3 (instanceRef pdp_ram_13_0_11)) + (portRef DIB2 (instanceRef pdp_ram_13_0_11)) + (portRef DIB1 (instanceRef pdp_ram_13_0_11)) + (portRef DIB0 (instanceRef pdp_ram_13_0_11)) + (portRef CSB2 (instanceRef pdp_ram_13_1_10)) + (portRef CSA2 (instanceRef pdp_ram_13_1_10)) + (portRef CSB1 (instanceRef pdp_ram_13_1_10)) + (portRef CSA1 (instanceRef pdp_ram_13_1_10)) + (portRef WEB (instanceRef pdp_ram_13_1_10)) + (portRef ADB2 (instanceRef pdp_ram_13_1_10)) + (portRef ADA2 (instanceRef pdp_ram_13_1_10)) + (portRef ADB1 (instanceRef pdp_ram_13_1_10)) + (portRef ADA1 (instanceRef pdp_ram_13_1_10)) + (portRef ADB0 (instanceRef pdp_ram_13_1_10)) + (portRef ADA0 (instanceRef pdp_ram_13_1_10)) + (portRef DIB17 (instanceRef pdp_ram_13_1_10)) + (portRef DIA17 (instanceRef pdp_ram_13_1_10)) + (portRef DIB16 (instanceRef pdp_ram_13_1_10)) + (portRef DIA16 (instanceRef pdp_ram_13_1_10)) + (portRef DIB15 (instanceRef pdp_ram_13_1_10)) + (portRef DIA15 (instanceRef pdp_ram_13_1_10)) + (portRef DIB14 (instanceRef pdp_ram_13_1_10)) + (portRef DIA14 (instanceRef pdp_ram_13_1_10)) + (portRef DIB13 (instanceRef pdp_ram_13_1_10)) + (portRef DIA13 (instanceRef pdp_ram_13_1_10)) + (portRef DIB12 (instanceRef pdp_ram_13_1_10)) + (portRef DIA12 (instanceRef pdp_ram_13_1_10)) + (portRef DIB11 (instanceRef pdp_ram_13_1_10)) + (portRef DIA11 (instanceRef pdp_ram_13_1_10)) + (portRef DIB10 (instanceRef pdp_ram_13_1_10)) + (portRef DIA10 (instanceRef pdp_ram_13_1_10)) + (portRef DIB9 (instanceRef pdp_ram_13_1_10)) + (portRef DIA9 (instanceRef pdp_ram_13_1_10)) + (portRef DIB8 (instanceRef pdp_ram_13_1_10)) + (portRef DIB7 (instanceRef pdp_ram_13_1_10)) + (portRef DIB6 (instanceRef pdp_ram_13_1_10)) + (portRef DIB5 (instanceRef pdp_ram_13_1_10)) + (portRef DIB4 (instanceRef pdp_ram_13_1_10)) + (portRef DIB3 (instanceRef pdp_ram_13_1_10)) + (portRef DIB2 (instanceRef pdp_ram_13_1_10)) + (portRef DIB1 (instanceRef pdp_ram_13_1_10)) + (portRef DIB0 (instanceRef pdp_ram_13_1_10)) + (portRef CSB2 (instanceRef pdp_ram_13_2_9)) + (portRef CSA2 (instanceRef pdp_ram_13_2_9)) + (portRef CSB1 (instanceRef pdp_ram_13_2_9)) + (portRef CSA1 (instanceRef pdp_ram_13_2_9)) + (portRef WEB (instanceRef pdp_ram_13_2_9)) + (portRef ADB2 (instanceRef pdp_ram_13_2_9)) + (portRef ADA2 (instanceRef pdp_ram_13_2_9)) + (portRef ADB1 (instanceRef pdp_ram_13_2_9)) + (portRef ADA1 (instanceRef pdp_ram_13_2_9)) + (portRef ADB0 (instanceRef pdp_ram_13_2_9)) + (portRef ADA0 (instanceRef pdp_ram_13_2_9)) + (portRef DIB17 (instanceRef pdp_ram_13_2_9)) + (portRef DIA17 (instanceRef pdp_ram_13_2_9)) + (portRef DIB16 (instanceRef pdp_ram_13_2_9)) + (portRef DIA16 (instanceRef pdp_ram_13_2_9)) + (portRef DIB15 (instanceRef pdp_ram_13_2_9)) + (portRef DIA15 (instanceRef pdp_ram_13_2_9)) + (portRef DIB14 (instanceRef pdp_ram_13_2_9)) + (portRef DIA14 (instanceRef pdp_ram_13_2_9)) + (portRef DIB13 (instanceRef pdp_ram_13_2_9)) + (portRef DIA13 (instanceRef pdp_ram_13_2_9)) + (portRef DIB12 (instanceRef pdp_ram_13_2_9)) + (portRef DIA12 (instanceRef pdp_ram_13_2_9)) + (portRef DIB11 (instanceRef pdp_ram_13_2_9)) + (portRef DIA11 (instanceRef pdp_ram_13_2_9)) + (portRef DIB10 (instanceRef pdp_ram_13_2_9)) + (portRef DIA10 (instanceRef pdp_ram_13_2_9)) + (portRef DIB9 (instanceRef pdp_ram_13_2_9)) + (portRef DIA9 (instanceRef pdp_ram_13_2_9)) + (portRef DIB8 (instanceRef pdp_ram_13_2_9)) + (portRef DIB7 (instanceRef pdp_ram_13_2_9)) + (portRef DIB6 (instanceRef pdp_ram_13_2_9)) + (portRef DIB5 (instanceRef pdp_ram_13_2_9)) + (portRef DIB4 (instanceRef pdp_ram_13_2_9)) + (portRef DIB3 (instanceRef pdp_ram_13_2_9)) + (portRef DIB2 (instanceRef pdp_ram_13_2_9)) + (portRef DIB1 (instanceRef pdp_ram_13_2_9)) + (portRef DIB0 (instanceRef pdp_ram_13_2_9)) + (portRef CSB2 (instanceRef pdp_ram_13_3_8)) + (portRef CSA2 (instanceRef pdp_ram_13_3_8)) + (portRef CSB1 (instanceRef pdp_ram_13_3_8)) + (portRef CSA1 (instanceRef pdp_ram_13_3_8)) + (portRef WEB (instanceRef pdp_ram_13_3_8)) + (portRef ADB2 (instanceRef pdp_ram_13_3_8)) + (portRef ADA2 (instanceRef pdp_ram_13_3_8)) + (portRef ADB1 (instanceRef pdp_ram_13_3_8)) + (portRef ADA1 (instanceRef pdp_ram_13_3_8)) + (portRef ADB0 (instanceRef pdp_ram_13_3_8)) + (portRef ADA0 (instanceRef pdp_ram_13_3_8)) + (portRef DIB17 (instanceRef pdp_ram_13_3_8)) + (portRef DIA17 (instanceRef pdp_ram_13_3_8)) + (portRef DIB16 (instanceRef pdp_ram_13_3_8)) + (portRef DIA16 (instanceRef pdp_ram_13_3_8)) + (portRef DIB15 (instanceRef pdp_ram_13_3_8)) + (portRef DIA15 (instanceRef pdp_ram_13_3_8)) + (portRef DIB14 (instanceRef pdp_ram_13_3_8)) + (portRef DIA14 (instanceRef pdp_ram_13_3_8)) + (portRef DIB13 (instanceRef pdp_ram_13_3_8)) + (portRef DIA13 (instanceRef pdp_ram_13_3_8)) + (portRef DIB12 (instanceRef pdp_ram_13_3_8)) + (portRef DIA12 (instanceRef pdp_ram_13_3_8)) + (portRef DIB11 (instanceRef pdp_ram_13_3_8)) + (portRef DIA11 (instanceRef pdp_ram_13_3_8)) + (portRef DIB10 (instanceRef pdp_ram_13_3_8)) + (portRef DIA10 (instanceRef pdp_ram_13_3_8)) + (portRef DIB9 (instanceRef pdp_ram_13_3_8)) + (portRef DIA9 (instanceRef pdp_ram_13_3_8)) + (portRef DIB8 (instanceRef pdp_ram_13_3_8)) + (portRef DIB7 (instanceRef pdp_ram_13_3_8)) + (portRef DIB6 (instanceRef pdp_ram_13_3_8)) + (portRef DIB5 (instanceRef pdp_ram_13_3_8)) + (portRef DIB4 (instanceRef pdp_ram_13_3_8)) + (portRef DIB3 (instanceRef pdp_ram_13_3_8)) + (portRef DIB2 (instanceRef pdp_ram_13_3_8)) + (portRef DIB1 (instanceRef pdp_ram_13_3_8)) + (portRef DIB0 (instanceRef pdp_ram_13_3_8)) + (portRef CSB2 (instanceRef pdp_ram_14_0_7)) + (portRef CSA2 (instanceRef pdp_ram_14_0_7)) + (portRef CSB1 (instanceRef pdp_ram_14_0_7)) + (portRef CSA1 (instanceRef pdp_ram_14_0_7)) + (portRef WEB (instanceRef pdp_ram_14_0_7)) + (portRef ADB2 (instanceRef pdp_ram_14_0_7)) + (portRef ADA2 (instanceRef pdp_ram_14_0_7)) + (portRef ADB1 (instanceRef pdp_ram_14_0_7)) + (portRef ADA1 (instanceRef pdp_ram_14_0_7)) + (portRef ADB0 (instanceRef pdp_ram_14_0_7)) + (portRef ADA0 (instanceRef pdp_ram_14_0_7)) + (portRef DIB17 (instanceRef pdp_ram_14_0_7)) + (portRef DIA17 (instanceRef pdp_ram_14_0_7)) + (portRef DIB16 (instanceRef pdp_ram_14_0_7)) + (portRef DIA16 (instanceRef pdp_ram_14_0_7)) + (portRef DIB15 (instanceRef pdp_ram_14_0_7)) + (portRef DIA15 (instanceRef pdp_ram_14_0_7)) + (portRef DIB14 (instanceRef pdp_ram_14_0_7)) + (portRef DIA14 (instanceRef pdp_ram_14_0_7)) + (portRef DIB13 (instanceRef pdp_ram_14_0_7)) + (portRef DIA13 (instanceRef pdp_ram_14_0_7)) + (portRef DIB12 (instanceRef pdp_ram_14_0_7)) + (portRef DIA12 (instanceRef pdp_ram_14_0_7)) + (portRef DIB11 (instanceRef pdp_ram_14_0_7)) + (portRef DIA11 (instanceRef pdp_ram_14_0_7)) + (portRef DIB10 (instanceRef pdp_ram_14_0_7)) + (portRef DIA10 (instanceRef pdp_ram_14_0_7)) + (portRef DIB9 (instanceRef pdp_ram_14_0_7)) + (portRef DIA9 (instanceRef pdp_ram_14_0_7)) + (portRef DIB8 (instanceRef pdp_ram_14_0_7)) + (portRef DIB7 (instanceRef pdp_ram_14_0_7)) + (portRef DIB6 (instanceRef pdp_ram_14_0_7)) + (portRef DIB5 (instanceRef pdp_ram_14_0_7)) + (portRef DIB4 (instanceRef pdp_ram_14_0_7)) + (portRef DIB3 (instanceRef pdp_ram_14_0_7)) + (portRef DIB2 (instanceRef pdp_ram_14_0_7)) + (portRef DIB1 (instanceRef pdp_ram_14_0_7)) + (portRef DIB0 (instanceRef pdp_ram_14_0_7)) + (portRef CSB2 (instanceRef pdp_ram_14_1_6)) + (portRef CSA2 (instanceRef pdp_ram_14_1_6)) + (portRef CSB1 (instanceRef pdp_ram_14_1_6)) + (portRef CSA1 (instanceRef pdp_ram_14_1_6)) + (portRef WEB (instanceRef pdp_ram_14_1_6)) + (portRef ADB2 (instanceRef pdp_ram_14_1_6)) + (portRef ADA2 (instanceRef pdp_ram_14_1_6)) + (portRef ADB1 (instanceRef pdp_ram_14_1_6)) + (portRef ADA1 (instanceRef pdp_ram_14_1_6)) + (portRef ADB0 (instanceRef pdp_ram_14_1_6)) + (portRef ADA0 (instanceRef pdp_ram_14_1_6)) + (portRef DIB17 (instanceRef pdp_ram_14_1_6)) + (portRef DIA17 (instanceRef pdp_ram_14_1_6)) + (portRef DIB16 (instanceRef pdp_ram_14_1_6)) + (portRef DIA16 (instanceRef pdp_ram_14_1_6)) + (portRef DIB15 (instanceRef pdp_ram_14_1_6)) + (portRef DIA15 (instanceRef pdp_ram_14_1_6)) + (portRef DIB14 (instanceRef pdp_ram_14_1_6)) + (portRef DIA14 (instanceRef pdp_ram_14_1_6)) + (portRef DIB13 (instanceRef pdp_ram_14_1_6)) + (portRef DIA13 (instanceRef pdp_ram_14_1_6)) + (portRef DIB12 (instanceRef pdp_ram_14_1_6)) + (portRef DIA12 (instanceRef pdp_ram_14_1_6)) + (portRef DIB11 (instanceRef pdp_ram_14_1_6)) + (portRef DIA11 (instanceRef pdp_ram_14_1_6)) + (portRef DIB10 (instanceRef pdp_ram_14_1_6)) + (portRef DIA10 (instanceRef pdp_ram_14_1_6)) + (portRef DIB9 (instanceRef pdp_ram_14_1_6)) + (portRef DIA9 (instanceRef pdp_ram_14_1_6)) + (portRef DIB8 (instanceRef pdp_ram_14_1_6)) + (portRef DIB7 (instanceRef pdp_ram_14_1_6)) + (portRef DIB6 (instanceRef pdp_ram_14_1_6)) + (portRef DIB5 (instanceRef pdp_ram_14_1_6)) + (portRef DIB4 (instanceRef pdp_ram_14_1_6)) + (portRef DIB3 (instanceRef pdp_ram_14_1_6)) + (portRef DIB2 (instanceRef pdp_ram_14_1_6)) + (portRef DIB1 (instanceRef pdp_ram_14_1_6)) + (portRef DIB0 (instanceRef pdp_ram_14_1_6)) + (portRef CSB2 (instanceRef pdp_ram_14_2_5)) + (portRef CSA2 (instanceRef pdp_ram_14_2_5)) + (portRef CSB1 (instanceRef pdp_ram_14_2_5)) + (portRef CSA1 (instanceRef pdp_ram_14_2_5)) + (portRef WEB (instanceRef pdp_ram_14_2_5)) + (portRef ADB2 (instanceRef pdp_ram_14_2_5)) + (portRef ADA2 (instanceRef pdp_ram_14_2_5)) + (portRef ADB1 (instanceRef pdp_ram_14_2_5)) + (portRef ADA1 (instanceRef pdp_ram_14_2_5)) + (portRef ADB0 (instanceRef pdp_ram_14_2_5)) + (portRef ADA0 (instanceRef pdp_ram_14_2_5)) + (portRef DIB17 (instanceRef pdp_ram_14_2_5)) + (portRef DIA17 (instanceRef pdp_ram_14_2_5)) + (portRef DIB16 (instanceRef pdp_ram_14_2_5)) + (portRef DIA16 (instanceRef pdp_ram_14_2_5)) + (portRef DIB15 (instanceRef pdp_ram_14_2_5)) + (portRef DIA15 (instanceRef pdp_ram_14_2_5)) + (portRef DIB14 (instanceRef pdp_ram_14_2_5)) + (portRef DIA14 (instanceRef pdp_ram_14_2_5)) + (portRef DIB13 (instanceRef pdp_ram_14_2_5)) + (portRef DIA13 (instanceRef pdp_ram_14_2_5)) + (portRef DIB12 (instanceRef pdp_ram_14_2_5)) + (portRef DIA12 (instanceRef pdp_ram_14_2_5)) + (portRef DIB11 (instanceRef pdp_ram_14_2_5)) + (portRef DIA11 (instanceRef pdp_ram_14_2_5)) + (portRef DIB10 (instanceRef pdp_ram_14_2_5)) + (portRef DIA10 (instanceRef pdp_ram_14_2_5)) + (portRef DIB9 (instanceRef pdp_ram_14_2_5)) + (portRef DIA9 (instanceRef pdp_ram_14_2_5)) + (portRef DIB8 (instanceRef pdp_ram_14_2_5)) + (portRef DIB7 (instanceRef pdp_ram_14_2_5)) + (portRef DIB6 (instanceRef pdp_ram_14_2_5)) + (portRef DIB5 (instanceRef pdp_ram_14_2_5)) + (portRef DIB4 (instanceRef pdp_ram_14_2_5)) + (portRef DIB3 (instanceRef pdp_ram_14_2_5)) + (portRef DIB2 (instanceRef pdp_ram_14_2_5)) + (portRef DIB1 (instanceRef pdp_ram_14_2_5)) + (portRef DIB0 (instanceRef pdp_ram_14_2_5)) + (portRef CSB2 (instanceRef pdp_ram_14_3_4)) + (portRef CSA2 (instanceRef pdp_ram_14_3_4)) + (portRef CSB1 (instanceRef pdp_ram_14_3_4)) + (portRef CSA1 (instanceRef pdp_ram_14_3_4)) + (portRef WEB (instanceRef pdp_ram_14_3_4)) + (portRef ADB2 (instanceRef pdp_ram_14_3_4)) + (portRef ADA2 (instanceRef pdp_ram_14_3_4)) + (portRef ADB1 (instanceRef pdp_ram_14_3_4)) + (portRef ADA1 (instanceRef pdp_ram_14_3_4)) + (portRef ADB0 (instanceRef pdp_ram_14_3_4)) + (portRef ADA0 (instanceRef pdp_ram_14_3_4)) + (portRef DIB17 (instanceRef pdp_ram_14_3_4)) + (portRef DIA17 (instanceRef pdp_ram_14_3_4)) + (portRef DIB16 (instanceRef pdp_ram_14_3_4)) + (portRef DIA16 (instanceRef pdp_ram_14_3_4)) + (portRef DIB15 (instanceRef pdp_ram_14_3_4)) + (portRef DIA15 (instanceRef pdp_ram_14_3_4)) + (portRef DIB14 (instanceRef pdp_ram_14_3_4)) + (portRef DIA14 (instanceRef pdp_ram_14_3_4)) + (portRef DIB13 (instanceRef pdp_ram_14_3_4)) + (portRef DIA13 (instanceRef pdp_ram_14_3_4)) + (portRef DIB12 (instanceRef pdp_ram_14_3_4)) + (portRef DIA12 (instanceRef pdp_ram_14_3_4)) + (portRef DIB11 (instanceRef pdp_ram_14_3_4)) + (portRef DIA11 (instanceRef pdp_ram_14_3_4)) + (portRef DIB10 (instanceRef pdp_ram_14_3_4)) + (portRef DIA10 (instanceRef pdp_ram_14_3_4)) + (portRef DIB9 (instanceRef pdp_ram_14_3_4)) + (portRef DIA9 (instanceRef pdp_ram_14_3_4)) + (portRef DIB8 (instanceRef pdp_ram_14_3_4)) + (portRef DIB7 (instanceRef pdp_ram_14_3_4)) + (portRef DIB6 (instanceRef pdp_ram_14_3_4)) + (portRef DIB5 (instanceRef pdp_ram_14_3_4)) + (portRef DIB4 (instanceRef pdp_ram_14_3_4)) + (portRef DIB3 (instanceRef pdp_ram_14_3_4)) + (portRef DIB2 (instanceRef pdp_ram_14_3_4)) + (portRef DIB1 (instanceRef pdp_ram_14_3_4)) + (portRef DIB0 (instanceRef pdp_ram_14_3_4)) + (portRef CSB2 (instanceRef pdp_ram_15_0_3)) + (portRef CSA2 (instanceRef pdp_ram_15_0_3)) + (portRef CSB1 (instanceRef pdp_ram_15_0_3)) + (portRef CSA1 (instanceRef pdp_ram_15_0_3)) + (portRef WEB (instanceRef pdp_ram_15_0_3)) + (portRef ADB2 (instanceRef pdp_ram_15_0_3)) + (portRef ADA2 (instanceRef pdp_ram_15_0_3)) + (portRef ADB1 (instanceRef pdp_ram_15_0_3)) + (portRef ADA1 (instanceRef pdp_ram_15_0_3)) + (portRef ADB0 (instanceRef pdp_ram_15_0_3)) + (portRef ADA0 (instanceRef pdp_ram_15_0_3)) + (portRef DIB17 (instanceRef pdp_ram_15_0_3)) + (portRef DIA17 (instanceRef pdp_ram_15_0_3)) + (portRef DIB16 (instanceRef pdp_ram_15_0_3)) + (portRef DIA16 (instanceRef pdp_ram_15_0_3)) + (portRef DIB15 (instanceRef pdp_ram_15_0_3)) + (portRef DIA15 (instanceRef pdp_ram_15_0_3)) + (portRef DIB14 (instanceRef pdp_ram_15_0_3)) + (portRef DIA14 (instanceRef pdp_ram_15_0_3)) + (portRef DIB13 (instanceRef pdp_ram_15_0_3)) + (portRef DIA13 (instanceRef pdp_ram_15_0_3)) + (portRef DIB12 (instanceRef pdp_ram_15_0_3)) + (portRef DIA12 (instanceRef pdp_ram_15_0_3)) + (portRef DIB11 (instanceRef pdp_ram_15_0_3)) + (portRef DIA11 (instanceRef pdp_ram_15_0_3)) + (portRef DIB10 (instanceRef pdp_ram_15_0_3)) + (portRef DIA10 (instanceRef pdp_ram_15_0_3)) + (portRef DIB9 (instanceRef pdp_ram_15_0_3)) + (portRef DIA9 (instanceRef pdp_ram_15_0_3)) + (portRef DIB8 (instanceRef pdp_ram_15_0_3)) + (portRef DIB7 (instanceRef pdp_ram_15_0_3)) + (portRef DIB6 (instanceRef pdp_ram_15_0_3)) + (portRef DIB5 (instanceRef pdp_ram_15_0_3)) + (portRef DIB4 (instanceRef pdp_ram_15_0_3)) + (portRef DIB3 (instanceRef pdp_ram_15_0_3)) + (portRef DIB2 (instanceRef pdp_ram_15_0_3)) + (portRef DIB1 (instanceRef pdp_ram_15_0_3)) + (portRef DIB0 (instanceRef pdp_ram_15_0_3)) + (portRef CSB2 (instanceRef pdp_ram_15_1_2)) + (portRef CSA2 (instanceRef pdp_ram_15_1_2)) + (portRef CSB1 (instanceRef pdp_ram_15_1_2)) + (portRef CSA1 (instanceRef pdp_ram_15_1_2)) + (portRef WEB (instanceRef pdp_ram_15_1_2)) + (portRef ADB2 (instanceRef pdp_ram_15_1_2)) + (portRef ADA2 (instanceRef pdp_ram_15_1_2)) + (portRef ADB1 (instanceRef pdp_ram_15_1_2)) + (portRef ADA1 (instanceRef pdp_ram_15_1_2)) + (portRef ADB0 (instanceRef pdp_ram_15_1_2)) + (portRef ADA0 (instanceRef pdp_ram_15_1_2)) + (portRef DIB17 (instanceRef pdp_ram_15_1_2)) + (portRef DIA17 (instanceRef pdp_ram_15_1_2)) + (portRef DIB16 (instanceRef pdp_ram_15_1_2)) + (portRef DIA16 (instanceRef pdp_ram_15_1_2)) + (portRef DIB15 (instanceRef pdp_ram_15_1_2)) + (portRef DIA15 (instanceRef pdp_ram_15_1_2)) + (portRef DIB14 (instanceRef pdp_ram_15_1_2)) + (portRef DIA14 (instanceRef pdp_ram_15_1_2)) + (portRef DIB13 (instanceRef pdp_ram_15_1_2)) + (portRef DIA13 (instanceRef pdp_ram_15_1_2)) + (portRef DIB12 (instanceRef pdp_ram_15_1_2)) + (portRef DIA12 (instanceRef pdp_ram_15_1_2)) + (portRef DIB11 (instanceRef pdp_ram_15_1_2)) + (portRef DIA11 (instanceRef pdp_ram_15_1_2)) + (portRef DIB10 (instanceRef pdp_ram_15_1_2)) + (portRef DIA10 (instanceRef pdp_ram_15_1_2)) + (portRef DIB9 (instanceRef pdp_ram_15_1_2)) + (portRef DIA9 (instanceRef pdp_ram_15_1_2)) + (portRef DIB8 (instanceRef pdp_ram_15_1_2)) + (portRef DIB7 (instanceRef pdp_ram_15_1_2)) + (portRef DIB6 (instanceRef pdp_ram_15_1_2)) + (portRef DIB5 (instanceRef pdp_ram_15_1_2)) + (portRef DIB4 (instanceRef pdp_ram_15_1_2)) + (portRef DIB3 (instanceRef pdp_ram_15_1_2)) + (portRef DIB2 (instanceRef pdp_ram_15_1_2)) + (portRef DIB1 (instanceRef pdp_ram_15_1_2)) + (portRef DIB0 (instanceRef pdp_ram_15_1_2)) + (portRef CSB2 (instanceRef pdp_ram_15_2_1)) + (portRef CSA2 (instanceRef pdp_ram_15_2_1)) + (portRef CSB1 (instanceRef pdp_ram_15_2_1)) + (portRef CSA1 (instanceRef pdp_ram_15_2_1)) + (portRef WEB (instanceRef pdp_ram_15_2_1)) + (portRef ADB2 (instanceRef pdp_ram_15_2_1)) + (portRef ADA2 (instanceRef pdp_ram_15_2_1)) + (portRef ADB1 (instanceRef pdp_ram_15_2_1)) + (portRef ADA1 (instanceRef pdp_ram_15_2_1)) + (portRef ADB0 (instanceRef pdp_ram_15_2_1)) + (portRef ADA0 (instanceRef pdp_ram_15_2_1)) + (portRef DIB17 (instanceRef pdp_ram_15_2_1)) + (portRef DIA17 (instanceRef pdp_ram_15_2_1)) + (portRef DIB16 (instanceRef pdp_ram_15_2_1)) + (portRef DIA16 (instanceRef pdp_ram_15_2_1)) + (portRef DIB15 (instanceRef pdp_ram_15_2_1)) + (portRef DIA15 (instanceRef pdp_ram_15_2_1)) + (portRef DIB14 (instanceRef pdp_ram_15_2_1)) + (portRef DIA14 (instanceRef pdp_ram_15_2_1)) + (portRef DIB13 (instanceRef pdp_ram_15_2_1)) + (portRef DIA13 (instanceRef pdp_ram_15_2_1)) + (portRef DIB12 (instanceRef pdp_ram_15_2_1)) + (portRef DIA12 (instanceRef pdp_ram_15_2_1)) + (portRef DIB11 (instanceRef pdp_ram_15_2_1)) + (portRef DIA11 (instanceRef pdp_ram_15_2_1)) + (portRef DIB10 (instanceRef pdp_ram_15_2_1)) + (portRef DIA10 (instanceRef pdp_ram_15_2_1)) + (portRef DIB9 (instanceRef pdp_ram_15_2_1)) + (portRef DIA9 (instanceRef pdp_ram_15_2_1)) + (portRef DIB8 (instanceRef pdp_ram_15_2_1)) + (portRef DIB7 (instanceRef pdp_ram_15_2_1)) + (portRef DIB6 (instanceRef pdp_ram_15_2_1)) + (portRef DIB5 (instanceRef pdp_ram_15_2_1)) + (portRef DIB4 (instanceRef pdp_ram_15_2_1)) + (portRef DIB3 (instanceRef pdp_ram_15_2_1)) + (portRef DIB2 (instanceRef pdp_ram_15_2_1)) + (portRef DIB1 (instanceRef pdp_ram_15_2_1)) + (portRef DIB0 (instanceRef pdp_ram_15_2_1)) + (portRef CSB2 (instanceRef pdp_ram_15_3_0)) + (portRef CSA2 (instanceRef pdp_ram_15_3_0)) + (portRef CSB1 (instanceRef pdp_ram_15_3_0)) + (portRef CSA1 (instanceRef pdp_ram_15_3_0)) + (portRef WEB (instanceRef pdp_ram_15_3_0)) + (portRef ADB2 (instanceRef pdp_ram_15_3_0)) + (portRef ADA2 (instanceRef pdp_ram_15_3_0)) + (portRef ADB1 (instanceRef pdp_ram_15_3_0)) + (portRef ADA1 (instanceRef pdp_ram_15_3_0)) + (portRef ADB0 (instanceRef pdp_ram_15_3_0)) + (portRef ADA0 (instanceRef pdp_ram_15_3_0)) + (portRef DIB17 (instanceRef pdp_ram_15_3_0)) + (portRef DIA17 (instanceRef pdp_ram_15_3_0)) + (portRef DIB16 (instanceRef pdp_ram_15_3_0)) + (portRef DIA16 (instanceRef pdp_ram_15_3_0)) + (portRef DIB15 (instanceRef pdp_ram_15_3_0)) + (portRef DIA15 (instanceRef pdp_ram_15_3_0)) + (portRef DIB14 (instanceRef pdp_ram_15_3_0)) + (portRef DIA14 (instanceRef pdp_ram_15_3_0)) + (portRef DIB13 (instanceRef pdp_ram_15_3_0)) + (portRef DIA13 (instanceRef pdp_ram_15_3_0)) + (portRef DIB12 (instanceRef pdp_ram_15_3_0)) + (portRef DIA12 (instanceRef pdp_ram_15_3_0)) + (portRef DIB11 (instanceRef pdp_ram_15_3_0)) + (portRef DIA11 (instanceRef pdp_ram_15_3_0)) + (portRef DIB10 (instanceRef pdp_ram_15_3_0)) + (portRef DIA10 (instanceRef pdp_ram_15_3_0)) + (portRef DIB9 (instanceRef pdp_ram_15_3_0)) + (portRef DIA9 (instanceRef pdp_ram_15_3_0)) + (portRef DIB8 (instanceRef pdp_ram_15_3_0)) + (portRef DIB7 (instanceRef pdp_ram_15_3_0)) + (portRef DIB6 (instanceRef pdp_ram_15_3_0)) + (portRef DIB5 (instanceRef pdp_ram_15_3_0)) + (portRef DIB4 (instanceRef pdp_ram_15_3_0)) + (portRef DIB3 (instanceRef pdp_ram_15_3_0)) + (portRef DIB2 (instanceRef pdp_ram_15_3_0)) + (portRef DIB1 (instanceRef pdp_ram_15_3_0)) + (portRef DIB0 (instanceRef pdp_ram_15_3_0)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_17)) + (portRef B0 (instanceRef bdcnt_bctr_cia)) + (portRef A0 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef e_cmp_0)) + (portRef A0 (instanceRef e_cmp_1)) + (portRef A1 (instanceRef e_cmp_1)) + (portRef A0 (instanceRef e_cmp_2)) + (portRef A1 (instanceRef e_cmp_2)) + (portRef A0 (instanceRef e_cmp_3)) + (portRef A1 (instanceRef e_cmp_3)) + (portRef A0 (instanceRef e_cmp_4)) + (portRef A1 (instanceRef e_cmp_4)) + (portRef A0 (instanceRef e_cmp_5)) + (portRef A1 (instanceRef e_cmp_5)) + (portRef A0 (instanceRef e_cmp_6)) + (portRef A1 (instanceRef e_cmp_6)) + (portRef A0 (instanceRef e_cmp_7)) + (portRef A1 (instanceRef e_cmp_7)) + (portRef B1 (instanceRef a0)) + (portRef B0 (instanceRef a0)) + (portRef A1 (instanceRef a0)) + (portRef A0 (instanceRef a0)) + (portRef B1 (instanceRef a1)) + (portRef B0 (instanceRef a1)) + (portRef A1 (instanceRef a1)) + (portRef A0 (instanceRef a1)) + (portRef B0 (instanceRef w_ctr_cia)) + (portRef A0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_0)) + (portRef B0 (instanceRef w_ctr_0)) + (portRef B1 (instanceRef w_ctr_1)) + (portRef B0 (instanceRef w_ctr_1)) + (portRef B1 (instanceRef w_ctr_2)) + (portRef B0 (instanceRef w_ctr_2)) + (portRef B1 (instanceRef w_ctr_3)) + (portRef B0 (instanceRef w_ctr_3)) + (portRef B1 (instanceRef w_ctr_4)) + (portRef B0 (instanceRef w_ctr_4)) + (portRef B1 (instanceRef w_ctr_5)) + (portRef B0 (instanceRef w_ctr_5)) + (portRef B1 (instanceRef w_ctr_6)) + (portRef B0 (instanceRef w_ctr_6)) + (portRef B1 (instanceRef w_ctr_7)) + (portRef B0 (instanceRef w_ctr_7)) + (portRef B0 (instanceRef r_ctr_cia)) + (portRef A0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_0)) + (portRef B0 (instanceRef r_ctr_0)) + (portRef B1 (instanceRef r_ctr_1)) + (portRef B0 (instanceRef r_ctr_1)) + (portRef B1 (instanceRef r_ctr_2)) + (portRef B0 (instanceRef r_ctr_2)) + (portRef B1 (instanceRef r_ctr_3)) + (portRef B0 (instanceRef r_ctr_3)) + (portRef B1 (instanceRef r_ctr_4)) + (portRef B0 (instanceRef r_ctr_4)) + (portRef B1 (instanceRef r_ctr_5)) + (portRef B0 (instanceRef r_ctr_5)) + (portRef B1 (instanceRef r_ctr_6)) + (portRef B0 (instanceRef r_ctr_6)) + (portRef B1 (instanceRef r_ctr_7)) + (portRef B0 (instanceRef r_ctr_7)) + (portRef B1 (instanceRef wcnt_8)) + (portRef B0 (instanceRef wcnt_8)) + (portRef A1 (instanceRef wcnt_8)) + (portRef B0 (instanceRef af_set_cmp_ci_a)) + (portRef A0 (instanceRef af_set_cmp_ci_a)) + (portRef B1 (instanceRef af_set_cmp_7)) + (portRef B1 (instanceRef a2)) + (portRef B0 (instanceRef a2)) + (portRef A1 (instanceRef a2)) + (portRef A0 (instanceRef a2)))) + (net af_set_c + (joined + (portRef CIN (instanceRef a2)) + (portRef COUT (instanceRef af_set_cmp_7)))) + (net partial_full + (joined + (portRef AlmostFull) + (portRef Q (instanceRef FF_0)))) + (net Full + (joined + (portRef Full) + (portRef Q (instanceRef FF_89)) + (portRef A (instanceRef INV_13)) + (portRef AD0 (instanceRef LUT4_128)))) + (net Empty + (joined + (portRef Empty) + (portRef Q (instanceRef FF_90)) + (portRef A (instanceRef INV_12)) + (portRef AD0 (instanceRef LUT4_129)))) + (net WCNT15 + (joined + (portRef (member WCNT 0)) + (portRef A1 (instanceRef g_cmp_7)) + (portRef Q (instanceRef FF_91)) + (portRef A1 (instanceRef bdcnt_bctr_7)) + (portRef B1 (instanceRef e_cmp_7)))) + (net WCNT14 + (joined + (portRef (member WCNT 1)) + (portRef A0 (instanceRef g_cmp_7)) + (portRef Q (instanceRef FF_92)) + (portRef A0 (instanceRef bdcnt_bctr_7)) + (portRef B0 (instanceRef e_cmp_7)))) + (net WCNT13 + (joined + (portRef (member WCNT 2)) + (portRef A1 (instanceRef g_cmp_6)) + (portRef Q (instanceRef FF_93)) + (portRef A1 (instanceRef bdcnt_bctr_6)) + (portRef B1 (instanceRef e_cmp_6)))) + (net WCNT12 + (joined + (portRef (member WCNT 3)) + (portRef A0 (instanceRef g_cmp_6)) + (portRef Q (instanceRef FF_94)) + (portRef A0 (instanceRef bdcnt_bctr_6)) + (portRef B0 (instanceRef e_cmp_6)))) + (net WCNT11 + (joined + (portRef (member WCNT 4)) + (portRef A1 (instanceRef g_cmp_5)) + (portRef Q (instanceRef FF_95)) + (portRef A1 (instanceRef bdcnt_bctr_5)) + (portRef B1 (instanceRef e_cmp_5)))) + (net WCNT10 + (joined + (portRef (member WCNT 5)) + (portRef A0 (instanceRef g_cmp_5)) + (portRef Q (instanceRef FF_96)) + (portRef A0 (instanceRef bdcnt_bctr_5)) + (portRef B0 (instanceRef e_cmp_5)))) + (net WCNT9 + (joined + (portRef (member WCNT 6)) + (portRef A1 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_97)) + (portRef A1 (instanceRef bdcnt_bctr_4)) + (portRef B1 (instanceRef e_cmp_4)))) + (net WCNT8 + (joined + (portRef (member WCNT 7)) + (portRef A0 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_98)) + (portRef A0 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef e_cmp_4)))) + (net WCNT7 + (joined + (portRef (member WCNT 8)) + (portRef A1 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_99)) + (portRef A1 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef e_cmp_3)))) + (net WCNT6 + (joined + (portRef (member WCNT 9)) + (portRef A0 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_100)) + (portRef A0 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef e_cmp_3)))) + (net WCNT5 + (joined + (portRef (member WCNT 10)) + (portRef A1 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_101)) + (portRef A1 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef e_cmp_2)))) + (net WCNT4 + (joined + (portRef (member WCNT 11)) + (portRef A0 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_102)) + (portRef A0 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef e_cmp_2)))) + (net WCNT3 + (joined + (portRef (member WCNT 12)) + (portRef A1 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_103)) + (portRef A1 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef e_cmp_1)))) + (net WCNT2 + (joined + (portRef (member WCNT 13)) + (portRef A0 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_104)) + (portRef A0 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef e_cmp_1)))) + (net WCNT1 + (joined + (portRef (member WCNT 14)) + (portRef A1 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_105)) + (portRef A1 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef e_cmp_0)))) + (net WCNT0 + (joined + (portRef (member WCNT 15)) + (portRef A0 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_106)) + (portRef A0 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef e_cmp_0)))) + (net dataout35 + (joined + (portRef (member Q 0)) + (portRef Z (instanceRef mux_0)))) + (net dataout34 + (joined + (portRef (member Q 1)) + (portRef Z (instanceRef mux_1)))) + (net dataout33 + (joined + (portRef (member Q 2)) + (portRef Z (instanceRef mux_2)))) + (net dataout32 + (joined + (portRef (member Q 3)) + (portRef Z (instanceRef mux_3)))) + (net dataout31 + (joined + (portRef (member Q 4)) + (portRef Z (instanceRef mux_4)))) + (net dataout30 + (joined + (portRef (member Q 5)) + (portRef Z (instanceRef mux_5)))) + (net dataout29 + (joined + (portRef (member Q 6)) + (portRef Z (instanceRef mux_6)))) + (net dataout28 + (joined + (portRef (member Q 7)) + (portRef Z (instanceRef mux_7)))) + (net dataout27 + (joined + (portRef (member Q 8)) + (portRef Z (instanceRef mux_8)))) + (net dataout26 + (joined + (portRef (member Q 9)) + (portRef Z (instanceRef mux_9)))) + (net dataout25 + (joined + (portRef (member Q 10)) + (portRef Z (instanceRef mux_10)))) + (net dataout24 + (joined + (portRef (member Q 11)) + (portRef Z (instanceRef mux_11)))) + (net dataout23 + (joined + (portRef (member Q 12)) + (portRef Z (instanceRef mux_12)))) + (net dataout22 + (joined + (portRef (member Q 13)) + (portRef Z (instanceRef mux_13)))) + (net dataout21 + (joined + (portRef (member Q 14)) + (portRef Z (instanceRef mux_14)))) + (net dataout20 + (joined + (portRef (member Q 15)) + (portRef Z (instanceRef mux_15)))) + (net dataout19 + (joined + (portRef (member Q 16)) + (portRef Z (instanceRef mux_16)))) + (net dataout18 + (joined + (portRef (member Q 17)) + (portRef Z (instanceRef mux_17)))) + (net dataout17 + (joined + (portRef (member Q 18)) + (portRef Z (instanceRef mux_18)))) + (net dataout16 + (joined + (portRef (member Q 19)) + (portRef Z (instanceRef mux_19)))) + (net dataout15 + (joined + (portRef (member Q 20)) + (portRef Z (instanceRef mux_20)))) + (net dataout14 + (joined + (portRef (member Q 21)) + (portRef Z (instanceRef mux_21)))) + (net dataout13 + (joined + (portRef (member Q 22)) + (portRef Z (instanceRef mux_22)))) + (net dataout12 + (joined + (portRef (member Q 23)) + (portRef Z (instanceRef mux_23)))) + (net dataout11 + (joined + (portRef (member Q 24)) + (portRef Z (instanceRef mux_24)))) + (net dataout10 + (joined + (portRef (member Q 25)) + (portRef Z (instanceRef mux_25)))) + (net dataout9 + (joined + (portRef (member Q 26)) + (portRef Z (instanceRef mux_26)))) + (net dataout8 + (joined + (portRef (member Q 27)) + (portRef Z (instanceRef mux_27)))) + (net dataout7 + (joined + (portRef (member Q 28)) + (portRef Z (instanceRef mux_28)))) + (net dataout6 + (joined + (portRef (member Q 29)) + (portRef Z (instanceRef mux_29)))) + (net dataout5 + (joined + (portRef (member Q 30)) + (portRef Z (instanceRef mux_30)))) + (net dataout4 + (joined + (portRef (member Q 31)) + (portRef Z (instanceRef mux_31)))) + (net dataout3 + (joined + (portRef (member Q 32)) + (portRef Z (instanceRef mux_32)))) + (net dataout2 + (joined + (portRef (member Q 33)) + (portRef Z (instanceRef mux_33)))) + (net dataout1 + (joined + (portRef (member Q 34)) + (portRef Z (instanceRef mux_34)))) + (net dataout0 + (joined + (portRef (member Q 35)) + (portRef Z (instanceRef mux_35)))) + (net AmFullThresh14 + (joined + (portRef (member AmFullThresh 0)) + (portRef B0 (instanceRef af_set_cmp_7)))) + (net AmFullThresh13 + (joined + (portRef (member AmFullThresh 1)) + (portRef B1 (instanceRef af_set_cmp_6)))) + (net AmFullThresh12 + (joined + (portRef (member AmFullThresh 2)) + (portRef B0 (instanceRef af_set_cmp_6)))) + (net AmFullThresh11 + (joined + (portRef (member AmFullThresh 3)) + (portRef B1 (instanceRef af_set_cmp_5)))) + (net AmFullThresh10 + (joined + (portRef (member AmFullThresh 4)) + (portRef B0 (instanceRef af_set_cmp_5)))) + (net AmFullThresh9 + (joined + (portRef (member AmFullThresh 5)) + (portRef B1 (instanceRef af_set_cmp_4)))) + (net AmFullThresh8 + (joined + (portRef (member AmFullThresh 6)) + (portRef B0 (instanceRef af_set_cmp_4)))) + (net AmFullThresh7 + (joined + (portRef (member AmFullThresh 7)) + (portRef B1 (instanceRef af_set_cmp_3)))) + (net AmFullThresh6 + (joined + (portRef (member AmFullThresh 8)) + (portRef B0 (instanceRef af_set_cmp_3)))) + (net AmFullThresh5 + (joined + (portRef (member AmFullThresh 9)) + (portRef B1 (instanceRef af_set_cmp_2)))) + (net AmFullThresh4 + (joined + (portRef (member AmFullThresh 10)) + (portRef B0 (instanceRef af_set_cmp_2)))) + (net AmFullThresh3 + (joined + (portRef (member AmFullThresh 11)) + (portRef B1 (instanceRef af_set_cmp_1)))) + (net AmFullThresh2 + (joined + (portRef (member AmFullThresh 12)) + (portRef B0 (instanceRef af_set_cmp_1)))) + (net AmFullThresh1 + (joined + (portRef (member AmFullThresh 13)) + (portRef B1 (instanceRef af_set_cmp_0)))) + (net AmFullThresh0 + (joined + (portRef (member AmFullThresh 14)) + (portRef B0 (instanceRef af_set_cmp_0)))) + (net reset + (joined + (portRef Reset) + (portRef RSTB (instanceRef pdp_ram_0_0_63)) + (portRef RSTA (instanceRef pdp_ram_0_0_63)) + (portRef RSTB (instanceRef pdp_ram_0_1_62)) + (portRef RSTA (instanceRef pdp_ram_0_1_62)) + (portRef RSTB (instanceRef pdp_ram_0_2_61)) + (portRef RSTA (instanceRef pdp_ram_0_2_61)) + (portRef RSTB (instanceRef pdp_ram_0_3_60)) + (portRef RSTA (instanceRef pdp_ram_0_3_60)) + (portRef RSTB (instanceRef pdp_ram_1_0_59)) + (portRef RSTA (instanceRef pdp_ram_1_0_59)) + (portRef RSTB (instanceRef pdp_ram_1_1_58)) + (portRef RSTA (instanceRef pdp_ram_1_1_58)) + (portRef RSTB (instanceRef pdp_ram_1_2_57)) + (portRef RSTA (instanceRef pdp_ram_1_2_57)) + (portRef RSTB (instanceRef pdp_ram_1_3_56)) + (portRef RSTA (instanceRef pdp_ram_1_3_56)) + (portRef RSTB (instanceRef pdp_ram_2_0_55)) + (portRef RSTA (instanceRef pdp_ram_2_0_55)) + (portRef RSTB (instanceRef pdp_ram_2_1_54)) + (portRef RSTA (instanceRef pdp_ram_2_1_54)) + (portRef RSTB (instanceRef pdp_ram_2_2_53)) + (portRef RSTA (instanceRef pdp_ram_2_2_53)) + (portRef RSTB (instanceRef pdp_ram_2_3_52)) + (portRef RSTA (instanceRef pdp_ram_2_3_52)) + (portRef RSTB (instanceRef pdp_ram_3_0_51)) + (portRef RSTA (instanceRef pdp_ram_3_0_51)) + (portRef RSTB (instanceRef pdp_ram_3_1_50)) + (portRef RSTA (instanceRef pdp_ram_3_1_50)) + (portRef RSTB (instanceRef pdp_ram_3_2_49)) + (portRef RSTA (instanceRef pdp_ram_3_2_49)) + (portRef RSTB (instanceRef pdp_ram_3_3_48)) + (portRef RSTA (instanceRef pdp_ram_3_3_48)) + (portRef RSTB (instanceRef pdp_ram_4_0_47)) + (portRef RSTA (instanceRef pdp_ram_4_0_47)) + (portRef RSTB (instanceRef pdp_ram_4_1_46)) + (portRef RSTA (instanceRef pdp_ram_4_1_46)) + (portRef RSTB (instanceRef pdp_ram_4_2_45)) + (portRef RSTA (instanceRef pdp_ram_4_2_45)) + (portRef RSTB (instanceRef pdp_ram_4_3_44)) + (portRef RSTA (instanceRef pdp_ram_4_3_44)) + (portRef RSTB (instanceRef pdp_ram_5_0_43)) + (portRef RSTA (instanceRef pdp_ram_5_0_43)) + (portRef RSTB (instanceRef pdp_ram_5_1_42)) + (portRef RSTA (instanceRef pdp_ram_5_1_42)) + (portRef RSTB (instanceRef pdp_ram_5_2_41)) + (portRef RSTA (instanceRef pdp_ram_5_2_41)) + (portRef RSTB (instanceRef pdp_ram_5_3_40)) + (portRef RSTA (instanceRef pdp_ram_5_3_40)) + (portRef RSTB (instanceRef pdp_ram_6_0_39)) + (portRef RSTA (instanceRef pdp_ram_6_0_39)) + (portRef RSTB (instanceRef pdp_ram_6_1_38)) + (portRef RSTA (instanceRef pdp_ram_6_1_38)) + (portRef RSTB (instanceRef pdp_ram_6_2_37)) + (portRef RSTA (instanceRef pdp_ram_6_2_37)) + (portRef RSTB (instanceRef pdp_ram_6_3_36)) + (portRef RSTA (instanceRef pdp_ram_6_3_36)) + (portRef RSTB (instanceRef pdp_ram_7_0_35)) + (portRef RSTA (instanceRef pdp_ram_7_0_35)) + (portRef RSTB (instanceRef pdp_ram_7_1_34)) + (portRef RSTA (instanceRef pdp_ram_7_1_34)) + (portRef RSTB (instanceRef pdp_ram_7_2_33)) + (portRef RSTA (instanceRef pdp_ram_7_2_33)) + (portRef RSTB (instanceRef pdp_ram_7_3_32)) + (portRef RSTA (instanceRef pdp_ram_7_3_32)) + (portRef RSTB (instanceRef pdp_ram_8_0_31)) + (portRef RSTA (instanceRef pdp_ram_8_0_31)) + (portRef RSTB (instanceRef pdp_ram_8_1_30)) + (portRef RSTA (instanceRef pdp_ram_8_1_30)) + (portRef RSTB (instanceRef pdp_ram_8_2_29)) + (portRef RSTA (instanceRef pdp_ram_8_2_29)) + (portRef RSTB (instanceRef pdp_ram_8_3_28)) + (portRef RSTA (instanceRef pdp_ram_8_3_28)) + (portRef RSTB (instanceRef pdp_ram_9_0_27)) + (portRef RSTA (instanceRef pdp_ram_9_0_27)) + (portRef RSTB (instanceRef pdp_ram_9_1_26)) + (portRef RSTA (instanceRef pdp_ram_9_1_26)) + (portRef RSTB (instanceRef pdp_ram_9_2_25)) + (portRef RSTA (instanceRef pdp_ram_9_2_25)) + (portRef RSTB (instanceRef pdp_ram_9_3_24)) + (portRef RSTA (instanceRef pdp_ram_9_3_24)) + (portRef RSTB (instanceRef pdp_ram_10_0_23)) + (portRef RSTA (instanceRef pdp_ram_10_0_23)) + (portRef RSTB (instanceRef pdp_ram_10_1_22)) + (portRef RSTA (instanceRef pdp_ram_10_1_22)) + (portRef RSTB (instanceRef pdp_ram_10_2_21)) + (portRef RSTA (instanceRef pdp_ram_10_2_21)) + (portRef RSTB (instanceRef pdp_ram_10_3_20)) + (portRef RSTA (instanceRef pdp_ram_10_3_20)) + (portRef RSTB (instanceRef pdp_ram_11_0_19)) + (portRef RSTA (instanceRef pdp_ram_11_0_19)) + (portRef RSTB (instanceRef pdp_ram_11_1_18)) + (portRef RSTA (instanceRef pdp_ram_11_1_18)) + (portRef RSTB (instanceRef pdp_ram_11_2_17)) + (portRef RSTA (instanceRef pdp_ram_11_2_17)) + (portRef RSTB (instanceRef pdp_ram_11_3_16)) + (portRef RSTA (instanceRef pdp_ram_11_3_16)) + (portRef RSTB (instanceRef pdp_ram_12_0_15)) + (portRef RSTA (instanceRef pdp_ram_12_0_15)) + (portRef RSTB (instanceRef pdp_ram_12_1_14)) + (portRef RSTA (instanceRef pdp_ram_12_1_14)) + (portRef RSTB (instanceRef pdp_ram_12_2_13)) + (portRef RSTA (instanceRef pdp_ram_12_2_13)) + (portRef RSTB (instanceRef pdp_ram_12_3_12)) + (portRef RSTA (instanceRef pdp_ram_12_3_12)) + (portRef RSTB (instanceRef pdp_ram_13_0_11)) + (portRef RSTA (instanceRef pdp_ram_13_0_11)) + (portRef RSTB (instanceRef pdp_ram_13_1_10)) + (portRef RSTA (instanceRef pdp_ram_13_1_10)) + (portRef RSTB (instanceRef pdp_ram_13_2_9)) + (portRef RSTA (instanceRef pdp_ram_13_2_9)) + (portRef RSTB (instanceRef pdp_ram_13_3_8)) + (portRef RSTA (instanceRef pdp_ram_13_3_8)) + (portRef RSTB (instanceRef pdp_ram_14_0_7)) + (portRef RSTA (instanceRef pdp_ram_14_0_7)) + (portRef RSTB (instanceRef pdp_ram_14_1_6)) + (portRef RSTA (instanceRef pdp_ram_14_1_6)) + (portRef RSTB (instanceRef pdp_ram_14_2_5)) + (portRef RSTA (instanceRef pdp_ram_14_2_5)) + (portRef RSTB (instanceRef pdp_ram_14_3_4)) + (portRef RSTA (instanceRef pdp_ram_14_3_4)) + (portRef RSTB (instanceRef pdp_ram_15_0_3)) + (portRef RSTA (instanceRef pdp_ram_15_0_3)) + (portRef RSTB (instanceRef pdp_ram_15_1_2)) + (portRef RSTA (instanceRef pdp_ram_15_1_2)) + (portRef RSTB (instanceRef pdp_ram_15_2_1)) + (portRef RSTA (instanceRef pdp_ram_15_2_1)) + (portRef RSTB (instanceRef pdp_ram_15_3_0)) + (portRef RSTA (instanceRef pdp_ram_15_3_0)) + (portRef CD (instanceRef FF_106)) + (portRef CD (instanceRef FF_105)) + (portRef CD (instanceRef FF_104)) + (portRef CD (instanceRef FF_103)) + (portRef CD (instanceRef FF_102)) + (portRef CD (instanceRef FF_101)) + (portRef CD (instanceRef FF_100)) + (portRef CD (instanceRef FF_99)) + (portRef CD (instanceRef FF_98)) + (portRef CD (instanceRef FF_97)) + (portRef CD (instanceRef FF_96)) + (portRef CD (instanceRef FF_95)) + (portRef CD (instanceRef FF_94)) + (portRef CD (instanceRef FF_93)) + (portRef CD (instanceRef FF_92)) + (portRef CD (instanceRef FF_91)) + (portRef PD (instanceRef FF_90)) + (portRef CD (instanceRef FF_89)) + (portRef PD (instanceRef FF_88)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_73)) + (portRef PD (instanceRef FF_72)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_0)))) + (net rden + (joined + (portRef RdEn) + (portRef A (instanceRef AND2_t4)))) + (net wren + (joined + (portRef WrEn) + (portRef A (instanceRef AND2_t5)))) + (net clk + (joined + (portRef Clock) + (portRef CLKB (instanceRef pdp_ram_0_0_63)) + (portRef CLKA (instanceRef pdp_ram_0_0_63)) + (portRef CLKB (instanceRef pdp_ram_0_1_62)) + (portRef CLKA (instanceRef pdp_ram_0_1_62)) + (portRef CLKB (instanceRef pdp_ram_0_2_61)) + (portRef CLKA (instanceRef pdp_ram_0_2_61)) + (portRef CLKB (instanceRef pdp_ram_0_3_60)) + (portRef CLKA (instanceRef pdp_ram_0_3_60)) + (portRef CLKB (instanceRef pdp_ram_1_0_59)) + (portRef CLKA (instanceRef pdp_ram_1_0_59)) + (portRef CLKB (instanceRef pdp_ram_1_1_58)) + (portRef CLKA (instanceRef pdp_ram_1_1_58)) + (portRef CLKB (instanceRef pdp_ram_1_2_57)) + (portRef CLKA (instanceRef pdp_ram_1_2_57)) + (portRef CLKB (instanceRef pdp_ram_1_3_56)) + (portRef CLKA (instanceRef pdp_ram_1_3_56)) + (portRef CLKB (instanceRef pdp_ram_2_0_55)) + (portRef CLKA (instanceRef pdp_ram_2_0_55)) + (portRef CLKB (instanceRef pdp_ram_2_1_54)) + (portRef CLKA (instanceRef pdp_ram_2_1_54)) + (portRef CLKB (instanceRef pdp_ram_2_2_53)) + (portRef CLKA (instanceRef pdp_ram_2_2_53)) + (portRef CLKB (instanceRef pdp_ram_2_3_52)) + (portRef CLKA (instanceRef pdp_ram_2_3_52)) + (portRef CLKB (instanceRef pdp_ram_3_0_51)) + (portRef CLKA (instanceRef pdp_ram_3_0_51)) + (portRef CLKB (instanceRef pdp_ram_3_1_50)) + (portRef CLKA (instanceRef pdp_ram_3_1_50)) + (portRef CLKB (instanceRef pdp_ram_3_2_49)) + (portRef CLKA (instanceRef pdp_ram_3_2_49)) + (portRef CLKB (instanceRef pdp_ram_3_3_48)) + (portRef CLKA (instanceRef pdp_ram_3_3_48)) + (portRef CLKB (instanceRef pdp_ram_4_0_47)) + (portRef CLKA (instanceRef pdp_ram_4_0_47)) + (portRef CLKB (instanceRef pdp_ram_4_1_46)) + (portRef CLKA (instanceRef pdp_ram_4_1_46)) + (portRef CLKB (instanceRef pdp_ram_4_2_45)) + (portRef CLKA (instanceRef pdp_ram_4_2_45)) + (portRef CLKB (instanceRef pdp_ram_4_3_44)) + (portRef CLKA (instanceRef pdp_ram_4_3_44)) + (portRef CLKB (instanceRef pdp_ram_5_0_43)) + (portRef CLKA (instanceRef pdp_ram_5_0_43)) + (portRef CLKB (instanceRef pdp_ram_5_1_42)) + (portRef CLKA (instanceRef pdp_ram_5_1_42)) + (portRef CLKB (instanceRef pdp_ram_5_2_41)) + (portRef CLKA (instanceRef pdp_ram_5_2_41)) + (portRef CLKB (instanceRef pdp_ram_5_3_40)) + (portRef CLKA (instanceRef pdp_ram_5_3_40)) + (portRef CLKB (instanceRef pdp_ram_6_0_39)) + (portRef CLKA (instanceRef pdp_ram_6_0_39)) + (portRef CLKB (instanceRef pdp_ram_6_1_38)) + (portRef CLKA (instanceRef pdp_ram_6_1_38)) + (portRef CLKB (instanceRef pdp_ram_6_2_37)) + (portRef CLKA (instanceRef pdp_ram_6_2_37)) + (portRef CLKB (instanceRef pdp_ram_6_3_36)) + (portRef CLKA (instanceRef pdp_ram_6_3_36)) + (portRef CLKB (instanceRef pdp_ram_7_0_35)) + (portRef CLKA (instanceRef pdp_ram_7_0_35)) + (portRef CLKB (instanceRef pdp_ram_7_1_34)) + (portRef CLKA (instanceRef pdp_ram_7_1_34)) + (portRef CLKB (instanceRef pdp_ram_7_2_33)) + (portRef CLKA (instanceRef pdp_ram_7_2_33)) + (portRef CLKB (instanceRef pdp_ram_7_3_32)) + (portRef CLKA (instanceRef pdp_ram_7_3_32)) + (portRef CLKB (instanceRef pdp_ram_8_0_31)) + (portRef CLKA (instanceRef pdp_ram_8_0_31)) + (portRef CLKB (instanceRef pdp_ram_8_1_30)) + (portRef CLKA (instanceRef pdp_ram_8_1_30)) + (portRef CLKB (instanceRef pdp_ram_8_2_29)) + (portRef CLKA (instanceRef pdp_ram_8_2_29)) + (portRef CLKB (instanceRef pdp_ram_8_3_28)) + (portRef CLKA (instanceRef pdp_ram_8_3_28)) + (portRef CLKB (instanceRef pdp_ram_9_0_27)) + (portRef CLKA (instanceRef pdp_ram_9_0_27)) + (portRef CLKB (instanceRef pdp_ram_9_1_26)) + (portRef CLKA (instanceRef pdp_ram_9_1_26)) + (portRef CLKB (instanceRef pdp_ram_9_2_25)) + (portRef CLKA (instanceRef pdp_ram_9_2_25)) + (portRef CLKB (instanceRef pdp_ram_9_3_24)) + (portRef CLKA (instanceRef pdp_ram_9_3_24)) + (portRef CLKB (instanceRef pdp_ram_10_0_23)) + (portRef CLKA (instanceRef pdp_ram_10_0_23)) + (portRef CLKB (instanceRef pdp_ram_10_1_22)) + (portRef CLKA (instanceRef pdp_ram_10_1_22)) + (portRef CLKB (instanceRef pdp_ram_10_2_21)) + (portRef CLKA (instanceRef pdp_ram_10_2_21)) + (portRef CLKB (instanceRef pdp_ram_10_3_20)) + (portRef CLKA (instanceRef pdp_ram_10_3_20)) + (portRef CLKB (instanceRef pdp_ram_11_0_19)) + (portRef CLKA (instanceRef pdp_ram_11_0_19)) + (portRef CLKB (instanceRef pdp_ram_11_1_18)) + (portRef CLKA (instanceRef pdp_ram_11_1_18)) + (portRef CLKB (instanceRef pdp_ram_11_2_17)) + (portRef CLKA (instanceRef pdp_ram_11_2_17)) + (portRef CLKB (instanceRef pdp_ram_11_3_16)) + (portRef CLKA (instanceRef pdp_ram_11_3_16)) + (portRef CLKB (instanceRef pdp_ram_12_0_15)) + (portRef CLKA (instanceRef pdp_ram_12_0_15)) + (portRef CLKB (instanceRef pdp_ram_12_1_14)) + (portRef CLKA (instanceRef pdp_ram_12_1_14)) + (portRef CLKB (instanceRef pdp_ram_12_2_13)) + (portRef CLKA (instanceRef pdp_ram_12_2_13)) + (portRef CLKB (instanceRef pdp_ram_12_3_12)) + (portRef CLKA (instanceRef pdp_ram_12_3_12)) + (portRef CLKB (instanceRef pdp_ram_13_0_11)) + (portRef CLKA (instanceRef pdp_ram_13_0_11)) + (portRef CLKB (instanceRef pdp_ram_13_1_10)) + (portRef CLKA (instanceRef pdp_ram_13_1_10)) + (portRef CLKB (instanceRef pdp_ram_13_2_9)) + (portRef CLKA (instanceRef pdp_ram_13_2_9)) + (portRef CLKB (instanceRef pdp_ram_13_3_8)) + (portRef CLKA (instanceRef pdp_ram_13_3_8)) + (portRef CLKB (instanceRef pdp_ram_14_0_7)) + (portRef CLKA (instanceRef pdp_ram_14_0_7)) + (portRef CLKB (instanceRef pdp_ram_14_1_6)) + (portRef CLKA (instanceRef pdp_ram_14_1_6)) + (portRef CLKB (instanceRef pdp_ram_14_2_5)) + (portRef CLKA (instanceRef pdp_ram_14_2_5)) + (portRef CLKB (instanceRef pdp_ram_14_3_4)) + (portRef CLKA (instanceRef pdp_ram_14_3_4)) + (portRef CLKB (instanceRef pdp_ram_15_0_3)) + (portRef CLKA (instanceRef pdp_ram_15_0_3)) + (portRef CLKB (instanceRef pdp_ram_15_1_2)) + (portRef CLKA (instanceRef pdp_ram_15_1_2)) + (portRef CLKB (instanceRef pdp_ram_15_2_1)) + (portRef CLKA (instanceRef pdp_ram_15_2_1)) + (portRef CLKB (instanceRef pdp_ram_15_3_0)) + (portRef CLKA (instanceRef pdp_ram_15_3_0)) + (portRef CK (instanceRef FF_106)) + (portRef CK (instanceRef FF_105)) + (portRef CK (instanceRef FF_104)) + (portRef CK (instanceRef FF_103)) + (portRef CK (instanceRef FF_102)) + (portRef CK (instanceRef FF_101)) + (portRef CK (instanceRef FF_100)) + (portRef CK (instanceRef FF_99)) + (portRef CK (instanceRef FF_98)) + (portRef CK (instanceRef FF_97)) + (portRef CK (instanceRef FF_96)) + (portRef CK (instanceRef FF_95)) + (portRef CK (instanceRef FF_94)) + (portRef CK (instanceRef FF_93)) + (portRef CK (instanceRef FF_92)) + (portRef CK (instanceRef FF_91)) + (portRef CK (instanceRef FF_90)) + (portRef CK (instanceRef FF_89)) + (portRef CK (instanceRef FF_88)) + (portRef CK (instanceRef FF_87)) + (portRef CK (instanceRef FF_86)) + (portRef CK (instanceRef FF_85)) + (portRef CK (instanceRef FF_84)) + (portRef CK (instanceRef FF_83)) + (portRef CK (instanceRef FF_82)) + (portRef CK (instanceRef FF_81)) + (portRef CK (instanceRef FF_80)) + (portRef CK (instanceRef FF_79)) + (portRef CK (instanceRef FF_78)) + (portRef CK (instanceRef FF_77)) + (portRef CK (instanceRef FF_76)) + (portRef CK (instanceRef FF_75)) + (portRef CK (instanceRef FF_74)) + (portRef CK (instanceRef FF_73)) + (portRef CK (instanceRef FF_72)) + (portRef CK (instanceRef FF_71)) + (portRef CK (instanceRef FF_70)) + (portRef CK (instanceRef FF_69)) + (portRef CK (instanceRef FF_68)) + (portRef CK (instanceRef FF_67)) + (portRef CK (instanceRef FF_66)) + (portRef CK (instanceRef FF_65)) + (portRef CK (instanceRef FF_64)) + (portRef CK (instanceRef FF_63)) + (portRef CK (instanceRef FF_62)) + (portRef CK (instanceRef FF_61)) + (portRef CK (instanceRef FF_60)) + (portRef CK (instanceRef FF_59)) + (portRef CK (instanceRef FF_58)) + (portRef CK (instanceRef FF_57)) + (portRef CK (instanceRef FF_56)) + (portRef CK (instanceRef FF_55)) + (portRef CK (instanceRef FF_54)) + (portRef CK (instanceRef FF_53)) + (portRef CK (instanceRef FF_52)) + (portRef CK (instanceRef FF_51)) + (portRef CK (instanceRef FF_50)) + (portRef CK (instanceRef FF_49)) + (portRef CK (instanceRef FF_48)) + (portRef CK (instanceRef FF_47)) + (portRef CK (instanceRef FF_46)) + (portRef CK (instanceRef FF_45)) + (portRef CK (instanceRef FF_44)) + (portRef CK (instanceRef FF_43)) + (portRef CK (instanceRef FF_42)) + (portRef CK (instanceRef FF_41)) + (portRef CK (instanceRef FF_40)) + (portRef CK (instanceRef FF_39)) + (portRef CK (instanceRef FF_38)) + (portRef CK (instanceRef FF_37)) + (portRef CK (instanceRef FF_36)) + (portRef CK (instanceRef FF_35)) + (portRef CK (instanceRef FF_34)) + (portRef CK (instanceRef FF_33)) + (portRef CK (instanceRef FF_32)) + (portRef CK (instanceRef FF_31)) + (portRef CK (instanceRef FF_30)) + (portRef CK (instanceRef FF_29)) + (portRef CK (instanceRef FF_28)) + (portRef CK (instanceRef FF_27)) + (portRef CK (instanceRef FF_26)) + (portRef CK (instanceRef FF_25)) + (portRef CK (instanceRef FF_24)) + (portRef CK (instanceRef FF_23)) + (portRef CK (instanceRef FF_22)) + (portRef CK (instanceRef FF_21)) + (portRef CK (instanceRef FF_20)) + (portRef CK (instanceRef FF_19)) + (portRef CK (instanceRef FF_18)) + (portRef CK (instanceRef FF_17)) + (portRef CK (instanceRef FF_16)) + (portRef CK (instanceRef FF_15)) + (portRef CK (instanceRef FF_14)) + (portRef CK (instanceRef FF_13)) + (portRef CK (instanceRef FF_12)) + (portRef CK (instanceRef FF_11)) + (portRef CK (instanceRef FF_10)) + (portRef CK (instanceRef FF_9)) + (portRef CK (instanceRef FF_8)) + (portRef CK (instanceRef FF_7)) + (portRef CK (instanceRef FF_6)) + (portRef CK (instanceRef FF_5)) + (portRef CK (instanceRef FF_4)) + (portRef CK (instanceRef FF_3)) + (portRef CK (instanceRef FF_2)) + (portRef CK (instanceRef FF_1)) + (portRef CK (instanceRef FF_0)))) + (net datain35 + (joined + (portRef (member Data 0)) + (portRef DIA8 (instanceRef pdp_ram_0_3_60)) + (portRef DIA8 (instanceRef pdp_ram_1_3_56)) + (portRef DIA8 (instanceRef pdp_ram_2_3_52)) + (portRef DIA8 (instanceRef pdp_ram_3_3_48)) + (portRef DIA8 (instanceRef pdp_ram_4_3_44)) + (portRef DIA8 (instanceRef pdp_ram_5_3_40)) + (portRef DIA8 (instanceRef pdp_ram_6_3_36)) + (portRef DIA8 (instanceRef pdp_ram_7_3_32)) + (portRef DIA8 (instanceRef pdp_ram_8_3_28)) + (portRef DIA8 (instanceRef pdp_ram_9_3_24)) + (portRef DIA8 (instanceRef pdp_ram_10_3_20)) + (portRef DIA8 (instanceRef pdp_ram_11_3_16)) + (portRef DIA8 (instanceRef pdp_ram_12_3_12)) + (portRef DIA8 (instanceRef pdp_ram_13_3_8)) + (portRef DIA8 (instanceRef pdp_ram_14_3_4)) + (portRef DIA8 (instanceRef pdp_ram_15_3_0)))) + (net datain34 + (joined + (portRef (member Data 1)) + (portRef DIA7 (instanceRef pdp_ram_0_3_60)) + (portRef DIA7 (instanceRef pdp_ram_1_3_56)) + (portRef DIA7 (instanceRef pdp_ram_2_3_52)) + (portRef DIA7 (instanceRef pdp_ram_3_3_48)) + (portRef DIA7 (instanceRef pdp_ram_4_3_44)) + (portRef DIA7 (instanceRef pdp_ram_5_3_40)) + (portRef DIA7 (instanceRef pdp_ram_6_3_36)) + (portRef DIA7 (instanceRef pdp_ram_7_3_32)) + (portRef DIA7 (instanceRef pdp_ram_8_3_28)) + (portRef DIA7 (instanceRef pdp_ram_9_3_24)) + (portRef DIA7 (instanceRef pdp_ram_10_3_20)) + (portRef DIA7 (instanceRef pdp_ram_11_3_16)) + (portRef DIA7 (instanceRef pdp_ram_12_3_12)) + (portRef DIA7 (instanceRef pdp_ram_13_3_8)) + (portRef DIA7 (instanceRef pdp_ram_14_3_4)) + (portRef DIA7 (instanceRef pdp_ram_15_3_0)))) + (net datain33 + (joined + (portRef (member Data 2)) + (portRef DIA6 (instanceRef pdp_ram_0_3_60)) + (portRef DIA6 (instanceRef pdp_ram_1_3_56)) + (portRef DIA6 (instanceRef pdp_ram_2_3_52)) + (portRef DIA6 (instanceRef pdp_ram_3_3_48)) + (portRef DIA6 (instanceRef pdp_ram_4_3_44)) + (portRef DIA6 (instanceRef pdp_ram_5_3_40)) + (portRef DIA6 (instanceRef pdp_ram_6_3_36)) + (portRef DIA6 (instanceRef pdp_ram_7_3_32)) + (portRef DIA6 (instanceRef pdp_ram_8_3_28)) + (portRef DIA6 (instanceRef pdp_ram_9_3_24)) + (portRef DIA6 (instanceRef pdp_ram_10_3_20)) + (portRef DIA6 (instanceRef pdp_ram_11_3_16)) + (portRef DIA6 (instanceRef pdp_ram_12_3_12)) + (portRef DIA6 (instanceRef pdp_ram_13_3_8)) + (portRef DIA6 (instanceRef pdp_ram_14_3_4)) + (portRef DIA6 (instanceRef pdp_ram_15_3_0)))) + (net datain32 + (joined + (portRef (member Data 3)) + (portRef DIA5 (instanceRef pdp_ram_0_3_60)) + (portRef DIA5 (instanceRef pdp_ram_1_3_56)) + (portRef DIA5 (instanceRef pdp_ram_2_3_52)) + (portRef DIA5 (instanceRef pdp_ram_3_3_48)) + (portRef DIA5 (instanceRef pdp_ram_4_3_44)) + (portRef DIA5 (instanceRef pdp_ram_5_3_40)) + (portRef DIA5 (instanceRef pdp_ram_6_3_36)) + (portRef DIA5 (instanceRef pdp_ram_7_3_32)) + (portRef DIA5 (instanceRef pdp_ram_8_3_28)) + (portRef DIA5 (instanceRef pdp_ram_9_3_24)) + (portRef DIA5 (instanceRef pdp_ram_10_3_20)) + (portRef DIA5 (instanceRef pdp_ram_11_3_16)) + (portRef DIA5 (instanceRef pdp_ram_12_3_12)) + (portRef DIA5 (instanceRef pdp_ram_13_3_8)) + (portRef DIA5 (instanceRef pdp_ram_14_3_4)) + (portRef DIA5 (instanceRef pdp_ram_15_3_0)))) + (net datain31 + (joined + (portRef (member Data 4)) + (portRef DIA4 (instanceRef pdp_ram_0_3_60)) + (portRef DIA4 (instanceRef pdp_ram_1_3_56)) + (portRef DIA4 (instanceRef pdp_ram_2_3_52)) + (portRef DIA4 (instanceRef pdp_ram_3_3_48)) + (portRef DIA4 (instanceRef pdp_ram_4_3_44)) + (portRef DIA4 (instanceRef pdp_ram_5_3_40)) + (portRef DIA4 (instanceRef pdp_ram_6_3_36)) + (portRef DIA4 (instanceRef pdp_ram_7_3_32)) + (portRef DIA4 (instanceRef pdp_ram_8_3_28)) + (portRef DIA4 (instanceRef pdp_ram_9_3_24)) + (portRef DIA4 (instanceRef pdp_ram_10_3_20)) + (portRef DIA4 (instanceRef pdp_ram_11_3_16)) + (portRef DIA4 (instanceRef pdp_ram_12_3_12)) + (portRef DIA4 (instanceRef pdp_ram_13_3_8)) + (portRef DIA4 (instanceRef pdp_ram_14_3_4)) + (portRef DIA4 (instanceRef pdp_ram_15_3_0)))) + (net datain30 + (joined + (portRef (member Data 5)) + (portRef DIA3 (instanceRef pdp_ram_0_3_60)) + (portRef DIA3 (instanceRef pdp_ram_1_3_56)) + (portRef DIA3 (instanceRef pdp_ram_2_3_52)) + (portRef DIA3 (instanceRef pdp_ram_3_3_48)) + (portRef DIA3 (instanceRef pdp_ram_4_3_44)) + (portRef DIA3 (instanceRef pdp_ram_5_3_40)) + (portRef DIA3 (instanceRef pdp_ram_6_3_36)) + (portRef DIA3 (instanceRef pdp_ram_7_3_32)) + (portRef DIA3 (instanceRef pdp_ram_8_3_28)) + (portRef DIA3 (instanceRef pdp_ram_9_3_24)) + (portRef DIA3 (instanceRef pdp_ram_10_3_20)) + (portRef DIA3 (instanceRef pdp_ram_11_3_16)) + (portRef DIA3 (instanceRef pdp_ram_12_3_12)) + (portRef DIA3 (instanceRef pdp_ram_13_3_8)) + (portRef DIA3 (instanceRef pdp_ram_14_3_4)) + (portRef DIA3 (instanceRef pdp_ram_15_3_0)))) + (net datain29 + (joined + (portRef (member Data 6)) + (portRef DIA2 (instanceRef pdp_ram_0_3_60)) + (portRef DIA2 (instanceRef pdp_ram_1_3_56)) + (portRef DIA2 (instanceRef pdp_ram_2_3_52)) + (portRef DIA2 (instanceRef pdp_ram_3_3_48)) + (portRef DIA2 (instanceRef pdp_ram_4_3_44)) + (portRef DIA2 (instanceRef pdp_ram_5_3_40)) + (portRef DIA2 (instanceRef pdp_ram_6_3_36)) + (portRef DIA2 (instanceRef pdp_ram_7_3_32)) + (portRef DIA2 (instanceRef pdp_ram_8_3_28)) + (portRef DIA2 (instanceRef pdp_ram_9_3_24)) + (portRef DIA2 (instanceRef pdp_ram_10_3_20)) + (portRef DIA2 (instanceRef pdp_ram_11_3_16)) + (portRef DIA2 (instanceRef pdp_ram_12_3_12)) + (portRef DIA2 (instanceRef pdp_ram_13_3_8)) + (portRef DIA2 (instanceRef pdp_ram_14_3_4)) + (portRef DIA2 (instanceRef pdp_ram_15_3_0)))) + (net datain28 + (joined + (portRef (member Data 7)) + (portRef DIA1 (instanceRef pdp_ram_0_3_60)) + (portRef DIA1 (instanceRef pdp_ram_1_3_56)) + (portRef DIA1 (instanceRef pdp_ram_2_3_52)) + (portRef DIA1 (instanceRef pdp_ram_3_3_48)) + (portRef DIA1 (instanceRef pdp_ram_4_3_44)) + (portRef DIA1 (instanceRef pdp_ram_5_3_40)) + (portRef DIA1 (instanceRef pdp_ram_6_3_36)) + (portRef DIA1 (instanceRef pdp_ram_7_3_32)) + (portRef DIA1 (instanceRef pdp_ram_8_3_28)) + (portRef DIA1 (instanceRef pdp_ram_9_3_24)) + (portRef DIA1 (instanceRef pdp_ram_10_3_20)) + (portRef DIA1 (instanceRef pdp_ram_11_3_16)) + (portRef DIA1 (instanceRef pdp_ram_12_3_12)) + (portRef DIA1 (instanceRef pdp_ram_13_3_8)) + (portRef DIA1 (instanceRef pdp_ram_14_3_4)) + (portRef DIA1 (instanceRef pdp_ram_15_3_0)))) + (net datain27 + (joined + (portRef (member Data 8)) + (portRef DIA0 (instanceRef pdp_ram_0_3_60)) + (portRef DIA0 (instanceRef pdp_ram_1_3_56)) + (portRef DIA0 (instanceRef pdp_ram_2_3_52)) + (portRef DIA0 (instanceRef pdp_ram_3_3_48)) + (portRef DIA0 (instanceRef pdp_ram_4_3_44)) + (portRef DIA0 (instanceRef pdp_ram_5_3_40)) + (portRef DIA0 (instanceRef pdp_ram_6_3_36)) + (portRef DIA0 (instanceRef pdp_ram_7_3_32)) + (portRef DIA0 (instanceRef pdp_ram_8_3_28)) + (portRef DIA0 (instanceRef pdp_ram_9_3_24)) + (portRef DIA0 (instanceRef pdp_ram_10_3_20)) + (portRef DIA0 (instanceRef pdp_ram_11_3_16)) + (portRef DIA0 (instanceRef pdp_ram_12_3_12)) + (portRef DIA0 (instanceRef pdp_ram_13_3_8)) + (portRef DIA0 (instanceRef pdp_ram_14_3_4)) + (portRef DIA0 (instanceRef pdp_ram_15_3_0)))) + (net datain26 + (joined + (portRef (member Data 9)) + (portRef DIA8 (instanceRef pdp_ram_0_2_61)) + (portRef DIA8 (instanceRef pdp_ram_1_2_57)) + (portRef DIA8 (instanceRef pdp_ram_2_2_53)) + (portRef DIA8 (instanceRef pdp_ram_3_2_49)) + (portRef DIA8 (instanceRef pdp_ram_4_2_45)) + (portRef DIA8 (instanceRef pdp_ram_5_2_41)) + (portRef DIA8 (instanceRef pdp_ram_6_2_37)) + (portRef DIA8 (instanceRef pdp_ram_7_2_33)) + (portRef DIA8 (instanceRef pdp_ram_8_2_29)) + (portRef DIA8 (instanceRef pdp_ram_9_2_25)) + (portRef DIA8 (instanceRef pdp_ram_10_2_21)) + (portRef DIA8 (instanceRef pdp_ram_11_2_17)) + (portRef DIA8 (instanceRef pdp_ram_12_2_13)) + (portRef DIA8 (instanceRef pdp_ram_13_2_9)) + (portRef DIA8 (instanceRef pdp_ram_14_2_5)) + (portRef DIA8 (instanceRef pdp_ram_15_2_1)))) + (net datain25 + (joined + (portRef (member Data 10)) + (portRef DIA7 (instanceRef pdp_ram_0_2_61)) + (portRef DIA7 (instanceRef pdp_ram_1_2_57)) + (portRef DIA7 (instanceRef pdp_ram_2_2_53)) + (portRef DIA7 (instanceRef pdp_ram_3_2_49)) + (portRef DIA7 (instanceRef pdp_ram_4_2_45)) + (portRef DIA7 (instanceRef pdp_ram_5_2_41)) + (portRef DIA7 (instanceRef pdp_ram_6_2_37)) + (portRef DIA7 (instanceRef pdp_ram_7_2_33)) + (portRef DIA7 (instanceRef pdp_ram_8_2_29)) + (portRef DIA7 (instanceRef pdp_ram_9_2_25)) + (portRef DIA7 (instanceRef pdp_ram_10_2_21)) + (portRef DIA7 (instanceRef pdp_ram_11_2_17)) + (portRef DIA7 (instanceRef pdp_ram_12_2_13)) + (portRef DIA7 (instanceRef pdp_ram_13_2_9)) + (portRef DIA7 (instanceRef pdp_ram_14_2_5)) + (portRef DIA7 (instanceRef pdp_ram_15_2_1)))) + (net datain24 + (joined + (portRef (member Data 11)) + (portRef DIA6 (instanceRef pdp_ram_0_2_61)) + (portRef DIA6 (instanceRef pdp_ram_1_2_57)) + (portRef DIA6 (instanceRef pdp_ram_2_2_53)) + (portRef DIA6 (instanceRef pdp_ram_3_2_49)) + (portRef DIA6 (instanceRef pdp_ram_4_2_45)) + (portRef DIA6 (instanceRef pdp_ram_5_2_41)) + (portRef DIA6 (instanceRef pdp_ram_6_2_37)) + (portRef DIA6 (instanceRef pdp_ram_7_2_33)) + (portRef DIA6 (instanceRef pdp_ram_8_2_29)) + (portRef DIA6 (instanceRef pdp_ram_9_2_25)) + (portRef DIA6 (instanceRef pdp_ram_10_2_21)) + (portRef DIA6 (instanceRef pdp_ram_11_2_17)) + (portRef DIA6 (instanceRef pdp_ram_12_2_13)) + (portRef DIA6 (instanceRef pdp_ram_13_2_9)) + (portRef DIA6 (instanceRef pdp_ram_14_2_5)) + (portRef DIA6 (instanceRef pdp_ram_15_2_1)))) + (net datain23 + (joined + (portRef (member Data 12)) + (portRef DIA5 (instanceRef pdp_ram_0_2_61)) + (portRef DIA5 (instanceRef pdp_ram_1_2_57)) + (portRef DIA5 (instanceRef pdp_ram_2_2_53)) + (portRef DIA5 (instanceRef pdp_ram_3_2_49)) + (portRef DIA5 (instanceRef pdp_ram_4_2_45)) + (portRef DIA5 (instanceRef pdp_ram_5_2_41)) + (portRef DIA5 (instanceRef pdp_ram_6_2_37)) + (portRef DIA5 (instanceRef pdp_ram_7_2_33)) + (portRef DIA5 (instanceRef pdp_ram_8_2_29)) + (portRef DIA5 (instanceRef pdp_ram_9_2_25)) + (portRef DIA5 (instanceRef pdp_ram_10_2_21)) + (portRef DIA5 (instanceRef pdp_ram_11_2_17)) + (portRef DIA5 (instanceRef pdp_ram_12_2_13)) + (portRef DIA5 (instanceRef pdp_ram_13_2_9)) + (portRef DIA5 (instanceRef pdp_ram_14_2_5)) + (portRef DIA5 (instanceRef pdp_ram_15_2_1)))) + (net datain22 + (joined + (portRef (member Data 13)) + (portRef DIA4 (instanceRef pdp_ram_0_2_61)) + (portRef DIA4 (instanceRef pdp_ram_1_2_57)) + (portRef DIA4 (instanceRef pdp_ram_2_2_53)) + (portRef DIA4 (instanceRef pdp_ram_3_2_49)) + (portRef DIA4 (instanceRef pdp_ram_4_2_45)) + (portRef DIA4 (instanceRef pdp_ram_5_2_41)) + (portRef DIA4 (instanceRef pdp_ram_6_2_37)) + (portRef DIA4 (instanceRef pdp_ram_7_2_33)) + (portRef DIA4 (instanceRef pdp_ram_8_2_29)) + (portRef DIA4 (instanceRef pdp_ram_9_2_25)) + (portRef DIA4 (instanceRef pdp_ram_10_2_21)) + (portRef DIA4 (instanceRef pdp_ram_11_2_17)) + (portRef DIA4 (instanceRef pdp_ram_12_2_13)) + (portRef DIA4 (instanceRef pdp_ram_13_2_9)) + (portRef DIA4 (instanceRef pdp_ram_14_2_5)) + (portRef DIA4 (instanceRef pdp_ram_15_2_1)))) + (net datain21 + (joined + (portRef (member Data 14)) + (portRef DIA3 (instanceRef pdp_ram_0_2_61)) + (portRef DIA3 (instanceRef pdp_ram_1_2_57)) + (portRef DIA3 (instanceRef pdp_ram_2_2_53)) + (portRef DIA3 (instanceRef pdp_ram_3_2_49)) + (portRef DIA3 (instanceRef pdp_ram_4_2_45)) + (portRef DIA3 (instanceRef pdp_ram_5_2_41)) + (portRef DIA3 (instanceRef pdp_ram_6_2_37)) + (portRef DIA3 (instanceRef pdp_ram_7_2_33)) + (portRef DIA3 (instanceRef pdp_ram_8_2_29)) + (portRef DIA3 (instanceRef pdp_ram_9_2_25)) + (portRef DIA3 (instanceRef pdp_ram_10_2_21)) + (portRef DIA3 (instanceRef pdp_ram_11_2_17)) + (portRef DIA3 (instanceRef pdp_ram_12_2_13)) + (portRef DIA3 (instanceRef pdp_ram_13_2_9)) + (portRef DIA3 (instanceRef pdp_ram_14_2_5)) + (portRef DIA3 (instanceRef pdp_ram_15_2_1)))) + (net datain20 + (joined + (portRef (member Data 15)) + (portRef DIA2 (instanceRef pdp_ram_0_2_61)) + (portRef DIA2 (instanceRef pdp_ram_1_2_57)) + (portRef DIA2 (instanceRef pdp_ram_2_2_53)) + (portRef DIA2 (instanceRef pdp_ram_3_2_49)) + (portRef DIA2 (instanceRef pdp_ram_4_2_45)) + (portRef DIA2 (instanceRef pdp_ram_5_2_41)) + (portRef DIA2 (instanceRef pdp_ram_6_2_37)) + (portRef DIA2 (instanceRef pdp_ram_7_2_33)) + (portRef DIA2 (instanceRef pdp_ram_8_2_29)) + (portRef DIA2 (instanceRef pdp_ram_9_2_25)) + (portRef DIA2 (instanceRef pdp_ram_10_2_21)) + (portRef DIA2 (instanceRef pdp_ram_11_2_17)) + (portRef DIA2 (instanceRef pdp_ram_12_2_13)) + (portRef DIA2 (instanceRef pdp_ram_13_2_9)) + (portRef DIA2 (instanceRef pdp_ram_14_2_5)) + (portRef DIA2 (instanceRef pdp_ram_15_2_1)))) + (net datain19 + (joined + (portRef (member Data 16)) + (portRef DIA1 (instanceRef pdp_ram_0_2_61)) + (portRef DIA1 (instanceRef pdp_ram_1_2_57)) + (portRef DIA1 (instanceRef pdp_ram_2_2_53)) + (portRef DIA1 (instanceRef pdp_ram_3_2_49)) + (portRef DIA1 (instanceRef pdp_ram_4_2_45)) + (portRef DIA1 (instanceRef pdp_ram_5_2_41)) + (portRef DIA1 (instanceRef pdp_ram_6_2_37)) + (portRef DIA1 (instanceRef pdp_ram_7_2_33)) + (portRef DIA1 (instanceRef pdp_ram_8_2_29)) + (portRef DIA1 (instanceRef pdp_ram_9_2_25)) + (portRef DIA1 (instanceRef pdp_ram_10_2_21)) + (portRef DIA1 (instanceRef pdp_ram_11_2_17)) + (portRef DIA1 (instanceRef pdp_ram_12_2_13)) + (portRef DIA1 (instanceRef pdp_ram_13_2_9)) + (portRef DIA1 (instanceRef pdp_ram_14_2_5)) + (portRef DIA1 (instanceRef pdp_ram_15_2_1)))) + (net datain18 + (joined + (portRef (member Data 17)) + (portRef DIA0 (instanceRef pdp_ram_0_2_61)) + (portRef DIA0 (instanceRef pdp_ram_1_2_57)) + (portRef DIA0 (instanceRef pdp_ram_2_2_53)) + (portRef DIA0 (instanceRef pdp_ram_3_2_49)) + (portRef DIA0 (instanceRef pdp_ram_4_2_45)) + (portRef DIA0 (instanceRef pdp_ram_5_2_41)) + (portRef DIA0 (instanceRef pdp_ram_6_2_37)) + (portRef DIA0 (instanceRef pdp_ram_7_2_33)) + (portRef DIA0 (instanceRef pdp_ram_8_2_29)) + (portRef DIA0 (instanceRef pdp_ram_9_2_25)) + (portRef DIA0 (instanceRef pdp_ram_10_2_21)) + (portRef DIA0 (instanceRef pdp_ram_11_2_17)) + (portRef DIA0 (instanceRef pdp_ram_12_2_13)) + (portRef DIA0 (instanceRef pdp_ram_13_2_9)) + (portRef DIA0 (instanceRef pdp_ram_14_2_5)) + (portRef DIA0 (instanceRef pdp_ram_15_2_1)))) + (net datain17 + (joined + (portRef (member Data 18)) + (portRef DIA8 (instanceRef pdp_ram_0_1_62)) + (portRef DIA8 (instanceRef pdp_ram_1_1_58)) + (portRef DIA8 (instanceRef pdp_ram_2_1_54)) + (portRef DIA8 (instanceRef pdp_ram_3_1_50)) + (portRef DIA8 (instanceRef pdp_ram_4_1_46)) + (portRef DIA8 (instanceRef pdp_ram_5_1_42)) + (portRef DIA8 (instanceRef pdp_ram_6_1_38)) + (portRef DIA8 (instanceRef pdp_ram_7_1_34)) + (portRef DIA8 (instanceRef pdp_ram_8_1_30)) + (portRef DIA8 (instanceRef pdp_ram_9_1_26)) + (portRef DIA8 (instanceRef pdp_ram_10_1_22)) + (portRef DIA8 (instanceRef pdp_ram_11_1_18)) + (portRef DIA8 (instanceRef pdp_ram_12_1_14)) + (portRef DIA8 (instanceRef pdp_ram_13_1_10)) + (portRef DIA8 (instanceRef pdp_ram_14_1_6)) + (portRef DIA8 (instanceRef pdp_ram_15_1_2)))) + (net datain16 + (joined + (portRef (member Data 19)) + (portRef DIA7 (instanceRef pdp_ram_0_1_62)) + (portRef DIA7 (instanceRef pdp_ram_1_1_58)) + (portRef DIA7 (instanceRef pdp_ram_2_1_54)) + (portRef DIA7 (instanceRef pdp_ram_3_1_50)) + (portRef DIA7 (instanceRef pdp_ram_4_1_46)) + (portRef DIA7 (instanceRef pdp_ram_5_1_42)) + (portRef DIA7 (instanceRef pdp_ram_6_1_38)) + (portRef DIA7 (instanceRef pdp_ram_7_1_34)) + (portRef DIA7 (instanceRef pdp_ram_8_1_30)) + (portRef DIA7 (instanceRef pdp_ram_9_1_26)) + (portRef DIA7 (instanceRef pdp_ram_10_1_22)) + (portRef DIA7 (instanceRef pdp_ram_11_1_18)) + (portRef DIA7 (instanceRef pdp_ram_12_1_14)) + (portRef DIA7 (instanceRef pdp_ram_13_1_10)) + (portRef DIA7 (instanceRef pdp_ram_14_1_6)) + (portRef DIA7 (instanceRef pdp_ram_15_1_2)))) + (net datain15 + (joined + (portRef (member Data 20)) + (portRef DIA6 (instanceRef pdp_ram_0_1_62)) + (portRef DIA6 (instanceRef pdp_ram_1_1_58)) + (portRef DIA6 (instanceRef pdp_ram_2_1_54)) + (portRef DIA6 (instanceRef pdp_ram_3_1_50)) + (portRef DIA6 (instanceRef pdp_ram_4_1_46)) + (portRef DIA6 (instanceRef pdp_ram_5_1_42)) + (portRef DIA6 (instanceRef pdp_ram_6_1_38)) + (portRef DIA6 (instanceRef pdp_ram_7_1_34)) + (portRef DIA6 (instanceRef pdp_ram_8_1_30)) + (portRef DIA6 (instanceRef pdp_ram_9_1_26)) + (portRef DIA6 (instanceRef pdp_ram_10_1_22)) + (portRef DIA6 (instanceRef pdp_ram_11_1_18)) + (portRef DIA6 (instanceRef pdp_ram_12_1_14)) + (portRef DIA6 (instanceRef pdp_ram_13_1_10)) + (portRef DIA6 (instanceRef pdp_ram_14_1_6)) + (portRef DIA6 (instanceRef pdp_ram_15_1_2)))) + (net datain14 + (joined + (portRef (member Data 21)) + (portRef DIA5 (instanceRef pdp_ram_0_1_62)) + (portRef DIA5 (instanceRef pdp_ram_1_1_58)) + (portRef DIA5 (instanceRef pdp_ram_2_1_54)) + (portRef DIA5 (instanceRef pdp_ram_3_1_50)) + (portRef DIA5 (instanceRef pdp_ram_4_1_46)) + (portRef DIA5 (instanceRef pdp_ram_5_1_42)) + (portRef DIA5 (instanceRef pdp_ram_6_1_38)) + (portRef DIA5 (instanceRef pdp_ram_7_1_34)) + (portRef DIA5 (instanceRef pdp_ram_8_1_30)) + (portRef DIA5 (instanceRef pdp_ram_9_1_26)) + (portRef DIA5 (instanceRef pdp_ram_10_1_22)) + (portRef DIA5 (instanceRef pdp_ram_11_1_18)) + (portRef DIA5 (instanceRef pdp_ram_12_1_14)) + (portRef DIA5 (instanceRef pdp_ram_13_1_10)) + (portRef DIA5 (instanceRef pdp_ram_14_1_6)) + (portRef DIA5 (instanceRef pdp_ram_15_1_2)))) + (net datain13 + (joined + (portRef (member Data 22)) + (portRef DIA4 (instanceRef pdp_ram_0_1_62)) + (portRef DIA4 (instanceRef pdp_ram_1_1_58)) + (portRef DIA4 (instanceRef pdp_ram_2_1_54)) + (portRef DIA4 (instanceRef pdp_ram_3_1_50)) + (portRef DIA4 (instanceRef pdp_ram_4_1_46)) + (portRef DIA4 (instanceRef pdp_ram_5_1_42)) + (portRef DIA4 (instanceRef pdp_ram_6_1_38)) + (portRef DIA4 (instanceRef pdp_ram_7_1_34)) + (portRef DIA4 (instanceRef pdp_ram_8_1_30)) + (portRef DIA4 (instanceRef pdp_ram_9_1_26)) + (portRef DIA4 (instanceRef pdp_ram_10_1_22)) + (portRef DIA4 (instanceRef pdp_ram_11_1_18)) + (portRef DIA4 (instanceRef pdp_ram_12_1_14)) + (portRef DIA4 (instanceRef pdp_ram_13_1_10)) + (portRef DIA4 (instanceRef pdp_ram_14_1_6)) + (portRef DIA4 (instanceRef pdp_ram_15_1_2)))) + (net datain12 + (joined + (portRef (member Data 23)) + (portRef DIA3 (instanceRef pdp_ram_0_1_62)) + (portRef DIA3 (instanceRef pdp_ram_1_1_58)) + (portRef DIA3 (instanceRef pdp_ram_2_1_54)) + (portRef DIA3 (instanceRef pdp_ram_3_1_50)) + (portRef DIA3 (instanceRef pdp_ram_4_1_46)) + (portRef DIA3 (instanceRef pdp_ram_5_1_42)) + (portRef DIA3 (instanceRef pdp_ram_6_1_38)) + (portRef DIA3 (instanceRef pdp_ram_7_1_34)) + (portRef DIA3 (instanceRef pdp_ram_8_1_30)) + (portRef DIA3 (instanceRef pdp_ram_9_1_26)) + (portRef DIA3 (instanceRef pdp_ram_10_1_22)) + (portRef DIA3 (instanceRef pdp_ram_11_1_18)) + (portRef DIA3 (instanceRef pdp_ram_12_1_14)) + (portRef DIA3 (instanceRef pdp_ram_13_1_10)) + (portRef DIA3 (instanceRef pdp_ram_14_1_6)) + (portRef DIA3 (instanceRef pdp_ram_15_1_2)))) + (net datain11 + (joined + (portRef (member Data 24)) + (portRef DIA2 (instanceRef pdp_ram_0_1_62)) + (portRef DIA2 (instanceRef pdp_ram_1_1_58)) + (portRef DIA2 (instanceRef pdp_ram_2_1_54)) + (portRef DIA2 (instanceRef pdp_ram_3_1_50)) + (portRef DIA2 (instanceRef pdp_ram_4_1_46)) + (portRef DIA2 (instanceRef pdp_ram_5_1_42)) + (portRef DIA2 (instanceRef pdp_ram_6_1_38)) + (portRef DIA2 (instanceRef pdp_ram_7_1_34)) + (portRef DIA2 (instanceRef pdp_ram_8_1_30)) + (portRef DIA2 (instanceRef pdp_ram_9_1_26)) + (portRef DIA2 (instanceRef pdp_ram_10_1_22)) + (portRef DIA2 (instanceRef pdp_ram_11_1_18)) + (portRef DIA2 (instanceRef pdp_ram_12_1_14)) + (portRef DIA2 (instanceRef pdp_ram_13_1_10)) + (portRef DIA2 (instanceRef pdp_ram_14_1_6)) + (portRef DIA2 (instanceRef pdp_ram_15_1_2)))) + (net datain10 + (joined + (portRef (member Data 25)) + (portRef DIA1 (instanceRef pdp_ram_0_1_62)) + (portRef DIA1 (instanceRef pdp_ram_1_1_58)) + (portRef DIA1 (instanceRef pdp_ram_2_1_54)) + (portRef DIA1 (instanceRef pdp_ram_3_1_50)) + (portRef DIA1 (instanceRef pdp_ram_4_1_46)) + (portRef DIA1 (instanceRef pdp_ram_5_1_42)) + (portRef DIA1 (instanceRef pdp_ram_6_1_38)) + (portRef DIA1 (instanceRef pdp_ram_7_1_34)) + (portRef DIA1 (instanceRef pdp_ram_8_1_30)) + (portRef DIA1 (instanceRef pdp_ram_9_1_26)) + (portRef DIA1 (instanceRef pdp_ram_10_1_22)) + (portRef DIA1 (instanceRef pdp_ram_11_1_18)) + (portRef DIA1 (instanceRef pdp_ram_12_1_14)) + (portRef DIA1 (instanceRef pdp_ram_13_1_10)) + (portRef DIA1 (instanceRef pdp_ram_14_1_6)) + (portRef DIA1 (instanceRef pdp_ram_15_1_2)))) + (net datain9 + (joined + (portRef (member Data 26)) + (portRef DIA0 (instanceRef pdp_ram_0_1_62)) + (portRef DIA0 (instanceRef pdp_ram_1_1_58)) + (portRef DIA0 (instanceRef pdp_ram_2_1_54)) + (portRef DIA0 (instanceRef pdp_ram_3_1_50)) + (portRef DIA0 (instanceRef pdp_ram_4_1_46)) + (portRef DIA0 (instanceRef pdp_ram_5_1_42)) + (portRef DIA0 (instanceRef pdp_ram_6_1_38)) + (portRef DIA0 (instanceRef pdp_ram_7_1_34)) + (portRef DIA0 (instanceRef pdp_ram_8_1_30)) + (portRef DIA0 (instanceRef pdp_ram_9_1_26)) + (portRef DIA0 (instanceRef pdp_ram_10_1_22)) + (portRef DIA0 (instanceRef pdp_ram_11_1_18)) + (portRef DIA0 (instanceRef pdp_ram_12_1_14)) + (portRef DIA0 (instanceRef pdp_ram_13_1_10)) + (portRef DIA0 (instanceRef pdp_ram_14_1_6)) + (portRef DIA0 (instanceRef pdp_ram_15_1_2)))) + (net datain8 + (joined + (portRef (member Data 27)) + (portRef DIA8 (instanceRef pdp_ram_0_0_63)) + (portRef DIA8 (instanceRef pdp_ram_1_0_59)) + (portRef DIA8 (instanceRef pdp_ram_2_0_55)) + (portRef DIA8 (instanceRef pdp_ram_3_0_51)) + (portRef DIA8 (instanceRef pdp_ram_4_0_47)) + (portRef DIA8 (instanceRef pdp_ram_5_0_43)) + (portRef DIA8 (instanceRef pdp_ram_6_0_39)) + (portRef DIA8 (instanceRef pdp_ram_7_0_35)) + (portRef DIA8 (instanceRef pdp_ram_8_0_31)) + (portRef DIA8 (instanceRef pdp_ram_9_0_27)) + (portRef DIA8 (instanceRef pdp_ram_10_0_23)) + (portRef DIA8 (instanceRef pdp_ram_11_0_19)) + (portRef DIA8 (instanceRef pdp_ram_12_0_15)) + (portRef DIA8 (instanceRef pdp_ram_13_0_11)) + (portRef DIA8 (instanceRef pdp_ram_14_0_7)) + (portRef DIA8 (instanceRef pdp_ram_15_0_3)))) + (net datain7 + (joined + (portRef (member Data 28)) + (portRef DIA7 (instanceRef pdp_ram_0_0_63)) + (portRef DIA7 (instanceRef pdp_ram_1_0_59)) + (portRef DIA7 (instanceRef pdp_ram_2_0_55)) + (portRef DIA7 (instanceRef pdp_ram_3_0_51)) + (portRef DIA7 (instanceRef pdp_ram_4_0_47)) + (portRef DIA7 (instanceRef pdp_ram_5_0_43)) + (portRef DIA7 (instanceRef pdp_ram_6_0_39)) + (portRef DIA7 (instanceRef pdp_ram_7_0_35)) + (portRef DIA7 (instanceRef pdp_ram_8_0_31)) + (portRef DIA7 (instanceRef pdp_ram_9_0_27)) + (portRef DIA7 (instanceRef pdp_ram_10_0_23)) + (portRef DIA7 (instanceRef pdp_ram_11_0_19)) + (portRef DIA7 (instanceRef pdp_ram_12_0_15)) + (portRef DIA7 (instanceRef pdp_ram_13_0_11)) + (portRef DIA7 (instanceRef pdp_ram_14_0_7)) + (portRef DIA7 (instanceRef pdp_ram_15_0_3)))) + (net datain6 + (joined + (portRef (member Data 29)) + (portRef DIA6 (instanceRef pdp_ram_0_0_63)) + (portRef DIA6 (instanceRef pdp_ram_1_0_59)) + (portRef DIA6 (instanceRef pdp_ram_2_0_55)) + (portRef DIA6 (instanceRef pdp_ram_3_0_51)) + (portRef DIA6 (instanceRef pdp_ram_4_0_47)) + (portRef DIA6 (instanceRef pdp_ram_5_0_43)) + (portRef DIA6 (instanceRef pdp_ram_6_0_39)) + (portRef DIA6 (instanceRef pdp_ram_7_0_35)) + (portRef DIA6 (instanceRef pdp_ram_8_0_31)) + (portRef DIA6 (instanceRef pdp_ram_9_0_27)) + (portRef DIA6 (instanceRef pdp_ram_10_0_23)) + (portRef DIA6 (instanceRef pdp_ram_11_0_19)) + (portRef DIA6 (instanceRef pdp_ram_12_0_15)) + (portRef DIA6 (instanceRef pdp_ram_13_0_11)) + (portRef DIA6 (instanceRef pdp_ram_14_0_7)) + (portRef DIA6 (instanceRef pdp_ram_15_0_3)))) + (net datain5 + (joined + (portRef (member Data 30)) + (portRef DIA5 (instanceRef pdp_ram_0_0_63)) + (portRef DIA5 (instanceRef pdp_ram_1_0_59)) + (portRef DIA5 (instanceRef pdp_ram_2_0_55)) + (portRef DIA5 (instanceRef pdp_ram_3_0_51)) + (portRef DIA5 (instanceRef pdp_ram_4_0_47)) + (portRef DIA5 (instanceRef pdp_ram_5_0_43)) + (portRef DIA5 (instanceRef pdp_ram_6_0_39)) + (portRef DIA5 (instanceRef pdp_ram_7_0_35)) + (portRef DIA5 (instanceRef pdp_ram_8_0_31)) + (portRef DIA5 (instanceRef pdp_ram_9_0_27)) + (portRef DIA5 (instanceRef pdp_ram_10_0_23)) + (portRef DIA5 (instanceRef pdp_ram_11_0_19)) + (portRef DIA5 (instanceRef pdp_ram_12_0_15)) + (portRef DIA5 (instanceRef pdp_ram_13_0_11)) + (portRef DIA5 (instanceRef pdp_ram_14_0_7)) + (portRef DIA5 (instanceRef pdp_ram_15_0_3)))) + (net datain4 + (joined + (portRef (member Data 31)) + (portRef DIA4 (instanceRef pdp_ram_0_0_63)) + (portRef DIA4 (instanceRef pdp_ram_1_0_59)) + (portRef DIA4 (instanceRef pdp_ram_2_0_55)) + (portRef DIA4 (instanceRef pdp_ram_3_0_51)) + (portRef DIA4 (instanceRef pdp_ram_4_0_47)) + (portRef DIA4 (instanceRef pdp_ram_5_0_43)) + (portRef DIA4 (instanceRef pdp_ram_6_0_39)) + (portRef DIA4 (instanceRef pdp_ram_7_0_35)) + (portRef DIA4 (instanceRef pdp_ram_8_0_31)) + (portRef DIA4 (instanceRef pdp_ram_9_0_27)) + (portRef DIA4 (instanceRef pdp_ram_10_0_23)) + (portRef DIA4 (instanceRef pdp_ram_11_0_19)) + (portRef DIA4 (instanceRef pdp_ram_12_0_15)) + (portRef DIA4 (instanceRef pdp_ram_13_0_11)) + (portRef DIA4 (instanceRef pdp_ram_14_0_7)) + (portRef DIA4 (instanceRef pdp_ram_15_0_3)))) + (net datain3 + (joined + (portRef (member Data 32)) + (portRef DIA3 (instanceRef pdp_ram_0_0_63)) + (portRef DIA3 (instanceRef pdp_ram_1_0_59)) + (portRef DIA3 (instanceRef pdp_ram_2_0_55)) + (portRef DIA3 (instanceRef pdp_ram_3_0_51)) + (portRef DIA3 (instanceRef pdp_ram_4_0_47)) + (portRef DIA3 (instanceRef pdp_ram_5_0_43)) + (portRef DIA3 (instanceRef pdp_ram_6_0_39)) + (portRef DIA3 (instanceRef pdp_ram_7_0_35)) + (portRef DIA3 (instanceRef pdp_ram_8_0_31)) + (portRef DIA3 (instanceRef pdp_ram_9_0_27)) + (portRef DIA3 (instanceRef pdp_ram_10_0_23)) + (portRef DIA3 (instanceRef pdp_ram_11_0_19)) + (portRef DIA3 (instanceRef pdp_ram_12_0_15)) + (portRef DIA3 (instanceRef pdp_ram_13_0_11)) + (portRef DIA3 (instanceRef pdp_ram_14_0_7)) + (portRef DIA3 (instanceRef pdp_ram_15_0_3)))) + (net datain2 + (joined + (portRef (member Data 33)) + (portRef DIA2 (instanceRef pdp_ram_0_0_63)) + (portRef DIA2 (instanceRef pdp_ram_1_0_59)) + (portRef DIA2 (instanceRef pdp_ram_2_0_55)) + (portRef DIA2 (instanceRef pdp_ram_3_0_51)) + (portRef DIA2 (instanceRef pdp_ram_4_0_47)) + (portRef DIA2 (instanceRef pdp_ram_5_0_43)) + (portRef DIA2 (instanceRef pdp_ram_6_0_39)) + (portRef DIA2 (instanceRef pdp_ram_7_0_35)) + (portRef DIA2 (instanceRef pdp_ram_8_0_31)) + (portRef DIA2 (instanceRef pdp_ram_9_0_27)) + (portRef DIA2 (instanceRef pdp_ram_10_0_23)) + (portRef DIA2 (instanceRef pdp_ram_11_0_19)) + (portRef DIA2 (instanceRef pdp_ram_12_0_15)) + (portRef DIA2 (instanceRef pdp_ram_13_0_11)) + (portRef DIA2 (instanceRef pdp_ram_14_0_7)) + (portRef DIA2 (instanceRef pdp_ram_15_0_3)))) + (net datain1 + (joined + (portRef (member Data 34)) + (portRef DIA1 (instanceRef pdp_ram_0_0_63)) + (portRef DIA1 (instanceRef pdp_ram_1_0_59)) + (portRef DIA1 (instanceRef pdp_ram_2_0_55)) + (portRef DIA1 (instanceRef pdp_ram_3_0_51)) + (portRef DIA1 (instanceRef pdp_ram_4_0_47)) + (portRef DIA1 (instanceRef pdp_ram_5_0_43)) + (portRef DIA1 (instanceRef pdp_ram_6_0_39)) + (portRef DIA1 (instanceRef pdp_ram_7_0_35)) + (portRef DIA1 (instanceRef pdp_ram_8_0_31)) + (portRef DIA1 (instanceRef pdp_ram_9_0_27)) + (portRef DIA1 (instanceRef pdp_ram_10_0_23)) + (portRef DIA1 (instanceRef pdp_ram_11_0_19)) + (portRef DIA1 (instanceRef pdp_ram_12_0_15)) + (portRef DIA1 (instanceRef pdp_ram_13_0_11)) + (portRef DIA1 (instanceRef pdp_ram_14_0_7)) + (portRef DIA1 (instanceRef pdp_ram_15_0_3)))) + (net datain0 + (joined + (portRef (member Data 35)) + (portRef DIA0 (instanceRef pdp_ram_0_0_63)) + (portRef DIA0 (instanceRef pdp_ram_1_0_59)) + (portRef DIA0 (instanceRef pdp_ram_2_0_55)) + (portRef DIA0 (instanceRef pdp_ram_3_0_51)) + (portRef DIA0 (instanceRef pdp_ram_4_0_47)) + (portRef DIA0 (instanceRef pdp_ram_5_0_43)) + (portRef DIA0 (instanceRef pdp_ram_6_0_39)) + (portRef DIA0 (instanceRef pdp_ram_7_0_35)) + (portRef DIA0 (instanceRef pdp_ram_8_0_31)) + (portRef DIA0 (instanceRef pdp_ram_9_0_27)) + (portRef DIA0 (instanceRef pdp_ram_10_0_23)) + (portRef DIA0 (instanceRef pdp_ram_11_0_19)) + (portRef DIA0 (instanceRef pdp_ram_12_0_15)) + (portRef DIA0 (instanceRef pdp_ram_13_0_11)) + (portRef DIA0 (instanceRef pdp_ram_14_0_7)) + (portRef DIA0 (instanceRef pdp_ram_15_0_3)))))))) + (design fifo_36x32k_oreg + (cellRef fifo_36x32k_oreg + (libraryRef ORCLIB))) +) diff --git a/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.fdc b/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.fdc new file mode 100644 index 0000000..6fbcac9 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.fdc @@ -0,0 +1,2 @@ +###==== Start Configuration + diff --git a/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.lpc b/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.lpc new file mode 100644 index 0000000..6a11f2a --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.lpc @@ -0,0 +1,50 @@ +[Device] +Family=ecp5um +PartType=LFE5UM-85F +PartName=LFE5UM-85F-8MG285C +SpeedGrade=8 +Package=CSFBGA285 +OperatingCondition=COM +Status=C + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=FIFO +CoreRevision=5.0 +ModuleName=fifo_36x32k_oreg +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=03/18/2015 +Time=14:42:29 + +[Parameters] +Verilog=0 +VHDL=1 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +FIFOImp=EBR Based +Depth=32768 +Width=36 +regout=1 +CtrlByRdEn=0 +EmpFlg=0 +PeMode=Static - Dual Threshold +PeAssert=10 +PeDeassert=12 +FullFlg=1 +PfMode=Dynamic - Single Threshold +PfAssert=508 +PfDeassert=506 +Reset=Async +Reset1=Sync +RDataCount=1 +EnECC=0 +EnFWFT=0 + +[Command] +cmd_line= -w -n fifo_36x32k_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 32768 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill diff --git a/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.ngd b/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.ngd new file mode 100644 index 0000000000000000000000000000000000000000..2c537bdfd46bac661c6bcfb05b274cd4220b5a5d GIT binary patch literal 663218 zcmaH!2Y4IB)`oZ1p*I6DogfGy2~{p}66!jRLkuY*g%*O93V{@nLWe8r<-!k`-a+&d ziem>tM}!hW6}^M#9SlyWE9w8fv)a|p$R^KoFFN0O&&)Y9vsG(tI+N|Jv8o4I@^3x$ z=SKWsFPJhY5sl8Vl)HTvwwim?^r?$x&7QtzBy#+W`3q*vn;RKEYs#E?bEiiJ)Yt7c zb=Jaxk=otsc8~5pBxGA88iaYS}{t)n+|SnY=XIFhGyfqriKYkEfbnYH;tdrFgk|BcFEE)Y-01M z;Vlyy#_ivP|7#p+sr)^ukfRik8yG3+2RDry-#m7VrE=F~ORCpQ-# zf_7qhqGkS+IW09UwJn1vZ!7ZrmT3#|zgbhDjOZkIf++Q{cNgM>X>%9041u{v$y~5# zYRe!<{XHpxCaP;%X3aew+IA(4-SjP)gJDKIbN-CEEwf;5FXoAKbV}#qD7Lh{C$aUR zAa)2sVQg3OF}EE(A5rGDL&?iR`j*T(!X>j7X1`Kwb#1ZhekG*3uBh!4;@HVfVFq^p zkj-}cc>J_^i!gv3iUA(r3Cwz6D1xiFPspw=_Bz08#T#NUJBRFQ+NBsaF)-wYxr=9d zU2+h2@o-Pz*z6i6I77RJ2@cn8VS9Et1%GjiULNR>y%a36{CvMoCQ-EsEe$9kRHl88l+PkvSB3(JDHYh=Czlu zv9bD+#5}55P`%z7M`o~&ks7R19IYWbB_lXQ$FNVuHfv~*h8m$9w4nyZMD{c|DXYY> zRF37|I!0=5o#L?Vr%RIWzPiRr_tYg;uunpE1l;SeOAh#cy2SC=SC^Q-qf0Uz4TeT; zpH8gfFIyrRn8OGH*w&dj!A6xQr5@RJV$2C^+a?}ngnH-dHC75G2uH=;k zIWc7WN6Z65ntuQqUup&ipqVq#)c6oaiM>q-W3Uen*|lES!J#lp3_B!@(GD>+Uf7`_ zgc8FJ4P&q;c=q(-!ThjL2)u`d=xVRg!$SlmHhOpn;~JS1W@vl<84G4`sT@)A5Ld|o#C`FB1>bM#xQDnSYf$S!h#%krfbb`E1aPz6uh98xhKFl#`6X{g|1meFHZwm z+%X}W)z1nAu-4fjo1;5B#Iy8cLpEzTHpDZ%d1Cu#qeE~uTEjV+6AELcb3APRjA^rQ zrik4{b#ySBJ6bqDd?>@2#oQ1MbDlv}x74tfghv(6gool>xP~Pq3_99U!;x61$#v+e zPP)?MddRhG`#7!b!IU{1$7%GCmg<&TmN#FchcFZFc;*{)w566~wm_o?vk_*pK%)oq z)Sg4M(4f%>t6ZeXgIEqTS)?^m&$c-q$7^&w+vb=YZ_sF)d7q%sgV0DFi$6i52ceNV zmUE&(M_cNc_lX*f@oTALCMRih9oy!3pQO=sY@1b{Y|v<%d7rG&wP<7zi$6u9v6fou zSk5U19c>xJyf4(`XiK!Eo_U|E$+!-xZjmkgOkHQ@O#D<`Mk|BZ=V=KZk^F^?S!fwV z`ZSGZ+k-gur)xA;Ps<>ta=JmIZ4SzRG#cr(4C2WCN29Tgv<%`{C3Tsz$;muJZ-leS zK{>;uYg;(7Nu8Fh2lAP^JP6e@@3VB7v&p>A*4yT^$xiQ79p_SEJ!k279U5mn=a_Vj zoX4K6%e*d@Gm3NcR!~k$H4FW($)kSeew5Cmpq3hrzNU+ zauCkbbxubaB*O9bS~=TW>)2Jnaur?63m=0FL^m|mzTV-E(jPHS-}NOg zbG)HsGRJ226W3#(fOw=l8mO7Tql#!>*iH0D=c8|d^<$DZ{!?)PrIIs8Xoa}8E8yfq#*U(tUeR_Mc;O{dy=6tWgaShyW zXsq`EL*w{8V6?|N+QZrqIs9A`mWIkvuuYdZC~XPZ75R%;j%OWVZHVj@+jWU0bc7ol zBKyasI>iDW(Iq)lEYUStJ4^M}WF0?fXdLv1^jO)uK4@qhkcW&|4%{+>WBto?PS)(h z1_$h61LIsjW@wNeF(mf-sNR~K;y-F|9M8uLj@3SHXw3L=BbL=ZVQ^f=Pw1TNY@gIQ zS)Ma>j-hD-W4BN0n4D5RWoVr6r;J#Z_Ozif<+KsYp?ccTn9Fh_7SeNJX|SBdJ{OK0 zEbISyo#MXud7a`$w?d~-L9Q{$O=9|tX*Gjd=JTA&T>-&Tvrh1?sKK~bIaKC&n+Eny{KcdTwl;R+1yQ z(%?8-D-Djbu)@$d3ojb6ToErB8l+crN!I$ahQ?}N>I@AZt`xaBy<%uwI4>I-(|gru zkK4&>2FC^Vx~|EB%@`W{eO1>Onn~#CaRgr1HQ99DFf>Wo&{*ypomzWx9{rZVvEMfh zj^(~(Xw2nJBbKxAwxL0KCoI*=8N^ztP$u=V>3kE{Bo4_pSxw@m^KD#{Sk<>W#pU#! zF3D2-UXPTe_`Sg~_6LJw@!uI5i~qrhW${1ioNN|98XT~n42-otqYn~$_(_kHV^*iG z$;SAz(Ht}W+2B~&F9yeD@{7T775{2*ToRpzM$8Ch%D?HD?CgKjIa#r*42^@=WyCU- zE`wvrs|=2%tu{0c!D=IxW&Cbvl#Ec6;ck|;O1srTT(R!vjwT@ycXOS>EV^6h6jrRe zg-&6`x?AcL3UIg5C9YU^D?O4c*4^6R7`wH>p?G&oLt|Cj7_k_1cN?AKigmX&I7$Y_ zT5G}>?oD7U|A<@Rabu@_!W3?ru>S3Jjf>drlh#6c=Iw50a4dE^gX2U*42}b}y}@xn zBZdZP2P2dz@1SE`#BN`m<05wZ85+yzYs4~@9Sx2t?`Uu=t)HQB2zD}JSw??DBW8rM zj9tPQ?le&wpli%-pf0i4f#KHbc;f92&?T0zvmVHa-C3tt)UG-ui+EQZjX9cNV$9P@n4hmzqrOIyiFgT7tox!oRI)me=)EgYj9b|CKWw4>K zjKM}MhO}#Wr&Zc9;0`3PAqFOz(HyY7!jjz3boUNN*2?nSTc=p+o;oE9U|$`R#ki-g zapd;VLs|TOx+F_>A3ahwuzd}V1G1mNag8|!$4PW_PWHtO1_!Lcz&M9ZIwpJ6VFt%; z8x4-7H5we}b-2NCRGJKqr42VUNF$6;&g%#rlRfJGIwyP7$ziQpmdWAaP~79?K#dJ! zxI@h@hK4!0+3q%nFwk<+v4EIP$?}ism@MqEhQ^`}GGbZ$K?cVGK3L}% zI>C?_dY~RETjw}~ z0aNu*S;bQfjbk*;h~*efGdRxwbe)r3@pOX&Hp9R;a5HpFrvGSz12)r;n8{2%R95j( z2FI~G%HTKxM;jWem}SIr1ZEi=C-E4alil+%Iw!m5*@nhGjx}PL%WOmAOde~*vW_{1 z#{A|Ou^gAVhQ^%d8nKYh)UY~P*(YfjZhV28Z)mcr<{2XENN5cXlC!Ub!EwruGdOO^ z#~BFQ;jHK^O{|mK$1@XFX$M=4Cj-DGH4YcmY%r~JvUfg9 z6TK_BGYyS-pY4Q$@qj!vgJUWGGc?(`oneTwhR$)UP};?-&vQ+Y6Mk;mAlcD5rpV#> zuVauL$p4xo2je`0l>PU4CJFF=Cdm2zKU0KuzNxYA^Nki|sb64{9N-H~l9m6TDKhm7 z&2UzJp-FNTTx5{4+rG#kWxsufK{C441ljq;1}Ga!t0{7VE;hqi zBul@=B)Jl9Hc3`=t0^+iTg`9|-CY`4yjZF~c+&i^k@6z#3gX1c=+t6gG-)@MUvAc{0IXd?knrsqxn<7W&9-~D*a^x;HNfvXT zLCTrR?FK1FnZ+i_S-jUE+0VTu$N_pXX@#~ZY-KFyDQKn53?k>|DU;-Iq)m~zKW&Dy z^ruad3-|?1^sd96)wJT>j%O_V3pRX+?EF5*5IK{}O_EhEPZ}i0=owRFmCu^t9FONr zk;D0%8O|zKYGSpl!DJfYq1;*c^BU(}Gd>S2%*jH3Q4_r@$(4r2ykAU)gS~6Xmkf@j zykKaugkCa&ARF+~>rsTt0qvnI(Q z|I#2C{kf?z`U4|cHpr|=a)0%iK{EO?6Xc+MVSuvIzc5J-+m|NEdHBi{STTWaMGT^ajgC@G}iHl5z8_7)8HuSm@MbNbWHZ}e;FL- z))mfwf{lg!ZA7xuzl}&H{Exx0*nbR;gW?(*q?{4TT5~!^DKD6x8z(0(kgsrB3mSup zy0+m8Q!`qo%}L<*0$T8c0l7^*Xr}j=9-rLHt?ji`{1Z*&DLIv%XOyEG_0lPfQ*I59D%QfL*6^UBf|1Lu zsWF)6+?rZLY-cTv!RY4J(%Ql3=GM^|mb;F|u(l07W$y8KIG_8Y-1=G&3tL-P*v`7T z#D>=O8uI^+mX)oiQ*3KJo#G&^uS;A^1yAylBC~fUdt*yX>WlG`d2$XvH#pkso&&rVEZs{->ceOji&+(yh9a}oZV|EIpAuWlhNd1z~q#0>Ps6eXssoi~v>9O(c5G7%3o5IdM zF+|#7LIZqeXf+d{)IX4F1IZ5@TF2fbFpz3|X**w%#L?2gprxqK3=9|!VT=FdPIbd-zH{8Sav5f+!|LrPgN#GiyfG1`G#)N?pLPSVq

    F zgUYagVTPgv4D+F~zpvDi;U2FkP}n<_;empNS!k1mf7}(-u?RLZ!dGfpgaic&6QnXC zS&XXXC{r03Ff5D8{(-`lxx*b9L@{MD%>lzgMF|)VJfjZqnHuIJiqEha7I9#JFdZTX z1_&#sGAdwL1eGV!kkpi!E%>` z#^M-wkS|m-aqi*91`4y{PJUFNKw!{l3;*QLSy(@j(LPecNo1O10)`Ef851xZ04iex zhUrj=`ARiMO%y+>nnOZmLcr88Ls@9!1C1H6jK)CW%u+chP&kxqX?zkA>%b3?;CKRM zT%a&VDiedK8fltqZCpu{#;HvxMY4IxYGO%~4#*u`ij@%;t;9*U)D9_e92{xy$bgGV z4UL{l{l%F+T;=^`7xIxN}AL` z?ub&XjGx4oG#LZNP71WBRFhYgN@Ud%1-@UX)B3Arg=oX7CaU2a;KVbJt2!kFn{ zf=AdHVS>kt8DWBr&I}V=Q8U8?Zw}^;3M0H1n>$KlSkciM!|^#nYK%+?r|IY(ny#2k%bf^)-+oR7@a7#2D&%eFtg?|;o}0E^UsdvYYNMpueHJwj?)wl&H^opMJ>=6CcG%ju&YHH0%2hw z%$mzpbbJsoYc6N$_>iB2drZjBKU>M25Ehu}384tuCx!slc2daZO}pHQp#WLy=cj?F z>E0oUNnGHR1X+U@gb4mPOz!`}1nm$YThxUifH$6VDG{d4V@iix_@}1Lquv?Eo3kyu+G6_MQEzIHau$zTlE^e<m|)shh6FZoWjF%%RbsP8rhJvq zv>1C`(T88*fpvA!hrdjPbxqMHe?OSJCh)O}YXcvPyf*N$mFs*9pRW%%_I7>IGl=(- zb2k)y@|0ihhQP7A?*vh?ukFDJ2`Ed7j_6%7>ZAF-i?|%QY49oq+K=}e8_dwv|u-q5; z*x>_##SYs7iybcU;&9G9Z60scEb+Xa?fuwVuH9pbNwx3>(1V^d`&fK#_d>io#%!}A z;E$ToGF_Aa5k;C<8c?{^#Wa@&&@}uyVD1s|Yv=P&9~LO2a-a6FiE<2OY{Wd2V`c!*@G51Ay3 zdeS7B(vv31qMkBI=DAYHLmJ0Sm{dJz&*AI^j@Va!`_l(kk%&u87mRMT@_ z#E8KnvX@-nEiVCQB#i)BqteU&;@!Dq2(CzV=aQ_SG@}M1fm^fP@lj^HBx1ORLj1P7 zX%XBzu5i~-9O5CsUpCud(Xv~T9Yc|S%2KG|8RYj`+I4x;JBdqpS-+^k*ZKMH)M|KY{g%EodzVez9Xx6*KEDSCK96k1aGr;c)=?A3H;rp)7}eY` z-m?3yj$2m6-xHd~jcy*(Fbe$p4j5iK+IQ0Q!>%H;Ad60UQ`pWSDBcit92OB}dT^HZi37nN2LWSy5u z1|+nAV}0hhv7;@!|FNm!=j!@HnfRw3*tCCeW|wt9IOCeeH%*{s**o@uU?oLC#Aijn z7lHQs%a5eJGp=cWOhH!ytU72wt(+~%9l|ddpbnZu3FpRg;__uWtksJ4sm96qVphZC zWbqYEk~786G)YbqKX0%GJerxQHI^VL(B>- zMV=JZ$U*&=R#UIV;Y#H?^>&&1uX?jGDZlENl=GX$L9%yQ6}2qu*n`F(k^3|F7;F9 zS~(8=(^-bgfoOHAOqX75r%dNTrM;>w$r`C?qj(w5!$A8BF;kajwt=c=Un3)SaK_ZD zrTM)pO}$3S-6K(^%jgWqmgzE4gVJTXlzTv=Oy{t*4~v)Sl7B2&rc1f6W=&n1xzH(- zMNhPr>5~4mva+gWiA;`^@iJ`3K-Pz|TFOnv%XAsGlgoy)T881=wlZGIz0nElxF%@7 zEE87bGDQZ!`;oZtmLT$d8jg#Q_B*vEaoy1VsMa|y8rqk}!=2!Yq5WwHr9ycE{##{8 z!GVdhc6et<J2!dDA+yA^JXKQ3=C`75na=(2sylqTVXzkSP0 zSi*N+cKfEuu!OHN?DiEgO@b1MgcW>yVYfS4TKEeoyS=tmqc}9XIhw?=8Jg83W;#ae z5Z`Or?IY3}#pEZXG>MtMlGP+AAEd(ynM+b(g;!4PCuB8=i5`{FBo6!(N0V6e+_)yO zoU@af#Bn%1s!6Qyf=W$dIo|Ic`b&^$UDc^UO#Ys1NSY)Y*Y%pj-OjQJfP&=~T!XdW z8qUMJ0Gk*Olfl*3!RfHuH>KB+9pi zxmr02{TbIZ$uycWv|_3?kV|ud_+n0LIGWD=*0S2Tp%vSxOKZA+@zd`8_^CfHvg_L< zqM_WIEvq-RVzZO8VYbH4Y>R{@%gP#@G_+zBebSmP7xzQ5hF0uuPe;>()wOR%(`6~t zL^Vy8+%73ilQp+j*3gQ5?c->Auo8wOHC<+I-;|*h+i)P4W)27NV$Gx4G+mC2O>s?= z*=#ViV!Mq%L+u8$Io{E9nY!U|Lo4R+y2dhvWi81t>bJVADXq!H8#5~R8}%KFYX^In z4-PdNAYv(`MYeT`@MLvHsSx>>#}je;(Se zWWxTbc=0a9IK7Rizrx?wE*QGf0FH_^k7*e;ar~Hu(Y#x+ z3SMi?81Dyk@uTl<9)2h;o{vdEnjIT4arB`8Ruf2$oj5_Rrgz*n3G*X<3uE`cg6Rx~ z3)r^!XeGyt9XDF;X{=7ebjCKyH!rJvV;F)?ior8@EI(d>kLm#4GWpAasK)F+yk+>f z#+K0y;}4|Buye9bMvT+As z-xm_FN5WrM%^MCcwFM^V-7}pqTjAxog!7UEYqnSoyjAgc8aCUm=DRR*f79)ZZW`S( zD%RLCqIneVKn(by5+Ykb9jn;*+))QNjvhOnf6kXHq#<~3@*Ki%FaC4_dnmu@_$OrZ zyN*B8B|rIpLN>qe$c1dS?}lvN8Ok}9-``N)63T~c-WJM-cvO_PLVh+EGVyIjzM^Cg zp>37IhammD3i+8{A!M_hKSDgx$*Yi!^z*$!JkrZog#0Kc-z#J@-8D=0VD@i^Z1k7+ z4w>GIQ!#w`HA;TE*K#a>bOs~c{8}aVV5YNX$j@}w4%tlajiiP7Zyeviu{bOZLyZ{0 zKk~Kv7dZ2SoAG+s{ALavk_6u?m0v#{*nH7Se(jLWB-bg~gIVOdPQWwCbwVclUAKhS z%NUpZ_3UoFkj?lFLOhGyAmnF}8-{EaSsAkF4uvzj^+Rr^vr);h7K^1Vypd~sBM zi;&H9HV@hCe)CW~)88UwGu0s`RfuQ$TZe3zTb6LXrYpZq$<4QU z<+l#`SGTWPOs8*%XSq9t{4lpGx%m)U zzF){@y8S~o)9YUf=d-W*0U=q*U^k{zfFhM&6@Cm>C z9wC6w0OofK3oLDqa0n}@3Ja_?8WvbuZCGGwHEAmt4SrRhuMG=Kv^o^Rhv4&rLInTR zBVQdBm{?sngtgR#2)0=t23T8t2;g652jd|&yZ>)( zz~(lOZSlUh%J1pGR`lXtPk%QG>!aqe!zSYW>iKBe_p$gr1B-*Vx8wUbwhnz8q809H z0}uZ^A-_*xaw7K%ERNRRX{*>d|4bymPhfHa_YF{)@nM0$KFr=xdG*4i}@HG5CHIHeYfOp1Ez!`FW7!`*~%kYK?czh^7+<}5t zn=#xf-$IM00fQJnCSA0Ka8)?I59eZb{e&cZ?~OQ=XQ69ieANN?UU1inIMl(-!$yN2 z;o!49Lf)~KACZQ!cz;ZtJ+LWeS-rN|pBdRpPITZ_|{O)@BsnIF>DSj&iAN*q$@Buya)OqPp0$x1r}2o6nrB_1~YE7Zw+C0V|;Q5$+3aOG-H9qG{**U%;liK2V=Z%$a&@Hz+&a&{4hCX z9UoX6qy2nR&I}I@EXGX;NTxEu_YG#!69bEh9THeD4hq7U<-xvH&&m%CEOtIIusD8) z1Qy5dP{;C?Ks`t0u)ty}lYC1~@Ft~wlKmaw`*^{WpBz|p`JkL99v)ciU~*tFl_UKy zzRfa!WZ+}cErG>xhzBGSiw8b-KEwC%-K6=$1B*RO^({6zC2e`N${FAk-zDdVQv)AU znI8C<^z^{TR%Qei$6;DvG0mAl91}Y#u;}tZIlr6cyZ8#%{Lz7rogWkUSkKXc#d?kk zNG3Kb@G-Gjfsd&i6Ie{;hNOkkhvTmm{I9_Ionii1hcb2m)pEp4q>CnQx~cpe-(nhb z9N)$DHQRT|+1=d0$Mk0h789NqkZfgcU@`r90m<}_4SY=hxWLEs7xU;3R!Qa;5@~5;@H32^j5fz9l-7^@w|7Eg6xE9pV(ljuKK{JinAG8}mTG~FVOqR{^%(6!Kt$+R;Qe3$kP}3bIWKM)Bl#ylHh;K zXc@n&la@XMH8Ne-LDeQko{Gqyoi=0{scTGF9#qL+lQdzWuPvixNUk&KYMJ%xO8_Q^!%A3k)nX{WoJHy8|;B32NP8No&{nE2ZcE{3` zq4G;iqj)zl_*O^dZ%X=};_*QSJq1yp zW;|80beo8;7OP-V{35c$JC5-v6Y|J6+e`OIcN4@fU6u}vcjMug4omAJ-FSE_zhe;` z<>Ml*xvB14?5X3Fcy}&-L(_3xx*L~#71(hxJY{{!vm+hnrMhv|@-F?-eWKmCq^m39 z-FY}a9d~BCb8##>Zg#qJalSk5@75(1f1BziO8#`9F!)L{9dKwF|=1c z7*f{@PIoRDjN$QaT+-f$-Fjp(y^WZ%uBv66KJV5gi{I|HLFsFCw=P*UzjWK64B3W8%}wa4(&8mprwOSu~|Nq((x!rtu#KE(s7?- zsu;(PJF=#Vf$Zo=nJSA|;g~9iAzj9@v{%Yh7SR~DjLaY&6fs1SYeUm&;4>>7KbNVj z;j=Q8llDQ}Y=L9*P0CbR@84-t<%q3{n<_?OsVY-Z@6rRxREQgvi7c&8+R{ps1If~j zO;sK}SduhY|8EPHZV@p&+)XTN&z7mhuacKKhR6Rxdg(4{!y`wcei1|EzGm5j*)p}* z{xZk#_+M5p9g;LW-2W`Ci5Mz(J_Cr0(^}iLQo-rza=DmjGGe;?8ahsgM{i7?qBtX2E*Bd+ zD`L9*Z`)<2wZ`ONbpd!ym815uvygcV(;de1|K8#Tpi00q?O{GI^I*(n4Cx4nlfow%IBBS!DAN}ltuW9xudPjC9{4Z zy3jKUo~yVhZn}b5f3_@2j@nP8%m`Tw7pKeR;#if>hrbv)UMy>jhu>wFmNn)-fU$Ih z>0(mLp0MyQbW$+M?_t^H5!2&8XOmAQ;o7luFvL#v2Pk?wGD)A5$#O>YZrr40*<6t< zmy1JjW!7{B%jV0t>5_#|y5RE;qM_$+t|@EGUkFQkrOn1*KzN*@wTqF1tT%y8PK&x?bFL$@*xEl*?XbOGl>-mjpZ+ zFH?nA`Li8(a6LGT_or^gXDc~8R$YG}p3KSLLX$q;NxC&zGxamDRK>x<9)ux`WO2K^Su`_%(sE{-|Kr=|9!rP z+1~FPYU&togT9CLKInUx>~lqrJeu-E(bK?~Wj-d;@or8K7OQ$J0BJq$w=Z7@J|6hsda~$h zVEIoLJ-9WZ@=y65R{W6fku+pyi>?}&{8PS*vy=9Nm|xoWFukXJkCgwk?~)>y2R?>9 z6IjgsnSf+xa!6v&vOJ#+e4PI00t?1+8Y73ZkmvCUlZvkpTjXmt{!&5ydHTa5zh8Wj za(vya3_ZGmu2^c?_o1<)6>|+lU7Vcibt9k3Tey!t;gscar$*;4|BO z8=uAC1igdLs-cn4TSd7nj zp+81wx3O|x_v4;o<%Vsxr&zgf`$KDvBe3Lj=n65;lAaovKa1%J}FaWPw`b*6SC|6x{T%??&~s` zd#7*8SZ*NSl(DkE{H_d^=bOGOr@7|8FQ@UG`{&#cwJpKh;Jn{gku%jz{|({!az};p zFOBf@yxq=^KGZOC|x__sEA z%HRHB`d@nS{#Ng^)*cWn8N0)Fdhp_IVEZp^Jvim2tRzsrGQzVeWA%Id-&)}be8;HH ze?f%Url&@D6K<29O60w`^|C#*&0BI?!q@Ghs{PkYPi`58nW>)L#c?g>@{1t& ze>`0>o{w?b zMVn{KKZR_b(EOAv`LVYh@LL>qW5cM4@cl?13*>p&FTTN3sZKb=!}GRZe1q+G`WVl{ ze)SEWB>e?U^=gm{#javV15;R4G~^tAl^-HkbZ-VOg1`9|&#qSchMdH$4#Ieq(^a(O zp3Cn=P_ET~_c>yJ_#RgAhabZ#{`5VpLe?sVp@CJnKE?+A@(oG$Z$C&*^ZxdIO!l9^ zC6@2v1i68WE#~0jAQ8*M!_T4@KO>^R087=@?}-b>*u)8Y`hWh20ZPKNvH=H~#tpIl zRHR|&(;IggwlgoZ3Z9RRu?4#wS9%4DViMC^Q21ck(GzQH_^ZPOMTf#~_BD@T7vbx- z;L=6$x-uEO6C z%H=$s`hF^HtyRI_MB?>r1)jln`1gDKkS=~0?yz?#P4YpW2CLv7DX2d;vQC(JeIuGx zs<1|DvAgxX@wNL8Oxv67-05Aw+5-+tdDdIN?E#xvu#(9OZl24DO^6`Yf@sbni$LUHA!Ezy*WGR zxLCYsN5*|k3KMOgR$qH73BGW4nk5!BudH6xC{l@LV=iBH=;fdd_Wp}L-cHI#6l}He z6BeU9oV-SH(of}Fk4WRuy|nk7AMb?()BElHM{|!>p$b*e;`FZJ-9xg6t&XX}@|5@M zR`#$TQ>su%d3Rv!VZTM~&BkbUGQ)m@qux7C_>#+yO5&M0hm5bYwK-UXm(oTPX|L8;!8qH2H8mBt{wl@B|?g_`Ep*2)tJ5+l~1fP5PYa^evt^MM~`*DSB)1}p* z3X#sxYUul8*4}K_HpHTSe`#6GnE8Cx6GOE=`Sm}OSS#vE7oGAK(VTg<>uWOez3Ngn zTBp`yt*4Y`{^WnH_1?|iIv5SDsS16QdabIkO-gnx-o3}d=CH8xi*wyC5w5i>f*n+b z8I@|qCd?*0F;weXm3N%u)w+4$^yZbd_Dd84yuTMOoD;YEc15W5RBP9@omPdn&GXNs z`ABcDmDe4yp zcd+e#+tP}8_qpwUePFp+SV{K29j%0SH{R|Sp=Eiu&Fy~M!}_PyyPR|-xZ!#$zk`k7x2NZcrnVs_qF9oYAahg z1-|wQKG+p6baEnm?PX7kaXJDmNp3|OC&kxZ#0R_L`3$GV*Ir7B7Ucx_+KZw+*UD)s zYPk>7ve#`Nu(wRfuU)umuw#V zT|?~lhNtD+OxsRYOm%sFzK$yFXO;HQ2 z=ZYVSXAe-a4z82v`V_9!4nBN_cK88br||@vAJC4K#QtY>ynUbha?Gh)frC~tJhP9`X)Go#Ud;i#88kXJbvUpMQ z+Jj;Dy4;sUdoC$j$`={?91OeH6;4?Do0@e{TUh(Y(<;N-UrBPYL|Ai$8=DDhzl%1e zGpzmLX|b@@m1KLP+rrwP!i}yBYrIUgtx<`v_NQnEwuZGX7`AmlXIT52oUw-f=|*$A z(cVKtcCX5CAGy`(GL7F;dM9Z++%=+$2Xn;9KMZ*8`7{G+VxHnH;@4rANxjjXmIP;w z(AxVXEuHnTPTKIrB|IZSoc!bLK9VeN_+fa>&K~WCd$b$wv2L_w-NZiL4fj|#+|q8i zwr;owyWy60$91Efl`gOMk#5?1xSQC=yU~_)6Z$zQ-oyAM^V)$=LT> zi<0E`D-65hbxr$?nz6<3aA<13C(E^Wso@d^xcuV=uqsVX-vHj`cEB(D>z`eJYjcZv z#wl+&gXYWxUP+c~uT#Tu5V-tf0w4KX%$x50yP8|fVxKJj*TzUakkm!dbP1i{>7Z`3 zd%B5j?}l5_9oLO^b2r+(-D#HokNMrFOOo^AZes86hP$sjt{ZJhH?bYvaCdaW-Pw(H zdpEInb;I4+9oLO^dpEIncf+0S=pe`MR!DZmbFIFI+AT?H_Xkr??Ka`A)wo-QJ2w%I zy-l?Fm0|4`7I~@59@WYatldptA$;XcJIe=iL@aARk%E6 z_f98N*AYlt6|QK7l~r9l8&!oXGj{K%W2)<*1g)zpVWr^{>l(x@kE*T%g}fFdZY*{6 z7vJ?DpQ%(`6UBD}$Y+wOtB+VWw!-R!Psp1P_iWsIYr87k4D#8G>Y5?s&7F4d=N#|d zp{j5T;-14r-xR6gR>VCYQMut_-ChZ+6)#K`>kg1Bu&>x%tUE!jNO&*0RfW4juE=^X zlvag%Vs`HrV%~d%RbesWUPybdx>kkzK(35}lveHsxiaOw>r@pU0Qq92_i{;9Xv@G# z;#Hs)N2wigFLrvb0ab+#kT1oRyh>CRmV$gKcg~vg@n($tPstQkJU}e46tE$3Nh3FmL7hH*}XrBdM}Gsg&z_3Ny>XIv?}}z^3zK1 zwb82Z3&>BC-YcwCp%dh%o#!tVAAU|uv z%d*A#1LWrs?`_|z@MjEGtM?*pRrm{WUs&Gzx>eyHkY6OcS97a^3-XIBeA0Uk;n*>Q{3-}_jvke!bA)_L37A_J?;m%l4EC5}?HaRt|KY$V zt?Y)lKia(4_uAGTApeY@74bzu{@JQ>lO?ViibjPspJ$SSjy?$F{X6;@nES>R9^Q8>E}`UVLm@`+#&i zz1J_>*1jNfal}b0`(EUl^6|>ydTAjciS4Af=|dXF^n7Hb`al~PK+=~@r}*c zRxL>0@8V4a+o}P{J6*W-P-$D$5%lg^ZJ1HS?JRNQVz#wA$N^%F&)C*(Ao~kB5plbK z+)1p1LGGM_CFCKkSWk!>C%!`w*B|6zVjb3ITRVX~M6AO*ZL1&1gC*{WDAsR8b&VHm za>Dz%XLA{|BP(rddyL@5GP*6TwiSsed1<0;#WS|mCkvmDQ$cQyfl*Edsf2 zMCCRW>-Y@zjEK8h$P*E_7RXJLBu@glCdl4Go(!@V$iu}t6=X%qd#9>xofgA>8F5R~ zj5{517UpO|C#__qe6^Nq6JHW{)$<_l73+*nRmeqD?ryQpisFco#Yi}eJ3FBYe}lYL ztaCvA1@cBA{|oXDkk^avJdnSqy!U_F*8kd6VKw5~#dkj9x7MQjp9g(xZ9 zKZUp_Q@AD(-&2Tt0zIsqWSdV{;`}?Ja_dMd%VRiGM-TTq^gYw63Xh=8$rAS*$cH1U zYl>LUgM0|&I?~DtkPoI*ZVhSWg$%9};!57BYg@R=D6}J&xWv5#atU&Yce00NU`3| zsKT9bY=3FC@-E_TM=nQ7F7JW74Y?dCt-KHNR*>r_Nqz`2g;v&+Rz8ZU!cFj{q?L~m zcO!bAB5^oNEnJT_r%Rhzkk>IzTKNp*wGoW9wDNfdSD;xX?_##CFA;YYdY>wBUxBAWx2~u4lyh8{~-~pON1G0eJ$*KjLiD&DhrQ zAeRf7N8BRxFjRUkfLxG;<$zQc$oa@+vRF3A<3Q$;^i{-cYaYm?_#H|hT7?AHkaZqkVlBG3gl!skwGGILS>*zRZ`+t{O-L#C zjU=r;h#L>`OR*v#4@j!6Tcp12L5@tRuE)gM0c2BFb-gN9-;7u=sY4*;Q+!_oKzy1#xS)D)&!|al3(B z$5QU`;@chMItk^Tm!@wIkn3cXD|1wE z8#>Bu5Z^u-SZ&H3C%*j@U8Vl{)@1od4jH5`z!t2RY_OFBu8LfodP z?^&@%gWMGLy(8opkiFx|-AsCjf$W`8?f{2z2gU5FDo43{ift%{(&l+>^g zr0NF2r`E%$y6J)wJ&{P~Y=nU66sr`HPdh z5OD+J%H2YGxCrEK$ZThazKcQbhRpUB-z6Y-LuO;dcWKP7+8vq2#kve}yCbuoEXG{{ zat~ydkhm*B?vYaNSwda~GFqwJE5y1w11pL76Y^TbMLRKnNw#tw$m%%ePps=fR%bAO zQp1fPYaGm+CO=+Ji?$hrjPPkgs$ zU}cp%T6}jRZct3QEn?jTa!?xeB^h@&$oeSePkf6()~8Tkn!bBucGciY)F;+`h#Q>5 z{0aF0$ibbMKZ|4=$RTmepIA#k4nci4C+KU>*i}POUx!#r5jPa|JulXSAopZ_S&|Qd z+_M$)=g@i>MJy<@0PtS3P3oyPWOG44r_`$U!d zAMrhvftAAX-J$Pk#O+&&qkp8z1m;hCe}Rl;l{-m%f5+^qgJQ~^Bi28NJ1DK(f0B&LfgBgb{E06Qa$E}aIrJ4k zj;}<0v~V#4D~b9nS~lXwcVhmMv?@SOh-3c5>IHHF>thY}njj}~{#dQORty&BPpq{O zcQEUV)3+|jgIh6wXIp$SC(>A$!N{s>zOWZx*Wxag*DW`;5i7%|RX+QSRGGT3diTvQ@d? zh_xli7E5(4mKwIoz)GmDC&k(ZaV=TZ^}1Nwf{e#hS67^^^Z^-9E4Oc&Rs`gfsB#B6 zw6>4IN-1}L@$G=Psg=q-Onf_noSIbbv10WDIkgk>XEAOkkkjJIJx${JXJBQp{Rue` zanl`ae`4(na(WxKKZ|j@fSeIg?mJ0ZyMdh1s@!kI+C64h%|w0oC+OP)aWhfhQ&Lei z$eF0`4Y6uK9)t$M^Aol@>d@eKw!s}kFv_=bR- zmBjWZ)}A0|b>dnk$+*2@cGWR))F-~Z5qC@m^OvS?Uy#Q-m_M=h19@y4=1<}rkh3GG z&m!57fz^uo#A-y`9MpH8)G!?69M&h*Hi4YO`o!8Fm<;u{4rQHl8z-)NADB<4@7F&S8$%Ka+VA?kZXtiwSr zM13!dH7R3PEkb=iW!cJP#4SoIw~zF2B*^2V%H1PPUkk|NQ_3ACz9}G2s8sHF@lB1v zN-B4TSkn-9LMN_|l8l=H^2E4uPZ8ftkSAu8dyzxmQ6Nupl$#Rk=nSkjxPi|H2*J8~Ec?#?6q;D?BQ&8U%V$F-eLVX#r5{Nq$_5BtnIUnSyY31&a zrnLa%X;J0YIkXmnJT0Z%5#n2vv8zt6RPLeTI{|U0CzX4QSSNx!y%Y0iG43Rg|A}M& zBEC~V{wIU_()67gv#XL0>J#fU#3kEMUy{E6fIK6D{fk8_3G$3q>|ew>1LT>g@4f_m zXJ%lbz9+>x8*yi%zSqS%2jp3-FH7=VkY}Z_e{pD?2lDJF>Pyr5Ukp|X`xo(@kGOLx zQJ?t!59B#X)F;-3AkXPk?(a#)T?F#nIOb2{F3!NpDEC4kFG1XY9p&C6)}3gpFY%AFbpm3xEJJQsPA#H?gM!_>U&MB2S8qq`Z}|0r7Z@lQ@Ptaw3Z<5ia731q-k}4 zydtCACh;u=d8LE<6XJUiKOxpb8N2GL2Y5npOVWB0ao40#pIB*-*RsA&`kn@PE$b6&Imqi+pIFai zU~&H9B%edvb)Bd$P3w7(*T*q`4y_d+ug{=9@vQ`TgM;}K--|I=ZJ0l?UP9cB5#|1t zWZWwtZ*0Z>Q{r9)d6T8w^M!m3|0&j6 zAa6!}cO~e12jtDD?-8l!-I!f<3+j7WtoIOi3+nqhPVxhgw{&9vDLs4$^47R=qYix^ zfxIidIziqOS8jEh)~_*G8Qeb;-*1Rp>?n7l_*Q{j z+@{>4#99sV-iUHnTa5c1Q~+c1A|`nHJKRgWRF?b5WiMBHP@tlFWqHOR-2S)=&20r@yGn<&0* zK|YSmjuNX+#;$q-nRQu=iy-a^WHwKH+k<>Eiu?0v`gVxIO5y&zSbYBEBUAIbo13;!x-x9F~f_xhFJuB8OQCO(&ZK-xw#4V5D z{-E@*8_4CYxSO434|{-o#=`wU@kK#Clfd0<@m06M%HsaKST%@yHm2NFNygQId^U~y zyW$%J^0_F^&m8*dW3W;~KgHRK19D{q_jjd-VIWtw;{42^uMy;n7Vhtg zZ+I)L1kTUI*Mztivp7E!Yk!b0#V~(K#*GB|Qd+r-B(53c%Te6F7V`hu`xfXXiii8` zv<(Od5lcZtTzM45R|G^w!H91KT@~<+k)I;IASx=paBUG05Cez`qD17aVwxi016>p< zqCf!UB}zaP5Y%8n0o(e&Gn1K}UGwA1cl!O$;gOyL*|~dXc5d#>&h73txgvy81lO-w z>B=Z+u*9cTyv>+h{V17Q#Zr^Daut|Ml3GQAm97SJDfCTY8jK`L&^L#bu0_3N(6^YC zt^;!!^z8`JR=R;1*R_f!>xW3Ek-I^o~R{FJyXBo3+7^R3-@d_&ieWbxRK3v~r%-ao= zOkCeJX)C?J{3eO(yR7t|IBD=L^u5J2+=+VMLfJ8>9==*||?gDca^lb~$R_+FK zwT|n%>&qJAyL{Un@KUR7a z_107WLUi9_V6LbBvC`vUeoy^lr6<7r9{RSUBFTBmPa6E7!@mG64Me>k!dk_t7A-vu z<^~V^WBZ-~b3;h0xQ6X30&_z`tN0Hq6-P;fgrQaJ^w4^PP%mL=6%Vm`gTdVBhkuOu zoDZc4{9~md25GR#r&Ua6%;&=>nOeoy9@@&#I7&&a;s;h54(5;0H;HL@0n8tv?|oJp z0p@1tTf|CXA4=4}1Z`y`>iwi^6^+>uMuE8%Jv-T=`(6Tb8+z7>?HdE;HuUUjw(sRI zY48hrb~`J*f_lH8XFE+=Z!DOm7)l_FsN8rI4uC+WU7!TimmmCt6SiAj_~TKSi(Gzs;7OTfP% zWlje3cSEZ=_FF^NA12gH@D$Zy7riW09z(2Nc2I}qf!9P}d2h5!&uFspa z-n(G#Ou|1_Zzh<31htC6jQO5{Qe3Nem6f7l(x5y5{}?moLn#XXOxj8*n7efN$4axn z+y#A;n1=V`q`_|Jd!Lo&px$oiTf|Cp!Q2gfzXWM3AAtF%0sq($J_7SkORG2~K=*xY zkOqJG;UC*K5B2_vXcY$A_bHfrd|E{>R#R0P4*Lb59aaIGzwYf0N{>-*wutk|=ew4y^UwA3b{yev024j8^ zMJWW|J(Rh~Kq&#=c`3>MJHnS?lq~qpN{jvMPle0BWTmfsC`ItTBxoyNMcJPi zR~*ZZ@U?*w^qpeSeP1V0O2T)xZ%LT_*>Z)!_AL#e6vz9*O3Qrg&z&ohCat$Dic(Z7 zKaU+RZjb_^<5_oBS{_CztW}nL@A5^W%wmR$2pQUIb67v3hI6q`>RLbMUOR4)wgIR^FDC zz6+t0#Iqx;FY8gSMo=q1i|zXX%o=f&lC&=yz^ob2%Db?Ai6}}@JQ>GI8x2x$gszpJ z!kC*-?})Hgekv>dhyUVKeI03PCNa_frz3gjRkgV{Spc+J;tsH7jiev$my` z8?3a=AO&^&TKUPmgnD%%I6{c_Y&+^5>BBQ8>Kj^l8(u=a`W6(i(mvEX+OJi<$&OHgdPhgJ@^jd} z$`C2Y_o0-eYOBC(7{#ojCHj}X^v{LMZ>CK8x4;FB!dkhXl{C~l#)IQACW)eiku{#a zOS!qIcT57KhcWZOY>b|r%}QP{8(VmWj+JU8@#o2YJm<(tHNz-HaD*gniAO%eWcy293+w_G| zisGqGRyqpxj>kJci@qNWFg<>xczv0yffz)i+%0%mg`p37s*reHQVwepUPd3*?^ zq*i_|E9t1$B8U-U(S9}qvqc=UpS98)%$5N#S*Zn>Eu(OnFLOIwDJ+yr~QMEkidsi z5cch)_=~zQK9(cEzd4T3kJ7-0bZC{!*b&YQkb+Znt@0aIYHy(wMhR__TzvLn!D-O9 zl9kQ@^R$pw`7JATFi}dN#Oj@kdZ!y&Wt{En2 zP_J!NtL$x34ftdLe6$1pI>^IH36#QGWgk}RqLYF%JzC{mjM+7aQV9E4>8c1y3G8D` z1I+e@R(UroT?1x&3s1W;=Cxp+<<~0jW2Ng7C`C|W%m1f9A7-V8QSU+zN*=2H5il=_ z;4^aBz5!rf>eJX~)so!Dz`PWH8prZ=?&A@Zl3LX^#(VT6qf0%i>zqk8IyS zFfR|F#P&T6=H*cwft8-oNx>C5KF60ai%{>1u*N>hndBCOd8LPcsxHZW7R)O{D6x8j z!Mrkop0Uz%5mL~_;2(!ea)+Q^7YilU=1?%Z;>>V!lIj}+R7-C6kMaD6riQiL6q>$4`usa@{odSJzC{(UW%X;(kfqI%rOC!5?bZs9$Ifq zf)re5Xq6)v^OYb<7D|lyD(ZFfYn3mu(pWINMbHXkzNV9c?mp~erSYiO-9)cV+UDzE zc28=RBU!x(VD<=Vm0`w=fY~FiRgPk%HzTCr`T$CdIT7`)k78A0rAc7kpraluO$PIZ zuvR&Sm8O7sqerWJ$)d-brlSK(klC#wDc~R zH~X=Vm1agzieMjOzK43Z_}~%SR|4iOCOl&MVqo5q#Jd}yt(1a!YY=KJT6$kcDXvw% z%=XPjy`BM-*uFVn_Qd$yZh~2oualAn7@wC=W=Z`ZDLFd8ze|*q_#&j_D3g>tYkIUC zQZfXDA$r5c({CmvbrYndo<&M92*j=tq1xYcSV&5a)Ug}XQ4zW8rQoqW-+7&sj85X8 zNlISD>XT~lwcrCMPP~VdjKi*R*fk*_cTJ46sPC^wN+$YA$(t4_nPTsn5qf<=yfrDA z4tTmjN@n_Gtr9)=j!EB=l4unF0;FV?C3nrS9*Lg)87Y~A8nY9m$G;#cS(K2qzSc)2Z@7(=d=H5X{2IYO)cnKVRUW$gn@?XLCA&~#mrhFd*xlG`^^QN= zmXz#E;9rQ8R7J(HVjkaptyk|QG0jh69*e~C0&x()Ek| z7T^;CB&Nqntfe7$6-FDhe*9+=YX!Jfki<@o$X%xfrdLdBLSm=sB!&zXYvY%@+J;wN zw6=o8+5v8dM$b0ou5%Kzn*7CX zXUScCgSXaS^dgD%3y@gfIEmpblbU+5M-zSK&U=Ey9`lgcfG~+YX-gjH|E6LE8hhFx zv4IH^E7oPLLFP-N8lOgD&qnaiPh!v8l85<5C#HW&V#5Isvq&uLk+nuf#^iVWp2V}6Z>E6Hot^|^_}#sbEkVr6KwEJR|sAS~YAC5guGtZhhQ zO8_tNkl6Bo-1SYU|AUWOB({?NGe~T8T<%(Dy*cIkQ%Gzb;I(M<2V2+1@R=PRd5gq0 z>LiwklGx^`thLn>ZSv((65A5SKkVLa_wcu9IDR3<{BHpg`!&u!TUhjPxBk%ZmY77l z(AX~Q-ebxJ|BgSiX5roVknkXh?MsqamDE*A0wV%rW297z<6n@_&)iNmh|fp=D!Ai! zd`^0Zl-9uRdI7nse)x|oUJv8*)pb&ORFr*kxu|uF=NuAwiIg_N?nc<%(2%v71S>*? zXOPkYoTFYut>*TwR`K|&*L;f)Pe&IDlccnRE^Br2_pi0BH7V_AVV6nhhiZ#sT^wlk z$A~$k^rATaq2^_F=dX-@7I>vMK7u?zO0U4~t9`Q8wL$MA|Jg!HufcJy!2T(ud;s7bK;R+O-~!{=rNogO*9h?Ks7S}&m1NW0cckwNW`Sc7l(M6J=N^@=5r z^_r(n=n>Q!7sfxGl)hoty55@F=i-M*X#}+l#fYr5}XxPba1G>{?c& zZ|~8^;#)mY>r>QPVAuL05Utt&ds144T4kuU*sirC@)v3G8ou=twZ2BJ<#w%aJkjv- z;iPmWYOO@A)j`>fb-~Lon|%~1U7N%|la%7u2hsVBp7XYr>>{NJ)JmY%X1msw$mva& zVvzofT0f)KcDvSZp78_je}$C(idw&-)=ss;){tQ6h2F!;@)f9@o+I%9WdlgzrrKGqe% z$mX1_WY*=VaXA|7YVW#6UlQ(kE16|rmx0#Mo~N%yzcn7W<15Q8ttvu4NTr z%;Vo0vY7sghkiaM+bm9Z^rn$!=M;113$o3@>DoN5Xx+(P&U{I>IXGRLO(H$6L-wUd z9~Y*t^j&&3U7N?YFveZXnGxCMbLp6kzu7t}rD%%HOn3BShP8YAMc(E+adGrl%`_f0 zD)D!Xab~G(^VxK5HoSY_v->#ngRp3GY`QiJx;CnH2WMKc&9R;|M{n@_rpD7avrM)* zE*&%fQtR(toVi4{IX)fp=x;YqUdEX#Wt*?1>u3GV#<24_b8V8oQjf-`V;=Qd-5rfM zGhw%x?&v*<*FW{=Xla^|nH&2j0DUUxv_bLho1jHPnf<{RmlM_zet zqX#*2pFv-#qBqhVz0M0)^qa((IB^Q*gmlc>vDv-aa%K(L=IiN>UW+v8$mb?btD?<; zfi%7!vHr8tt9YCFvdyQgG|ZaA-ngVQXEu(CHlI$%tZ~j|Yp{NLJoFqandy%1HKu%i zGH14uZJOzrdF4G{y^AwXlWjhgj+y((@Gmdq%yzQPPF5O4Ii1>1#474RKhM+YEA?nl zIwt8>w3eS4a5@*v2rB;ToUD!b^P6`mgPz?5bAp+M>1p`G#X~sLkj!N!MNk`Ij=rKt zEb4KrS6E;_1n3s!-Rh^Wl=pprZc*$8!K>PcVycf@<+@RK*S;6`GA2SkeWkh+W*X*^ z19t`Z=s@Nd%nj+PW|_IrQa+>A4KMFn$Hx;AU|eK6+Z%)f(z zxjr59h_Q9AY{!`ReL*n4OUJBvXU`ThIkR?1FtI%R`(3Ir@{u>Da%O#9FxQ)DnBK}e zHZ|eQMq$DHJ{>df_7%wmoLP_%%$4bwxsMOhZ{y5XLBUL<>u1h~-nk!gW=BgfH>7Km ze12Ztp`3Y5i^9OD^K)V_Z`b z%q{8KJig-{BYQChE-?w_&*|E1`gqZ*{+yW~5zNi$+B|Ok%NsBiJ(wMh*}FubeQUZl zoBZ9Z?~$B&LV&$eX1X?y9XMpqbDY^KE|}ZWF&i5vCT`-)({#c7G2PLR*>=TZe)ht} zE5Y2JuFXcCxo=&^+dP-P;BWl3%3sp8*|50J=YMkM1%_a5Psc1MS>K=!W8g}cVE&Ts z=nV=^9Fx!6yxK3AJJPk8|LG;~{=}KxEy4UXU7JVWf63nCIP(^tU~Ws-X8i>(KU>C` zy@G;?vtH^PqKx^Yz6)*{%$a?Xl9{ee-&JQfO10T9Aeg_VW7Zq6b&By2qd*^>o zcl5fycj*2!XFhF6X1X?yoHqWKTRHRDh+zJhuFX214{z0iGl$D}erGyn?M<7HexEZ( znWD{|>5g8j#=x(6TevzWn2B`EBYx;r^DN%xgrHz}M`HBY%|g#~j< zI%dx4FLztX7`O~5m^%V#Mje@M*7}PxmxKf}nXXOkyc_=FYX>e83g#c_+VnL4`2Ehj z&2@%geq}S4%JY0Z>yi5W=(v3&m|xmQx0!WoS$EfG4BX8T%&*chkF4yrv@2(hP73DN z>5g6}+&*y*XO5H1FVit=Zz8Yo@rheMvdwfyuk}tJ>rUS0bW1S5NY~~O6LuWCgEOO1 z!7NM1tl7+eUt`XkV+iJ=bj%tZyNvx0XU>y~zDU6p0$vKa^@P@&&2^bK5gGgt$X^d zX{|VO3w>edy7$u^-P5wkW2bWFuaOk9>X885LM_~5WUt7%mjvh*#p(yyE3oY*-J)2& z&R!9Ts|wS6Z-WMo`u!#sG(G)$RA@-@@VLZ3BWY!P)l3y$@Rb+1@r_4YQs3Kf3`$LD z$)x)lCfP@SRqbWW2H1y(XR1nRsq=49`k{H)L@Y;RjDiox#&>tHca>&!)(Ad7j5frH zsl(9cp;{gzG1d|Kj14*?cQjAcoCuN{@Q=k(a2#rYId8S+I8MqOANE}dBNXzpKMQh7BN&1n1v>Pt1=NTLnT3^9;Pn?+|rVfM8Ya=a(NQ`U6 z(?u=MkXTA z;bVh+LaUx+A0o_sZP@~)vQ*bnzTz{c&|jVPP56pWnu0DQX#6mYzWy`TAT75>1V*)L z^mC6}(kE0ok7~uITLEifYdt;#txexa(23KG< zXgy13ACa8WdK*d$qr7WU>jd=eES-bA5$&JXp{t3lA3O1BTHrO37}xr$58r1aF|KuD zn6$i&wa0utB?GOsPWh?<18^)(h%eeGnZz80X~7gUu!hPkhu z3=Rsd)Yn*4VzdJDbxTlSwy(`xTBks30mGQqlhe#sVmi-Fkx?^_LBlOb9v&WXottnM zT=8`^+>*XF|AT53z7`BreJ!N*%EG+6s;{644Rq2oU(t}nu)%#@tqaWdmH$RW$2#|Q zvX9_El*fI|K1mtuq+Ijq03|gEmeid3Ty_t!*NzuO~yf zoUer)4As|jL#nUmp|r^0U32()ZbG*OPYwvo_O(q!VBFUkcu&q_?J=#V zW#H?D*Pi}78aavN^@+H(;?Wp;z81EHa@*I=QPtNA5 zzFim>{pDJ59xm)0l^8a-uWcg&vwh{)Hf&#KqCI}?jE~_Ad_DP*N4`PBtx4X!mRswE zLDkpupxpNLN<;OvOO!pVpVHbj39WwKU7?je&tHfqgYZN9Yx{boAu+m-uCImXQHb$u zUoQ*_jQjd7-lwifiZQLHXP`AX_{;?OCzHIs3AffR0o7ML6`}arEu{L|-Qnx?K73Vy ziB|3T%6;t~=b+F^eeD*K7_GqO>qS9<*}irO2#jlu;ytoTb>U9p#Awy)iT0^?fW3zNdzSbI#XKLf3Icx%&(DW{UWhjq8so*vcL9ud{oJEN+v zy&b;Z6{lZ1!Mi5LFq^NvG*Odqf0g``VL2>^G*O zZ=;ZFzV;3ZjBAbgNMVSz$F#Q1Ks>*C*}nF*1je<_hSmYBJ*Ksd^*{ek_v5SQ ztVAPzk~h$FYkgE#&%^zo-1c=~T=n(or0T1gq+gZ7yC$?UU!P8j{@T6{Oc8Ou!cOjM zKTGiJ`TD3XFs^l0oD`a@J??7;S|4pjEi}-1ZfZZqX0B=NBCc zhZs+0oNp&rymFK1*hUhQViqD=!f9>ZyxK^5*2Ba!0dARUt)ZpWqYn>Y= zI1t6SuNjQt#-3-c0>2H(8)Uh)K9^8^HKE-0b+iXgO06&9eOM3_TE_(G*WA!<$n!8h z9U8h`(mBZ29QGG=g`+9tIuDzsz-(WiO9+f>orCveOpszsYr71zF1g`DdbGAA@419q z>*%QJ>+{fK`}$f$^>w_Z`uc_kU&cdwp=!l-iNf&~2UA*^udhWUh7CSnpVtLu&)3nZ zH&6Ud_Yt(dL1|p;85xY>ll#oN3H){>Zx{|VQpYxi9X$lPhLJhn;u8s`BvoH0nX0do zBdV`c67(yI(1fa$`Z_tnLDknuro^zp=j%&JfpM*``ewy>+GoN>wAXk>n9FhKXv$Op|s4R-B7erUq3ZDsLt0ayqSr#`{sTG6?}MG1;At!HJRRqy2A4gNVKZ(PK! z^^1_|s|Drud3c$w&ewQA%}vYUYdk``A${e)=fndXRDE5hOAH&_S4--$eH9mV#CiC0 zXkBj7x=iaC8E6gm@ht$q1Ic^ca%)`{SLf>@D7SrG?eKN2uI8q7L1^{UZrEB`Zd$8z zP@S))9D-?fuQAjle&bO%}e2&ZOP2wX!_C(c+-$Ya${sY;a$fr}1@7RPeagg<(>-$)Xq^!`ApL)-CDOfeBA`) z_I%wLRDJ!!RDH#B@%bx)v>Ps8|1deI`noeHF>G*OH%VRgeBBlmJg#*Sw3eF`V_N^t zU<`-Ih!?=`MDk_?+*<$eslNUK<@S8t=kWD!hp!cwugjCP8@5(9U;oxQsLt1Y6mt3c zi_~TN`iD>OxYjRxq_84LF|IWOUpE$9HxB&sN#46bx7K|QU(2Cf`r3*l6kl8A;((`# z?B6OcK)(=@cEi?6=PPI&RD4B462k`fwOs15ecdPZbFGWx1P7vZ`54Z?*G0h_&H+C_ z@}j0oYpa~F>g(T0#n)D~O=W#;RVSjHhl{$$=~rRWZrEC>ub^>I@f8h83>$pD{+$$< z^c9^E7}xq`nBYJZV_GXS@U{C7n{EgH0+Lr6acONi1?QOg;(kuS;}-iuHhxRI=!Osy zurKxdYtfB{l81|K^3yN#q}`BO+3&BQagb|e_g~SF#IS+yTJaGaN?_7gbVguY>k??a z$xkt^H3MJQ4rzWi_?=1KY|Ev!=!S&iYpXiArgUs1Wl7O3@a}U{XuUP6380~j%%`p6D8t8Ro=_@)TcwFn(K7s>LjA^aPKOZ zBzYes+*)tNypz5b-RSW3c6ev|+RLZ<+AE1KTcy2l``XLLL7{a#`g^<7#k5jiaVWu) zz82jY5E$1Q2d@|HI@el}!5CgWs__-zUqtfeVX}m|dZ z9l*bsCKKw1T4q`m6H3MH)^_qVw8orF=eQCP27Q?Oi7CHt3CR;+C zug~eKuR}bluR{{hnzGAr9v*^*_&BUI@k;OK6g{U)3>(Z>97~^L2Po$xTHs1n8Gn^X`i5Pjgez z@E`|OUx%3z!v^>D-hjYtU!MyLjB8yTCpZwrm{v~)V|aOT3C#92YzT~NT?ef%Q;7Lm`Bw&7Z{87K1b!Eiw+a(zq>gQLj~)Wu zh^oF038}u~!ygo1$C|3IaNhrd>w0|U6-$~MkIy}?(2|{z-(V%iVBQtU5oc= zT##bS*W3)|YoDH9{SJOtlDAfOYaQ!TeI4#tUtfoJwy*f8BK!B8qBku1rSY^I@;uD0 zHx<2};GpX3>lEVp@ydN29v7JHD}R39USGe5);BE5V_I`E@b$KCmp=;rRU~hHz^(Q5 zkm~D5$NKsvytC))#DMDSTRMDA(r(yVnXeNA928pVdHBts#IV7A9qAXC?d$6yfpK5I zi<6?abc%6bGthec&{ng-znbK22)ebt8CQKB6ISOdKG#6;bxK6_b*f47*9B-dY^`j* zPKj_(Xr=RYvL!KWa9_uS1!nvDW?W!g>xM8Xnrc#v`U^C6?`&V+_NczToxm7Qb0RyAcs23L*4G)j#IV7A9h(%G?duf3z_`{Q zFectkP>gBCukE?`lgiZr((2wwj#hP%rTs%p=d+i!3Z9_9(_KUIeu}uY&Iqf%zG0}o z;tq~|Kd0!uAe4*yuSL-S$^TBL-H^WW-(TMga*+GV?&lOK_js_A`}&3@> zik4KZG!K_r9877Y*Aa_i35j8Y`#LdIS^fQWW>WCD){Q<=G%G?ernN=}zM3b7+JS!^ z$=jZAYb`ZYU#B|O*Es>zS9-U~_Voh~$)^`$9a?D~o~v_E^>t1_V%XrmPW1`Q_O;Xy z7}vTP@7)I!;#$2K_&UE^*(~t8k-XnMZmskVj-7|!cC4=-MO0ruHdSBe1u=%hv>VD8 zroMh`a!~d4qlmw9s5*}i`46ByUJ1?|nZ zD8{sUGZ@3AMe}=t--G1s4!E^iA=TGej`ejxQ1x|TK=rjuht`xesq-*D z>53dMvQ1x}WDKTttU+1UZD6!_e3|-=36QOlSm=vuH(7Ie}2EN`s zv(p&xZz5jJa%)|lRDE6OSYN+QsJ^bUPzsCdO{*i&>ZjeXwX!_C%Hm*3D_dX1MYzwjheO(t&ef>^X zeO-?cy}{(&6&aD`VG*WOUqx_EX=T@k#RIvjui~PP(E2;H@jqQxH4%hXpHnOIRlEVJuVS#PzKVxvgjPHUQ?yQc&CgB0 z0ZRnwL73Ls8I0kPL;KRYw-Rq{-K}+lPxW<$V}1P*hp^Yz9}}vtn=O*hyJ3%E=If6M z+Bdo8(C5~Qexwk`@XF__xbY>l()lXhJmKq3V4E#kmujv2D+8^gE-7gMeox}98*pp= zF{Jvs+OfWF397zs4XD0u)8T89cEi@neBB!0pz7s1+BlD6ysVm@U?JYgjNU=Z@%f)y2G#fy1}u& zCUw=^z**C58>|s~8ljuRHvL$F<_tchSxS#hBK* z8EAda|LO7I-%h*@BW|r2CZ5)j)Jdagv!UEqFWLp~?CVXtgKBR2GeGh;>9iZtSN{9! z?jQ%bul)Y&E>mLIVE5H=D1lL5iKmA_ijrZ0ajkzr>z@INF|Bnn7{iwiJ^cpodl7GA z%dK@6b_QQ8efE6q)NEe;B;^m@~tgv7AH?yDDV zO;zT;lH3|eQnV{6cwFmlw6`xpG45*yT2Jp*GXeg8i1)aJTkBp!_4QYu>T4yuvwf}7 zRbT({kbH4^mD!*Eo>QgcP;v}&Un>I=qxspV1L* zqWNMkypz5bk`E^Eclv0tEyJ`3K|E6*6}{tki@XTecc@wnDiB$5g6B60j)JG zigB$O_u;HCf?Q|w^naR^>v?L^|cnfvwf`{P<^eVLu<+!IfgM`ixF<{BeDd$ zj#ykPC^5Q^KDSo1&o40PYq2-gpvV$`<9({5Qy$ZLR0dkxJ~;Ya@b4ntQ^Rhpwc@I; zRbkcFy712SwO&N^)n}6Y%@*y3a&Dr&){AgZ^|h`gF>G*OtHJ`aeXSK2827ab@14)2 z7}M&@U<_ZhZ&wTO`w*``>egD%ulQO_lB%yq!#ms8e2?mDegawz+6_f3^);VjE?6#Cw;YpcwZx1Fc(I&zuJS-Nf5A?$&y=y1o|IFjQX~!aLj7 zMnNSv6(18I`9DR_s;!m%{#x89$U)WDhNi@@!FDJm%U0;i9M^#^sg?F~EO)Moh6(1LY)|3ruZYpkK(Y`t7>#+%m z(S6L<+EIbozBWt>jBEAy2o6LsruFCy=4=1XoeRLfmv}q)+*+Hc>ua&kr~0bHJKNV2 zbk)~p9+LmFNxLD(F!%KYor9{cdO%{>;J*5N0<(Q>VhD_D&4t!x6yjRzXW;8m_vF#5 zK=%=EN55OEuCA}e`61QU7VysYwWX>0S{Q`ZRCgV|wlrzqoW8b*NQ~}dzUGGnX8Wo~ z1;(|KI4Ld+QjGhWf!64vw_@PmPrL!ct+l1Pz7{u%tG=ED?`&UBN~pfJwn+XK)(w}h zCnazwMJrujPofaV=qt@lIF!I_Ut6Z$Jig`-k2g$;TU(UJwARmH4A0(lX&dnS67Pi} zx7L%?^|kmozv}C$@Xq%2w1DdC={kH((r(yV>F+tkrv*4D#xU}5@u@+HVT1d6oL^wJ zuP22B#(m90-P3i7F|GL-Xk9(y``f|qN4%GW-C9po*Vp0`!m6)lz&qPld^&@DU%j}k zN%F<7*0x69Va*t#hBKH z8TdN8%~#)o{~+;RopfvM;8khMO2A2R|F!shOU+Gz2*z-fc0pLtG-_BA^E@1UZ`4W9==HDU`i{!-c)>HKw{Y7zP9xV%=YzsLttEMU9@*G zg;Ks|FoutP_0MC#?@zov{BErmI@Z^-LaMKqMpR!fGgV(N4`K|5X*XQHUS@Jo_4U$- z#IV7AJu4(Irj`EwT6|$tU|j2wK2m&nkYdbN#Kr&dd5P{zPx%1+hl%$_!>#o)$NGA1 zT=lgJ4k51(7k5dhzIL_XYl3z|(Mo;ok^)sCZPIytq!dr+6_f3ov+sfIH>w+ z1SN(I?rXp=Fx%HIsRl)ssE78h)hUl@ZJdEttM^pe$fLx2TiC7DaICKvg;ig>S*owy zBdV`GOp?FdqTNumQeV4AIH>yC&5{^4xUUz51!ntd#0AEE#V=vSJxq#mtr?8rr+QBt z1^xiy?G<%v?e17#FH5Sv-l(g--sDkzy(s~$2JMETmHK)U#rSwN(MNiHxcEk0Vssx{ zUoT4v%=WdrUtnBoeFN|1sjdGw#-Uqho#TYN)>6YO22W463>5 zwgAchJ!ON)5-d0M4AQbDULP*LF)VmoYXPv^0u*CfkIg{q z1E(y$8T`kIw@=co^;XCFdQDXI^>)Yl+RK8=;{I##eork<*}mSI6d2dqz(;T(iZQKCG8n^uv@045{u9J|pU9Z-F} zOILmEgAtufb=TqRT{`WX)7RbsiP3$`*B(BB+4HrRAuz5LzjhV(p%B+vkb%~C>xuM= z@{`2d&+pdS+p)gh6jFV?C!)^Rdrj5X`-0FKpxto!daubr)z^C>62k`f^`?-(Y+rk) z-aK(0ZWt!T_XR1B`FdOiW4Q2&=Z*ybDdK(5aBIESvA*_|!~H14y{50s*Pd~K*}mTE6BzgPSZEDd6ysVm@bwpe|0(5lmJ*jm|q?H}Nv>gz*6iD85L`X9f*Y+w6@1je;C z#(aHPrx?@PGy|=7^j*Fg{HKX`K-jJIA;52M-kVf? zeNtC_eafTy`cwj1X)oNqK9vGhU!T-D5g4t&*4KM!m)Lt^`#QicFs`*poD@HmpcwZx z1Ff2VF1Vg&h<9Mzt@TOA`WnJn`Q${Zo5d!)v#(1$6I63kQGn!^8?+m84D;V#p9yl1 zH^T1Ip&^N3gWXpz4y7uq>+6$Y!Q)zW%-5m-#hBIx8EE}_!8!DIx+3CzCh68{I@Z_z z^sG$pJez2~co4j^uS+~@skv!z1imKFs;ZUdre`e<3a#|I#Gr)4u)*%D7x#|}%=Xnx z3XE$#9(4ytD8{s&kimT264-hx_{GHgtk13WSvV>0s~11!Q+<6N-r2qm)m2}Ic}V^) zKkbIg*P%KGg;sjK>G^=fu)%$O%qK8=zCLRRjB9NUt-~n9wdxu8dio6=X~TnvcZlDu z^?B-~xUXLPR7myp1$bxsI>Jp4l~?ZN5Dzj*Jt9YucP3d?dzz7>g#BW#~6;#Zn%7XCBQ+UmHPT}P-58Nz7F;a%=UFu zNMPL87I^Po)hWibHqSuoIh`(?3;uJ&J2LFn`ZAofeH|KBeH{nyY+qlCsJ@Oj;cJq1 zL(xioeJ#R4p_TeN&XO25xUWOQ0<(R6IW91+^+dEc-lQ1Qs%M~eVBHscfIozIUy8c5 zzDAvtzK%$$zD|I5wyzP7>T4tct*jf0RytoJI8ti7ns}vupHn+4&l#IV7A9c>8A_H{y7U|j3T&^kFlF|IWOUvG^5TnGH2#5*qO z*7_E8Qu_KzRP}W#yt93sW~sSpdIVa7v>Vb_{`>1Ri-TeeQ(vbhB!&&{>nl-#F|F)6 z;#*09ajhrCN%8au#hBKX8EAcA)k)uhKa6+p4+pZ3k^>pKC7VT1cR-X}2I*J*~pxYkp{r1%rYV_KVMFovJ6_38;|WH|A@ z>33`W7;f3VMnbBuR#f$MepvPOvk*-o$c$wr0Q!~oaFCG$r4)G z@2?A!qQ6`#Jr6JRNemm@*GX}K*}l%#1;(}dp|ys|>VWtT+A{jl4*_Gs14IUnW#v=S5Usm%uyQ*QHU_*JWXnzc(d8Xl1@Gjf(!- zzAlMN3>)0nc@crxzJ8ey7}we+PKuX>DaN%1{^xrf1(&g}PYo0AyHU5+r2*B~&pfKH zE8v~&>q?*M>&hgw(q6dF!z+CpRL{dJ{1U?k_w_Rhv2&R1>(YS0xYjemqyPw$(@5ft#@$+1z)AZ&TozP)T@_ZZORNq-c~abeU1N~^eFpDZ%2)pT>*^2( zxmJGvbyZkm*xv^*Tt5=Y+qMXhw3<g8vfne&}~=-9Vj` zzOFP?Uw@3MzHSbyzWx-#7`D)=s+Ib>In2S7R(3z<$Ed`x!F^q63e5I(gC#JowLRMV zDMT@*wOs~U15*OS!5>4s^9;Av&2ZB8b#+4Zb*oSHb!$@fbz7X|SFpF-@9cN}ZIxt`Dfb z{vJ_%O`58&JA)X*5!ww!EA=&La!~d4_lU%>!F^pH5SZ=jR#RYH>p2D~-WjAA)9T4U z>!6F;(ev;t#QS;Jtu+bnY+n-*)z@7(gniwAS3>o5w*_BQ7RqxI{rz=Ug7!`1VSXOo zMInyimCx5iL}0eBN$Ee=+7ViJTa?GN=4CL3kLWPqSnyvZ-m<7$>#mUM>rWol*F8bi z*S!JN*L^y)nzS1(U-t$$sQS7mC^2ksU+GBW`fXo#g#^a6p64UQ`*eyit+g`nwfPmj zeg%Il@qQV1Yuyu9eccvReXX!mUn?VOZmKd#ekGeqQY(MXv@$~b=Jd6~k{C9)uiJtG zvwhu@YEWEnIzLW|t4zvcT74O4?O8ZR2Y(##ew}n{t@NwDCb6Q*>%)UIUGa4gf#>r6 z>mZVV)*xE7ePzGDg2q9`S2QFsY;a$bNrBnER{90TeeDz`I1t5{*8B{{@bE=j+JgTY z@y2~Ft%J0%>g#Sp@pVw1srWj`8&rH9R0AWrDoVTI^c6G?rnJ)YFdC8=Hn^|54S`8t z(HVhpt(~E@Mu1{W>#-U5dTQs6CE$-I-j#m0);#K@JP+@Us=gkPP<^dsslL{ZKr8Ep zt(E?sGpLq@L)l}PuCIfRNJtDD+}FLSH%htQG$=1AcwFlRJ~F6wgkntV2^si$Q|R*> z!GE22R~c@twcwzSh%KUwt_IMXVdPR{DF+pn5tEWou==)(uDu8{F3_ zpTKNiYZ(ILS}%rHMnfH3TrSMO*XwFd7>P#SAl|hhx7NDUN#W}t5>kDwA5nch+Ejhb z50dvFbDlo3~!Z;a}AEX%5dUgiB{?O^} zYrvmCyz9emtw+O2>FXeGT=lgf4k6dqK@AhCuZ=9?xgbHi;qtX%3RLH7L(1b`>@$tDsy99OOry@0R=LK)#&$ehyf%Pc`vO{J zW4dc8uKwiGjkw7-Di?r!9FWR|sg0^jEUjvw1tbyBs&>#_8?bA402>?84&A6KLpvUm zZlE2HiO)~k9n2vu#u&5}`=NdQ6k8;B zW`rYL$2^l_?wNMV06?5d=S{lzgu#mY@uw`)|z z_Ap*opNjp(cwIs&wufO?hE=Q_1>(6Psbc#m&ozerPJ_`r=;p0KLj+&Gj2HF;y_LJI ze_>UtOuQAOMPZ^#&pW%5Tz+?h)}R&2ScNtQEz=+RrY*;-`(P{5VJ7(a_FwE`KeW9c zEOxM_o(HaNxQOHqi=_6khCeX>-SAux+z0zG4e!G=ypPggbJEoPI1TTkG`v}9c+oVx z_tWrZrQ@Z+imiX^{UA+ybJNuQI1M%@P2H6g%h}_{3;Us_?#33$+3QO|%#U3r#gZWv z+s&|@4&Dxi@n`cXm$sM0E6Ler&@%m@*zYFA5>W=Euq4AaI(gVfa@No$*bl9{)1=ti za0+7W?L>j(EO%gkP|P)BcBR4oH2=+8O)-z@1D*ZgxRtvs%5zSDZ>bzQWAabiKHNQH zJ`eo6;W(OecWR0GZ)`a*?VpLNpvv_YJ6KcCp3Azea?hBz(Xjf1KZdM&&r}f{gknyJ zVbL_$j5Kv)X?P{+cxkXV(_rtU!#vI(+UIwj5bN{XY3j~Q!+SR!FAY|brfz8(-jp=F zscEpuY3fc(!<(9pmj;`hrtb7Kyg>mck-mR#0V3LTI`-HP#U`2*8{^+@?0@3VI z&+0PXy@rFwupVI*n}`C@E^r)U3geyXIL36wYvjOYFf7Nw3;SWAZ}@>9`c7#y^HOwp zBB@a;a0rFIHzV8zeyZl7Z(>5lc<7rHR51$$k~=wq7xqI>)jae~Nu(fls^+0@nyz9z z^i2<{*g})GHzVP|P$0SQ=qi>CeF(26eP7x--j_9alm1tsZ|R58Tii=nnME6Mu6h5v z&^INFey|_9hW;Ryuua`o|K(o7vY}6_%7#9KLkyRLBJ`d3NZthZjQKq9@2ME4f?U({ zzlXlba&4qDMuxr)n+Lz)o-x_br&VP`pH}r>Lm#>N!8Dh-S# zUP)nC#U?TAsJM#p&{yebkB2^f$CPqudpz{5bR2_+zE6~6&?|kR1O7_ixKF-#2eRHG zHQK}vq0l!bLCvB+EV$v7CFy(cdfX4oO{M(Lti%Z(NOhYLtl|4QHdxm~BA&yz@vn}df?=#$(DPB zxtFjqtGc0YrlAZR`%2&H{Fw{fOISAaWkcUV75YAl{WHuxW2zte-u0<7X1#bIVpgxp zm)$ca8~U=LZ@-~Wo8|~w{F%Pd4vbyt(+0-Hprh@v(5Kxa2cispT6aU`vCyXl9PP2t zr=9G;`0d?-xLTLr-X%U8L+E?@z`wma_{6K5qt8=Fjd#pLDD=G<5k}adFZ)a%@myu7 z@5><<`WALB|J%KUm08sdeNj2nF_`So*RaLnhvOg8joL*ITwANh|QOq9n$AGu1Nl3AF;Xd|S9PYgPW@!PvC4HcVY zQl9;Xfvi0ci1xSR7(Dd->^KGweJdQt;Gu7xats>!4*V;9bsBA+57|>mjcyQt956qhWoqGu@vmm@$w|7g!>|Mlvj?nkVnif&_5|#~p+0b`T zg}%AJHJa<5G1U)!F}XI<86&UsZC_LRqIW04Aa`rnz-%BMoyO*$R z=*xz_gDUjJ3f{lOJ!7gL`ewy()?q)6&=>u=_harElMQ{@(6|54w@jXX?a*f#Vt~oe zm-CKa#rQLQugHPOcqR>u#Zi^VLSIh5u!^zJmveJS#rQLQml`U@pXqCpv@wLffd~GT zzS#P+>Y>lmNzFq4Ar$(u&-5LZSNh%`KcK052`jUz8~WzTGlC=Zom}hd`R*kw8~U=L z@1P2Ooj=)fse8s$KlII!YojCd^$Xnjg?q+iLti%Z?KkvkQ+;-*5}(sIN)9j?`n0Fy zX_{jEnZ7=8weBPnOM%wS*&YZ)JKuq^(5JOF)VlokZiBFj@!PwexQ)>(eFuK%tKH*? z8`0+(q~=xDAr$(u&-5LZq3?(S_im)tWZ8~U=LZ@;0B^pdAv%Hz-Ubq$LFCPN=NJFa5< z_HGL~5E;+JQb6iCFm|O++h?eCdFcBotYSR$#p5c*L*K_rd-O`*fq#2v2| z%}0$xDD-8Y={qb#-!zIk${J3?Q$%Mg4hpz{MLvY{^<`VOklcU-s5 zz1%aV`l0V*xn4R#U&l+9c5u&_Z0O5|zWs*2oa5wRlA$lBraUFvp>LN@4P-p@ZE#?d zOd9$Y8!C^5KJtE8#aQSgk+_QSXZnUa+T+jkJ*r>`eSHr6&^K*elK%DXJEZ1>#32;= zq=(sO`rs~Ih7OBA@$6b<(0k$D{eOa??d0`J~68up>IOPx!!E( zqlw!2<$2k!VLOPvk>l0q1#{dpruw08zFZp}q3@UzfBVusW3pHJvZ0R#vj6(myV_(q zm}KbFM#@vN41L;@hB!@Aj6c(NS6Ia+nK)Z%*E%qErBCY^S9vV-X(#zqjNjhPH&l#; zKF>c&dkB4xANZkf*%dRsgN~V`=1$Kc6#BBy^c|L=?}-I>eBfTf$}E~os`HH;3+1eK zgua4r>iFDCSoTU^_DUZH$-(nAY&$+|ccOd7R6q1BkZYqO^j-B}YvP_U+0d5_eftf4 zc9UE~0hp^uy;Pt!8=k!B8Tl8L2&92s}ChXT>|`c#aEzRiY;@zA%-(H?)M z??VNnSNab8+qFJWa?bwl4GIr|-LdUpk#0F z?&nHhP7^t^=zGO)@74&5chL@ge>gDyOyBo$2hXIT?@OPGu`7LKmZ4%S^pOdU_E_j6 zLme1@rtjgnT^FHmz=40I@7PB=`O)VnIij?Jvj7?%iT*@ znN{7;w^+_|Jw1N zxR+q?8vtlx1?B{^%7cws*j+i6m4tz0%3hP@Qb-_qX%NsGe7w59zk-7}{8q3>%) z2jn+$JaN@u0r!l_hQ4g*+i&R8Cdt_$L!b6yJT<`CAHA^9r#&GDoeX_iZwEHX#7xkx zG1R&&^l9gXRg8r`tyNsb`0d@J9qqBu=c!UKgucfP{LuGC)l>BEe`4f_(SbuK^ktvv zJ1j%rZzDcv>0ZLhtbeb0%Q58L>*b1cn2E*lpSYaWbPfH%V(Vp7&$G8&be($%%Z9#e z=%Zoopb35F4xe|6d&X2h^evU^C7m%c^ew!$&u;gO$%ejc=-Y4TBe%)HBtsv$Ql642 z#zG%y@4)!&-4hI))!7f@nKKu(-WDwZ+p#?j@|uqHnnKLdX9u^sNXhZ=@ai>emlUaW7%n(3cH;2UX}B zRcqk?htKI-Zm2UxhQ0?^{d}2w#$-cZHuUW`^yM7u6N5>Hz8tRuFZ)d2VR?JEe~(X3buVFMR&}rRt&WRX?FfChwck6-y@X{$UpDj|RG}}& z9JjzdW2zteR)y6WBSYUD-=Y=n8Iuit+0eJ&(5JoS2wLo~ceN36fXUFOJ?6kz=+o|q zi-9OZpJw<}j9ux|&NWnwg+8s&(H_6Odz1s?&-7Kq?Yankj~@7;FK6z88tC(EQtM3f z5DI;7MuZXc6wGh$PE4p6zr8ytsA3ihBzJNIFYJfaH7PbF!4ZDdjNjg!rmNUI6iDv$ zpo%RtDK;bFz)&E$@8~L)y}hedRhaaBY3q1j*5FMdxjs43Xy)2&{R^vFWu_iWpFV!Z z5AG$bj8&-4?cH^b4#;QvE*$#Nd+sGH8~U=L@1P2O+XsD%ufrXY#7tv9bc$6!^sV)& z?a9#B!PoO<_l(JgzHI2*Z|EaE<>{C5_~-Op?!fr%-F9&?=qQhcKBCKkC_^8qZKymR z`u=pZ$3x#n2gXC+*KxHj3w_#LpN-Mbci`XN{b+s19q98MQmd!$5DImiD(YWVo_l(JgzHI2*Z|KW8CTxc)@i~3Da)7Zg2Ld5Ud78FE-*<*ucalkYWzP0k z-4X}JLLV6)SL?FSM~3)RjDn3;J}hhOM~1$fDM=M$SNd{B#Z_#QiKQTC zU`WN-mA;&N3>9OcFQFiT67W#5dP1+bj-y;Y9mA)G~kT=lh4@j-|{D)BJ z%RbY0SYGK{a<;b0y@Zun)eU`{OPiyJG`0ZVvq1I)g&+~WK#^{y413&crb<4zd=<|o9)@thz3VqpU z`VPy`cV^MHq3$KD%&Kna+Z-3O+7bHh+BEeI_Y#&3ec8}=P=&ramwYP}0;o0^W72AiCw?({UgK>;U`1vfy1-0Bm1D8`@ZyUb8A{!Cw6Ip|njlh$n-ckmci z%h?_XMBDAac<4(QYF!@sz6z@tf2MDC+{WmYz61aEZqtE}6{61{k=h>P5DIm($1)gGq+IoE&*drWg->zr|G^|3i*-av(CENrT`* z2ga`Sk*J~8WucF}7FID9`pDq8it%Uq9#q;x=<9pnU+FvL=gVl`|CrQ1F>wfmKIvEX znLfBnm!ZSrnZD=i?$g~%SeaGb(D#d+)sE12#gpxaxtFkP=*xz_gDUjZ+FUcoJ!7gL z`nJlo(GmKV4c~dLd&Xo#UpDmZKlFVS#S+GT?9lgt9AGl^wn;1>HB9~*)QnxJW~6b&>5P$~FVSK9+wK{Y4Sm_rx8Kl5ZjRfbN<7ndsT^Q3^bz}0xhTeO?;aOc>rOJU6p)$@ zj9ux|${okxp>KoZ7(DbXHq`c5=+oX;+M}WGz`wm)YyFWYK*y(~_QdcZ6#BBy^c|L= zuidqyx4W0HGON0wZ>KyXI6_~Iz`(eB3Co7QZ0I|vLf_&?>bG>ynCgeVq+ACbp>Obt zak!V9{X-5B9Ox?zDA_;c*xxgK4SnMMqVE;^LyjC`s2G2y?^ikK?9jKyflV@L==(gb z)@7lOyysIf7W&9IL&f+rea|}DW1)|P6bzy7fdl_aUruoF{m^ES+F$91Q0S9BWS{AS zyL1^kES~8L?syqFY(!GbR=VVrS=9}FyX0u1?a2>k{%Gh?x4W0HZ0O5|K04ce#|R`j zYt(^QwbP{7T6Hj0?PR>=4(tz#xxSlbR~qb3+MbKInqnT)2Ri$q19q21dG>V>+P`n< zNrAK|OpI#w>Lubap)coTzl|aE zJ$MjY>8n4w1NuCl)Gm)6LZL7FOy6O7rLXYi-T!(lz0CUenztN7-o0L~NQaqN9RK;t zhh6N)5&BN;-+X|33Co7QZ0I|vLSM;{6HEW^L*MSWI%8z$o4R@AXYLu3y}g^g(zoBx zr%iAKEq0|(8yXe^Ool$~VL9kz=+kcZId~>c`&t(V#zLQVmZ9=k=+l~oRgB->Ju$Db06m_pK5NctK~ z`q&6H{bD_y^sxaM>0m19W8G{MqceTG`>^RAECp=)s9BGazLg0RqonWKjEPaw_o1&p zI@349z;LH;^MAbi)V{A{*uBG=_0McYN#C7WDHu+{Z$W_--xV{lH$24dPMTO63at2^ ztcktlAvVo5u{6i-jhWaRP+-OPWliio53vVa9|i?hoQ#>+I>f9KQzrH|ValPv_EsGA8yX$BxgL*f#Naw>I6ZWpD|rNh9I@r(6GTJALb;29f&l?n&1tjtwqh zg`}^L^lec|-yT=|^gu9T8lUw2ZJQCJlD>|&FPR*Sm_pK5NctK~`ifeqwM`{`MIq&2 zI_X>L!zk(dDk>e3*YjX0V6ze?#*;qwOvc1`(#P)c^+#v=N_`le>AN(l+d|TJ>E@sG zb(`58pNPN9nq3^*ijuyB)S+;u517DZXq!m-Cd?iN-=gxrKGU}`BfSZT)Jfldk3Ss= zE@6eFuaNX@QAyu*v*(9{5!3jjZ-bhDF=ABGSG#hbXM+(_Ncsv%U&Be??691Eb<+2; zZDMq$@1dND(V4zmGbT3OgQTx$e9FXl(pNMlVPZV#D>^A`Vsxgjw{2o{rf>h8#vtj7 zZ~l*WFI>6!BpCC1tl6Epttja$oax&pAMeh+WXJ#cyLVxxQ8R)s>FZv+$8NzTtdR5- zlD;h}>3eS7TKL`}|Hq`pCVkAZ)Y|Av`nvQ!AO32@e;}fe^c9l629v(f{mPkC(if_V z>O@QYAxG#6HBDnZp7e#z^kLIINcuuUGG<$z^o0(wO^hdfp}nFeMvr%!`TCYFM*3y{#zedoU>l!zq{^?>>|@F?zf^J!WEQD6ry3lJJZF@U|Xe zk7fy>U9&XD9(PUb4JfeUCt@b{o`=|zSsw-kR{XSUVui=Mp^fW3=ky$QB)t|$H$ai84o(}GJ_4R7%O{qZidiftGN|G@#Mzux`%s;e#zE@6eFuaNZN ztg*%X_3qQZu%*F>X?)VhGG@f6oxYLHz8oKnm_pK5NctK~`q=QObS9PbvHogJK#cG7 zv93Oh9`CkOj>zkIuy(K}wy!@Zu+WOAiBZz`jjumS`rh|pJn0L)kkM^%r*HFryt{0| zqz_=s@3UsV+FMc5S2)wRP44vV(Ej41gG*RV8neX4Bz;X(r2CV;c3o?NOIRW4D+Z3-j13W-|1sd`}*TaAG^zk@uZL4lrh`#q>o)kpK9Sz8lgz&IvAI zg`}^L^lec|-!<(!&kROP)(`ih1G5V!+6qX{TbD5A?cgA z`6qq9g@>Zqv)RsPZ%u#5@nBL4fm3kdOy4%L)3@s(D~}H@VKwQ-CVkBlhBN6ieee9X zAzDcKkX-*s%$qske(Y6XFj(&uZ&PgMKVUEaL)$rs6|D)wFaCp3z8e0;iu6~dBDTsy zEElI*wCBB=V=HVETgtITDHF>G4bkdDZcLyS~b zoG~#<`f|ShDCzs!hf&g36E)lNq%ZV*LSvZpZT^pUKU%pE&-8u7cAm5~{UJx;Oy4%S z(|7-$55FB;!fMhG>5V$m=cwq%eGB!697oRH9X3h)e~Vby=_~B?VY0c!{2|9Pcl>uz zFk%{?^tH&ybr2&)o#`7gF10KeF@>bBkn}Z}^cA&K)2~kYHb$j`@njAPj4e)>7$tqR z$`N(am-hF^+dk#Pc+$tFM9u!_Oy7+O6XQuAyC|bENczs({FA;>{j*oVm_KGa|95Np zLk^`);Y=T>i_6e9ai(v*`%Q6h39CsrHtB1ryvdjJO+EkY<-sMakn|OjzAY;0>(guY zhrx(xe9~7Eg;|IH_>#VBrauu2Mob~;DA2eb`XJ^c|Jn1VsA!TB8rtgS^iP4$9{o)#fq_1l8f4n>NkkRn+ZR-=Z z^S4{mA957V^lg(neHOdqqTmu%lWuI%*E%Yr+L!d5+ToqwgG*Q;=_@3CTU63FcIMTG z1tX^MNnb15j2N}k_u%LcR|X@dkn|Ojz6O)N&@^A7Mep7%_hJ0;Zs@X%bUN&h&h(wG z98o2Gp}|qJ9zEXe;p>kc@3!}0^mup2jMDwlgzNcU7b3||nt4TLD>DyIBx-aQ-TK`rWT*3-TUm@w+qLRMTb8kKsjF`qJ zeQnfwi4miczT`!ZpA(FjLef`A`Wj67*ihw6SdZSl+eb~wJeh+GhOy2z{Ng`|(c|4+ zqb4@p!+J&j{-6R2E%RZN^v%zhZ7Jz{&o(hS)0c{B43oai|MBjncdvgQ#{3y;-X*;i z{q=6)Oy4${^i|Fq`&w`bt4TLD>Dx`s2)?9m-b?#Lf=gH-=_@3CTU65b+2d_t^X-5A zMviuBZS*C5%XS!iLNHGPr{MrZoQ8vQ}iSHAi0^u2RZ{|MNTVa-pAZbeC-(xGss z57fnFXq!0G_f&^>P6;kyHR;ACeeG5B`;xvFp0)-Dm#{+8S4jG{sHCqV(*A;A#58`V zZ}$w$I{e3%^j+bBkn}Z}^o6F{I;j##U#Ltu7*FP)0t>~}G>sUY=^L3b z+fMhe-XMQ}ylrK0RVPbTq?~jbeaHntcPx{Up`sGP5<}XMM+=bOy4${^d0p| z@&5n&r0-uU(tSzalqM_31edTv(pO0Owy319c-$UvM&W;brmurqFMUa${poeEt?2(| z%RHF0-6EaHrzVKnwJxu!Eh?@0y(#IZ8m>5s`*ncu6 zMrZo|?dy-u^j&CRko1*q{z>0}rE5EZZMCfV+Qe3r^eGJsXZk>0T!yxZGktfy_VrJ} zC9Eb5k>02;XYQ!H$(Quq8p*vLT*3-TUm@w+qLRLwR_?qY7%`21yt|)T2YpH36VEPs zIv6p9q_2?lHJtRlpMoWf|EQ#|=mq6qD(Ne_&sUGm^i?Iyw$nXW3W~0bn;1{}iq49f z7*G0&jtiR@o$2eDF)=#R*CC}bNcze)|Hr$XvrV^yF@MQg?CowvNnhbi-!{3^_r}H{ zQ-e!bO}ep3Ung5ewJ+&geNbJ~;1X6y`U*+k7M1ixc3_VLBc}05-~MWC^d)^Q(kH-Q zjTCnJAN&4d*E$7Y&#-hV$(S` zz=!dqFBHz0^?1@3+TAuWdc3=R)Wqma-%6uD-09o=lfI4Z&#wVH=CT$;Qd?2dS2)wR zO(uPNw>xrPa0#nPL-aRlr?0b$YG2ZKQSOz!gG*Q;=_@3CTU62)?tR(lV8k>&={r!Z zjlQJs%M1JL9E_O4PG2GE!z8WVq>r6qn~4@r`q)v*!Bo=64p7syO8QtUA2!{CrGQx( zUw=?wp{2HoQPTHS)Wj(1o8{||&h$NFV7Sw_`9I$M{gmB?f^A>17MDl1qNJ~Irf-`} z`VQ}TbBo{-R+DaQ(ic|I?@RjrI{br8j-?lpzCzNsMJ0Wg&mP}77%`1c`nuY3z4Rr0 zb5Gi1lZYuKeTAg2!KAOKg_?e`9wmM2j6_T9^s%3P7@g_MWTYeVDWxe5a2+ z7Bw-R^s(E0{qdxa-QdIMOyBt#-4>F*>o@DwlE`tH8S8Wmi^YSN8O`VLl+?o0Yc9lssi%zr|ukn|OjzAY;0du76;TY?eO_@wV3 zTdsq?r0=RXZ&?(Km_pK5NctK~`a*Z9=~pFvp_?+&!Bo;0x>eb{slmV!|K zsM(e$eW9)i6XQu=s9nay=<#k7Uw?F_Z-s$D(s$G5pY(k)^_*S6wgs%k(%e>*^cBwZ zZIemg6TP>e6I{Y-(v3~}da9`QC4Il{oxCQvgcXv$LejTIC4HG=M=T3QOyiTjL)3cd zOZwV9`e-s3F@>bBkn}Z}^s$qaGpVGH^;T1|O8VITK8zmk?h-W}ILY|?jl0wRR}_>#W+hppY@rBa2YuaNX@QAyvMQ{Ox?7%`1c`VLd;pfBmG9RJ;_ zLeeKE6Z(xwOX06~8~8(xqUOFti_Y||^5x-O`aVlYws~^s!BW6p%a|BX z`q-ns{&>>ICi^ft(|3K;Y)fbQ&P!+vl0I+qPx^jeIA$h{`5R{Uwzs0BPw7xN(+BF} zGPF&c>DzE&c{I3$)ubDn^c|@p-Iw&8x9jl2_w;#4ZV%TIKj>*Ur#Ho3h3mn3uXvkc zGyeg5`5)TOL9A#^7=G~|yzgrG7c0`s0b;8>#By<}MUk+YWBQ>C)?3Q4MJcmh4hpPj zan!_?^Lkl-J&yh0ugBZY!!Gy_-eZM_{moAZh)3lLD6pc>eb`FG0-Ub;2kcL8(|TVa zW_dPL=Ra`Y4Xe^vuX|KL{Jviz6|BR)*@;i@IVBh|jZgY|`3CS!n%}Iw^~+#3wvhBm z9q4x<=|higDCw&SOUAGsPx^|UQ{K#zIjF#jrupj8nZ63;h`gSM^~U9V{qeSEButDa zeMLj!CPrua4vCr=o$1>D($VRWW%c}BOzoxaWgOkekh z+_f;~@0fjCcq>Z!3TOJZ$)xYL5#PcwyIXTI3USG)NjEm>>#HK&m-M}z?fhbJ2`eOh zg`{tbO8UOq-McOrF^y09`q*X!s-$m8?##P`5mQL|3Q1psNgq2=O}|)=9`E+@Vf1)+ zzl?M`tVfS`TPjD?N#Dk(S&x#w#lHS1>8tf&l=QuoG27CazNc)BLDF~Q=D*W-<|XrM zVa(q%`{ndjl=R)1l|tYY{1y~g@m(mo$(q<(9%9p66H9aK-k6EK z0R>ikU)IFl^ALN$^!Qt&;R#H zUq5p?(mQ>}v3tJ@Mob~;D>6nI1G9gO2ia(uW0vbmHg=5~Y}h}6#hQ&@Y=e!`eOzE8f}=6mI5WXYTk=7} zL`?*9Hg=6tMNTuZ@zAKjan1(ZMPAfw{9+4?xGx^$F}NdQ^|EnwI#1uY`}!fvpreJ% z{v{D$!~QwRtUW++?i=<(&tzi}*ss}G95&hL2yArE;P?zSM%xTJB+y_3x)qrAjS)jW z+LPE=BrPW&uEIT3J7#Lxt9bLrgXCq(V82ig&e6i1u%wC)dvau-5tleYMH}+1M zY%Br$H5*H#CL5iRjTny4VB_p4vlpcaG}tKJJ0&p9#^ZN)exKM_A}u%ASQ?d`5E}y^ ziaWcA)yu}U`LfYw*uQ5$M?W!pX(q_Vk}R{@O5Z5m&&S3xuwS#WJZ`el9oXoW!|@qx zi~-+Rk|oe&W4}$=c(!lR5MpDQwA^50d0civZ1hXB((W!|^|EnIzLE0%u{%Ex9sSJg z<+&gm%c1{Wm2VvAV`Bx_ui03cFxfa1+3;|D1{>#rZ{P!51Wh&$+?0)P+dq;dHdaW> z4K`LLWGBQ%Ux<`LUBv2Tu{h*^?nEi(xWMievtX5eWDcyW*tOENr8>>?$ z8@-SX8^>p`aY2UJD_sIjHoBz*rXyuev%U5rHdaZ?4K`NG24WWN1Ci2;cU&(UgYu1( z{daMj!*G6O_MhP(8>=JC+C}-sAwD+#0{b-^YceJqeSnQ{l8jU<>{y7D)e!l?fEY<@m;w3ylJ<3Tq5_LyZSWu!!WY-|AgH5+xd$;L6jM%Ofs z&tT(H@QuGc0!=m|o3b(E@E89}Y;2I08*J3svJ+zCNSHT{aS^MRjY0YP#_*xd%b}y+ zm|d3$vavDAteur_3^3VnLSVmQ!(m~QjVQ7a#qk+zT$W(=#w39z8v{0FV?ynFy*V3j z7tuho0r!%f5F1B8q(oiB>SbeazHF>HV84r@qb1B?sQ?>JD9x;9$~T7i*eC}3H5=PS zO*T#iHo9bSdhe$cqMXX*nBKbzj zWA1~4p`)eD*)9`gqd3c~om?3yEfPldh1pr%X0RB!G=Lj?ZA@$^>(Yvjm!K zv`7d{&!Q!tmR~|_Y%eX>Y{0!_C&b2K5Gf;gC-t&1Bwse3UAO#q=>2!*?2rqvQF;P+ z!wxD^PVxE1PH}?`rzzO4KDCv?N0QcEaL6VbSHUN=6OGWjaGIw~HqL=-oyC~d3VSX1MpG9D?a#hONKCVF_@hJn6B|2A%e8O7y<{ik8;8KW zaZbZ*49S;`Jv**>40>PAoEG6A8_grkDptO6rjHF9?AL5K8Iz3*kPTs^Vq<)UIn5)| z5bYahii*Z(*o*Ht>=k0emX;fAI2qXqv2id&$^{Lxag1hTe59fMjqT5${{Zx!V@^p_ zuu&|wBpfHktlqBJ(I`C!=0*9#V?)Qm+@XEWAm!WG zvH`yj&I)iZiE-tN=GcJc5UZDsW3}=P?`)ml_tXQSqm|6rH4q zqb-!QAGFJwY+Ma&G~wejR*Y%jT&?yf>+fFsF!cThb9Rdb*=QFJ)n%n`l#cUEHg*U5H5=_Mla1?<4UfjG zeB=H&bK1qFA$(RqHlSO9X*MohxzB6F#_m~pQM1t=Ax_y}n^Kj?b5kslVMc z3p!uLoc3OjjXe^fy6>fLlwR#)!v*^_8+*AX8#e+QJBcwXHXZ=q*drkg(QI7p2~4x` zWXGd_CN^BWhL#(1tpzose%V1mCELAy)6aaYDXqe0kFSEuo`7nbRR1V57UY>W2KXMy?WV*=Ia|r4xRH7 z^uC5Uova`m2iT#yucU93PV}*HAlR?j=$tg!m;!9rE{$2S@mPvE2iOFfY)ssgjr6R4 zola~V=!pgf8=aG~6Jp~J@Qo=k#Oh_^gnWIY^SHa(K}Tzu)5Q(4(K#HdtIf)JBjIDC zE7-5u2&YXprU4r*QaC;%Ql1Pmr*oJb(C=gY)K*Q97*un|tnPKb>aDONfy zhFHC9MDt~1>A;JJLr3eF(=8HYBODFY%~8H_r;m;9V83RgN7iH`32d|!hAZEA3L+&O z#XjnX?eW#i9%&lj_3_q1qWu+bwcFfnhegGfon5UZDs)g^vDa&Oxyt z8$IHox{UIT8$EO0I2i0#Y?Mw1rt~cONQSj8N#pno-*^^$qeq-T(>HGP1g7VWNmE1o z%eI(va8_Pavnbq4V1kXc;2V!*5UZDslk;U`(Sk!T-&oI_L%jeSrBidEy3dj_QXpY4 zX3@hegAM0!*JR^KV56-tT=~XxaRwbqL-Y#!fW$N#$9z1pnj+;etdGuQ_{QO`z=(}v z25dapFdHZ38!64!Jl7L?-@u&1lL0oI!&0HTkCksc5;NJrX8_7K9N2j@eB(J}1BYz- z#`8G_9TI5z#v?I-X*T{of8jC22JQR%**G#HJK^(2aR_LBu3DdB zk?9~Cy)vP?kCbmb>0{$4uwSzgfonQaW&j(zdo*U{8!y7V(Tfk-KW{u4ql(67*ts=7 zZAWYz6_FQJq&N}TK={T^;2SdRp)prScG;{h}K{oo?p}O~Fq&OXHGg1bC{fdp!H-Q`N8*fEIb?;K3FoIaUYz)npjWz9Fe-G|g#GK^OO|0Fh!7rmG(Y!v%Ih6EcmQC9kC z1hM+r$Tw0}Rz_w*-^I*{dOxgAM3bU^-HM_!#mR z{u%aES6t*BAeA(Fls#orSQ8!`E&~$)}(oewt=UnLdnPn01%7v;0)7ZTHK>@{$L*a;jK*@O+am%s!YUqHv- zHq6GbeA#&8)`u?!HnwNZ@N9q$XIL&&_e@r@F%SG-&!Qv2e&rj^D9>bLA+Yg8md32u z_zdQaVL54tW@BDNV44l*q$x*{Z;Xt|i^@0PUIG(r%!#tnh5r{e@|`z+8TR22U}Fd7 zoNfi#7-fg*9#`|mw>~z`0Q)r?XC_THeg!tBCuz)zjaryDM%mI3&BnJ8foV4G9i0|W z5YF)AMa{;UNr4GA<|SC^uMM*?JYP0`UEi}eu(2a^Vs4O)GsB^}$K6G4x}@|CXJFinlyks-#YX9hh{?uE;Pu`t zjajkrwauK-Q3B0bbYNUynvL`9S;L8qbJFsnVgv3aFu}(6FmJ4M5vz9=9hEN|mrWgo z*;iBMjEM!RDC zjfamvXCGqYysT(oe4@VqAzXC@8(HZ1FBh?T*%+D5(>Ly&`F#fZZpNGoyZ{@{`H4{7 zR9DWTE5QFX8)LzKdTg z*uY@pB3EF7jh_>&bUnxFWn)ynY_xf8z-4g1otbk{GRVeQu>bC?WaCdC8<&9nnvF{% z1{-A|VB=0PW)&$5Vcr-kEjQTsQ(~Hp4_EdH6C0OE%MCUzjacxbedCu5gF7NtFB>EC zjg*bWOAdp+n=|LqbdZfpGR&HomTdg(W8*TgU$ZeTX0p-5Wmb6_$7lEk96NU|$q;Dz z#^0N=@z!sz-$86#77+~$HpazdC;St=^?Q_+HE|KEmyI*>W#iUIKgTtt1#`w_gKS)u zV^*~%hVBft%}BWd>{o1*!H$d0Ma!Bdm{k_R@fmC^jxy)69DxQK(5=9G?*=)XHUtz^FmEtE>PUWfs_26cL}=Y7v|jL1=+YUfhP|H8_u~YGg3;ye#J&v2VhElqA&Xw%x8xt zY0QcZI9TA^$Oo%H6$Bz|6cgb1KsTHk^tK zo;;9jbO2A$Y*d5&nvIDulZ~!0u7k2TK7$Q7SO6UoXtL2k`io}c$szmxL~K+?L<8*` z&cvAPM6i)#WnB@fpN+Hf^$oZ8`aNLOZJ0AL8)Tz8hbIpt8~gj%xCQLjY~1RZY#apR z>XF3p8EpIkky4!_&}3u(P1%^X@HP6YHRqO?Xkf5$t0y}lHcrT}vV#z6fQ@{8*^$7Mo<)1dnbpn3@fmEu!2)M;m_UOK=vH7lQbObF#M7n!B;`dlZ@|4| zC&b2yHY@8LN332pM&#=olPAn5hf%j<&Yh6}8)a}x7fv2X-{=V?J#S1&8*DgtWlc8v z0UKSjG-kD?z`+9OkU)ctvYrytY%H95j7#&zl(cAI_{LpX*@<8SI_?)otX?)?nM0Pa6+Hv#Kt{Yc~SWW+)H3$g*_?C$_D>m*vQv6zPzGzGK^w(=1lW~ zY)l1jXsfcXem*wtgOXEgD9~()qU$gOG#AM?n zV53u(#;n+YkGal$DQSpiV=zK~HfAjNu$I_Jy7HoC3F$-Q!mIL-2KL|#;Ez`X<}*cb|ta#|L#dgqNX`TEAlzLV|+HuhxB^lX5Qvg0f~ zc_4iQpEc@P^wF5XhVz(bvM~zS*guBj(`yPIEPxIPH0Ovk`3BreV8jNz z^o5n3l|`&xHpb-3#;d(f!?}Df<~-#F*?2OH=P)E2qkL>U4fbm`o=KZ*i~%;92*YKh zSa8h3c`}THR-f898>2R5=(|Ror<0-4{VIdB33UOXXhI!%|?Cv z6AW-~<~$n-vhhq5&tXV5&hoMG9N4efNP+!&-WUs|?UFb?BU0d)h4W05K+`wQ+LVnu z7qk&i9-m8#1_m3ctn7s68}J?StZXdD>Sg2ne0}4uc889DQSZZ?=VL)OQegi}a^7(6 zNSKlG0$8lsm|>Z0crf4Y=+c;#Z@@7NCnYV{d*;xsFiuCxu;V|zjpmIPxRt(7^v(>d z&mD}|_#w*5yc}ZnvyrcFyuS3;zR-6E=FISdY`h5eKQHGEXL{IV<0Y_Pv+=TPvQY+X z?2w`{D>gn#Fy}>SxxvQtFjX|NuiIz*eiO0r5?({g4c~a#6_|*WY>JhYHO$5Z`LeOy zv4dcUp?@*wV+__%ix%T(57Omv5vzI^%I0*w~jjucd=*yqdvt z7?O>#J~n28{hEz8VkR560UJ%zG-kyH9J6rfAfta49lI$Tb<;y@$Twz6%MCW(h*8ht z$z#zX@QvGYh}Fx+h57o%K~E2Q8Q9p5Id5cxY|PBzISk2$2a%+oJiZ0?Yc|rJ$;KVP zMvDlIS+N1fES#Bq(0(>Nk186GGHBB6Rm8?yF?mt1uxZ&qM9K=AmEF-W8yDp38=thk z?>AthBXi!if^4L1Jcl9KDD$x~3+z{Hl-=WFV=6G!JVSOUHsF|rleUF%dfq52lg8;t zx#8LJQ;3aO>Y`!;?j<{+NXf-n+0+T$p$>FHzMT=uwSz=Ct|YkBCxSr z631sm$}bt_e3l~6WTV;>n2wap9oODKY<%I$i#k%~LcX74p#5YQI#s^$MF!7dh@m_0#7#El#Z5Nglaui|!d_#GnUiwuDV z8_=!5G#l4l@pTQcF;898Y|Kx{PWYNqynT+9y%IyLUN$bxmyI^LcZy)tU754M4ze-d z#d8?aHy#JJ^ep-{*sp!#o0Q20MCA#Kzas za%0~3CM7%JY*@o!-k^-EUN$aC=ebK9Te@T;j5^GmZ^J=0z5)N=H6ht}#>d8YV83SL z`wVM+Ku%HN?yCi~a{G7zuP_luaTJ*dD zhuRD_7KcqXJ_k1T&f@qCHej-Ieohi-vN2N`JQwTZ^OR{H^hy&Oi=^d-Z!8YWPKb@u zjDN|-+dejyfc@GxmPSoB=Ehj7btxLN zoHr~8Z|IOfla04GWn=2Prh|x$CDL+(jipi931_3|U5J#qF~sU+eWv$eQoik1CrBUZ1iSLAE!TQjEb2DbKO&g!g)17&L# z*3aU!u+>=!C2i|p8PnFqaj-Q`qg1xe4TB^x0*#%T@pD@~LAtI~7j+)_S4K1tS#>Mu zWHIl#UR%fMm~U9uZ0Vebz}CZ6sJT}fM-);YK#IPD82&3ppkeEK@%`^3T~|lsMQ!T_t|5>k zY~3}-%2xAE>ecm%e0AM;(^)us9l@N9(V(uYK*=2<($+OTTkA5Wt!vY)_1;MuxzhFf zI4fJFq??O8;3_`mj6*|Y>zW9)F!$x_G6EB}?gqN9O(RyXtyku2YyX!*!(kM?SV_nY z+L}winbfSbbwk$BwWP>4ZQbay*8A8rN@eTMAW1GkprLEu!Ta?hTQ_9oMWrkBD==Z} z?$F6b7qNP6y)IuLzG%_=JA&+JTCrzWdmO0!K`iFE-o-(>z;82y(3nyt;gnT>-z&+_k;WOW+hG1 zL0i{G;XG+h*jiEq_NaNdWC!3u#cO$!ENk5%h9fd;{T(D(8zs=Nb^V3arCe9&QC`%x z%BNN`UfmRf-mzZ2ww{=;t&7(j+zCc_6f1!$c8I{vcTCt?&TP}xreL|YwJFs6 zR|H37*t$H-pbG*GTc3UQiD$X3(4)MlZEflaOz64~=-M=bSiQEMmanbz2ku`6BaE<; zX0f2HO~E>cmJ18mjhnVMkD9i&2!pM{T~)lUPO*}v${aN}y)pFFkI2?0abcIvB}$q{ z1tx6m0J^paH)!kW`P#an<8atE4E13p>RV7{yq4??p6SG;t~+H+TOHT5wI!7HP0%Qn zt$*eibRmYW^QI%G_gzW0?v#-iwXTjUFk$O{VOHLfWA*AfI$v9#+Nr2D*xHwslvqJs z?G$Uhi!E#|X`VK1ZJ9G|ZR4@l`{5u>ABH8k#7+@t`0z!ECvKs5ZJw4FwXH340u#1& z1YO&Bh}CQBdHLFUPV|dqFv5PUWS30P)|OyFo0PQGNtm{_2FrE4?iL4Ivp6CnUN?fS zEjL=w(gl>tvg0(l+uTbVOc6^EkMK8Oy54;$cNk5!Y(6T+a?4i zY&|f}%Ji|}Q-$pta{;k&6lD00`nwGk@37fX=9y4w20N3^p zLt8`F;wC8uT@Yy4x_II9oydpVh!#fPRI++7Cioz}G@u(E%WMyYh&Im}9S%ZZ`ux#@^!ZyZIs?irI8 zwGZzp?H9I&LD!Bh)~nCf4SRUj_Tv3g^DX%P<$jUShPC+R#QoCZAN|3!A`g%O{2%<) zQ|hf#yN&%tlS z`jKIJ?HP&Q+s4;^AqR%&gVR8&N1zZL-@H}cDIziMy(Dm2Vp{Z`oK$oUEqZ6H#vMkB zei#=C>nYKJ09j9qemED7_vcCBj);qTMbPBB^s$ zVp??A?|>f^M2p@nCNVAgVG)UG(fe`o{i5%gl=Zae{kfQ0bQEp?7ZWfc`e+b+U%iZALy4h+%z zL8K29pcK6?IKtsBRTPHsNbjGNm=^s=g=x|IW@SAs`mvmRpXfk9N8yg= zVrtRHfauXUw$-Aa2cn-C6_^nHB4~R;f-n?4-KgokZ+K}ppzat}a%dtb`tcEbdzTdb zSkDx_XUr5G_~tG^Yx*E)7fwpiPfMARK1|f)+>{UZi9RBWGRx_GWR6)aVlvWC2Uc2T z<(dw&BD{eMIWR=;15tf^gg`0!QQ!!J6s9BnSWni|qMs%OF{byPF992eKNziA^s#Y?Y027>5SS4CVi5h(3}QOcF9p#rLkKY;`W4Xj zk|bdu`m2o-efhxjEnwAgtfXHwDEbX9zHdv4KEX0YpO7_0|99FH-Am$|sif#PdZy?% z#Z1v}j+mmCx+t?0y$o#LUWs0orFVvr=;csq#yK!VKLVoq1{aAzk@%!jd^k9QCn^d< z`1C#jtMPhT^cy{iY0)QSC8kBcDJC&3`oGf>)1u!Tkr;`N!j-xL6QW<1VC7|5#I)#_ zgXrZF6QW-OSQ%D>dLa5Mja$>Fd~otz(9Q9zcB|7ZNwLt(Thu#yw(py*G!_=YSg`csxE z`cqj`^rzFN=+7kaT~bo?XFXH&=VGSlsfa21^DfFPMSlToE=tNZ{Y5A>QKHWX<6Ewf z7en+zAkv?736zn3FgU_9Nr~y{{V9Y3qCe|NOpE?hR$^N8=VB7mqCcIMm=--1kr;`N z!aeT_Oo)Chi2fo%C@^h8SmQzT8DW8mNWb1@dwoEB`>$1MlcyH@aQQWHG zjFd0nF)DZDzII7U68#$sN;w(n-(+d4hD851ZHoR~(iHuB4`r5-o`t(_j7iadNU`!C zB2x5)SysLmR@g@jL(R+Y*e1j0jNOau9nIDrFiH@5%-()4GMPCq+7#}`& zj&IWv)1rUvN=%FXT~cDTo)qqT_!l3GA^Hy~#QdT!%nD41?t%GPk1!D3^%^Dm<10%Y z7{y7fB$f(_p7TgN5`9ICwrWW9l@St;BK;4SN+kL!i`sEM%U5M-tA<2hou--;>3=4% zBqMztj9{ah-tnsdH@H&t^&s>5xDuwCH~(B}Ss7aPo8_nT6NEtStWxT4I*U|6RV(=D$^> z-_*Cg+Rx&0L5WS*lip1lhS2Rn|Rt;yQV&^!C$L+3Y9;Ff& zy`n{!+HpQBY@4=fIBOMlj%splDx3_Kgy>@?4Xl=8K zR!QW=FFFjFKtpsGj>IU^`=uEeBQX-aZ-l`>C8k6NGbN@(2NNWwLdgK3EQA{`7An27Y7!wd)^3`K9;^nP%!pU(yAPGKb%#e<^ng95=cO`>-I zfpDvaME_Tc#3Rx7O;CwM-!D$>Nc4_T+NvSZ_YYG|68!)hOHy>W7sxC{?*yfFF)4ay zmsK2?5|LifCCVx~XOV+|=<*DWDf)hKswfO8?qjiv4jGA&=&*@XA)kdBd+!zUJcF^O zSIFmx#@>5{JPQ!os6{`33x`Wht%stba0leXeGSn&yNLNkr-wg)3DHaAtfCWd3oBq~ z!(NRO{n~xwOQ4%mS;@F)Q1l}_5|2dh6{D>h5*>CuKrUf-MQ@i%B>GVnwIk7w%FMX#8|NOUl#;;5{|bfg~{k(iG3NLpe#(tEoS)1voDN{mED;re<4Geqy7Ma(by zKwDr!^s*eQ=$9f4MBlq{)BBk89Z6thC@Z-p92EWJ6p2TopOT=h8WR1~IEhD*J~T=t z68*F=wIk7o*|b$dq7TbaO%mO=4O=mS%Pd7738lZXQuI+E`Y0tjY{OQZ4&&ZL^piO; zzC^C#v@lhakq(%T-vG;qC@=ZNFNcQ z5{Z7YPxKq0gj+Qv`UKIAME9cDPDc8TX_Q%tels}XpGhftX_8fxhUN5L9%U6}oU1^j zk5z*3pMc2D@TJ#YSSx<}ZMI~12 zp{OX_jcI`yqL(HS^NU{2+v@4PGR`V)=Hug{@7=iRy()HTCtze4E4eur6#WjAM2UVU zh=*G>B>EKF6n#q06#cFYwWCPCJ4IVHB>FuGs!5_xjbllQJ}rteOVRIz$^H)&>G!2r z#eESe`u$l}aetinlTU)Vz;|%6{E>cFhAK+YVH2m~PEH`!Bhg_Kr{eCEtfxhvVoOYm zeosPTTJ$M7iD}WN#wAwkp{OX_w5Y%g(eFzk<`@0`tiZ(d4&O9Vaj!?1725E2B(INb z^=;0KKk!gI|2CYJRAqvq^L;iYI_|Tf3y|oz&!!_??z2&(r!elJCW-#MOC=Kh1&i8| z==g0rTJ#qo(*IDAJ_EFw5tWhtUvSCQF)8}XE~|Kna}|hmy)&jGUGI!hq+|5!T{;pS zvFBYR6&*o~{;Vf4EjsOtVLdIn-lfx`zmSz}YoQnTDs~X=#k9b<=*+4DU;b|nF~8_9 zy8`2)^M6;&ND~I4x5+Qk>l58OXyzGU=?GSGTPi5}yJ-@L*7Wz1R3g!9JSuU!E8dS$ ziA4V(LM0OYLzhY<`fQ6zB>L7V?A=%2Y*PfzcY;tU8O3`B3&xHY{)``Yec!AMqeXCf$iHf$W1s`$aC zZ_*>tf5?$|B>KV(l}Pj-Q^s+rik}kHjzs@CZi@a()D->KFv={a_eD@z5tE`XjDu%^tCxt^mQ3i^uJTaajA;+2~+e9aZ~h-QB(9fF0&B5 z(t=XX6{1&$Vyx1VqF1s6t7IMa0u!RcUyuVKgh8aY zZk*_MycszQs5_mNJQxj#UTK3s>bO*;0|Mc9W^zUb;1z6vJJRh&XuC?3Z-RPDSF!^t86Q?_sVt|R@p9w92la*P8$rFKpE+M zBMgQkF`C{%U@%5vD$-$~5>ujsnG#c?g9#E-q5}aEQ=)@$607x4R1~gFTwsRiZIg)k zMQ@i8m=HY?W|h10wmj0?Hg0+!v%cfuK;0Rv$u8VWro)vTVf|Idr7AnZ`m06X zAJ$)$$W^8ONn64~&_jcaE5%cX3V8yILr-6dlg&)cr1}_sVV%>0uS=-9fkR zI95}1*lB|y6DUQ8owmwOp2W219kUYCq8}KOm==Biw8XUNog)(a4F19JAq)^8F)ex* zS7Nmuii*N@MF<8>zKs87U>g4onA&@{2qy-oXr5ja!%kaezZ6w8)^spNVp{b635jXZ`{X31MIR8C zm=?WnMq*m@fl-OodMG*yHz+JHBhrtKA?AGf)>} zCHS>3lU=x%iu7S1P}iv7s&Y68q$7Pq*c5%FZHhiJM|*Ib&&p95Q}okQrs!uROwrGb zqs&tD7?gg?O3}|svdXj6^gcSnDo4kV7ejQ|X{#I-Cs2wGJ8hLCa}v{$K0GQhE&8a8 z#I)!m!V=S>pPrJK7JZ~GF)jKT35nHuC@KnfW?W!~=w~Gn^NT(@BQPQQ9br}(<88U< zts58V;R!u+K;2oaCKkq$d;m6wBoSka91%c2s~ zqF<4bm==9pSYlfAD^n8FqF-)HOpAV1LSnTZii*Nr9T%7(`n5^K{GyM~2uz56XPi}D z!`pJvcWqpxfBEMG4;UHEO5RKbMXv;ba1V||uL6N|q*upH(I-Yt(IvHZwZnSj#IO7zKDRyjE?KC)H*$7YqcCy^IJbl7RDtV|Io zBOP|yDkp^{rX#&7Au&EkYf6e$-eOBki(Var4nCAD<4H+p(cs`7zzs|&RXT;DXPi2seB@VC9yeE`D7ermXZEc zl2twhvHfit7^!?Z6RP_KCVR|vSmm?u-!lp1#Sk5K)hd&489^yJVvpt|MxrD3per#x zNZi7$d@Lg|E&4+U@&2^vk8|?z9$NH=va+5Q{RuHZpv;3oTPWOEX^8nn ze-*}Y5`C77B`Nwlma!vO`7Y%0Kd3eRJ&RR>TME%@p!8k> zIS7b86EQ<{%&gz?B&J25nUxrcj`h+piD}W_NJ~tM4jbR_;}`wSq{Ou7vs{VQdLSU~ z$W^|B5VkcU{XLHPMX!;Vi1hoQ?Yja4(T6ndVC41fXFm#~IG2@VqCwH;WZ@iZTt<3r z8U#|Jf0-olNc6cLl}PljV(|U~s7a#Fi%>ffeZEUIN%RF4mZazlvM95R^sk}xtrGoP zJ5={mOp5+pBvkjKizH~#@qL(;bFu`ANH6+2&MLo(Nlc4go0gc4^m!49Y0N@ksRFQ&b|+mnHZM8-?i0yO|n#zb5pf_8cRa-svVLjvxxMn z9icSW6>ECcPEh(LDI&e9X(m+nbqskiL|+J`&WR8xBYjDj!Ehv|A{~s8m=YZZDlsKG zm?<$OI+!3aB{~owF(o<}C$U-&MFru&M1cv>ABrTLX zm{slWN=%F1){~ePeGi0qTP?cW>ov~ERqc_L^|a`_MI@$0Z=aSJiH^eUnG~21{n0q9 z+RH;si~d-ORqdS;m=OJGXzPl4Ao|gb6MgD8HSdF^7qF6FA$t6xhd`hfDH-Wuo5Um0 z!#NUf%l%lT#vbu8u(Gj%hVO!SIqW4HhOp6}QNlZujL2-#`(Ys|N zrbRzEDzRD*MMdEb2@6b!{$!X{_2ifq{i!&sIxL3u02898QmpDwQ4d9L-1L6?#y8gk zbr-Ud-%~-+2juvx9z~=N%#e5_`k)kvN1_i-P>Do8I?i8;C`2C;rFJCxF=47nq91Ex zNs4}K4rP|2AD0Q$%~qlx52cI}J!*yOK1(7mhUja7m;pHgh3NQ7#VQC3UeOeNU`AqE z^dV7+Y0(FzB&J0_CM+>6`rw4bwCKm$607x4KosuSoWO+W&xTpm@fpOl=+DJjRTLpZ z^cm3hI8hHoAJ{n2r>^UTf514FmHd$iiry8)lL1n7dW^&)(a(sGcqICnE|p01n8n|A zC`6BCsU3-aR+?&(=%bTZlA@mtQ}}Ep`Z-W~&y|sWE|fl2qK`?1>OM*$FIx2C5Rlb1 zPM{QhCm<_^5TCYnq@T_~jD9V8EGz42(a(rTOpAV2T4GxCGhK;k(MKmGR_mdFDBRib zFFqC_`tvzfbuP!W=r4jDV^Ua8NBXN!?;KGNL?7I^>HUk*U0;P!T*OM&#)G0?9^o%% z6p?;~OX88}S6U<)cRX zjf(W^q4XiR0Ndp~Qzk0JVwK-T3E0)^=K62z*j(h}36U*Sqji+*)dVp{Yo z5#kP`MZdF80Dseum zZjDokM87RcB@!K;HX5Q&wvEl1s@v^Q-CGdb-==|)D%g0fx;-gHzas)j2F7ZN-os@u zWCCTRcaO5FTM`n}qF3i6#s`UyM`556)1prlH!%~r+oH0b7JX7mVp{Y>SYovvn1Guz zRg-OjakKE>Xd3@*k#U6G5fPXW{f!iuFY1Bl7dLKtKW)`P&jEFpu$J)E$pO(HO!8N4 zib#J5V^nU=R6WdNRP01nO^=Y2B>E!|m2bqv%vtrQMeRuRN3&FuM1L%eB^l|D!~NdM z%1D0#O0UMG=uc)sb+eS{Pg|k7w`0hQj&$oSAoal{filwH%CM?OT#0GXAMzwdqNBq+ ziV%-#E&9VTiRnmxG%GPJ`t*pzwCIneCB{X!P`JmF0uzz`I*jkh3}QOcXU198(+C;U z`#T9%^+b{|5PfXpBK_X=zpemFFJLS#*Lp941# zM5513@V8Bh>HUp3wIk8rj8aV!9dt58Ps9CQjY-kdxlr8;Sta{F# zV2J*n&8lAI(V#xESv65sH8VjK%|!0ioW!)~Z^R|0MSm?LF)jL=QHg2MUr$L)i~d$v zVznL$h{C09feF#yhB3dLLrjZ43&#A8D=-o1v!PyE)C18kYFwn3-|@+Kpf1i@+Cb}M zyq6OFL5L@KIi`s83`V7j^v{zd9*O>iMUk;_`RHWxpp}J={2Zrch0I9zu zE%?!qJ|~L9k(d_!S5IPE^d$(9Nbs8YZDAiLrh2dmoVn-V*(SR ze+%`t6ZJs!3mPZ-d2c@VJy3T!Yq@tKAbNGn9Dh$GMSArvD6EY1>Q*TdkK0|{Izc58 z9Z$7R183oUR_}_!%1Ezn8>X5hdOI6SQuKCkzx!P&`fiy}-CZCviM~6Ol1lXUR;ca) z&Wj=X_dsgP9FnCYJsV}!ZQ>GBqJuFK)1vPhm6#G8%#@fGy=_=xN^~GVVp{Zew!~^Z z6cvSQmlK!}{c9NW?is|i=-m!>Al;OAHM;Xu3#-Yf>e`T zxRnf@sttflfr#Z?RdKaBZq9BmJOMsP678jMa?vpCQsW#0iv<{!50za3rQh z?-Y}m7QIVWVp{YABNEf1cTG!7i{9Clm=-;plvu5Y0-|u;;9uM+h3G#dSalDMY0($L zm=8)}JuUiDXxm-X!$@zO=;KS9c7Rb_$y#=a21Kv!1GJZ?rRaTQBp!+0FGAvx=>1(P zQKS!m(UwJ|=mWCUjzk}rrkW)Bpd^;0=!4;Ym6jA8&c9k!5h?l*C{0XD(T{*dB&J0ln3k9py}v6lE&8CO#7J}$ zZm=gXA^IY~hQtulqAvzJj!6hiMEY-F$I+r5h+dVmis-AqH>^whzv21yzH`@rwO6s0 z2Ze>8ZNw+*>JjkWO_yfH7bjGY%rNURh|Q1i?$x7G%o-O9ZG4HBP6vW7$C|t}D9o%Y zq2~L%1P7X}tDxow9=7XaGwbRkT;rwFa?H90+I_%lUIK!ShnlnawM$dXx(;f7!mnNC zGV6M%`7tkz%fOc@xlrOYuZS?~-_UM0zjh_GyU~Mj@@rQ^yPMK*jhC)TFsl@1hOg5& zmhlmM{ak3nf(VwbOX2I+pv14;;Nt7YpeDaIApxaWXu~XC@+{VRV3HkjiihoPOu>H< zcE~ZjbhF2*Z?-M?30bWKks(M+fJ_1fogrp3pj2X<)R2`yVkO3@wdxYA`t*dvIKdXA zPSxt5G|_CWhqn6QH7DEp8`}1S+6K}1B{x0z(#_6~Ig0`2cv2YQr zKcVequB^wUuvSCcaT$eqK#BE7hE-n?krZN`oewoBJVwAB zE`XXmJSN@{X4ZvC5grpKK%Zlw9amuDzoB$7)a2nY(SvrEBt>{kyfJQs$HbeGMtDrT znZKP+gvZ1(%LtE&<&d5AvqX4ItcbCRZx!uTu{a-i*C8}7?zb{-xf8`_SHNsLn-YGE^= zU1B^uLOW+z^}U|NIQ^k!2?i2KjEfKgxvGb|65~>Yc7(PgA`0`s{X#oH+Z)0X&7==f?-qquoyLZ6NylYs?{&pZdCVmJ`v7;rzV^RsY&khL@9uud9q2vk;Cq4+J z?NcH=Cb=GzA|gB{odNBdWJGvOf>oeZ6L41wkFqptwHH7mkn(iAA21~obNlkSFK83wBW zUp*$>6EVVL(o_r3&!}`X)qpOnM;9Cf%PF;V~)cu}Mjx zxLQ3rgBze@lk4)?Ce$gxfKrK3cpLzdPvf%TM;E?&g!YHF4=RkpW4{aol4V;8kA0!P zGgN;R9{;i#5U%QZ|A(~m0FR@(-u~)HG9AWPD}zH>%F3oMolwkRYN$gEoed;}P?rD! z0)#=PyN!Fd$Gux)Y)msSh~5WdnlUh#UbEC-gYECVbI+N%)<25)9`roKy6@id&Ux=| z?(9mAu^no*i>S|Sp=cV9Z9PKqMbmg}124BIDZx$SQGk~WUya9B7<;2D{gV4t&6W-! z6wx#uTfocESTv1Cw}=psXc~{rJwi;ZWq2Sg(Na7RS*nG&Hg$R+IMGr(5T9tRHu}|F zT|i_?!p6brF}Qs^o*82gLznBR%dvQ4Gq8Xm@^IC6tVekZIVE}a9f2*fNs7mf7FyVL zNrru|z^30g#bZa`c1N^-$bAB=r_4c{U%ja<&B4{L$D3TdRpXi*4Szr zNNoB@t~nUn??y}dX*>?X*eeR6X*>?Z*ilMKwywtG00-NzBAUiyf6RAlx~@Jw_QTkt zgR~#Mp_+Xi>hrlRn#N-vTvqn+kj7&#c+o$DQ}}8;_QZTc92u+e*aKtb9W2LEQ;G=D zNI#9oZXO{Z(KH^rI)s>5%kV&0qNR8svQ!Hh4+JM#iU;Bot<_d|{5>XwsI&x+f$cwB zJ^l3s!%^jbQkRpfZSd%y$7bq^IwW}Xn~x3C=<(^%?*Y{+FdqF+$WcBY@afV2e2mN6 zj7R@Fu(cW@K0W&1YNJ(VJo@=);ln9?dh{C`qvZ-7qg}Kt#-rchkn)@QoJs!~m~+z- z-WGadsLglGvK1D>)BJO(^fHSielbY$Q$;F-X{W5BZt51a8A@SINro+~jP174`m z0JU${!s8M|*(#Cqdyk7PLP>Q;E^5t15uvc6X*~YwQU8}xP2+JP#-5zcr}6l6L@2e4 z)u+b=9--i(>C@wUhfsFWG#-Dl2*npo<8dC=Z7GYU@i^DPc2-h?s=7?7IR|5BWSXlq ze2Rlml&DS_jmMera*rpP#^Vf&5RhmZk3VAUf{?Wg4}>LJdU_zTRKtkm;R?Ztmg0f< zL~FItudY%igs3$AaMk|lG1ysI#8uo#T`q{);4xr+f$~LMUvhf%pO3Azy~jxoIIeR@RjN8tZ(_0C?wFD3EIjoR=a^*d58+drw z=Gl7>??vCh!+R;BMmu6WyeLQB%O2z5;rhHNR+TqS4_9r2f)=@)HUElm_X|bSr^ij$ zLdR7^(|FwI5Xvi>#^VOecTYhyjmP!a&I?mb<8d9f^D9o;&&77Axfa`bMb-~5Qq46H zq4?@cZD)EFPPk9*8W}LaeLadmuQ`Qali!XstH-)#1GWLR4CU$I$jqk5_{)4#QR4 zL|rZk+u-57U84MM*n|ll1NU(#zbiIjlB5?`(ZVK7GW0%1YZq+7B)x%Gl+mg%9s>t^ zXrby!Vgv8TCfuRScnn++QNBl2@OZI=mMeHXr(UxJkEbh?@9r}m-jfbmCB|dm(tz?! zA>%RdZ4WJn@ff%atzyi0416akJU|cZp82G+p;4yHyYv3{Pg8+Dx8IOS< z#x(H53ga>G;}Q-0C{&d<@ED41@ODXyf(Ocil8UDB7~~KNE1Je*AjW>2Y8sCL5uwP^ zPvg-a^9}Yy(|Gi=2n82SpB{ZMc0nYXK0WUC$a}FQn#SWUczG`Er}4NGUY@QXB36mrT zF2iQp8=Fw$@dA48g-xjOSZ<+J5j@_(M%ydLczDlLD8HvCcudA?dlm$b4>4|!fbke~ zq>Yx%cntg*uk9W&9s}PD(JH5Sz)Pvhcno^4jF!uI42o6DVmt=D?*VxEV0X}R%#xlS zgFdJlcntaobEcnM4f@zI@EG)oON|Ft8IM7q1~lkXJZc@|qS}K#$A6!3;^`+>Ga^DU z)t9DUHwESkn+8n)1~f@m6#DG~07O44KTC)G^G*yWaJ8jnd1wv}uRe(y06 z+xeMF+Rs&EeT>wJ^G#h^Zf#5_-@j!f{wc6-ccd1VZ zQE3St!`gqidUdaR|AxxnOkHm9+u$)6AFbvODsi5JesL*(V90n3Ce?BnkHJH2${!Fj z9)lmnMmwOwcnn@#K?}R$8Tf%Ki}L$>jK`o41GEZ^$Drj7<$oUt9`9ArvKfy-?_!1h zBF1Cz4>4M0#$)i0A?5e2G9H8f4Z<1r6o-?c^4cm(kBL!4?ZLau3nmmfpXG#+z2LNuajJZ59;PpPKyn1!(` zM9c6%SUfg+xI$#97GhoXaE0JROYuN_qP5!SS9eL75TepF9_^nVJ)6GoiYQ&`(%Wl; z$B+#wls~M*c@DV%TjbD?@fgw>+viY+@fgw-tzI$XF=PzJ^{Ox)LjsKJm18`HylGSZ z5RdT~vLr&Qz<3N^Ri(TgFdl<{_Rz8!kHJ5cD1UI2;-Ok)#$(9Jfbu=7jK>f)uBXd* z4EaU1EXHHVst~{n9z#}F4LpYC3I-lSYa9cQq3ULk{@i0|Egr58wiu70bukUClZUIJ zok}#cK2()A@OafB6jO_W$6|1FL0L47M-&kXE1JdwZ);Fq(KH?}VeFW6K8?o<7#pPX zX*{0C*f(t%tMPcwA(UM-jmNXtlB=qsX*{0x2oZ>;@p#I?b}osg@puwrf5LJdU_zTR12}L!UMsHmg0f9VU1T>jDJQhpS-?u7Ss} zJgz-`xEj_N8+Z)c%%aAgKI1WLbDM^37V+sZteZ!}x}luZ58qJDJJ^z| z@v1V-<*!+W?fgqYG>ymG*v>0bFB*@xB0?O}?*&)Q_a=(4i7lGO;|+%pjc6K=*DXRo zqUqCP3C3XkbpA#K9J$?!)@5GG9uttn?DvZal&gki& z>PcclRu(9Kf+u+3Q6zstf$aEyD1Tfec&vvxk1I1C!wZ=6 z*ec^Oe489vF5@wLn;0#N@ff}x);mV<7{0x2;4!?1Z{RU}2dtOkF?=V?nVufQcP;^s z65}y^mog3CxypD9-!-7&yCQ?N@K}Xyu(F~>!Q*FagFJWSqSmZ*u!SUE{;By2Y{{R( zbS%E1n*T(EB8#T+_!0AUPRD9Ie!$q3g|wfm#(o#kP_jhRr^mNI>j}JS;_(g8x;E7` z9#xAFg!I#Re2sP2OV`zSeB}^Ak$xJFFD*heqG>!nkD$e(X*@pj2r;pi;eoJ3OHU6( zmTDocP2qvyL`(5Ne4@45=vRlIH6TQ#C3uWz|Md9%>P=5WReDpG(d9OHjHszl{%mZ* zXI;!Qe1|gS&%!25Zgj)9!E2{GjK{DE*mi%68IR#RdT3P`kKx;5+x;=ecnn(+QvNiL z@ffnoL8~CA$C`lhrv{A2uwN=@*^I|}BF1C*)_CodGUG9#yF+>WP*Z=vKB7kn zEtl~av4d(^jK_!_aSbO49wT=03_M2c3}@+2%}4A~HSid*Tfx9%M9HDXgF?n*#O^MQ z*xh10M(h#Mh&{@x^4Y@`b%t0|(IS_VENp`vxFZ*pHnFf>(tgS5K^p;u30685-w0L(UONNS{J9nDo3;g4_e2eA!`EBl7}nmgt5O=L`(3X zx`+^jXbB!v3ol!z{SrI~cRhq6{SrLz*JcROh^Fztn>Yj{n#NWBbrKR@<>&96OSfrgBLyj& z79k+fG#=eC_Rs=r86F5rv=k3SmTDocP2qvyL`(5Ne4@45=vQ}vkH{>gC3yU`{fDbQ zH})BTD&J0B=9k*wF?x58@>iBQ&rwI%l&@4d$x(-3GgVx{qgMef3q93}qi_e!U*R(z zqk3VZT~T5@M)t&k4Q!WK>Aah!+k;)FvS=EQKVWPx*&6zd9vy|TJ=1md>2V~+X73d=9*0LX@{Dw>#^W%| z_kJvEXgm(Z*mAnA#^aEP5Qp@8!Nmq3+arV`n#SW`hY*cu8jqf+%NdSn8jk}p_Dq+x z3=f1QT8alEOSKT|Dm)OJXel0uPqbEiM|I~H2q7vh!DCGOr$@J`zt1DeJE+S;g|>K1 z!lt;c%z2Lf44diND&sNwnhIJj<1zXM)v_3m(JMpB|HEfI#uV||KT3?pn4>V~H6i0M zx|~DHVLV2k<5T|XnDH2W7J6P?5j=XTR*vx)t0bftwq7(0&IiNByv7I&UXlfm3|tJKVj?*siyHbFCr9K`e{7Q#lpq3 zpFTa#u?PiE`?=T-bQZ>zm6V)38jmwQLh(h@c;LQ*2t?C(oDMvCrkchBQ6LWKr|~$| zA%r5D#^V%t!T+fSzLL|@RbEbt2my(v@i@^V#Kc;L2f`99#RHM0T8Q}+9tcjf6c5BF zTC0tIb>$e5SxVD*w10XGaX&Z=j_;%{k6Uf=cmf;d<}&9w=6j#=|Ee+`V^*q`%Xo~r zy@Hm-c#Ij~QT`^M@fh0~J#Q*89%G9!*rTysZ-^O>F;`*E8!C*) zm@6>uh8*KD1|MAK|LF-H_$g2Rp9RKaY^6&1>jTDP?3JozGah5F!no@MkFi%{z4Y`L zdyQ-0G4>yUfydZuV*`(|*8`6OJjP?}KW!R&z2GtS29L(xP*9aO@W9KXpK!G(cwC2V z@VzgZK0U6r2!$0*;{ozeUePojS7Yn|Pc)6kRSu!lqG>$-ZV?JDnm#=$FnC-jn#SV_ zj6K>BP2+Jnyj+#8tMRxLUap9xpT^@7c)7TcYA&`MU5v4pltt5cToe(a5lx>Se}$JT zQ%&P>A-r5w;C>k%2urjS4@8z~A=XuRAUM%dJP@B~tv34Ao#!JmOKAxnW825$`k7~6 zjwtV{wcp{E-#9^-CTEt~NecL!QGNBq`&+?|1e$GE#<1CMciuzLEz?zq0TfycOhc(^*C zz<7-7@6)*c@^Ce7K$XS~a8>0EJo;lBOsHy6@aX3dN-CPhqpw9MtY{jKyD`>FHI2tz z7~7PN)p*=#5lSs%H6FJ|go2Bv@wm++lwCB9$E{fR+KOlzkLHLFfoK|!Ti_+VW3SeD zxDFu>>Gy)G@VFUXZuLdec-(|_Z^NsqFdPou=nw)DP2+I`#@-=Xh6lppe%a4G5Lv2) zj0b`fEyV-ziPnnmsP5b{Aw;Dmc#LlU;p&K`KfVdacT<{u-R_GHl9^>!CxIPZ!G5)TA@^{Ca!1%k-y2EBX#`lQ< ze8hN+?}s_l(_{R=ih;-YLB4^<_`#8Z$M~W6+k*QCjK}z4B^o~zAM+mLqT1t!yZDb2 zlHa+aNe-cyDh{d)bqDAAr4@A>=_z|J7qG>$FdxY|ertuhO5sECD#$!xGD79!B zkI^2X;G$_fMmdDCi>C1y2`_yj(KH^zvF=?J(KH^z9BgMxG>ykljCI3QbJew>TnI%p zjmIE(xhoJ&pB@7(LO`NvJO*HFpP01_4}>LJdU_zTR12}LIz14aXel0uPqbDW{p!vs zATlLsJjS(udi?mv(w&i7AL_C+Zi9!vYmV~6JU2e<1xMktziM<;U5sAg-w{G z=U?bierUva_;?h_4=oEGL-5*=s^BrGgqF*AjPL8CWicM(@3tvF*k?S(-w~iyVm$mY zSbb2)c=%(>XgQ3BKMvyt#*BwQUbQNWhwrOaj`8p(R17@)iN1k{KPfWs@TcSqJp8F8 z;9)Z!{%=JKRqCSIxFbN8@t6%SciWXj~Njmj#R^UMAPAAY*{po$25l!jc6K=sTLt1(KH^DG1eC?!vkS)zwGotWT_Tn zU4;jN6D`F9@rl-IqhH-wJ|atMpdYT`PsiM{V)A$D;f=kMo?cQ3AI2@8C*1dj># zVwUvum~bDiA^qfP!u=%!j|mTy4Ll}1=uzYE@owW37qy=7P>BB=#$&?67EM^>=kR6V z@glatJ(d;)kLNu?Nk!9mJm(M!E1JgRS&V&5N&4i$pF~ebgd&Tk@puaJote(3@puwu z{uQVFTs8J_jLqKa>(isvBNSiyX*?c-mx<|o8jlcO#-;u=9*E%DS=ss(RK6c|`8;fc$Akw9l%L`W9_lZYPALc;qp_JL2aLyro3IHd+k(eJ zkMffu!Q&qEoK$8!{3#*jCsqXy+eXV3Jie%+WicKTw{a*x!Dl=swD@S17>@}-OnEYmvKfy_!_b-;ac+}l6woR&9uprcQGQ01 z@tF8XgqF*AOk9LbIKvV=9<(Sw-Df-|-shrKVmv0j;8A{B$aqY85#y#gjK?I@H$OFI zJSM%YS{24)Qlwgf$D~&C4T#vv40G>u2q zB9vM*jmOs!wn0fWjmK9Wq3oh*Jif%biz=dNJU++R2QASw9-m?CeXeL4k5A#{1uxa` zD$ytK@?tul#^WQ85RLTHczlSlFQ=Nu;{%M1M9c6%SUfg+xI$#97Ghn62Z9qV#RKt) z)@q|)-5+B@h)PTF7~lTs@!I~^_d=8dsLM*fEgs9UvFCf7=j8pdMdlY6k4c|k``i-< z9$%@J&3H`y2R7lni1C=L-b2hQGai%YRw*A;8IQ@cT(n%qW6}~IEsOD(v>4-Be8ywa zOEFp{!Q*+f=7x;N1xSxl3cRaE6OJZd+yk9jD0@STx>b|8D3r~OFxarN_ffU(|D|~2mz)2 zTs8JT7`vpxT80P05-mMF5Lv2)7_0C=aH6GnAU@GrZS<=<%_oGYv;>d4+dn<}F8X9w zMCnljd2R5Ra#fk~4`UNP>tdeCU&m-+6DCPc{vNGG*n~-jQ*N=*!X`}8o6=H1E5~?D z!J|n2Ay4pl3wYoMkoy1UYVsQ%e7`%EuVDAZ9$Ke4<(v#$(E-swH?#`8;RfG3ASrfyb0D%LX1(aLdhp zYCh%b5O`RO$CQ6pY0AF=%Q31-Q@*ijN|jTSx8}74i%?9Ykvudd<*eNb+u-Y1G>ylW z9-*+JX*{-Y2;~(`pv^EftPlHt@&s)bFMq&KxcHsRwr#$)Ql zkn*h_<1zK=3R(rmW9kz*%0Ct`9#g(5pk*^2Q$EMIFk(EWd|F1U%y>-x0q{@gZ7|_>9NYRW@2B{^V-v>Z*aqG<8MkTk~l(m~(~t2w2r~S}n${ zDD(e`=(M_k8u#@Xk7>B}Y4v#2O7NJrZi%L?lT_X~J@!Iu*^m1)9(z;?B~=lr_YWG6 z-2*~lMbmhcDunWirt#RVL@2Uo8joEt-^5TfjmORy`*cM#jmJ(H`-GB`bu}J4R0+iw zP2d-?LS*mwTOFX~G9J_LRFHql zVmzkjDwKcHXFR6YsFvU{oqPk2>FeYSJf>rtXLw9suWaBkeSLg#wQq^>n7%~QT(wR2iwL2Jrt#PpW7l}1>Ccpxm%Qalh@s)dXPf)g#p z1M!L0YAZZW@(Cd-Ey2TU|Ma+d@grBDdV{H9%eD_!(+5YCUmS9t)3^4}au|>4MYLXt z8IS1`0<TzP6EYq%wye;MEpv>=jIBbNv6Z7LZ{Tq@B2Rxbr4QrUvnqs= z>W*C0+A~Xp!iuKxI2~gr1fpp?{)n;5e9<%>r(x{B3!-T}PN`xWq?*R#WXvbW8yCLz zB#fn!jMaEJ7^@VGmd4|RfTpcV{b@Xo$K`LDX|CF)$CU`7$XJcXF##bO(KH@MV{AUv zG#-D52r+TL3=f1QT8alEOSMp~i_-(aiI(Dl_(W^9(XZ~rG9g5z>4&TKPmgat9(z10 zFoYV4Z6B^?^e9mNt&s7U(HmRqEr*kwu?x1(n=#`tV_$5aH!F12;mc@9?+ybpvea2(vmH}FV$IPuT%gTWNAKGUYa1H6h)y!>d1CN>8Rt!95Zs!9J zoEN9KsPD|}Bm7rpJZ3f(Xl9X9OW%7`5P6S+ibFj}J*$S*Uf~f+Dw;k$E_Vop6;0!D znMEkCXc~`8Fm_Q{G>yl_7@L0Lqkm(!_HP*bgX(6S9)FDpWfx7K9v5Q1ZoX(5kH28- zX0~V=j|(uiAr?*JaX!X&&NNqD+n*dlDAG^kQML%th^Fy4*CPZZn#SXtDj_D;GCUBL zXel0uEY(7_F7QBbqNR8sKG9n7D%Cj%X**wO`t)cYk2ma_{*3AkrG{N9ZQgs#S}#ZW zIOIHM79zA9#$)Cd*i7%mg2(3AKJQf+kD1$I+`ag_I8!=n6+zwmdkj|+Ra1DVmxM*Ldq}o z8IM`JSJ4tYX6;!p@R+riW8g7sZ>*5M_n5U0R!HA_%-T1m#=T?4W7h92nzf(Lc+A?r zOtXGpRh2hRk6WvRVro(F=p7JBDw@Wl8S!o*k?Xg0wYQXTN0fN=o4eYZv4yrx=hJxn z3uCvmWj=j++=Q|DSTucl+)$+%@26{MJpLKbjNMhY1S5^d^_XvwE1JgRTC973l9GNJ zkADP&I8qJYQSCJqLMWnXJgzPgq7hBw@psgv6pE(t_`5}jiM0$5ge6*v2O>+gknuop zqNR8sKG9ljg~#zeAw;DmcnoPDj~>gu`4m?>j2iYXx5eYj3gth?CQNR3vpZumeU43- zBsqH{Y?#ln36opgti3JDe}+w%+}viB9JES;$FVuee;P6#v-XTB|51VQn6(?`{4fwa z7M9Vn<@D%`IX{RPk6DLdmJiB|$E;mSlwV$DJZ7tHzT9OzX77cb%Pq!Z_FggN-}f1h z+52G5_XUsH``QK`v-hhQc+CF2Z{RU||H!~&_JKLzQDHo0A5@~*2ZoHt?4B;o?rEvY z8+eR#Xx5h%EeamPBSJ|<(|8Q?2!$0*pB_Uoc5j}L3tT${Td3qnKaIzrh-MzEq-5)A zJO*Oyp0Q{e4-aE^D~P7?z-K8l7M4ZRc=YpdDx~XbJo;k3!&El|kGms69I582ZF(23 zBi+vW?>N`q=@6okej1NEENHQ48jss6gqT>%@IY9irFbB+R0|mo1SeXG2jUa0)mC^M zS4Lz?(s+z)|Gme;>mEKAS38^<4szPyF&pp1^50++YCQJA7WoF7FiCROuGoa%U=wOQ zc6TXX#U@Pfn7toftCkp#*?VG^e}|06%)Rm2za7S7W;cuSU&oBctW_nnDvZbMK)sfe z(_^!U@?Uw3$Lu{SXcZWbIY*W$|7E~<%sI+N%Vs?0`~j^mBF1A59(MCz;1j5~LsVwY zF}{JvoMW*<`fxSp_?&^qoD)h09&?;B@W?S9b58VV&WQ!aW6nu2%{hrvOCPT0Ao2q| z6-V}PHM@juAhGc$S2F|Lbyc^dpT=WG1$R?dH2rWj9b@-XQqoW3F|~>@8IL(9RMB!6k2%MAl>Z@SJmwsOP548F@tAW|gjSC6m~(_p z`R_f(WA5<|S_Q^quG+lc1&qgB$3n|yJm#K=*0&MkG4~|Z5~%ds<}RG54YZHSXmx9&`Veqq!HMb&QK@&;47B|2W+gkH--CTM{|H_Xr(ANmWGI z2fL42gu;rZ@pu?xKX*mbcr0=VMHWrt@sLF*wP+fT2O>hjMboFp{h04KPc)6keOULH zl4u%_dolK?NHmSd0*pPv7ER+ZA6|}kQq5J{^d5Lgw(SzfKR!TI7EwQE9Lv+FLH{CH+qHMN3R3+Ri*-&FbV56D=E? z*iYuWNDWOkesb}Nc6dd!wrX92*QlUskcD2m#35MLB8Oi4sztCPS`vEg z;tJtnL`zK8zTy##ik60s%M>jQ9hV?l8afIfS{gboPP8O+6&x;+wJda8n$n0vr`pI- z7swiiz6@ipVhy1m`m0EcaGx6X~7ED8Nq&kX&x ziW&Ot4tnAap+mo;M2+>ngnp-GhJI(&4E?T1#Vn!U9Z=)?6$!l$wntq`dS8sIFR8j1 zp}$?BmgY!{68h2-wcPHArbBp(%p6nXeD@f?0D`x0p&{G`*$?d&mYzaNVwhn!qMUCqQ68gBR z8T$Ch4BZb@%n~}DU>i4bCG?4CtrH39lVZ$is~Q-ge^5c_jus{Kw-nBY+BK_I;P$=xP;ilaa~O{Dc1hp&xyG)z zg0a-_=b&xqK@MdH+dA|Bh2F3vq0a+(D(2+6Tki4A(C548=}YJf%G9_)D52kLo1rf( zn4#a7Q!z{E_r=s`c@p{q7B)mIp+AUOHgI(P&q0tHO!mjsgRzuM2L)H2`I9r;3P zKXcG87EOo#X+SLt%A#eV&kIG%LciA*Eerh~U$iXrg$2=;xGHoN+l&IxFn>8l=do?!J8c09ln}BUi`tt>9+&GfZU&!g6No`tQh*gUp9lOK5fRCG?lk z+Ndg_M{u@rS=GQG{W}zEWL1ko`Zoc!JZFohN&m*8mZyEubm&zS_4$HmS?KCLMG}&J zBvB7&E$Tgh*0Ru_EsK_g{z5F84qXNJV#peY{#BJ)UQVFL6J0 zrvJ9k2b})?y10Vz)KICk4gDP#We3}u^mohDxTzzdzh|4F#|1O=_jBk8wsq+5$JE$4 zme7}nX6PUIX6PTfDrO1&qcSya4z~4!+{b8j1|a%D?h_BKimqQu`bre=9aoDI`ig*B z-d9pWzYcwcMJ?}^Mbn}GgrdG5i?E;U7-h(4Wqs>=g<9d(gF+ zC!zlknW6s}n4$j%k!+rm(0{66TtPx#;h3SXESaJIY^j(f^k2~00&MHhSE1ElN$9I> zYU~=Qx@4r+5ele20nh;_H=Vkg3bp(vDWE!olhEsO5w(0@m3~R+bvYFEr;2D<=s!fF zWudQdM9V_|F%T^aePv0sB`!iug8SKGjYD4rf2$HL3w?E!T2|YtUrKu22GD-tek%0e zcBUVH>!}T>(gbR_vD7B?U;{+5h0C=HHbmKf=X2=6hE*f=>a3o0e3}9D2~jqQhK`F9ZHcQwM{u}A)^zA~ z_3+oFs@>LCt&^JZ_*AKKPq2mW)Nn_&ZRo#8=ql!A(BZY3274yfqxlIso*baYFHeozVF z1wwjHOSg3BJ*&E>4*lRrwIp;qFg}qB4pA*nLhlt*&6cgt53Qsk!6j8Q^h+Z%^veQ_L(EA%gUdZ? zJSLXVuc(-zR~$3+D@!V73H|SAZCjGiuR>CPuS)1wdlX!q)W8V6Ye2!pB~>g%tA3E% ztV+RUfoMAPJA4W*u|(6M-;U`o_e9G=zoaT!7Wx$x(X!AljYP{ruQ;MDaaHIlxGPJn z8KGZQR@&O3U+uBRq<1Uf@{_UZ!xlC7|K2M?R{9q41IX4VwTWHI+(?k&_{(7jB+IO zF{s}dRTh)<-vtyjOHny=JQoI6hPtEN-ft^V(AyGChu%A;;BU5QS?Im1qGh2ERZ_CC zve2(cwJh{u73sIcRiUfkhR3WKp^plcwsz=alCc@-TVd=-NB2{q|F+xviOc8VvTLSM z!}va zOX&Cbm?e_X=SLLGFH7k6<|tU;sTvrhZ(X5aW>Tz-^g=+voT~09p*NQ)n3WVz_0yr> zf{idYl73m}vrD37p|=F0WuecpM9V@CJkgf8Ds&axyb5bZ=<_3`tsVNkN-}QmU?I52 z*BU}!_}fBnTKAF`lx{jTOmW(V-dd){qAj66Zd2nQ0tx+zf*JagIWzPpV~j)3q@KZ3 zA;w{rq-ufsTu(g<=+O1&dh#HrKG)kmlF(nohG;5C==gjtcqt|Q5>WmrpP%S{C{f1<|t5vrqG~(4SP@68f{yvrqGM z=qkAMlRG1H`J_$nXf+QmuA2ObP5GLOc3lw{{Gz8dg#PevyS=}-{J46!ok0z=N^L`b zN0l9HC$$RR1&2pP68d|N8G2kYLx10*#_sT|Lw~=Dab*d8d1QwEL7-X^`iGv1SwjB^ zt?m^G{bPjwaT@w3sKF7bD#p1I`pU=* z{pY|8{TENgETOMLt4AoIuSV#r)6mzT25VeZ7lZVj5c&^NR6f&pu28VT(jDdY{!gER zA1k71(yzxxSWy)%3;jQiXj$khBhj+Ze=3QVh5mCO+7efVu7dl;W6cPCb*QwpLtj&6 zjYHohpkP%pHVJ*vZwvj;tN)1K-m95K4GXJnLZ7!0%DzL1Yc+4<3c|BE^m&^&M(Fdb zk`em6&KAb`9QwS@RlJ7Hl2mQprjhQM)Mg&uWo4w#Yw%Re9QwRGT050F^m&aT&1;m< z=XI^pysoaQixK*6C4yxw%I&>WA=nX3lYU)+a519k(DB|KMny|Q$7PC^hK@@REe#z7 z5G@TI7bn^hSA~w?aEYvCq2tn&wpQr4K-QAbYu4O~%g-lcRp|J6YOW5SxBO5}e!faQ zzAe{M55hBW=+#h<^-5~Kn-`6F4t-}+k9~uhHTB70HEAyWU8C+hgh?C!qwa4>b$XoP zn_5zd9*rU;{gSKhac)_}^uF5T%*3_Qm6lYt$LfmNFR5aW0|Qe_D%Rtm)L>1HZ~Z;i zL|H%H7CYq9v8rOpXY@Phze%k6N)>U@G87ustp3`~)$$LczeYFn_lPb#cF8(wDD8i> zAdrl$A@!DbO){%%$#gaNre^iqF8+7T1ZORERjZw-RZ-yv^LAfQ&D9%ep{>)}<8Q&7IvUjL z+jKC>+5LO8sMi+-qu;8kt+aoq8aCFiwYt9-+`I8O{61FATsm}pw`NVZNM<&yejbPj zTWt0FilMR95A96TR%_O5X=PfCwtDD#Rnh)GtfHy|&aWK;t1YzMSCyJITc-}dkW_Vb zEK^GbVIj;ku~kSds7p#JxZRiO*#8e(sNi>-op%dt1ytN9s#&w0E32ipYSwJwi$`%CP4<5;JKg_wxc2^k<;zZ^(RZDiHQV@n1SCV%-sSy|Ur>|TlKr3T zbi%KriHe<$eRlB4F?PIyYnwX{8jOpZN5vhTnl(F?&~IZdb`kgF#+rbi@Qb_SHeX}& z?=%hQr;y$Wn@$WPj_eE>9&%c6S zJRmfF$GCW)YT5YPjv+3uco14EBL2UNDE17g*uBcXRa`tcrsBc)8!E@Rs^=jED(X*J zlN+eI3D&GB;)POD1Qk$nBP%wP2^WQzlbhi4_>+oxXnUmE!bEF?wr8p(zg1l93T>}c z(-*oKw7pYJy=S1}=Fs*@wWkxU8?=2z)4#P_+z#3SA(m5K^e?p+w}*CMrr|p(;>Rf{ z1-d2uT5YBEfYvjFgd1p%dOlO(Xum0!zeB%!P?D;O7ai7 zwCwX3$BgJ$#HS)^wUv+Ktt5tC!(G)n0lb`GQxCVIS|{Q)$Im5S>xc>Lui_CUrDdUD zmlcnc;^@B#q4rwws7U(hP_W~QM_a71IqaX}F^*_UZM86VQSn%rkBzGRa(psY70f=l zvep^B!{Ls;>vun)P)obvIq1-hgTIIoDC$uslzNU5DwkX70Umq%>t05$0-*gFKD2#~0Rs>Bj-#nuSz6 zAGXeL)fn|jR>QkzhkGScJufa~_`#~Y~6Veg~j;aGNU7>_OJ z`=!1u{lVAwOMOE+QoV-zrQY@BvU$HeCpKW*?z|ujE3<> zpNcn@lt*=#=-+37gnnb7_azBpn23xh& zmvZ#0V7y?nfn%`L15~`FJx97`YSzq=u9?>Um!lhf)kl3PM;VOI&y6m`d=FCbHj4|9 z!gwb#`6mu&y{YalsmO((K9y{%P_b{29hm8GwPWt|)RNhDQ2XZYWZ$GPs$Ey?Q{jF| z0rlSN8z@cL{a-NtW#Tpe!U_*jv0tn%LXA;hMv~fu76nFaLTgetZG!u8lG=pQlD+l3 zjfvGm&x;#&y9bLbqT=5|hB3YHvvJ`ESEO!-<8@%fcb=mGT=o#s7d^XI)$GPiOr0%f z+e*snc1Qu?gj$oS@H|sII@X%p#MDzwUt4Mavu@ol_PaDE|Fp`(R2&wmi%?_Kmr*xu zLW@#2Z9;2OH*JFZN!@JKR$t1BAxwe^cUww z9v1HkMbo!d^{_Z8N;SNy9~P%rqP5ye+rg#cR8MIt+U#NRp=xzcczKkHvuqVFI;0Pa z0Scc*J1;h)onJ7cT~JPG_2H zRSaw;Wuah~73amG@%aR8enB)H3U*v^L76o+hy7E$*B4C}5xc0kFcz)VRs~c0<^HnL zl6%Ond05%yH&E!it`c>!C zE>Eoc6NmP~3XfCq1xH$x&y-9UU$;yc-|*C3 zP#zZFDpB#xP+(k&*OnHPM*~LOw2KQXO3Glwt-CnCDw;Ng8+bAO>1gr{o7~2W&jsAi zfbp4&JTcWkvs8Djde#29mD%h`jSlo2m`OUETBo*I=nU-WiHGvVKz7j1;g!)Rf zCJ}0f+>axCD{-wf<>*(zIO?Qj>tX$;sQ6xcj&#jG7 zb%%eNiXWhoNg+}gKTaZ-P4!8nA{T-_TWhO)?qmmMI$Z6TkCQ@V+d=J{Pa?L<&rjHO z#m_9hEH0qhd!IXE_kY2-{@2^OxPWJ<_=T%3LcWY7wF&h?b`#Skv?g`aCb%CP(I%9Z z?5*c*%%L6@4~kwNi}{|V;u6^3wTceug+B%J)9{$1VQh&KjQC>j1#m0UPpm$R)kBDy z-59RUmZv-=Wpz_eBrTEFBmz9o6z?r@Kenr$YCemVrtG#`H#{tU`|+f!u)=dx{2uEq zVH0XiT!;SbLYv?Pc)w{AT9dkI6WouDXcJ0XyKcvJd-Pi@@;nuNn;#a_x=r@gqqEel z5BB2@mR#&{cxp)h&zXw&c~7H$o-*pDKJl9Dvkfl3da&uEBwUwF)r5Y>`C5~ke|Knm z7L?Xe-5zN=PX+%16@S4P-&SMPmr*xuLW^?e&?dAdb<-xeAJgSFSE;->yuA4;!>Pnz{?_}fq7PW_-o%~#Fw4|!I-5w1Po3*)Z3 z481p?Vi#|%`S5o~Wj?cXYQ9xZq#v5Edc4hi_dc@{?~(DNv^9t0lZJr>aZ*#4{m|&4 zQmWz`4l;Low3f=(qJJQ%UeVXG`qP|T%j&Nonm@4mJAIE^Zf=vBHAk+!cJ>3Sx_-f0 zt0eH%tl7z3OXamKPgGv}z%X6gZgbr$59>Jvy)CNmvG&^V$h)Raf2ytK%zjv4u8mb{ z(D^GjU8U_6-t~{Iw#4wx)OcOER&|Xl>|0SQsIO!dzQQ4n*37jjm;LyTudrvD?CQ2x z*k{+#BQeLORKKIW*4oAu?&bgARch8`Ys(e3pW!Qh@fOU{g&MCfueFMCg;_4<6%I|) z%BoAo4`}oiu3DI0;s4pY*G?SR32Qe{{jO`XknP>N|F*VSM`P{Wk&#q`*ZwhG`~Ot; zl?Bbpf1c|1wAQ-rthz>8*(x<_vg_vR9ulVer)`GwZ{OrLtkOvJ`vmQ*()J293%Ox| zaV@wBw0i>L271QMQ`HUhC92;qp!(mJWn}U*P<;daT~);F2D-VS$qlrtp~($2Z))0J zudf4{ucN#BJwHu33g%v>`hCk(f1oSl40F2|M9j?HX=q|@Z$lGv2Rg=BZLWA3k zPyx_a*9H^*gHX)$S^%rt_Jr=-E!i{&v|s23&*_KpTT%VtemimEuUKX)WSeGus&b(ZXur_) z>u>xT=GdC*kG0xerS#uc8S9A3s~pt+Dg*ZDe+zmSsQ&nNS6SDS`OG*+wY`>u+OOEo z-*ziwj%}#kX?K-%s{d`35tgVOZ<-yutsaD0ze4pV;j!SPP(~Veng(MLd7$0Q&}7qW zVQ6xv*|#7QV#D#KIWN^Zx(yz@e8M7_TZ|HfRDWtLa~bBgU2D;|UEo;!q%0k?9eq!b zX=1LYV`yxyF`cg?a|1?n9u9M_Qq%8X?hKg$jc zm21l8>&V>p#~!*h%q^j&?XgYIPUkYrZS`Nw=^wf&gQ>ZuZAz-GzSKn|_kyNv9jzpq zu3Xc0sn(IXZML}SdW?LHns#=n{ybae%FH!w6N{KuuBn@wX}sr}cJMMy%GiMF!wq&?G;n~`DK~QFt?*EVrFjJIMc-3?$t~ab9-8b#^&})wT{ef+j_$1 zF!u&E9b{AeUtF2XFt>*8 zMZU~sm^&cVGIM*T9kV^xE0B(=pWbtaMux`b4okI;%q^Zi=nCmj_^ODK-5Od+zdB#u?_0wMER#9ahdX ziS?99rb*>ajSP*={m~MwBXhGqz0!fXx2dU&J@Q=Ihnl8egyQUy>8RpJSwanaUzKGeL`%92%Qn?EwLt}G) zO|_29J+|!7F);TIHC>sb`s-|&%P@CgS;WlTc_JBm?s7}Sta4YB42{iIQmrF%(eWp4 z0(0+D)AePlzo9I18RjkzMa<0oHSL&H?jIGipQiO%&(PT1b*a{oxy4(pvSIE$YPuz) z`hU4HmtpSOf{2;9E5b~ZJ@>CD(^?gMI?7EpcmiLGI7j2VsoNW5uCRaVG=nCxbnn497o8k?IM zi`G%)Ub_3;I+**AngWmN`xj)KVQxxE#H?~-y-btJ%_(P^n49Yw8k=hgMeE4i!4KZw z73Myoru(Z@KhTzOhPk;a+uN7BKnpT5sM>Sp}}h%nVUGPf@M=UABggqohko*SNyGt52u zUn+N>VOY#P73TOWGxv0CXdLS^sn(IXySHCD3+6thrp2h-C|~9>%spKZF{@l?7#4Fc z7tDUTa*=IlZ0;3Tv<}U!zs2V;_Zc<4U84H2p^P)ky&Q>{nZqwa^H)YIe%g>~66;$9 zLt}GG%c6B;Zrwlc^DWGMPE8+pRPV%Do>N8k<|5Y8{#D zzyA?Gz}y$q^hK5GC(Bg$Gku|5CZ-hG8-HP1!KQw0`Rv8k_qr)jBeF_n>DUfVr=zX%+U|Ok3tM z%zbN#m{sm`!?2iJDcy|9{ag?+tK2VT(K<3Wp-KIUa?RJ&y^}@t*-hRsx6&}eALKNB zXBcL4-6@tu)kP$Gt~*X2{z}btueU|($lQ}FHyj3Y|EA{CY^o1j8JC&sUh9dNRqp3% zrir-?(!rUz4gIVi@44nvBhflCclxJ~y$EwvYChAa`uXWxnYrTBf=rg0Yy4-JX>6|f z^h&02<(kiM3{CI3<}*v8b!6_okAHjz=Dwlk^Kw+bFqCnbx#rVj5mR&BH%u2u?{m#( zM`phy*5-2pLt}I2dZKk??zsJ~#+)_ZQu76P5`G|-afZ2bY!Op)&1Zy}CYAeBDbu8K z=Uaxx=FYE*){(gfw)))@F!vob|23rghh-T4l>1!spL|g>bLSQ^P0anp%QP`}Va3qc z++Q8hIx=_ClAo5s-1pRcX@Tm)f{ZiFU6^XAx$bq5h*_+Et7e**yV&R_KwJ_?zmCkk zx~%RinEQd6D=yVPZp%2s+{I-PGjki2GEFLXxsz#P?!UZmZLV0-uOoA3o%Z&-F!v)h z--_ewsj`eS%>9@5t!%=IlB8k_59i`J1jy6};&VQvLA55n>Fawy{rbA75JX6CN&Gfm75 zh%-&hd7+`Pxq-fD9hrN0A8!K8t)%8*6{=qx%Q(ZF=ZKh@yVc4xF*n4{G%+`{U}$U( z$0dJtWUhYsy~^Cr)I2Jp`qyO`{!H(4LjqAVb9YuUP0Wq(Gfm8mbPbKojVg=Qk-7MO z?;4o=Pa!Q3ip zp5#&eGF!$O=EfRT5_1Df(lIkPp`2+_xrw%+vAIbF(K<5s)%J&-3UjNed0Lg~-z&>F z!`uX;N@8xPCml0$Q^HIWb5ngoV{_A7(K<5M|LP+)hPgG=JlmoA<>@%X+*G4VVs2zr z7Rb!aEM%IP!`n{&%3_@ri`J33PTL-Fw)&|&H3tFJf8@(J!`w`xN@8xDBONnybKOi6 zb1h{< zsQ%l6j5EwFGO8rzS|aI~nF~cS?sH)*Vph4w(vBUO+w|+B4}iIPYR2y-*Z*M4T!y*O zsFIjlP?CQw7mFGPl*6oAiLWPSpHdmFj;g%Q(Z_6GoN9-2I+( z%*;I#W}29L);BaZ_na$QN9J~0_}T?9w+=PG>`?vB={UpOvqqJ~+@h*1kePe2kZEG> zC6SEhxtC%QJ2E%);yt#4xpk@e)qv_(`!dcj_o7iHG544w9W!&UxS1yA7MBf;EBC4` zT1V!FI49w$gw~_xH!bQ^6UsQl+$%6n>YYG<05d%Iw0Y;KuI9sV3*-CmQfg}Du=IgY5) zy6HH>+)|@TV(z7i^vuk?>t~vnd(SmAHW!yg>!@-&&b)mb%xy@`AC;)n1_c>sn0wEt zl9*c@Nyp6G2O=5wxesCyv-{kKp=ceME1uT%WtiKDnm_ZX)5f-pGt7NpR7uReQId|C zxlhWOCYAftHZ-o>X9dwZGWXoK^Ph*gjj8#oDs}2ymT`u;PmC&wxwk#(n3?+`%rr6g zrEh3#?kiWcj?A62?3FysZ9>i8I@GBl9cP&P(x{S{d#@@BWag@cOcQh8h-BR7zKKQb z$lS^vqn?F1i<*B7s8d&8#u?_SMwP_ehmLg2%zf`>nwa~cY-n7$A8pY(GPix@-cw+% zGd2HgQKxR9j5ExAZ&XRleHuu|%-m0Lrir-~p`o$4mA+^lne!G89sqNjQuCS$b=oqP zafZ1SMwP_eSC(|l%&oFBP0Xz>7#f>fBT|R=xyMhr`F@z|LcMDv>a#isFIlb zrXoF4bG>W)Ok;Ds$u%@>u6J!&w2mtG`rWS|26GM6d)*Rs+P)y;GIPDjsFIlbA(D=n zxlSTw_qpDkViD8(T<>*4(K<5s?6*Js8RqiTdqa;p?U)wCFxM#+v4H+bb@R%SERdO7 zznp1OxeaVXcvZ{P6GB=)wd)M*z_#u?_;k3`JOtxh{;<~9z^elNM0 zw)ZB!p|Lp&ujsFi%suziKi|MCU8#58p-!bh#u?@|DT$bx>rK^6^|4KAr`SCb2~kA-exej8TH;gpiX;6GR`p9#S<|zw~mu(Vy>&3X<}}(vY~P1Hn&CV z$lQz9eSIg)ZBD(nvZ&KOX+aEgT~jeLw?QBaWU>A(&NMN%MQCVjZcAUZj?Aq%_O(SY z*Nu8_TcJ+-TQbftw}m5OX3k1GX66dE*-!7eZ3>3Q=C&27!~5L8)!Y3C=6*-Ln@xHT*x$&I3-WVrlzJqbugw1q^^XX3Qf745-HdO42bSA{-I3S4RaC z=smi#3+zM#CKShT&0);pRqwbeNKnTNs5k}`kgH?P_*I?i>ZpcB* zb)VYV1row|S+^(%W9POE6tm*C@|m^g+^CUH9s}a~m@t9 ziTio%$%8@MCZ=JJxTzgn4wPlxw<3(i?N?IFit`H0+7nmwKl~2FZDtw$5G846jjWM8_1~LbMBy@o0fyP%}vALvZ*~L<_5|+cVLmBi0hva#>;weK^QxC zNT8S%H`r&^o^y}wz7C4p!ZaKjGquOXd7N_YkO)H&w|7|>iyOvBopZw~3}LJlH@w8G zJ#mxbH{1^5wlob#KLlJk73lwojm2@1{+|dQathi$WX6=dVamlVeh}+sU93MBeV~ada zi90&RP{bWl6~@bYTvQl4Hhe05XRz0`-)lT#(2!y6SvutTP_1}+n9!N5mS4bGen6y!9fvsc#$6<%X(r(7>gTQ zQp}1wslco~aZeT>`vSymYZ^{VnA)=7ITtO4S-GYw~zO|ASegA#X&a>;)6)i5%_PY`iu6oj#JX9kK{acB9=+H>x#0e|id z;YTf@!mvGY@#bR(fw-7yxT0ukFDvsnC2mrlp@>Vwg|WCG5XRyz_Z73w zUEwinPux}M8hr8ZY#Js=5fg*0Ir{k#Rnxl$YaVbXao^zAuFFhB;?P3~oWm7vP z<_1bXH?_!6#9f*Y#><*12xI56fnru%&S%!1bDt+x*%rj@Y8tMInc7sG$0_Hs5r!h} z^0F`%cNHUb&Rtbu2xG0dt4qw<6W7q=>uw-!H`8!^-qdEDAxhj;4vM&@7(YOk^;%yT zJ9nL@m=$+@lv#V?h8}QT9>nc#8g8nZ+AHDLeDSx@8?G%g5^>EgP{iF>(s5LC(+i4O zaW@6b+7q|=Y2Ta=;`*D0TjQqonj()=&P|Uo6mi+AFkaSMqQcm@0wZxvvG;_j*lV{vzv6tm*)DKKkK+;4jvbqa{v%QQS6nA#Z;9;d|J z9cL)wZgPPl?tV|lQO!LUQ_PBc-i>Wf+`xTLodDwYHVrKmQ+un+rNlj3QV*a{(;Es2 zVO(>iqA+&ug}h=`T#Fmqp131#3#Ng%0j6P=XKL?=b1o(Bg(yRD?vAoB7WZ;Q7>j$k zs+biw(~WIU+`exwzZ}HvV;bfbOzm9>&ZWe?9557d_r!#;xY=$n#?i9QiAx+G!gJl& z_QXAQ=tO91%)X|fTw`kQ&2ug#Zgz#Ch#n-|8;y_ZnTikly2)}FXkUs~-C5VyZ+_%Lc}AFc8@CGI`P zMBJPbLve1Q8!XPfUzRwoxetoW+7q{VB{KuW9bg(h4ovNn5gw<+z3(#=aj!>(v2!2A zg|Ty$m}1tskKIGs6Zg&8Ya9XM4m1smE2j1tmrIHJsH7fXYwoQ;7>oO~D2&B@mRHP* zTby9lp13Pt8J`4k2bqRto~eB<&f}E0&!P;)x%Von5TS#jU^%-R#TR*ya}g1AAZ;m4S% zeKF7Dl(=eyp@^##gt0jIw8~x>M~CqD6~(N$A4<&H6ZhI>jn{*?gH6M)c~d*H$m5i_ z@130@Zn2A#-TJf7P{jS>DQ3m}8fDg=xRdI~z_ZOEreQ_Z)XpyRI3@092Swacp94kQ z?mxx5I5K)yT(oJyef}V;{J#+6meA-=)@(vM0H%atVwvh zyhtI4%=YskNUIB53n&gHtQ~N=l$0>2$BMe1c zZCMyE>-vo3EB@sA6^1a@irb*XEd1N;_W%385pK7cN4yKM1(WRE`Y!|p#rzkmMx5j> z5K7O`{|X`fU2Prw_Xgpgp!;>Rz2Uyk{bC;#j(`SBPx!hCO3~V>zvft+YUPbq#kaPeL?EydCnqIBZ~_Roz%!Dfnrvw zZbVq>(wK^~Qu{`kbxvyEqegxUQjajn9YE^RB4<%jmy{SfsmaY;pt$;_lEqS&$Mx8- z0FpaInRQNTY38QzRCAx7E4uJ(&E>zvg7 zzt39;Qjapp{vh@1DrZqrzjjQdZod+#-zZru_1ioT#C)ITG7EY<4JD6`H< zUGr70KC8_Z!v(8D~bg#?50I4HQ z^5{JLV7F5sQtP^uIJHO};sSwDt=6rgWUDdbho3=T zwai(R)Kw}BMe4eF#jMoTlq@SXd0bJ&*=;q_jqRM&N8S$q5ZWk{^g(L3DrXj{$-`Pb zj@p%@G_z9INbq3SuGFoW7slIajANaX+VcC78^P5RO!8!qx>kfUi`2R`qYTB>k(ybl zYdZ-dwN(#oT^;A*Iw$q!$aC;iGukB20IBQ7Se266>gxpC1ddsW)JTyBi`2TZFn0Cy ze<*c}t$z9pq>eGkvprK+ALq>SZ+O(z`3&6_N{)*P<1N&EC9?kISSK8We_;Ck&He{c zjBk?X`=+j^dzv_yJl#RJ*md1Jk;S`x{fIE$Lgy*Q4xU%#xK2ogj{l&ox?T)YPc+Gk zK@eufVBA>iQ+cY+Y`s7`xi5qT*~_Uic5C9&!Nw6(VM=NnQ$4d;6SON$r(j z=%gmk3ly_b`&5Ln)QuV8S8!J9q<<)N%f5jJQcp6;D?sYTflH0w=MKM)s@o{fP^4by z0)bhnQ4W)@qv|$wP^4b|52e2G%1JkY)Nv*`xoqk-Ex5;t)M$~RNSzcYW~FW(6~?vN zq~olslYNfsT&*@eyTx80^<Pe0Md?i zPU@Eb#kb%%>qfe&fT zsU`{k#%JAjoEZM=YIWNPQ<0iliPY@_iDRid6oj$VYkg*&lUjR5zZXF2X(l-xr0y8y zEK2GQF@_>FzY?iC6(o+O#!A9i>U5u3=cLYQ+WJ2r^>mXgfYhBm&Z4Bo;tWOVw3SHh zSCTlEx=TeEOD*`!Iw$q9yRRvN)H6)-PS4cs>ar-QyCgWZNWDBz%+~5|6=7Ct@(w*E zdjkBY%yFHQI$+_c_zl=IO%nd~(7OHsXA!AM`7s5NdW)7|rS9p*N^7!Llp&6#Hu~Ow zD0R`YKi>nXXPG4Yv!-=>6*#jQ(q@ zO6q=9h9dPLEx}4XKrxnjV4%lR0X+Q=rJi58zBfoc$0Yw-G<64YV)(B+R}YLZbyDlL z(9B957)Ts%tLJ@TT&w@}n03z86SGgP22v9y`4UJyILcW>YVt9kp_7_?S~Dy4kb=aq ztAk6zSn5k2v(8DKe$73w9_Cz=gumUkZivewQtJlCIkiZA9tP0`%t{?v62?-8RfMtB z*&egbNqzSF(sLm7Jd=D4qz?Bvi;_Al!BC{WxDu&{RwRz4x>>4z^)-*SS&Z49qmS-qZUs;LNBREX;t4Br|;#k^NZ+Xl*Cv}Sv#d#ogyh$#Inz|zk zoJC1JqR7xmt=oMiQjd;G9B-@lbexqs-{tF^)JJ~YW+F(PV3LbK>M(7xAoW6%Tmn*0;KcA>cdm|#FcqmEdWu=8qXS_qbxc7ROa0;> zO6}h7=bu38MJD+_km^S{vywU{#!#ew;wfgOo>&mZQpc8rvDD@NQ0m;|toK3c#U}Y} z-qfAsab_iTY@DH!S~n=6n3X!NB#gJ!H=Zz-`fZe1=eAYm&TeBs>Ln)m6G%P9=PV*M z`Gv>ONlh--%t}4AB5~~M>5SC5`csr)=cMj5;;gGc>O_RC~SIF`=U-yQ3m)NZ@~8`jcHGL2n8>RAQOtfZc)W!X>te$>oLJ=;l; z!|EK56FRAlU0ht}q%O(6ydy}x)HK$D)N@LlSxG&+%urnYZ6#9A^(2l9;5=WLb+xfJ z%B*uzzk6`hIUw~i)3{cRsXMR2S(Mass|=mgx?>`WSy#vV!mQNBHT0CI02D(O=BHMy?~RzfB9T(tZDVQ@NLz&s%BQ|g#nM20=TFkj9rcVL#aJ3`1wPS z8kolQKvE{ZX9t~R#%fZa;Hq#$uz0252XSZdFIDD}^^-hiEJE;o(6LFy!r zGb^bR;|xV=B&wK|dTB`*OTDZjjHUMahf<%uahr`m>J_Fj3R3^=b7m#=vIIks+B2${ zl^RrpvD7OV$+_CN$v>3p_YMEhdV^`)GGXej2wZAct96&V)J|&M$wdwXW~C+>$+_CN zMU)|q4bRoaEg6MB^3?I4Q(3UAJ7hCS)3_~2Z7jGnPHJN>2%yVJZQMjND|NDB?CKPc zM#4}3IH}t*>ZH`w&c1LoNNqHYJAu?GC6~rYt(#nCC{nl3PFtzXp2V@#lrM~3-HA~r zrGA&+Z4pRqGL5@})KtZ#5vk2ph9Y&Fl}OF_630@rfiRZ3E2B#Gn!r0XV6U;g%_3P*#KZ4X|(|8C-z24_6BDL;1m)g17xUY)?W~JUxVJK3k zGg2qOAueC%q;3=W=~$4OGL6GQ>h!>+mTh%oo}oxRkP%9-Qg2p_YjsAHA&#YUb@)G& zdg&>3GeBzEG#&v`XB0TIa`omSLy>w2BPF#EWhk!R>Iq}1NBl#n&nD092~snraYWqI z-CE+zN@}6Z&`GUJRu!|Z-tGzGZ3Qc4FLCwQ3bW1?z}ahU_!>yfn#L1A>K!hNNNpU> znZtUcZFPiZR_dKTLvi)4Kp49^s=};uQfJ1mfOoganZ~go^=?iA|K)zQ?yd+^=W64z z3B|0`djerxtM?X!vD6bQ%sMBv{@#~IgVelfJQbwg7v(HU>b)_BB6ZYCq~2eUIF|ZA zNf=8#rNXRpQjh#<-awFgrD>exo4N-*&Z49~5N9Y-Ph5%Ahe{I1Qi~N~EcHysIw$q3 zNsny+Qm-VJO_e&TL6zZ2~w+%ahN(+gDS($NxgTES55(` zSDVHrkos7_S(MaAwJa<3ju;1u)F&8$EOzzDC_`KkI#(P2q10J>JbV{Oy~Z@AK*~`{VJ!6-PZ&#;POWpU?)TC&uYlBPrZEpvpDA%>B~`w8BT~;? ziPUG6ESCD5&k4oV+&^^ni{$Y*w`)z~G?4mSg)=Lu&$`qiH3&Emn058PzA%m3hLuQQD|mQCFY{4n^hJ6B5)rXsabOR!Q~6thwrZ%~X2;6}g3UY(P= z|4aG4AoY6Fcne57C{nM{%u0Q^AaU&K%#tvcdW+Ajb5h@&A6pDkZ!nE_ zfYezYXA!A&Gvf?J>J4rYr2t+jNgPX^T@l7o@9>#*PHJ}J-Z8%^UqAa#z*qNL7F zaB7h{VU7iiAV_^R;4Dh&ygWmZdizSGzRqFl zTrEc#;#fLYAM}}ZPU_lCpS6J0n@r=QAhlfJEK2I@MTR1^IZ({j>YGtv?5cb_O{6~R zb6n@7PP%d8G?03;X?zN#zU$0VQr|3dYA3bs#e`y3>U_mm>VmwAv#vhnGwYnx75M|c z1gSGjBm4s%bqgb$MM+%{W$2_f-d$D9N_}6+VyPb#Rh*S7uZ2!Z-Jos&NWH~0wt&i=}>|f!=Jk=m*+uu_*qB#xynjS1t!>J6V+=Un~o_OFfr zskfWPcR}jXB4<%jmy{Ta)EBCXS*c$tSuAyVT*cV}c-LpvIjMX4E8wZ-4%7HPNL^m$ zEK2H^6^0^pCJdqrn3ejKlEqTLPN+C5^?jdN=cG=FMn4Cs|1pgpd#3Jdr$9;lnlpzl zNBZJ=t_u{Y-zZsDYU4*b&PuJ6Ibr9d9{26w`$6iRrg3p#>b{F`7Wu*Y#&`7N$ic>i zim`*==Xo%?PY2Utm#}lP`X4YIzWypYpP{(*tzs-vXDWW)=P^^q+i&PFCFyo-mg6hjT_2@dr1yb8gkH^8I{}b+2jsHD>Bo#QAB; zt?!jHygPqhiL5`%JXmD?QexIQS?6EWJq5DvGmR_qroKy*vnW~BJVSBokBTtsR(;oq zFkZwJQD&W!wcy5TJ;=HrzSmJT^8x zWF9b0tHn)yZHcoeS-->?I$8By17Yk|H!YubVpDB}<2om+-|rdt=7)LEG_6@Q^{eMO zi^!_)<}q}#noL9(%UUxKW*4z(O^;dUWGy{q?}I_sL#Aonf~jB2=PXLrno5E#`KHeq=2V_ECQm~~FpM+eMY0f`tq&y+)$cAox9asDEVFLauT$bUajSb(7|U8eVAeTVhu-!L*487YsdvTH z_i!dCx4OI3&aL{oJU>oktydDpvU(?&bxzhJ&rInGvK}=}QP0$`U*IfC)_QS%tjA2#7EtoN5}ZZJ+Azw{$*NyJCX8kE@rALhEnHmZ zWZk`FNFR{(xM_mFi=loak25P-y{inJtfozTVJvGCCjsK@xz#tyaUiS9iUp7s{`&eK z{H|U8c+WF^zxBJq-`yQEeM|W+rtj*Qz$B+swuApXVVZWWG4;_1C#b1e3;vpq0Dh}} z;}Szuv;bMt=B?P<5MY|NE9$t{L$-Zhvu^{8+aaOZ!Z2>fxMuUixSe8}%??>CBFywH zz>R5&RW-XLfo$h8Gx$qL{`jzjtY1;H*F0pq=h8P#tSp6mi&1{WTG$Y4$_BA8N4s^2?#}0C{7RLsUVYtlw*T@&MJ-_RM3nZJD{SO-IY-95f{r7{ zhPhdbW5eC7#j!)3XmRYYJm(X~;t9>jvBTrSI_TJ4gZ7*Sjy+?VMwd-}fA=8e*r6V0 z6~~4Jnvr9B_?nSp2bMG=#}4*1Bgc*{YDSKYC}~E!^0=}v-jyROno*4%Uu7naoxljb zAnWo6IW{`Nu)`;R*LQ;oIQD<0>0GF>eG;5NId*)&P#inX*NhxH*3*m}+o_-#IX2wa zj2zpxq!~GOrV}GIc2*#9?AX8Znvr8?7nq4-=ae-g$IfMhU)FZ)9NT98RX>7b&zh#m z1ye6ahjQ%FD5n+2E@Pz5vCAq9F^(MjcS$pHEGTG3j$IyTMvh(KYeqHJ;0a^Ll2Ogb zu|`H=J$+b$DK<4$7)p&bDb_*9j$32Ydywuq(=;P)>IcU-sdDTLw>IL~H68~dqZ*qQ z)r=gwmQm;|Id*M@A&w=-t}AIqj$L2Sj2ycm5XO$(=xaufO?OOc?50+XYV2lLpB=8T zXzT!}O!K^HdJMk$I4r^sSB~8qWhjo_$4J%KeHDfnM~>ZJ(u^E?pr9E!_F$kHIrfmR z897$;gt21}M>QkI9$}--z{-`P^P>x+)U?`5g zP}YoUtfi;pz&eUf7zR2bqj z$T2*9=t4%0Eh-3O$36-)BgZO^iDMrZIZn>8Pb$LTAsy*pKYRPw-@vgKOw$VJE_|0% zIaYN~7stNw`ANvgv2Q)i$g%IDnvrAQGg6LyUtuVY{ZP`39Q&~#j2-*ciI#rsH^;=W z-zz*;99t0))@OvB_(?r#r_cujK-rW0TiT@L*)2W0PBb z4nkywBkN=>_szqr--RPE5QkrW1CkQiep=pH4AHO@|H!-$g!>RnuRqsdFzB`7Fi*9hK)mWaQY<3C+l{W8#{T zW5>ocqZ%6#(Tp4$QPqqbTgg{RlSdXM&VD66`FO{~u~8L{lNuZCp4;IXJH7t>e}iK) z&E&~(Q-7IDpd351%1=^`MK!aIRWu{VMtYi&V@Jj`BgZan#mKSNUqg^%L0raija^>S zjB2dGjms*IO>>H*#;%R!1B%CVQ+({a}1 z*vkce5;AgZW}q24CO-}&j=kdPIC5-uR5NmH4kP8*oC-s6Y;H+2a%`Ss;@GPzaqRUd zkL~!bJmug^z_B@I@|SRqP0Movq8T~%u~UR`{cg89GenJa8~EolsXsa9Gg-f({Z6=Q@Teq z3mu!%y{cL0*cAERDCP?to6@tW<3h)#td|#N9h!4!; z*8BBVaO_nxWf$0$cf~k?a;&do;#l7bLvd`Il4j)Cwgt_|vF!rQ$g%Bx&B(DGJk7|l z9izh7v7H#fd1w2vDKR$@acpOw1I4j^d0`!N?C|{CyMtq|nJMrWMAttM;RMPt&plln z+usF>WBXTmFtXR-#!NY&tQk3WU{N!2?4Z16lam~VWY|2QdQXD%zqT|T1eJ*og&>6UWAug>}%e8DkfH0gjc;l!;|i|CCFh z980*Ti(}`;`ANvgvGZb@QH`A+(Tp5Bzp5EIHomMGIX0oF898=AUKl%eVL~%<>>|g+ zv5N~FCyrfG7S=(>9{Kz@{O;QuX3Evz*z-kBpd6dxpg1-)&w=7tb3!w6EEU&`981SE zBgZlk&B(D#RWoudTNcKSNfRmOSiZ<{;@FiH&BCw5r(Erx+u?rff(x$t3>R-xpQsr2|V^4SW$4QReR$+)^$+0`Dnvr97l{F*B?k;LZj@{z~x(;^A zy$OlqlmET~GjZ&G_YA4A2RW?c-+f#4m_t4R$KEniW`bjL+{2Y)&$_JQ*s~ReQe)4R zG$Y5JFK9-N{Ws8z94q;nkz+4-nvr8IQDIzTFEUc^%9k7y$6jv5sK#b0*1=ubd){wd z!Lhf^l#gmmeL3zjxS#Er@>YeRIQDi)Gpez73Yw8)?*^KYWAFKzkz?~c&B(C@QO(FP zjHXL!Y+*$+I>+93OdR_#!f{e#i#%Z+bnKRQlaSoJW2XE7Ust{x;{>Y4zI0C#$G)s` zpw!s%vS#Gi|B9NCV_)SpBgei@Xhx1z9U-^7G*jeQ%@j2!#6%1j*lt`(yi`yncE z9dzv7D~{d@9DCPHT?@L458T6*V{dt!)j2lR1e%34Hnpp-S?Ji*RXoi?$EL0t)hu*u zYAvJi3=AEcT3ca=&kY@$x>`w?b!=+4f@Yy(Q&)G)IW~2TRxEUE>Y8O9+u@Uc{$AtG z0mt4mQ#VhT`j6c*h+|VXa8DA)HVpVluEwVJ@--vJdV89YV|}8Ukz*S%QjTp@VJMDm zToT5PZBo#T9E%3b#Ia3VF>-9PqQrHu#>y}CUIdQKH&geDn))xC<;t-x70xP-#Uh$f zjm4bZ7)Orn>=Gg)$NClZSaNKayk=BmyCyWF8rv-{j2+uOrWrZb-!XA)k5-Hv+tcT< z9j>t(Zfn{e99v+f#^GHl$BuGrV3o6qV}r_?kz)rJH6zCk$!kWA4NhoAjtz-xMve`Q zX-19>iwI-KhE+8q$A*`giDQShV&qty!#dtwoHXLFr@*m=X6mW1E5FOT4DMYyb*BVF zaqI+NGjeRSrx`gmCaM`Z<}(U+AUWn&7~(U?u@g(0kz->E!q~Bs0?o*=agK>&C%0nc z*eOLG+u<5J`K*2BfMf5Qsh34e{V&cORb%ISoK+kfAJvQ;o4_b^f*hMrVTfbNu?tF? zkz*GYG$Y3@3N$0fF7}17W0!cEkz*61%*3%ttr$6WXE1S!XEEpS$G?6yENa_n|rGji+> zPcw4tKT*xdu{#;5cjcWGhWK1^?5>h9cI@tgX5`pCj)`OUmN^a?)!6-vI)08#c%~ox zyrEfSroLD)-Pd$Wqa1tML2>NqDnAMtIrfZGgp3^fzoL#K$DYk=Mvgs~(2Q#A`M74} z*neZf*s)SXGjgm{WhRcj(29{`FGeM%Sm? z@TuhzLvielf@b8{n}KHJ*jv74L-*Om)b|_{$L6p7j6D+8hsqhC*yRTQ~hbzZEFEErE`y$YcYHW$G89BDp(~KNj7S)U# z`;w8`m0wmE;&aKd1Thw&# z;}R&x%2CcLj;)AjMvkqhYDSLzS=KCcthvTL1oMTCHFwGDxUj~WO+vHKvF5IEVb-zc zRbrZjjy21H>>O*Zb#cf-$C_93d2EMk?C?J4?+uQ9VwyKDneLnAIe|FV+`Y=sIo8~x ztXb$-bI+n?p<~VKxr8{D99uu3>mz_W83*0h>RTD-qVa6+aanMIkqFCa0ilO zJ60HCK5}fQl4j&stRRdX+d0sT9P8(pIJQeGMvm=T;Rtw#jyiD&B(EXqMDIo0~smD238n~V}nYXkz)rJgt23X1e%d!gMDV= z*pOC?92=UKxEizHkrjNSY`(Dc&76ZuV9R3D+z3tAt}%#O$G-oY(-Bj1PQ{+A%~`lR zH`-;zTnI7Exxmz%=XY80M0l64nws-5GQ7LWH#HL=a#nZ;-z2EH03u%raNIN)cVPj3 zFTA@J#$8nD^2hrn{Jraa)AQG2mp>MIcy~h??mV~)e{Z^Hdj3+j|Fn7YO;yu;xo37i zD$FvYWSZfR9PB z2~D{)YMQ5e%v#`AU-j*HB-loajxQ;@AvFjsh{Qtip*RUH9r((*5aWUte0YFEE?t= znP~MVXSW#`r$0Hn^CVBS8Y-CxqmRes+XGP=cD$q{3qp`Unt;`^Y(fX?j9|fzQa6-Z+NHnrmOnH&~8clei^x{ z`HEf;vdlEks^BDW3|?v_Nd!S&NfJSfeIpSz&I%zB$WTb%xkc;S$l zV15ZTs?7+8cJqTpMB+Xi-OcxvnUNqkz?<)`G9y`Vj5p78E8-6G<`-i&PLA~Ex1-Ej zJTRmgkL!2BXP{vn+Kije_-_JYmz(B=Fq7B9hfq?t8EGa2c?oGI#CQowp^dXk_+A0; z@j^u%+Kji(x#2j7{hw)G1Xiq65qXALWiygz#M)A{z)cYq$!7fKs|TQWG+&wKPows+ zZZm!!$0g^@xCHLzyUn2kL#e5z|B;|m0v&1sqj8JvWOG`G+8i=*` zWhfctwzU}_7~l0M2>IGHFVEv7Fb`g8Gm;2`ypkk>82d&dY@8KBB9NgFZ>|T4vFSPU znBKTtRnz=!#coFD+Y2zs8FBXQPKbeRWDl_iS~VfdO4x*8cI6wsTV4p~F1}%j-&w^l z{ED5dHxgmv ztZyU&84B5dLSTK%UUU4{0KPTND}ui^i|{wN)?DJVZ`Z-GH4%<2duG6~HL1df*p={m zmqj5G^Ec<<_x=szsE0}wOwHv1H;ecBrsj$gH;eDUT@t>`r1RyAiaPvK-F&L(uvtv4 z4y~hW7E@~mrnwkr*&== zn!5-N#W*?&&nuheD_tB-alD7q1jv^vFr#MiLdbWo&y1SIi(q}?M#T--DwrtIBVS&KHlSniaPXu+W)Gn_Ji0T zOlrI0-zXx_Fe~~Swy)MRVr?nfvWhWyp(1%dE#7`v3xFR@YNv{QET6NfT_U*T_Pj{# z26tNq_Haz?9_Ui(4<@cv3Ddj3O)jjMT_OlwIfQkyn`N8|st(fGI8}T7L&+$&t@l&k z(%f|+pG|7N!e2LI zG(qQO*es?tg6)UrWmx;EUPU@D!>Uj957c>?+O?oJZG&RCjjV5*)aWK;R1BLC%r4Dh_-^S}KqS6liEmIO#=b#6liCCk z##y=0)ucv+Ubg8QG>bK7?EF1UV1-GY4!(_xhl#>mFpods7bZm_@HeF1NCYwVjYQZu z_AL~FthH}(b7l*$KTYbKs%;jXZ>#&*I$PhGmx8a*pxMs2=!0)Q_r&?YtT(8D*~K^f z#4EMBkI4CkjSVCs#;GpL!v{SaM{=73XoB(q#848h4Wc%*^{wMI*E+#d#Xu1!GLI8gvo646=%}6-z>F~b_ z?v5|<;eSoc)SOUb`_R<1f~gr@fk@1sx-MdBeBU-cN)C|r?A55nj$|iM7mB+StX!m4z#;pZpxU|w_ z_uuSr_#Q-8V^VikaCsrXHQD#W63Nm&2zRoy55a=T(iW@X(psI5z;%;o- zAY2C9WIq`_0Z89a7XlWHm3$GUTNCV2Y<>}@(PUV*!(68ut`ozSH+2TZ)3(o2(#6*Du0 z?wn0w-MnHMr-G_W%}yYMIBOu*-kb_Dl-t&OebsKy<4V?=)I1L-fn)GeD@h^<@=B5j zV(c4N$B%( zR$gz$`S!eQ@!-KJ_^c96L2j}?0P=pGH{*w(;KL|Lgp0r8#X~#wsEG~A5y17)}hU~N{^in z0x!Fn)FPP4o8dz+fm%YE2|->$nh7ypLQ-hs>=J%}x8a3~I@Dx;`~2MLplEfI`ZWGG zipVoei~hbJ;M~bGVr?n<&`l8)$!45)%^e;*b`6vI0-OzvaGP;i5tp1d<8rwBFv>gV ztEk?LUxSJK!gRy2VwM$28YjWJS#BApnhy{DDr)1bfmnNAhmuilTbuET($+`81lBaE zY85AedGJyzNg@ccZzO^k`$i&coE1VMkcGA7n(Uvld+!I2UCX3?P1w!oCjK%^@^)Au z+Kl%V!fj-m?1x)5Azw$a3Bl~rEQarvm&3WsHo_9WuYh6h6IpnPo7BVMVB9h-9+m@k zHD5;|ER_4VzCn{c-u(0nOki!3`V&O_n!qu5Dc?v0LH3PA5M$p+gpFh0qIi!N`X-;q z{;S_hweZ+=Ou9Dm*JkmSz|@@Vvu`&QOwB3qDxfpthLWi{Ey~T}weWkVVYElopRV&&vzYE4F{vs1 z?fZ0(ib?lK*k&=kUfHDA^Kqu?6WN;rXtDxCvWA1oCUsMRSvalcHh~x4?05^;2jRLi_=}q9yEp0jq?V(%R^k#mz zw4^h=d08*fmbhS^t@U*ONVp8tET;DWReN~${gmFzGwD6cs8PM2puRu|B3TruGLXZ} z)f$LmM(-!6IFM$UH4*9u1TqVoMXaLqmL9Vf_!Wq(7!ZprJVTD`z_;&j*(>!OcnLGy z8y>Pj90%hiyVK5upxvEzCdBOSv|K`R+2;zWZy^xG4_o_*f=YML?A;U(kxCLea@Hg*d8W*Y~rt*af>pY zm*M*2#UY-Caq-@49V90vDZ5Gph#iV^`+yn63 zq{o7Su_zHP*DS)Jo!+91$hi-vnE^S>XfwhA4x*URW`tuLq*P_uYbEPE<=+0&#?f|(qfz=U|IC8U`UUx4iTuKJ!?9w{$+KLlOst!8E#p*Bb&$@+Sp%{5#)pzo zZd;pi+1Zc22ou=Aq$iYd5@90vAGMMs!al$rVkCkX`$i&coE1VMkcG81*Mr3P?fVtp zlK{GIXws9Sb~Cz(!^g>RG_h|R!Bk)yS>HB?E#|&0oF0$wUJtWNvlzZx=o<`f8-*pl zQ3S)}5QBauy}3_}4>9O!ASqsL`UcJ72>3@KU;@2NIso4$MZ-bxKgu@}fxjX3Mk0u@ zZ=3sgj~B$TZv^0fTl==?n8U7w$M!bq$tBw?I^QByY@Mxdss9zj2F*6vk4MxevZ-k? zv)+OB2|OG>@k&RkNS$xk*gzs;b_r7OL#C<6t(R7AswoDa=EX3sJ>RO{5hGy&eM~x4 zz)9d3yp(Swf}lM)tO#P(H!H%%k#AN6G87`8$R6B$0<3G-jZFI1z;r(dAS(3 z)#3F_TkKxA>jq!q(cynz0C!Q_WT)@1nx3l_ArkYaAB0+5&9hB*`k}JvSzESEcKTr$ zSL@p*JN*cZTeWJN?DS(W&VAgMejLV0ll`Qp>-(vyNzW+RPh`{2luY^={u$Zyvqh7B z)&n7M@h7sW*&yp~UK=~1dhq#P$LJL@J!aDP1vnJfnqDEd0=vJ;jHVb3aGK1*CObVE z@;y{$7B<=GbE5{P%#51s#*%@FTV|Wr8$1KkXGX828xsahaIA#Z!e<}pCp~5@@GFzP z1@t`?=EJ3xCVM^qzJp!5mM!6>YMX*|y_GP$}rJV`J%hJ9A#>3KDowJL2 ziRMQ2VLh)LE`x2dUjwDD#rVBm1_iH|KmlC*UdQ@Mzvv@!8w(c1q+fQ-)mnNc8$pYL z6_lyE4-Sp%{5J_#kG+_v898=Zaf?=XSQO#0J2P6G4b zrB;$e5ag945yaRx5@F-45E6k5g-DY-H{%@GRCr#}IXersAD)*~ z`!7}Lyrd@kV{lQ<%S9Ev8NY^c(qw-V;3>#W_IGiU{9q1)DqH62=WrrOo;Ikl0qA26@3@Sd%RFlhnno&`mJ&aDB9Abe=Yot zBJvEg%4Q_bh_$8Y`wGV3g^FY|J~r;hJ>aohne+-+sn7`Cj2TmdOKyuQvkKgO4_{@4 zO?GD0gesLxEttqJOdg(wih&e(NjX5>Kq6wi8KLSRosF{wLhV6PWGJ_-&DcLW<1LuL z)+W=-O;2 zZB3?E?61w@6YxpFK(4p+qwq-q{?Ls*GvJegAptjw55Vso83l(ifBHW7y`vzKdZ@b! zrsn7hH;XfUQ*$gthJ91|8;Bg?+fF3YHLni8%oY)<=!GT7nb|VX>$G*uqzn8rvYBn* z_xtAUC$gDs!SZbb5CWHL78`t%exi)XtzqhNkostqSvalKL|DUzBh08-oD`V!11^rH zI1R?$=i+Fh(<&x?SAoY;vv@7AnLaa`^z{)q9(k-Zi!gl~N1w>1p;-hI94n!<&@6%# z%vwBTg}`LCjv>RPm1gnq{KEgh(r#xm+e4;a7{SeAW@lIwx3rmE;La^=W;eaGncahM zX-Q|Me^oEhp1wYG_Kt+hK+R%iK*eMR@P|N|eL=y#C=o8#EJA&O5JYZKu*yIVGgoUM ziW$A1pyEK9WmX*24+vxyzMrs)GJE>WT0C$lte3sRd}vsQ-cLO#n66hx; z#7lOkoe4oc)o3Qf_*5f>HqP$O0TsN*3l(+f{j|phH!T50JD3c7G`ey{zaJbtS`Xzcl9af`DB@QO` z&7%rAXTypa8bVhNVciV3j8j3?Wey96LYy@aYcC#3M!9XhpN_a<;!QAtolNHNGEO2) z1plK}l0@Kdh;Jl<82d&dY@8KBB9NgFX%<(ETQm_K8#9>^(Z6oSJ>c}j^D=A}GrPm~ z!}Bt%{md>gIxoYj&%`0CoR`C+dNYo;=Vglr56+A!;VEdF#mtF$lQ}VJo5jpYpkQnf zHLA@BhjwO6Y&;OkRC zQ9qM8t@1aD$TQ3;MMa(wYfI5dQH;S070G67nbB(uJa!k8`4_A}XoTC02@jW?i|RbM z8(ZWZbbe89#tC5Jwn3QQ{VYvbF$oW$D~GUd&a;eDLDgl>FWNY3AlBZ5C^D4W)@EE* z_~}iUz^*29L4cFMF?gw!BoPF8B}oJ^_Kif?I4guiAVVS2EN;B;mRfl1ZYDFSY&WCx zZ78_WH_yK991FLRJ;eG4x(OK%Jz&8~cEhn385+e$if>rrJI9EzZ_v+V`Uf`7%7v~b zGrowdP2Zqd{A!J(e}f6^ZZelco=IgKgO~D+L=a@(NCYwVjYQZuD}+QKYwg=U^RHhO z9^2n!rX*~$=qA1;bTuG3jho6x>AdlJQ@W)TQGFwIv zIp4y@2A&r(PIXfmKIrj@al#p4Qe^Gz)x~?ABF+^iEQTnnCTg*Vc#BvyLF-Z=F|FT4)}G75Q+ISk3cQ1Scg}vC zz$NGN;#0VLtHLjp&nmi9J_i$@PoN4pXERtaABWKG(G1qjrWV^w$@N%0G4(-fqWkljWe#JYt%8UfT0iMAUpg58R$9QIO z#WH(R;AZ?3QMgKUqQPbanao-|oGu*KAQl-K)}hVV=a6^S1TQ_4T^$5|g=51@Eg{VW zsgyp=gcvU&DYS94gkTolCsh>HilL?QgQ{6#qsMd4^d<5qUrIfc{66$3zys$Rdxfo>lWA@l-&>tPD-V>CofDl94m%?7Q&T7 zST`H^Rxb6OSapz&nB9z6d)eL|GL+laW}LY3Lp@*u2byf30!{+Q;3X?*MG&-`5oUw; zcy-~wdc}$$#zII0G87_B_5*v|@C!WlAd}q;3MhOtx`}r!;L*gJaTZiIY$Mxbzv`AKGjB0Wg7q zCc8EGwwWsrVk(fX85d7X6C2kh)E5Tiyo5eW+eBZcYo5k#^ zQMgOkP9(d2RUdwPB&edpPh_)uR`fdUorfN&YMaIEK2X>L;BIIDPtWcbH`#qFAOtS= ziR?1i5YL33${KcoBv08jw9`so4aM z(k$*WXyV~eQ3so>SH|Uq0B#nugKE^$W)HzTUfS$nFy1X~b_f^`OG`Sl!{U00hL`lA zb66r=25J_whkGU)FZ2892vBf@j~dnc3F-@k;3bO!RR(gH+4ob-fGB44eu9bvX_i@W zP(L7$S!f~{XtKjg%vwBfD6E&m!hC30hu%+{6kZ(yULInyN0!k~Oo*4-oir1Ie5%n* zh}qp~71}tvI}i8p9xqhXq4(1_^lv}O6dmEFh>GO> z^wgsLC&FWgnCz&MeXKiY$AJIwGQXcrgu5g7oXwu(>rxp9CJv~g3OQ%PiWw6^R}NXM zn-eYLR~=Lxq{E>QXAQ*K8y8AOxoy3lc3ZSh8YVE*WKW6VBrp$NY9&boLH3PA5M$p? z^6?%o5kex6p%7^nXaBxwUwG^=lRYc=>t-Adn+ng%*#Qj64uS25=Ve&?*@N?RUWQel z9S1JTd3j=>H{*FQPC9_`Q9K2?S)5Qc*$L1q1POe?g`nWV2x?TD5f1I_@HispKAdJK zhZ$`~IKV*^Gm-_zI7qWhZN?J=X0jR2<9v4I@VFiy#W*yqLz}VorrT2B<#3a|2*jKf z;9$Je64Fcv@)FWai18AVLK|llO{n5MUZ|);n{o8_LkEMRLrwNl?{5^5XP6cJrOim5 z5o=4)g#pIkg^FY|UVPcaec-W&ne64TdZ7_+GbW3;ZDsB3Z*nA+W_UdWAdxz(JKVL zV+#{xMpGOM`DVqK!H$KP$wdQ`WfnHs*`#M+%FL+AE&!Wbvdq4c9xND`J~MhHJqkHr zD>zodXFL`&U>)v493IKTAiN+dWk-*>ce_*AzTLAWPgz`*)QNs2@@6*-xv?T09hk^|H87 z16O#49NB?Ch&F0uW-54jtjR8e1n=S4@KU>zW`Y!FLvK-<2{As^NTH3hr`i_@yvHlt zoo{#GZ!I|EiQ#91q7f$h6F|=Oxwthb1~Msp@|P7v;SCsi-z%t{aSVO?GaL zIG%#G$Qbg67olozHOjuk^6%(-$1 z>!wfH%BB7Zs}9l;vzrlXFSki38RfRM8SnJoIsqmy#^g4Q;3O~)Ub2!_1VOu!Fq<$c z479#k5jM`QB#A(VLgW+Kk9WNSzc}$tZfiILo{2yJPdxhrxbaq$H{<(I*|3dlXZ%S- zH6gi8JZwT>tR9Z6gYyI{o7KjE=sO>UQ(zc!1n z!|~HSVBhAI;4Z?4*zAhwSzq90aVGp;eGDQofA&TAy}A-Ni=_nI#kpDhCm^d_!HS&A73AS1K{^uvzXgAuGh(fr#!{qzR&FsJ7Is{HjB9f!SVy`8uBNynVTyn z`+5PV@76Fg9oBGOiCK6QX09%o?Cc6NItuTB@|js;MpL{OYU0HTGiny^OF%=KU`Ea2 z{lGqoF$;nk{6Sqv7MVLM_*DU4+xH$S?8k$A0g5z2|wEJnO7x)ESxU|wNzVXr= zY$r}Kxq%)oFI?O#=I~=5x3sxoc*jed8xF?1rOh1%#>3K*&Ro2tm*|L?K6H+(gv&t9 zV(#do$sG;HQ`ju#js*qBlu?3uKS6zg5JYZKU_lJXVMgyKs5KD9j22}k#2x00z+GUl zen6m&qxTaSXmUryn6-EqhxKw~1zC88bfj6_;rqS61TV*#+z1c-#KCyU?zA%@$fp|3 zgczS{q|nCM-Fb8o@9{!K9r_UHz6+**0E$jFxf5VYD^*0EVOI1vY+tQs#M)AHtcNjp zp(1%dEf}%d8}QguOzuP|#qhCw&gRDXxa9nPItA{IDf9d3)Uqy>)4|03{V=^dro)OE z7eZGKVcnc!8K;7(%bi-r!4PK+#M(RELxytOdOvM5?x1yH0;ihX83mjKj=@W>G)&aaIV4K!!r3Sv-2*8E3*{Pcykh^{<=paM)CJa9)PZV(w7bet2Ha#T>a| zHFREvRi8TzT$Jf|(@3hhPG=gftU^yo59pV!VW;(8k#%yu`+B4Op|Mb6$p)Rn{jFcmz;|#1$Pqz-a+X= zmr4dqAu$$3M{3Mv<{yzIQq$b=( zwlj{$brX_-{^6+tW|v+we7Bqw&fRUJu*8RiVXj%kekK=>Ba}nTgNJ1TWNrEe&Ef-R zeSQl};B1q-5%Nqc;26A=ZzO^s`$i&&v2P^8#<6b!-s9EUwNnw%)Rj`|v?e z%*K)2>=V$8y&Ol@o^Pjpa1I{+36r}Ue7n`dF?cE8NCZLljYJT$zF84A&I%zB$WVxU zB75Z(2kZuqJ=f%3t(xw~S0I2tkRlgwL2&Hedy_77y7R$hS1ihf6C>_W!MO(!sE_=b7ABaa>*) z<(lm8z-n3AAK*@w_D3*YmiA{b9+uYX{H3gy=r>Ov*1v;ZSO(irPk0Ff*TR9Wr^$EeYOTFd>&M$n>Q#pQnX?N}@Bv%utjDKjGzp^9?9 zdCXeiS0EC`{vPHF&ybEZ*=yal6k@xcZ}MGWCSS!dAzo^C(o6{Q?xdLz<5P_k+Bkcv zttjC=Ug7S1y91xd-qP=>UZ7~a$=62yMiF_2SBuxFJP%qejc8Kih&deT{&RgKq6xN zUWclKbT-Z!2(<@Ek)hnS-s}He{@_6{feTE&M-5H_$KWL^X+;pUzF85(tZ!C?jUype z1TqvNP4=@l_S_C0d!fnqf&yy28GnUMh36%mvp>Q1!}F4A|Az>jm*G*AuLl?1d6{1~ zt~O&nsyAbPQ>gppzTJ%Z&B5Bu-DcbpOx>b_8r5cmLp%3t8If}zkM7)0Rc0gz4)EL$ z5oXk6!!ZuhER)R$0-3qZ2r`+qz^_1L*??GNXjq4u>|qaXu^)JOk;!ibGwBsR1QW;- z+L;jK(x;ga<0T}8HqI{L<|Vwx3l(*!$^PZ2X@7#Ei%otzuwtc($TQ69Hly{7SX+v= zbW=n{vKjwZyZedo*h@@)C)g9=V|h2_`z3J6c{A<`cU!p4xLZYU#{RJK{K9m@v10lq zSZ-LEd90gVE#rL+RhQqbV&klVP<#3Qp=6ZX)@HnK)JAETz(kYZqllBhJb1}US`h?! zGm;2m>>G)&aaIV4Ko-{4Tn`fCk3F2d=*wr}v6D=GKd8WPGrEbd4Q?z;@Mioj5^f{g zWd8#1!e7d^Sxyv@fj^w)thDnnR{Y>r`pBR5q09_3vMb@To z&}4V%)n_P7;8K%65PXBpU@zqxi9qh^&59sqeX}BLob`=FAVVSYiR_yrpQ?q&US{$` z3V&@DKZj$hSHQk~2FF&f2p?jLYD~`!3*0O&gzDQc29cOQHy?g)gA(^pZ|C6-s+PK^ z9|QQlam6-^`AzXIVLOrht}xDh`#ygZ{GR(nHh(l|k##z@U~=odECc3Ro{LH?p}D8^Bi_R#C5@oEqA~L-$CW0jD=p`ZJB|4e->vfc{A!76r*8jSm`@YXPyFWYL z=lA1tuJ(LwPhTY8GL>&eNBPXci$th;?}`nng%q*hoO^5tzSQn;2ai zHH%mNXOj)#+S6TrZ$$96oMFvket$YMXQkx8xNGwVLHN+M`CmYIxK?=P56Q$`bZ9SL zbPmsXH%POXKQis|N1#0UH?sMoz~HDXImYfM#21JV3Y`Lnxcq@3ruWkr2U0dq?k7YX zs41r85I-Pjn7^MGMfpQ}hK&SJ$j8g!IbyzsOdZ9?_bV?x>1b$)&5rIV7))h*wttqcdFo`1U*)iDulD`ub*3H4|(Yqoewq z!$C&T{dCDK=P!z5r@Q=#Jv}z8v!_7YBl;Fpr{U?StX(RnXXBxggNS>`_Td{@A2FwR zFboGDH>W9PTOsQ5r)LRbo(jm=%k_zo?y±gu%;8wQ-|^3wwv!8IH)PYMYEO*bJS znCT`Ybe<9s62wR(Y8I!gmi`uwJ#Rha#z1FXc-91=5f8(#L-OfVR|2X%=%( zF{+oR=HtnDA=ZU&Wb=9C(Vhcq77JaMFNDovDedybJ~_sc5k)(HXogUzKB^g#EN7S` zBMLZ3878z)#`DZ`@yPRCSk7XeKQ(Vyl#CbV3>yhJkL5a_AV$GP)hyn6y!$@1Jlo~* z=L^u2i#cL06iWm&7m6i}`%;7>v$w`37|VT1KK7ca4%! zG!twXqf(l4ILIhU#_vzr_EQ{tuFGE(=&@lk;!A*Vxdqjwcq)d?;$^9Ls9fHTF3fN_ zBc|QukzqLG88??IX32=C%U_n#c`6`d@A5V=(jAtJ_3aZi7;v7;|8WeB;2MsYCxwK7 z=1CzTnCT`YbeklF z%TsJZWQ5Dvi~QP0WTYEIe7`m)hRq`Tnf$>6!K{d(tI1#PwH&G&n#HX?yNC;@U2?@bWHzA?(OgB%0*qpju`qIr$j1kjo{uKG2MiOfTo*}5KMJb5;{+b2nk{&61|aqe7S9pz_Gt| z`N#2xXb1E#!QRN`pGARRF^sq8@w7tTivNoVJSDXc&A$W{m+wGf?w@}dvABGoO?Lj3 zjGM4rPrJ@M-@z`Iu_pU=2JH9p zz%burUw8|!S2BkACi}vFf?D|YRbE41_!;KCp3`~SA3g-1$KJjMl=0!f<=@QMyyv>a z9s$2u-ZEFTPNiNMVI4YbKFj2pPZxEvT@*c(~KSN_9LL}@V) zW%=mst27#jwfv_UE~WG2UPr{`qqnbeuOoi)(c4#{h$zZ`(b2Uk7~%zFiBYgo-Royx zcIE_V`8!wm77ls0%f%eA>=a7`wCs$(6Sb-)40WC`f>?a!@Y#u9)yCn~!AF^~^L_br zz-wx(Tg9c^$~z{+1Rxx&(j@Hvz5i$Ln7WB_0LuwF{+qo?)t(noz^BA&u} zSs34r)yu*P`MAO>1+0RWjH|#GE4M8fS8cn(swr}eB_oP<{)>Q6s6I<~K74JYgiyfq z(Q5-q#@BODKc{Q8QXm;Y(6BHWLDR6201D+%t_v&SzeKQ6C1dWu9m~+N>&PF5=${5hmjXUAo+oaYH<cqjU{=It^&Ga-c|sSLICM)98>${q4)8^VBw zD{KSZ>^m!S=q4oe04@GPLNF`-LPF=6Zk_}&5{cf(Zt(tD%i`FkE9`)e@8@h5XJt^D za;DqASf~X&Q0uaHl zW--4GXwAwHihOu@0u5TuFsaR#v|awywqf45-*xh648wV1;(gvso9COw{C#~iq+Vv8 z;hV+${lGpMg!8bA%RkU}FkG>yS%mq9Nohs1SlB(B7dDHK!sdF(rri z0YSsOh!D^fj!GIf5|9kzXu1!U}1vII$YShKjmnzKh>z$LCw#hO!-ek1%Vc0UOT{vgs#NC;-S z2??F2M1%w}5{a6{=_?#@2#$q`7lHnq$#^7^iuF=-I}GW^dg)`oaPUCtrH}eT1u91M z@{E2w8UK)oD|}g(RnVHnEAp;zMbeV-PhjxJ4mrk>5kI%1Ybe;;x*t;W1jC6-3<7QW1_c;u>%oYBUqY+%g5%Z*w5YRj+ zBm^_vgoMshB0_?gkFAFTY|M}EThEN;Z*G^n!reVh#?bK7`z%eS+d%`LM$#-6jtJsS z$n70AA>2J`7X96FdY@3F8$Sn!@c~%b~iVS*%}r(CIMX3Rk!f zy4~I5J{&RKgoJ>mn~)I9bQ2OfPl*T#Vsq*?ZBpS?9Q#LCcr>fcVyN35J+{uK+eTgA zplOr+C5l-1MmGOa!c7d6vMtZ!jaOk04~Dw=#%80gP*dIVkG5U@%Yk6_w(Kz+{ZfM1 zH|dsGDxhwEas@U`k7l`sBc_{>5YTiJ5`w92NF1a=6js3GLyoop2 zhj%f--pCf->ADGPg_q{Lcv=%zffWDuQg}ivN|k-T?I!%F4~4mZ;X{=BA7!=4E_{^3 z6Kb^YIt!m*-KrUDvOmSTRR-3m5DI-**Z?}e8)9;W^bzOprEH$`bNF<=@P6AcG4bdO z%{0t6*@aTx!C1q@+}i>NlMR#KEN<$#!l!M;^h$aOXMAq^$@|ViKj|QX&GSum;gz&2 z$k#hzho;2qeOH+6_oHj0Ci~v@1FONcSGwX@%y^S5tjR8Z2U3TwEq)JAp=*l^Lio_N z#f2a|Tq`__i)3OhDkgfdVqIJ;=iMMpc5(5vD~|8$y4Ew6ILiLhjB zpNl!7>{Lqx)a+DC1k>zPhB{AN2(kFg;j{CJQM{4O@BQv{FuK|mf7qS}BhiezQs3uu zv|Th4Y#5^nea_(^qv&4GKJnxwIQAM>T&AbTTA?g1kNwB93gmdwiuh+jR*PeCrEEM@ zR_-%YWQ5BZG0S@_3vba9hg`HFJ$0=4pZL*6S!516mEg3h?yW+-4Pcr1Tz;3L!GBC+=!2UkkP1`>@j1`SQm`0 zcf}w*4@ROHw~dlfG!twXqm4ro$tX(3rH*|GefroNTyYC{$_o)@)7Fq@!@LF6_ISKKYD$ru{G z9E=13O~!AxeHy8;3lCyVNO4Ep#jj_%d(>q6USzpEp)ienh=02+n7RO$xGOF^(0M`^ zmpF7w6C0`E=lgBa!Hh>?OC| zbtR6y#T5@o%-t-0f%3CTPIW7MhO)Iv!kWd8yKVwM9gu4A0e-e}3NyKXVSt~l)U{^u z9Yp3zX>AsZ3nuWnabKIo;s%(xqP>wV{xpaeza!w8uvsh~m5KW)n{b7Hb*))ErtgZ! z*dJ6CkL$VOaT!_~YZf0(p~=b-3VoP=sOMmmVZIdR@6Woz$6dp`arwWeUEzapo|yPt zhi2M5X%?T)qapP&^9*SgUqI+BmeeJ zu#te+8!1=J`ZMU-s9C&y@#~&~Yj1VMUt-k(oDnvQC+A|WEuO+Bb8Ycd2p_t(cshiK zYqeR-_2Mp?9>j~znFH?zZ5Gdlm1n2zemWNn&gp{zhP{!6LtOFX9HG!Dj55$M%sT}R zaUf-wcM2ThKus|vhxjSxdWLxsA)qTx4-6Z@e}QD*70>kh`5H2H6mMj|TJ1L}XnC6} zo(D^QndXKZv8pDP2xwJJED_AIQyA(z&CavCeC8mdQQc1;zj4n)V061H{x&fWMxq&a zrM}WEie`chV{~q4A{j;Z)6_lx^BIo4!xalq+Y1ra*>aXHw>n$J(>eHUVf%oZ*ZT2L zX+XqdvSbmfvy7Os2g7hEGH$Ah*;dnWaIMd!n5P0V_8Mtoq&w_>>YVi&B53SiT(Q}q z5nRI&^Q4dv&~y_Lf|+hYLgy(FAwi5pqGs`hlb78S$Ntq7FX_*nj5(y(N~o7|CD)-8 z>!pwV;+Z+AmpkpKr5|I}s`v}WLI?#Mqzn^UDC3}}SS%SYw|VmGuTRD+1~zXbAW@d< zKedTbuu(OOx9_qY4jX%?D_(;QF6nbIN6dv{iGb!pu|zO)p)k~W>cT6teC8mdQ6*zz z-`_H*?sCQJA;s4+63w`4l#HU8V8a+)%_)A6QIw3EJa8&&z~5Z)=7Am?Cgbf{y4-^5 zFL=5Nzb!~I{uLn>{a$fbI=V2!<&2oyy%1qI__+CtVzw2cuK3qJxkbsy*t;uDjC6-3 z;~j}jXaF?(TZcw)4M)tALP9|Eq>vEIbQ2OfPdzCli22wGo5k6)pPG(i?{-CevMM)Y zX!sR5mL}8f4=@F3q`IAq^b0?}FW%W_6T;nNMT~dL6*)qYZVd51v<0)R(9aZ4PUt+P zi>?Nn5*w-;n#Cpey=Wg8Fye|2r)Y$Ym~KLXKZxQ@NC;-S2??F2M1%w}5{bU{uul6= z@8Z~dT=DUwHjANdM-JFJn{Gez+L*D6QMZh}+IQH+Z`1Tk#{yA2Yds@zV^RpttWmi=XxIglzX+XOZt&*Uej#&G)S9Car^;jdjtb@fFsE zO?GL%AQs;xH*m#Sfi~Htaa~s$XJ30L&EIjQ`2!Haus5>B+d=Dn^NrkQg?`@^qqnNk z&%Kaz#ptc7H!lAO)_y$TcHaN^zpn5q^5N5rVbWy3n07GMFmG=DfvkhchTV_9v6?er5Z8>EFl}|CMx*!sdO_ROy3Ol|EE$?@wAHtGvr???Ul$~mcfSR3ZiC~(Y%24M? zc0w#ZbNK9hVia#=7e8d_?}5<+uC&Zx9*jgY?i$uv)l9HqjFt>dB%|nFAAj}1BRKX! zS6Ts~=!LL4TUt3ymutN!t%|1~bhS8^R_n$?WetcpE=?9uo%Ip3vIoO(@Nu)MVzw2c z4%=}l=Ba>;y)`^#(j9iMe{bBq>%f4AT@hdH?sXyFqZ30a-2uOM%85h>wPoxh=fOxcG$XIUHmZC1dfd zqjtx!kGazBT|G8T#=Qf&+=6OfJWbA822Df2MWM1^GP*Fs<&2oU10ETMLy2*-uVS_p zqOLTpqw`ci#@>EOVx&7P8Naw``!Wpphb!%mHM@7Yh9l-lAt9i7Qb-79x(NxLr$qKk z@|nZOR@h`;x!nJ5#Ic}$NKcb7G<+o(IWBFwEjaLLBpqI9d=PI!G95M{e*5sdTmEiY zsZTJ{jUm3^Krqt{{S3C#c}f>uO)2BG9I6|d?ByrkI~@l6)0K{dZt?$xIoR{fi&rXQ_cTi|@7Zl+$Lh#E+^{32hcjn_%WzZRBWoR zX=#aQ-zAk!@5cRfM%ESoowsK3Ob9={r{9q+otJT?v%0i2Y8LaaCmhTn6#B5Zb>Lu> zVZIa=U{>*e3B!CTEIiY7#ZSU{-o(OlNt$W%q$_?oVA6j-c4E6o^Yi$$js<-LylNg6H5fNswS2QX4xqWb)GW1D92|GG8)zW z^ybGKo&rWsy3%DZ`)e7AX55wfKA*$Zs+nNJ7+sR!91b#y?x*`6S>%T}_9<8TGj{XG zhIRJp6kTq0_F6n$+_vI)T{|8s*F(hVJ=s58&WO1>MKBD9665At#cV4?UFo{E&Qk#y zd)Ir)q&w_>I&a_S*Mk91yV4C=8et>mNg<&JsBS_+Fw;#)=sYDNB#4nn)GYpJrJ3Ku zvCp{DZQZ$(v4Nywy_9CLiu7Z>^s!$m^`u_Bf`sF06|>fNq9W(2{W^ z$5l8L#OY7A50d zwx0^da^1~v9t9g!GEVt;!_}bWv#xY6EV-@A#T+pgiX{SC=!+$SnG1!X&QnGsDL!+M z(WsI!GwH&k!00(wdN4N+Mxq&ajgnC`6Koixd%B#%K}JzBF7u}?aUG3)-jyEh=&@lo z;ZI25ato@*@pMnxGU$nPJXD^9h-Y>E_EFQrh>YtCus!NaKt=m-$IS+CM0B{=_VuuGa^EQ7>Pu07Vo`j z#k+Cri>~xyR+BN*?J5{Ky=S@=dOnS`S*$1HO~{jJHX+j8n{RBU1)LVfTk(ywD^1J@W*fel!`r+Zv2W7t&ZR0xz<|Ch{bxWUxP~L9 zn~)IDbQ2PSnQlTt=ZS7gf*6TJZ)De6@8@gc*jHS6EOh&I8x!n}Z23EVH(}G1>Q??9 zo+cq{eXT7oggl*;)+W2WaMw-Pq>q{0znn2Cv?X_#-aOOIzAj5SP}>^Bn* zCL1PA_Uj!7!xhsj>GPh0`G(1r^aVJ7za3&-m|FTW5j5 zlTG%kuAIcIv0P8-5^bN zIhA$g6xs~`No#o>FjzZJj?ul&_yQ3^VPY}L$}5Lh7;EKK+BVNSg%MX?jV5uel0*D} zpkZD_Mp1c44xgP*jN*;#a~og#2pGNY%0Gq_Nxzu?iZK$+1T;pXnP9^h;jN_( zGK%i??;ZI21#s-YTzQj$9&2^ByjhYiw|emtJguGAOQk#|9}kr+AmUOv~%LXr9? z-JoNb5E_q-SLzxjv=;BW^5&sOp2tsF&0IO?=sbCC;FEEuwqYXy=doN*O%tPFqiV9( zdgO0wL(5sNyc;ap&L6@JVlEU*1hmi>O9V3)3PYWzv9xQF&m3elswR8+4fEdxqkp^d z-r0FDTB*w&IijEv%>*09=;xt{WE3Ui&n}+wDvo{2l{0NUHq532dUUx3)j@drd0-iI za1albLm;AEnBj6p%mF>4E0M{#IY=?vY9}0gaG>*4K*ru7o-*kUOU5Uk=)V91-gf1~ z5;TJQaKt<*Bm^{13JJkXHzA?(l!%ZZMj}y@U0Jeu9*+HwD`zvBjG^I^VdPRt(`|*W zPa|m-%d02iO~@eun-K0EHH-dkne1(k(ug6xLYLFRW|932wiC>12fLa>0%AjTLz6xK z%w4aB0q?l-FR@QH!!;Z+-GqdIrkjuu%ybhHI?r?q_{>2f(Hq&l-aqku9Q$8aK4r|@ z%_2U5ov=|DZxgzB3ap4N)^`&&>{+w82!6I<1`2ck@`Cu;j|bK)ekY5koHdK9r0|rm zW^rfC+@Nij{Xv;{@jDwoi<-rA({Vq|z$x>!tyw(3=gQ}2tXaGOl3$=cv}UpRYTA{T zXw&@AhsBo%t~{Y@m@kFJmjYK_tZ$evg{6=BuDnP%PnyM#ama$;{+S&(l zkfQV{uvJoq`DU^78L*vgZLC>5D5LYFw3faYk_U!gG;?@ zqh_(YFWbto@4E7Z0o{ucHj5=VC308_Tz8K|6n#DF4T+$+9iA@f*`?Cy#Y5%hEJMX_AHD=CGh(jsU=$9Fo9h&_ ztq^tPPLGSD9ANC-oFzuO!|ta?4*A6iFyI4MzNJSaxP~LZL^e^-wXYm)8#B$#_p9 zuJFBGRzYhP@5{ULeMw8k2f*NdjX3+lI*WF>*;Qkd3QBjm);CNDp@5f>3K;Z~&_Wq6 zU*A(KmWE0DXQ?;k6ih|B=zKCj+hI@5&_MH zVu@hpLSd-$)P?uu`OHB^qe{lZFFf;hF#6b)AB7ZO%Sbfiu2C|IW`Yf4^gxnxILIhU z#@{~G+6Tve;>wRF^w=;NpA6`73#zB_bU%Ju*gn7pnoPIS7@tO}TO%EBLZ0og3E}Rs zW|4Qx)dNCN5o3s#2=RE`3jIvEkrvD@@)u90-A0@LNL=!Na#G(O-K-%Q@3LdoWb9C|L4l@;NyG$W--+5oIYD;)9n|K0S%fO zyJiZyp@$d=uw!{){D1b^EQif8!sTPSu6$0PP^23hn_qa_LfuNUGk7zQ(s{CB>8m_9 zCH76aweCF;Q^tPb%I`t{cd}f=5z|db2xz(q3BgP^A))g^%lOPeBGDV!m+trkg>vkd zuChSNjXyJw3HC;|vJi^@7Ae)Og0^PD=3OoRl|`Z3=Frqv*~)VG*_1wJa{tN-xEQ8n zwaKomn8ni=ZL%vXC*6dfRkKTsY60 zSe}XfR^T+hpKr3u9qhNF_Z!c6AO|VR&jb!8hx0u4M9;x+#q>&=J#aAJFn=Xg#v~m? z2(d1uoiFVmg<&HBv2lF|L5R_{QIkFR@RA?EwXN$h=`&}&^2r%pkR!bDSkf+HmZ9)ao<-{ zq2*VuvK1^@x5o`RqU=;l1k~(QO9a#GRE9cFvJ+zQnZsx26Qg(|d*QXYZ=p!RobB@S zU?iGxSL*AVY}HJ#VT`s6O(dh}UjOuoCEsFgbd?>udaM=7%G7`^w|cQFp0>OWk{Ia@yVq}7;_s7jsB@J) zax{W#IAWd@5(1iTLP9XpEqYM~!b(I)a2|<7P4*d?=I1zetgB3eNpmJ+fTUu*^sP)~ zQ=}j3rNsWmd8wCDXYmFyRxfwy#gp-%Ig;^U2(orxo9xP=kQQGD)MPvi3=YeXV=Ng_ zv@1cHP^3OeH|Q89gjPh}b8uu+%$wG>+Wh9z$*C-i9Gr@*2IxIAijG|;*u>Noh$IkC6$9MJEFd0u6 z(B&3XC*kR^Fd2WHi6`SJ5YaBoa5*F9gn`kO$Yk7{q?jcmqOS7mjLuU58GEOA%A`9i z8UOI{j?-bl05yk+3-$h$yT4ImG0C zLabG;@=HUfz#*=3btr_~Pl%t&HGNLRJQWd9RJkr~*hs)L7%$fok{U8~6d&I|c>FWJ zfR+oo%FP+-=~v@lvFsE}_ye+hKF5>962UAxg`v(<7v9k0GY4Hbs{84{#qT&2j23d0 zJCgHYB${#8h>>U}*f2&nWjKd}jH3JLgxvAZBEaEEncWDIIaKt<*Bm^{13JJkXHzA?(l!%ZZM%|)j@x%TN1st1jmB;YUoXL1C zl8W_GOuicF$9gHT|L3ICOR2Mehl)|XyeAP)#wW0j^>QSjAWwE!1uYq$&bi9d2}{Oj z!Qh!TC}P+fSr+ZewS7X7`Y7F%s|k5b2%&&i{+u*SXrYW({vLWHO2&HCfG1W&xR(>nT%V0xcGB8cD$>+1`l{4!eo3SMVDJp z&BD_&ZOfp4x8tGmHbiV^$pU*>)5C~)BSk0*2gc1T#cV4?UFF|xofnI}w>@Rj9hQu% z?|J5JICODW`A?Qc*ob*jNaz7tR)vIMrkjw^c}he`5c9G1Z~$ZYM)v=X|1my>9yh^N z-tTHMhKAn_BQHk!NizO1>C;G?#cQ(hCgiO)n-K0E(~Wn_-QM;{H-`8hlbnX*bu08U zm20wsSLw(J z&8geD$3Hw9$9~^cW@ofn40UUbVe4$VoeUY!psBG_P@2LwvX$ldM%GId(`_6yYVpKa zys@!4c_5f=<#Kq@WAl{m*bd(2r3rqMZuy4}#>bfBmT*-U&^PW1 zD2n}6k`6{0CRfOsz*g)TCRfOnc?Sax^CniF3}~id-q6aMT?bZXu7a_we#id_2>2p_q23WSGimFE^?;x5`U8!Oh;t&`pj z(qvb+8Mx{;Ilb4b+k(Ni3380?b;cKn5DJ~bD64K3V%{l?wdzljHqSeS5m%i;lekvN zA%3dz9YtayBBH3eW!C161Qe0+vUQRe1sm19{^-5anI=DU)$MZBlZ!c`>{Lqx)T*YI z2&UPo40WEmaGL?2Ied0LF^V^`3wPFj7L1m1)ik8|T1G!faz_s8>)U?SOt4{$w#{)4 z2N^|8_N2$oN#WT4bJbn4daTvi>TYei-0H<1c-j_?o&Th@x>q6|Dtkl3_1b>>@Lu;3 zvzrH_aA4f*p_pxjsKa(#OgE1N;OAp+?;Idcci6pt#Z4by00WkG)qVOjf@?Tpo)i)S znr=cuFw;#)=sYDNB#2SBsL8%y-xfaj9=D9E9+;Xt8Mj1Iv0nOny*dTy$9gHTzgZyl zQlfrus2J7DJyP*xJPhl?CcAogk5y2c?COziS3NRi$#^sv9MvVqSTdq$SGV*~q&`YF z=ny0(giye%n+1jmEtK)<-l0c)lg(mY-2pU zv;?^NGqZWlc{Ne+3l_l`|ls zU6|o=M$9Q`qbrfgxH(NROGZQ;w$phkAY<mV zO-QcGCWO0VFa71j-z~d&+oLpMh;JHj+I%PpQV-G3R5#BHW<`u$O|DC9sBUPoZ=5{s zcQ|xKSN$#aIj_$(95LO5gn*`-kPyst6B0U4brTZANF;hAyZE0rz7fZ+!C zqHUM`sr`8IyBI%kXpaq8cQds^B+OM85OiJOx88n9BJTY+*9I|3KPrBj+_FHyfYkjj=T@+Z*G0ZoM z)y04vZfj%B;;DU|r+v=iIaj?nocCOp(z02U@0!96b?uVi{QDf&(zQ{uxcUW`9}m~A z?5bCE>0V3-o5ic(l*qN$@F{fdbr3#sEx+6d*DB8&g1C!r?8J)>-c`~K+AQ9ha@AW< zo^n}`s^U+ztGA`eF?K(#opjZ!282SVz#*=BO^E6JlyTMTGB!_~f{3ejdWtDI#835x zz%aR=5JlA+JBE$mr>@F)xj943*O1Y?k-c=iLso;9tGMdzUFylj9I@;aO9Zs+6iWoN z>=cGNPhEIxiq9NmG^#hU^FO!O%3!prtKOBH2P4spyHa1dpF}gkhB3OW%Q+lm6g7(% zAOFN9ICeEx9f7h}bTJ_;lz;EjLE-}&_c0av%!8Q2a&A8QF^^qiv;2MsYCxwK7rrZ5}K69Yp z%O6xJ3BfEGg#)? zaeuIEidgyz|7Dg4Xf6~>1Tz;3L!GCw^md=m9Aq@AWK7KZ+YMl}rmKFCng=7%jJrn3 zD4Gd2jL|!xiDVQd<2ECA%#UN&a@9}Z0WU1a< zetcj3D$OPYYcay*yj$KJ5Q@@>A^t#LFxv|KOf~vi`A7hYxWu8G*K(+CXcm9?RN^l< zbRAb)Fhe7_H%Cl2At9jYCL{zi-QwTVwjw4ZIB!nfHaujF#c=Gpu7;Oe@@6qK{E`e? zXVdL;uZ5e#dxtDk2b%pnx{a6;f_xG5a$wj4IZ!Kq4l(bO!dk#_6Qqt@ zI~7lnYj=h4k!$(oMz~ga;>(RO7wwUc-Rrfz0`CTCvTOUm%Dvlquh;ekgMCxv7&Y09 zFAyOVI)zbIn;K$atkrf6Y@T-tBd#XjQN&s$hxn=Op453NBBH3aN8YfJfPyhz_QHRO zV57R%mtOpV3!&wYU2R&MdU7#GRMpfH0kx{BC4y;oDnp$o*$J`u%;B?hG;d^=*?om~ z!DvHQJ2*cNMxq&arM|w&R?P$(#%SL*=WviwbgwUe)18mv*o|E6@U9+fg|c?kfG)Rs zk;T)#cnj#?K-7*!riU$H?YJI8#cv06oF&yjwtmlFF^p&RR^ME!Am3hQMy7f;4BvT=paOtK0(O~$j}i?ec; zjOT#CIT~@+WV2}3_DHHRN(H4GbPN+hDB!iJ8N-AY%6RQK!(z#p&FQ>YGMN#ShJ1iOB zxbWfSV8CXs*67m+uHlGzQb-7Bo)i*-nQlTt=P3~(L5xJA@5r9L?cHbM*ud4=DNV-E z@S|YlHeJ(gr;JY{En@tNde~&w>IpU>+#P%A8(Dw19F-#!>Bf#^r;K2x8~T~rZXKPc zblKI^6U2t*G$-htH(4V;4WqlgKH5@VBgoJ>mn~)I9bQ2Of&vf%7h>=M2M)vW^ zUG}I`TH$8?*5LbXF+MQk$^s-N|&h)u%JewM*Z?qAyoKihs_&Ef`# z%TlP!%f6C|7r&eFv#43TH5vEQZ9P}RyE|vEZ!W>i<-q-lQwT8;C}d^ ztah6}gRYI5#YflKV12lDb62|yCtk%FVY7Ho5O?k0`4qbLJ_sMV_5lbF*DB8k^KlnF zJSJXr9sv)yLGP!>a<29m%9DR1TkC+mCL$t=YTU)2p}D|#dBpGMYshHc$X>nu?oU9=EnMxNu;i|U z-_dW1GVvAu!z|$sXwCCEVq%G4mYu>-=P9GdFk1#0jp}~7_Nm92xLdl~lf8K`63w`8 z#7Hy~Y#5_nXd)R!_fx_>@-~j$%GI8OeO`#LP`(KLA46_S)AKT({(;{Xq*?5@GUW#t_BmGz} zCHC*_Nxk%?sP+m}jOyh}-FPy-i*->u{$7Sv&}lN_Jz))B2h?Qz5DY#{lVdCyQM79h zV_6!qRDC3}}SS%S|>KYa$3dVB%UWW51*r=MtcUF7* zP-yv6SNjN-{JYD=95EM)B?4M9iY0=X3x%Q1Q$`=8_{>2@qe{l^8oMtAM%%jD=aBJh z8Hr}xHA+U&Ot4{$KJ0Q12N^}l_;&XBM{(?St~MJU@W)y<)#vNe2hSTcT`sb2;Iws-Y! zCus!Na73O|5(26xm4slbo08CZLPSXr^Re}CfQ|Vb*>C@RmpyRo&s=@stR`b<_zO_u zj+7E=lgn^U)CP9D!=cXah7 z6WT0>hTqa*>ukDJAp;sT)oo7{vG9#-ZQ}vn$fme^OgFyqx}`%X(v6KxwJn%!wfY!W z+q0+hRJS!!4x1ADCfz!R{PA`ins)X78_)=@;fU!bBm^|wgoI$Gn~>0XN<>HyBa!Hh z?9KB}|09mw$<^0IlikW-g1wQgZ;X<@cgFH`5}x*gioVv?Cnwy5-P77+*V%IK*2hfl zUk?U&%4(BcN82=Ew=vpv*0;dApXas7u5Z)9lf7Z6Z<~x=8ujh+QVD!_S^wFXczo}G z)LuKGO?EwXSlDu8?IhZ?e~(^Q6iC zeZs-Oa4r7B)mF>XOv9wfUasw6tYN;%u71{aFxfEwMz;KA+QD$e~jCOJL!#nd}B${zo>g(Hn)l9Hqj1CG-B%|nFe{<)z7sau=y86+5J=W@M{kQ~O zZuR1qcseMj#j*aYTs%}xfQab?Szs@{pM1m|=fNl(7&pIE%(g<*VLL9xJQa|!cY>!( zy2I}E^=3{#7l;1b)lW*(2=2oX^Q4dv&~y_Lf|+hYLgy(FAwi5pq9%LEqgFZ*$L{9p zr?=-$#tf2*_0l)l^?i_jtd|n|dv>H=`chQKdx2QJJiZ-I#@q92iKShqQWJJ-fXR?GM^-;P($1ov;0$$&K zP4=Sw`7QyYJzPBx$-b76XvSTmWE9N=8^-ATHs^4VQIw3+Zu)X39J{Bhm-BjTm`$}V zU2Z|uz|;9D%b->&9x5{-qFtEbaz;$8%Oj(3VB9nmv#k(y^;Syfsep{VnQdaEJ1iMr zJmTjQVZdIlel6CNeQ3yDAo3MAP!a-~CxwJyrkjw^c}he`5c9DWHrbUA|8)18%SrOyia%`JWq#Hwg_l{tu8~Pb+ zr}LC9yPBC^%b~iV$-XkXLIH>FA|;g?7ptvP0igbZjbV_YsYl^DazKaffcdMQK)w5S+f}A@RY$!?qA;&KbtzR zW^rRg=G2@ui+d;W1Yh{RseVompCY%d6KSO5#qXhnM6@)E595UJ&3XM%_-UPtHH-hi znUCeIS?sl4y{Fb%vsnHLe%Rh?8v3yM1$_9^j>@DjKv*(2ZyV-IVQm@A3vz~ui7TgR zreVHWtgn(nL+TIa8NOMpuL^AMWH>KJc~;9f7_L~XS!|>YlhVp&@u5VBb-9+!;=?(^ zMgn5%^c(~sM%PBo;)cIEdoj3nnyWvaqMyH{MgsEacfA|5S$s9`>aQm4etI1YUh9AXM%XMOzUoh>358C9LtOpY5R>}} zu~ugk$UM295OMVvXcE^dImA!>#kOH0BBBU14I2qal=0H<67w}=>L~tK3y!($oztLY z#?}9oq@G;N5z9`oL_n)*Vu@guox)J(X?DJv=Q9Txjp}|{;I`++fzf`h{_p-g7>Q=w zmHIxPqwS)ZV8a-_p5z=3GK%h}qi^X}aqRxC{$D8Tg$N7fdwIIt>ct0mdaYx{@uM;E z`|0B>Lq+xvmosAC^I#MXjGGS>v#k(y^^g2ox_%^}S&Y4pvjjZ)yof(v1DwFizj2_TM(pYACEK^$h*b@mW++>Bwgd%9de8% zBZ_wYr8c2ReU$F{^IgM)5DIwxnZ98{3uV0iF(IyvCF2K4!@^_)O~Xb4C{#ze23cYh zY*fwS`cLi67efcR#&Kzoh0XQkWrM3XYSkh0>>Wg8sm`@UI@#k#>9Xw*Pv=F zfv0ahY-;&%y2m)W@5l2!*K9%vxH)rjEp*Lr}I=m#2z*!M!Lh2akrN$ zo56rXTw|#mjo=!N$dgJ!K-CS_@R60vAiYYQ*bBJNSnnMaTkV7NMp$kn-K1fy{uW}-SS?NP^cS2y#7>QFxv|K zO#Q`_&J*3()nHR%Lv=&5Sj?aF9UOX?Ype|2mg{j1N2HsQ5YXaHNC;-}CM0y8I#5Uu zn^U)iu3Y789DBHHteMqjF*N*PMBv(J?4*b_yS_nF-S$VJ3g5`qH%qvQn|8T-Ot-b6 z$HNIik#1~knq9$6x3!Y4zJF3MEB>i8-sbtW-=y2VkKDE-4n4v()=AR{?!yt&O-Kl6 zx(NxvOgAB+^VEStf*6TJZ)ATqaou0x*dtwI8|e0jG$z;^*~X56n{YtJ@^mLW?T@R# z*V@L;$kY9C72Lud8@oWo{i(2j+BNSc?AO*NyRq9CJf*bjY~WX%37M`o*^NE1E|b=} z+}I22!Va#n57vcWduZ&NjmLK;=^FT!iG1y$vERTo_RDFL-8i7{8V9I+)@0Wo={lGL zb}=7riTbcph{+YQL*QVV&GW|9pMyVkNg5_5;)A2cu6e_Jlim1!&%s#3q{&_jWaRfC z#?JjYh*kel&cSeTKiO4qa~bVg{a0Mq}r{_R#nZGCh1>*tj6iP~q;_OYbKiG3Ry& zMd84>nW30%g{Z@JI!^^;>|Nj~lkTv4J@?FCr{U0JUE{X{8o_-yVxANd0-7g~ZfDE)e-Zk0*^&F!k=0dTA zIIZz5ty&_OxlkDDJayqkKHi9t(Wsj2`R9M@9WeT(Yg`VKzLt?_rY9*Q(M+&mj4lZ{ zhl7lwWW4c;83*FnU%AGgQ+jNeO;=~=ato?!@pN&|GU&QqJXEfSh<0Iy%Na3OXLw{3 z4vd>?6|=1nb&czMEMcAs$k@9+;9AlhmW*f3`rcVE-~`vWp-m&Wh9l-lAt9i7Qb-79 zx(NxLr$mGVF&|rDlfCq%Nj8foy2fn-O~%mhb7ACuIn(X1q)#I)Vp-gUQIp;2u?gYs z*vpC-@0N1|LXmC^@xzjWnQrK38riJQQ@ZSGV_u!o+Ykd7l)qY8h5s71oz>H z=_VuuG~I-RV5Xap(0NKkNDw2D=#6aS!rlmuJ=rzx&ClH|@{`+XebbGf@lMNH5!)Sw zY8vuTs>QDO*}l9cxPN13{A}N(HH$kSGWY3Nvv_14Pk}XyEvUbD&pMH72Jzze7=9Kt zi~s1y{q)bgYivEnn#KQ@agE0Z)+|1mc8w?cv^4hd{p#>T_-U{H*04$!{Y8?~=+}qw ze_eg06q>uhFfneE0F7bNFfnlw_S-p}C(YufV_aj0p3U>kVq-F}BlCv&X0fpuuvS(v zEv;-8uNfE?HH(jh^PcNcS~iRS=-a%JfY{bq*Z8MDgRYI5#bl_nU*G{ZXtVfc;2LkBJmp}4Ys>~E<{I`r)c8Vp0X^q@ZVu@guox)J(X?DID z@R@^*Ms+`}-uvz=V05Z${5L-jMxq&ajTnh$f(>IdD>RXeqWkIUeP7=L$DZaI?|1ds zuuy&k{om+Wz4!!Avocm3Kg+~J<#UMm_<$_1m(^KD%ts!K!hvz~iDI@DqOS2-hD+)C zkpTSQPS^O{QzqSE_tWePmN^`Up6(i7q-X@T@gwF*Awe|KO-Kl4x(NxLr$mGVF%pTI z#mkzHY=UERuIX}fC*x~KD%Q&fb6C>oBmGz}CH7y;OTCm*^f^?F>g6Z7STZ)hjdfu= z-o(2~R6$L~=Jy7!`MsPbV{;*}SSUe`v1CNiZoHNz6seEW4LXJiAr$b&i+RI@7Rq?z z^NeD#Wc(y&SeT5UY1l|Wb5X8AmKX&aRWiCYS3C|{p5dAcdp)_BBj!S}L_kYMu|zO) zp)k~W;zEeUXAUwNRWhE}ToQ`MhAZ75bURD}9|Oy5SOsZeGiwx}jOzXZHF34+fm$nyW*%l~de@ zBhpPt2xu7~Bm}c~6B0U49VjG-&8geYQ%<=Q$DZq&cv&iM7DL1Tk!9;_x?Kku(4eVq z$DxRYZ)6)&J8t5pY3`1_toU!0L}QaB6zRss=DLhvw$UD z0VdcR+2+)wn{Zgh@|2DAp@=?TYnwlZZiga=eU)wQJ_b(-%;f&fJy7lsX={_++!K*` zNJ^XR=H6I$a95k`CfoRf?F~b78rFqxWSbeR3!CibvG`f|%TV(;lz^Z1w8?J%vg?|^ zOlyc6#}zhn$43d1e9T*Vcx{Xzr2}--H-op zjZd-Po_)i7limEkwu8xr`6j!$WXi#C#pFtAZjp8{-!OkAHMh(;h!A33O1o9ZK?=i0 z0%F?+4uTM)YojLnjina&9b9|9Yo3G?wsLPZ9a4O>>SM-*){h)bUYYc;F|ftJQ#^)+?D$JwqG?9Y#5{8 zgeHEZ<)R!zg)Fd_)>$7h z^){g>92hrE#cV4?9k$bXDj;L;q8u^O9d@t(?1&Q&#-SIw=EZ#)!8IH)PYMYEO*bJS znCT`Ybe<9s62wR(YO+6H<^6Bt*x$M4#9Szr2xu-8O9V3)3PYWzjIM3-nS+c*)ntGFlt(9lQNcBD9?XN0XvSTmWE9N= z8^)*;nn*@bGOm$$1FDZJy5=28JvPjyJJWQz1=UE7F1HN22SFA6KKA!abYX_e88LUJ zjjlu{<7OnMbfte{)HUxJ=sXpWvG?~3G148DjI%cU+o~|2h>#%WW9#7n8}kp*?zr;5%j4LxYd)ILWDE_j!^mHzO}A4AK8@7avv3zi zO~}3Y*-v}i9eY_3yECc}kaE&Aoo!P~FgEe{0qff5xE| z*Ze2Wdo;m)IAXd92?0$vAt9LQCM0y85)l%_NF;hAd*a8tua0A@uK7%7?q>1WF>b;y z`lj17K4qEOh$S$r2#N3MO3PoZl+NXK3KA%ur(mFLH)xQjk%$BWKqY3~MY7XJq;Kkrzx_yrhz z5zyw?{e<{xzS}1hIt31K&G$k~?C7#URGxjG1!7o6b^_RY$TY~SwtPS(|IZ& zVh@{gknXViY2@P15;(NwT8Rve@Qd)T$dgKfKL~YG5`w92N-VW=3^%hvZgv1Dv5(~B#-Y>rh> zo5j}hkY~BRCSz-bzH6cZvIeC8mdQ6=L|{YO6rql;Z@we~z1iDuk23M$b|uwjf==yMJS8AZvsqx)<+ zj=jXS*6iuAmQAg7#?a-KjO*cPg{&px`UtA9S!`|4k1ot`IU{Bre`FXAK5o`i%(g<* zwbsuP#5@%cv4>5Gk?yc$d}#Y$oDKt^*@gj);2Ms|lS)EB^<+y(2xhto37w}zgak1X ziT)?9?z`*beQ@mWU2Ae)lQA^>JBa!4W2NT1sI5pNZ5BVmT^Kfttqt(A?ULLbdsz{~ z-O~C_hft`S??~QNjclvex~}`T;kBp&l{>6n#Juey!KNZdZ}w|j`JqxxerH7 zHz6UQWq^ug1=@3k>w@l}%O zjcjwbyqh?w&)s9X@r{>!j1uX_#>PGnvaPm8u!dhNQQdx;aM+aFeUok%E%_IGr)}J2 zuC)W=d)pM(a74N(2?0&FulX!TN$5N!A|!~BNc2W_nO7$+hGQ>xtpf&b{Ea-;-pIBN zALAw*ld(KK5>MHI7XQ{!$kS|2t8DA&KAsYo$^Bc$q}_z0+uCHej_u+prA>D0c&t0B zt4((6msod{y^(F5fOTP$-8vEL!Z)(5lT-2d{&nBA_DgA#-8!}7TBiouWVcRlyVmI{ zpEcR7wet?f5NMjhTm|RJ%y?~uy`^h)TT6^~$M6h}Oca7G*2?r?*8wn`)er?zK zb)Ohr8#USEn>Vio*IwaTXTX932AE(?cIzBS9l7>AJVmaZ0pTOp{szLswaW8?cHBi5 zCgR2VcU|uWX|h`dSYAllySLEA8YuQQ5T7bXlF2`Cuj<#%0TzJ`pZ$=-PXGnR#xe{`*Kl6rD6N0gmv ziGX$+h$VvAZ6FMFo>sL&p3fXUJ4f?I_Qdob_=(}4T&oT#&PZ}GM~snZCZI7A%>*09 zsFdU!4l;`F^(XG#9Kg6gyB6LK%CS~wTlmHzTyFK^Qaly$TbBQhZ0ir5c&JL z_Tl~HBc|=aC>$6!mnvpkA?mOl7h|3Z$k@9)NsM%d-Rs*fUONB=T{Fcgb)gN>)gI!LJMWQby?^U|3;R@y!HE@&eMv>;bqS8W*0t`2vR;TV8S%alF1Mh% z4^OvdEQ21%#6#slh-epPxSSCqFXp0fVBFj{P`aAeH{jq0GJ;tSF!mnwlu373GESfT zr{!V5b*}YribilBj+iH-w}X*xLP92*ZbCvZBO)Y-`Ph0mz{Y$dd&JV)e2HT_uJzBH zCSz#$B{1^Tz;rvi@6$+)#iwzxCi}q*n-K1fy|l^pcgrQ-_DDB&BxmGK$pE<7Ki0LLI1hjY)5`vj-LPF;$5g|d0 zM4~scpM1E>0yy>t*LpEIce8jB%FmH~)9qJ%JY}s}JbnzG5cN_mviR8%dCcVgE&fH$ z5lL$n56im=hj*-5oRP*8eBt}1)=ijsn7xs0-It3Ozc=x-s9Btqjr-}Xq-*VuKcU(s z$MfDE;2%6~=bzq7xYj#a5W%o!v9U+TwNC013Vqmo1-|)J-!NYao0%+{J3@Y*H?BDu z+lPOrqbBYa&`g`>o5j}dAaz*YFyAb;_DG}8NgF0c@0oKjT(MZQcwf#i>2ugDz8TJo zn#Eb(xG2W<2fw$HoJiM3&EntQs3hRp8(r)DJl%^4VYB#2H}2Zc_!PSKe@KbQwO_Qo zYnA8hMBGJRy1d>`GsorK%yDFZVc(I3LtN{VE}_sVaENPt z7GmBhaENRDFJ<$>h--b(R!qquep<6(vfoedCqz-}tDIpYfyN8S5~E)vVW{&YJ0X@0G8)zWbk%apF9Jq4yP4lj&x4U@ zrYGtBB$^2}jM2EzL^6u*r}C%&IvdB{;$|)kwY?BlC}%F(q06;i%p8xWarkY)H;XeT zB;%nn5hA{w6Pv^3jF?3`M%Q;vK5oVuw>jQ za5FdTkz*_wQM6lMB?v{yh|=BqB4wBmLIH2d#~GX_$%rxzYC11UMi4YiDjJdzGz}ZU zPhAV;8f1x4u>a54m%vLlUEM#2CixOn@DO7WB<4bb2vRXc5P3lmV?`2?gjQmXpdzL= z7J?41s&383C~Y27o_Q|w(1t>rI);iMX8*O$-uv9!{nyVszhC?Mu6KsD*B;KU`>OLz zC>ck#dSo4Fd7T^G0G2G1vj0dK}Hiw#?;QI@D<IUHmZC*x|5&R7@6-rzp<9~RxVoWPd_DE{S~&D(H@XwF-agAU90}cogn*U-LP9XrO-blH(=E+s zj#+hE{=2_e1IPZ$jqcIYW-%E48giNc4pfTRode&XscxsEh=uRSp1*${Z)Eebe^|~p zUaxs9){TwLodbe#lf5V0bGmA2O*Wgh{r!etrrY{!bWyS9>AKOq5Z`%g@M7Oxn!-{U<8`79yZ)8W0MlK$fvpoGPo(>&o zlRbJ&5l@IkDgMU};VFfg+<)}Ayc<3w(k6TK_&%PXzVA9mPsF-|yV_)ro`iJ=+Z)-@ zld&$mksUn+>q3(~dfG@bzKb~JVEc~j=ovjXdPYv0?9sCz;aT1dag%+1J?mf&*d=2B zb?E%pfXNkdaNfZ*n1zP@qgX zKWVaaLk@=PJh_ra^CJ%C8|JU1(E|z&A_S~UY5&x5kixLBEU`nfZqy&)T^l#qi`;ns zEpY9a8$BB{4&xMSvPaK@)Uj(v@f5qZ1mR=XRvVx`L6&-QF-M}Ri6sKsZ6KBiX19Sb)OlLfnjJoK z`0Sj>8`(=w%I^q9x4F?vVbT{e63w_P^_6>FG!tw*M(r%;aF9`aum5sZ+D~k zexe*}g>v-D99?ep;%Yp#!o7~SIf)kVI*52i&Tk*?r%^`Cl^%@4fpK%SVs@`1>PF=q z73QgcjJ@l!+>ms~yVrm5%)kEx1MYC6*GDvhYd8{~6cPfOZbCvZ(=C2c784N?oJS&Y zll`;%p8FWb-swiWgV~d@ilkz_l+P7qq#x_0#Qx}z)Jutayn#&A%c};-WV{3G;wJm9 zBCDV^+4tn!=-mTrvhM|hdkf^4NJbRx(Q21atUgNjXt{5g5IPYXj}r3pgci#9=(Rn? z63KYgz_2(O@8}ygmW4vTT;EkBM!_c3WdCNLXmMzHmm9qgmUR6g+#umXu|z;~p;#iA zxlkDDJdLG$a(w0>qX{+H)7Cg>42ja_MY{WNq4+t-1&pMu7Cmey3yxHXax7+NO)362xz(q3BgP^A))h>h>##g zBJtNA#tyoDCXT(&jlLXdG6uu1fRSh9OtZM8lV~K_ggjed6T;oGmp0k{Zn?tS z9;XpI68!cIV=j~g`J8ha?2SeQvm(Z>=Gg+VadktJy~%AC^HsdY^c6@QTwD4-KACGvUx)C)wWawXJX|Y0OA8DVE-K+$hVlJWS}5h+AkAWF;l3*^ zjL))#JV=#>^Y{Y-9QH;Q4sjqtC^!WUaiC+E+)s!#kTNVp9H=R#A|if3&@d4ZQ3RTX zjb%xOe-`rl`5H2jW^u*N0;fUCo+~X1OXh}6GUDu1O9+SCKrInWvr`%BJjqUo#b*vO zn$Z2U^u8NR2BU{uY01nS7>Q=wHD)B52{s<1;b0;e#rM-Q+rAj#*oR$d*_br#m}nF9r1K9nm7!L;Bj31TD?H;dc7 z-+U3rKI%$qN3$p6f=DXXOMfMo=12OmUi#QC{a;4vrH}g3x1nOFm!%c*$z)u=m{fR! zEUTb4i=_=A&krI?#*HFZ+9*SgiDX34E-mO44Cv~;2>p~BqPc=s4136 z#uf60#mTsS(Xg>B6!PVI1N=<{n^3cO-yRn{3@smXrHx_9+7TCXBwQ$#2xu-8O9V3) z3PYWzv9w`|&m45&gp%=$9hO=Zj2?HTO>=W#B${#8I2lDV!Ny~>QN%eMWE3ak2@kw? z6OMhtm3~~*V?#D=1wZ{DvY^@qPa9<{gSJIbh0hhGpFu>sFvD_2%vN0<8N#8&xYmfjjJ1)#cvMXdMFNk+Ld<0c{`18 zAC81>LP9{(O-Kl4x(NxLXS#V3#Aem)@_nZtf@7z<5?+?dn?*Bx^pg}@XVq==L$8e) z`##E6cq2P{Od4-wxj9By&Np74qzDDwd}H&WrxG{W2lmlWd3!NWbvqbGACu28$LQ`@pc@Zjv83;KOu#uf;QQu6A^t!r7)BGm!=Hd@R7)G-+Pu$ z&fzJeO?K&2tout>o9xnQSofC^>)=kuy6{G}gmsX3i$}w2^7K4lPl!-yn}&;c@sxZhmWQV z3=>1Yfwd>+4D(HP3BLh?$%fropgceAIvB2)Hm?W4gwt(5`Hg+);DUn)Ht*>!*B;Vw zkixLBEU`alUFq)yVsvfXWdCxt6aER;KIcmR=+eEI5Sr{Vq>f!1;VE`)4Z_E+twVUY zR(awbYr;jXPO?~Ekn?WPCi|j{D_z*Nd;MZCxOjvd<9nU)RVoh%1*gCvt`r4K8V$r+ zsg|>O-YJZ@QoW~`l0*EInpwmAz0N2qwK|54;it~W%LO@NzJ^Ss$zJu2qn?A7&%4qk zUFylj9C3E4B?4Mi6H5fMswNC|o>sMsGJNLn**TFnvgd60lXbwT?@E^!=D5$btq0?9VBB1UG9_9uHEbq|hx$(3%;&z_7eBo*tW=vGJi zv0h5-M+K>u67@Gh#kgKxpHC*^y;v7_PWPu+1+B?`pzlf#gipLZFzAsYhJE5?(Jr;J zgktqkx=Zz(VL}K6yc87-6Iv+arJI6BrgvdEsu?_&*Lhmen2h)43>(XG9?SLpDPk0C zLQVEf58QPdw4C8e55bb#{UO{S;X<)QKyzU|$7hcCbCNI=%wp+*KA$?^MHG?ev1gk*fSNS9ks zJ&&gz;?chjEWI$443!rlqFtC_IV0xTqS2MeWZXQjm~Dlq!*)7PbAYk;qNhx{<0a!} z{VJQDS6%6)9*yuD;Xesa3JES!Bdtde|9Q&Fp z%}i@D2E(s~k!SZ!w{pR!k?PjyC7X~JhuDO0ckE?NHhYn4z3p)tF~rLSPQ&rK75bS{ z!!M4D7`vJmInC3Zqi$%jr@Z0z!J)6a(p%VPX4)^pe-gS03H~6CHz6UI=_Vv}p6SL% zKd4*$M)prn{$OVuJJXf$Px5AK7SBZaIU?wGdI3*}KB*a}M0gsqW^oFB_U9rL#tG=k zGI&Z`vv@<_4IkdIX7SlHp0e63mcL%aZ;^Z2iIf)@N)*5Hf-Hqu{pb4S6m|(8hRO>S zUFisWBU@f1=gJEYv{@`KI^xR1eX-TPBU{>P1Wi_sVDRDSfq9g)f??jQ(f#|bbV_8H zG>d;oqcIHgyosZK%+XAnC(UAh;7T|24U=Z^0ASCi4U=Z^z_f$mip9+$%r{K>95jm% zAz)ofi)Im07&ewAc0|!Z5Mp#~+$=u(+$S5rwf}bI#d>rvCRnprUK&yd*Or&%Q(j$L zPDA+M+VXM`9_sQFO{H<-HrESuB4i>&oBmX|q^fx#!9&=fD6X+)s!v z5a9?;fkPbV7#3m;qzse$2@wZsiYYn74+t9OMPw9}SLhlxmW4t-URLt^QLqW!Pivm^ zo2Q`V8?L+xELp6_4LRcMR7(WZ`$;VkOtVuN>O9F#h{b0PGMdo+w86C}UIRvNy7HRE zIWQ87K*rvNX=0>1$2$9+f2ZdA`!ToT z(6?QAqXLcK8jge~g@l0SNg*Ma=_Vv}o)Qrf#7HD=7B8FD#dS8%z?C=s|B`V9Bo*tW zzmm(#A^lh{ee9Q)DN4QcQD6Q6RE+B-zVsM>ax4F2mSo%-f(-YySuFoF>&n}NWZbsr z%G>71F_DZY+T|5S2nF>~&5&ey!z39|z(L9|p@lLIYKkS2as8fQaWej-YuH#83i)!q zwLgP`O(+@P+jjc#(DFa7{4-dxsXs(EMJ#=eznLWhnhV7e!OVriQ0J)&f12ep2N_K$ z8J~RMKUaa#JFdI~r1(Ncq8WFMlTkDiY&=HW1{29BPR3nE&MD#8|GM(dT|G8r)2;)$ z+=6O%JZ&42anD>b8FRh(!VJq9F}n_ou0$r|W_QIb84-2mJ##uw1!U~yJY~`yFBz{t z?63Uf_O2`Mm7x*bha-`ULP9|Eq>vEIbQ2OfPl*T#Vm`J)v-oni!d~k=SKgkbaaw^XwgKoaD znSWN@N{9CGfhDc;gl_5Z6yEw}h<%xEPrG|qIX-aZ!wNKlYd8|R2?+sBHz6UI>ZT-g zo)Qrf#7HE5BfIoU+dP9~KXm0H-ej|mhBvb1sY7n~_?+o>9-fXHSn)p}SHW=wZL-Uw zh`!@en92RiT3_esm2_gql^eF7 zyuT<<={tyE^Pa}vT>0dbE4MR-jb(|Q7P;~TePVQN++t?MJTs&oteO38Bfp z0#e7Wy$VmUYp;gzv1_k|@Nlj2ye>+*=!R6XSl`(7ZqO$C=AtX#oVF&r3kLt{kYjwW zGrr1Kn953##cvho@JImO&pBlcDm_P<&yA<&2p3(mXQ`2gc3+ z6tk@mb>$C=I!^^;?0rP&OOx?^mv6&>xn23=9F6dc@SlVyg#>>P>n0=wGu?!Q&Ql^n zf|!r3&}9F7Y&u@)PnyS7=IUrN2E*^`u{4=()A~M*w8_3MlWamhEV2pV?nrEFvU#`M z*CQ0`#t@&@7tC}+KU2QWFUCBj%dY0bBIl9r9E%v5?9>8(`z8#Sh>##gBJms9dG{W<5Xa8zD)W!b-Ym9IwvOqWZumC~!^h;Uh*gL1 zG-OwI2|xR55i_}e`F#BBuW4%*&qZVwI@T;+J%XpKHH$N#{?R?_MBeWtieF_h{46w! zmBpikpDIg?xH8_|t-C`3=T(+UyULOsZ5Aubq+Dg`2t+W#8`(qiuH5Pp3O+31s}<#X z-!N$w4=A9yBjo4#Qdru*=gOtNVPfL35zRDAn#JR=_FV64o*~WR@xZPg3G)h+=LBFg zGKwXd#rHdgNuPse5h4Vv%e810A%$UMSz@OT90Vao*T&6a>B&BRxie`#S6Q}5_hN!I zixs|04X&-M#HYNvw(=baA6#2m8N$Q0!n3k!D(Rxt3W=gqStH}!AkAVW1IOT9q1;cE zwZLGlG&v^jr&ia22%+EM=!C5xxE@M*#S#;~$UIvFaPLc}FIVslu|h}qbKAsl?%WEHcm5Ovs2%~Amwdz*U7 zq&vqti#M`69ya_E4EUO>Y&M_~LShzA3JEzhT@OtA47?U>~p4l;_9@uDM{B+=A-2c=`oi$xAZs-AjhbJ`i!~$ZsE-9v?Bk&JhgZP+{EsRx#TO zQCHc!r}I=m#@;?zVx&7>GQRi5e?Nl(2!Y>6G=gh55}y2ZjsQojn~)I9bQ2OfPl*T# zVk8oON4C4|B}?MiZ@9|-15L)D+r}_*Nu-~D30+xX#HW$!wn~(2LVnj{6T;o&X3^g* z8)pf{x-rC87!k~LLqAhlCDM6H7hO%|cV5eJbwjgw>!Vly90n}pDhFYo{RiBKBcYp+ z5YXaHNC;-S2??F2M1%yfS#?`#Z1`LpyRfU^x4`mdG3d5-=seL)Nf0BE_>Jt;&eS7t?69j$Ex3gr z9$IDti}IzmDO=%GiR7@vMWEr zeyyHizR9laopUhRusiWLSJ`XeV7Ox1yq=nJm5XzR$(3|Q-a&+bb-DJ;$W{KCHEaxj zbCq*4u5y{*kFJfI>?8lY?ul^iqONikW=t($RcNxWht#oaZ{$;O?frCSaP5N`?^@;A zi;^ySIF&5ck955ow8?&~=qit)$MP?MDo>h= z%IkTCitHbjGh$|VA>wdg+`OWg-A{-*Y{!9lDj;L;^*k}s9q(RW>ckYjmN#h$SDD$P z5nRKO@T8Cs&~y_Lf|+hYLgy(FAwi5p;wJm1$%|frW0!Q5|G=bKlkp)W73-zk>ko9L zUP|oWfMjI7lqMT*AQScSm2@&0|2IoAegHwP$Xb*A5v2VnB;zMw@NtJ66Um68U3n-X z6swQYU3s8um=Hn%uiP*&OlYBuS6(ONJ>uWUqME^TX~W`V{GV4m_8iOg2VKsiU=wPx zSGZ@{>!9UQuJS1?`A?dQIT9`uO9V6*iY0=X3x%Q1Qx|?zJOU9i0@e(j# zSr;u>pb=cd5qnZe2&lR#3Bgo1C86_#h>{@YW9!~5Hs*I^_p7DZqr#kFM7U4JVE7EE zaruZP<8_E^q>(n+y-cDBiRMS-p55i{akJ>}mKkY6v2G0U>jr|^R_JFcy^PLN-O$xw zQ)1)lh9>*J-(3s;5N*`qnJoUvR@GWUX2JUg$@X%}sZ^5mPvXmc>w9Bes4vxxWt5kkQ!aEJpP!}NYiIgm0; z?k7YXs41r85I-Pjm=}>z6lEjB#UJ)#S+Q5L(Z@`8Gmd0spnX(f5&NFun9Gbm+i9Qeb91c z7yS{I?3wec@t=eX#S;F2)@bPalLa#u3PYWzjP~jAnPbAqxYU#T-UCLfxG0~V10&Il zyT*(}Gr`7V^oJbhaLk&F+aL8KHjAse=pcB&3lWm>Q21$|oCVe4c>4XoGU(5PWT^ZF zBHD!+mNQ}wE%3+?4iV$#aK&sZL|ydffzDF_8GC=p5&N=aJmr{e`5AmQ7aiH95nRKO z@T8Cs&^#$51T)=)gw9hULV_5H#BXG?-DfYyv8%i2*pwz?Fnl{0xn|FFTR-p9NSeiH z<8HDEIby&jgu5q-7<-ZJa)e^t7~<>a1vA~y&tN;9r*zTPL`Qfn$JGtZ;$7QDx59um zTy!G#IaW!`qMMM=12hAKgc6be=@lh0D-j_@i$S;5M%X%= zZeP#(22GpnM^Ku=8`(;|;1=DW!`)-u_{J+*ZG=$J%{Mk*&kClxRnF?+MUTxB-706J z391)3@5^+%Ws$|_f&n<|tRjuz8jeJ~2?+r$-h_l;8gEKM=P3~(L5xJ=H?qIm=UjGT zYq{t`==O9U6YPy_)G4^(bBm_iG(M%R_+NoMJqH)66#uJG?$60$Cijo7?z!Q!5vOY@QhRgT9Nd${8jm z?hOK0_Y4z54^O%1+N@#HWd9xWt_%A~lYM5!!El|YS5h_aV7_5;CDkGq-I5O&e(a)p zk5U*mmL=BCx#%{(A6*+a+28))pNGJ;YrE)fth%s+RiVj#5K_mkeTYxNwGTu1*tL&9 zc(_)1J~onc(c|4@v3?RZzzy1DKb>{aQ$4%ar-Q-t92j6≶>w9`sOf3LN61hXN*z z24XFG*o259E_$TTiCC-TxiRnZ%{ zWT?Ce5pN%e#bG%k<~0w-;lQ|=shDkrsKa(*f;HKUy*E8&(jBkKKH~ZVcu}nDqPH_N zLP*TwNg<&JsBS_+Fw;#)=sfkLkRV1Pag%+?R_F5y~6Ct_&Ab^^-^?u1nI|m zDY4%xO1+d)^d?k{>*dTsG8sR{y12>4yGm3+OUBOzF8ZvX$ygmKxav@f923ciq8&Xx zLMT=rr8{~gZ=o9ag_ndzK7=7PW=Y?cn z$VfEfu5mJoW`d2w2oB>M4l;_9@vh0M{RGEu;Hv+Z*JCZ4s`E#5xdv5r!H6!`461%3 zl?avULJ;xJK6j6so(K_xEeM5h@Nu)CVwQ}EI&7!&R6xWYHYG;7<0a#>i|+6a4ETYo zF5IUPT*DE2Qb`D?o`f}g=D-_PX%>})U|JQF1Ti05_hvaCZ)E@c?$VFr*bQBEiHs(r z=@z{PHEu_PBFXpwBKyw18T)8I(S%eNO0fyy?g`y^x4c#$6m;_)$pb~fY^$-piyrOk zJk&7y)9qgZ5FHRLh^OfAcA4dV&#+wO;(;z@L}botc(6tG)$Vs6FO+_3WiB394(+R%o!#o zmU1-HFliRc*sqf^OnT!Au!jlxbA7WIMZiAkC>A%1FyAmKt!Ne@LcqF|7R@50Fl;PK z?1GM~uH7R>*T&7_;cFlLPq_AnuDX7T?!^Ra7ONX&6RxfP5KqCi)hvV$uC4ORjc~2- ztm4a!@i#20n-3+64&GJL4bm)Dw=B5omMBmDjcj!*F!)JCj*0sT@dYA;f>YoS2Rer7 z{e-RTi;{_m12vteL_n)*Vu@f@)#7ha!fMUVEeo8(K}Hk0pBCHu+bidLB#K7 z$Re(@K4NzAUS|e7*Fs zU){JT_0pH3>aI{Ru9rJ^lgapdtP9Oz74Irh1uYr(gD>{&S~5-sgUKUePx$19qFvpr zsK)43iP8-^hDkD_fP<7_QXx^sK~1qlGVa_pEKbJX+kPq-%k>{~oJYYXl#I7@&t3~! zZtkl4!;)WjxtJs2La{_Z3w^OfFms_W)OpHizYL!_$Y?^zc~f&p8)>X}$` zm=6uv3q(HW21-Ie(@jVSX1WOpou@>E1Ti05p;>Hy=gehr>`z>ED(L&0F&MrhjQnoK zblb4!(?~w?R{0h65Ah4+u`HPt(MVo^zj1`+>_v9$5{h+Wh;P^v%ydIPgY9&lZiuc1 zn-U}4IqHUHaox?1-53UJ<*H~zUdw zw|3RWh&GGC@C-Vq*1&X2MZQ7PHw8})LARWJu)lJEH?mp3eb9|>ys8;*N6^hTHmQgc zaaZY9O*A}D`n8xRbfZ?rMOO|8ewl8yMZS3j4A{n1FUZgczY+eE&`n722P7hSDkKC` z-IRpRQzAlw7>UGhWZ(UBj-A*~UG?UaTlmEuCfFO<>TM|L<)Z0!2cOc~WLNJ>x#3br zTb}CO1w3Ujllxci8Mxumo;KOl`*L{7X_H-j0PD_&FMPjQeGu!;FIWfH!@BSt+3Les z7dP3D^pf%YSix0q7_lb%i4j+Qyl+kRQ(0Gil6GKNlO0V)ZbiN~Ca)n&e zb1=>3$rW-7Oyd2gYw>?wbqgGF&%iL>WLI~=e)r`J^G$a3sJ?^AhKbQfdAIk0>E?SZSl z?K#FxHsh=Mycw-62BNI`LcqLJ7;Dv+a-i>>C+!9zt~w(KF}+J65I@ydvN}&iL=;tD z?HD$OpSmjJ<&7dSUqdGHMz+6O9~FDj&s=qYL+A?`iR=_h2*=qemI!85O&IDtt!i)O z_{`z6b0TkKx83r8V_*bR-s{YP(Mvh*$PqIV%>)~d(c9h!oJU6Sy?*Ei&+dw2f9|Ru z_Vw6MXFpBRad;8 zQvnfs*pwLQ&hdW2KSW#boP(B!0o%FS+#@uCYd8{~6cPejofQ&-scuR_=Lr!dL5xJ= zCi|mk!MVgGYMIu*QBtwpgWJJ-fzM3TztB=xMoslz42%&&iUnm$R$%rxz zYKm#4U^0Fd8756Ok`Xiw8_PnWD#~^38~B?DHlZf_^lfk43tH~rYQwPPs}UD-BwQ$# z2xu-8O9Zpf7lt}dUAVB1H)3?*gqrM|vrA0|qhGk%5-{-#8Hr|kl3d=ZnPB5FS|s8e z4l;_9ah1t$=W*O$c{S6fxc{pG1U$ZVd72^98}Ih&|GC)t7rZPjtg24&A(#Gh>{>iBJms9?N8Z{y6xg>-yh80EIyJ$ zX-b)HJrt_*5PecJ?nj|IFJsN(J^0x_x|qrRt9RmO{}{1m@is)}RH!fA(~AQ<<+WL? zrJ(*)1ew3=Yu_447QapLv(PNoHqR#gw8g+xZ;Z5AtZmtKwJp&)Ze76XwXHh%lLZls z&@48JXtHvIf)Arw2K`0BFmF~=h7a$L43lQ@d|>y4d1B(_*ze9TPnyMPc{HTn*E~ba zy#m;a1KUrU#VaER!xf90MVN1xlvXs0wN1mk&@4gU8Px#~^G>n;2lbZy)$_Fs7~ zf@^nmwQVwVFIHKzSo?V{;o92vcnYqq?Ev9}Yim0~c(_(wv{NVPqF<(yMQ4|ucY`#G zwcTLlZYWQMJe{%!80_8!0}Oi!1cx{fArzbfhd9tNEW{c}87B7=A`a9Pi{qyz-%-SV z(kwziSNmn!=8a`ZhVinC-;aV#=zcn3=&@fx%iUaUPgt@|h8uDus+w3Lpj9=oL@=vr z@wX_+ax6 zbb)m*#<6?2+CFJL*6M8Sk0W%s-B0`BY4@&ODtMcdXcqGjamx|1i0iD6m_K?jgoBTp z{S>pU5Opam*vos$q&wdI^yHOi>0`gP-9YN4G>doxnW&fh z_LIqYB-X|4_|XMcL2DNO3SSiZmW;=M!7+JqOe7{#v^S%4SkmDqYIoz!6uZ9lc#Mw30nTj)&2%c4()R>N5X|- ziGUXRVu@hpLSd-$l+j;D_{>2@6H3P0dcViJ{YiVd+KG_y3mJ)K+%*m=(M+)M7#-8+ z91b#ylks;8?XWD4{k5x|0uT6OLo%L~q023(&c@R*dCQ=4qGYH{O~n^xSk8z!E5jp0 zIMf(7XDen~A?j-9L^@9eWb92%5hLC4l5xeWSKJ;3{KnP(k*5({!;$c$kPy&3DI^3l z-GqeBQzAlwn2)V{v)GuwzR#aBvLcTCt*ceKnvB8lKfuT>Lo$vGd>To!SmRgJ<7V;P zh)oE0PZTlUEq~|}igja%j|>De-O$fqJDsO=(bd$>jfjn_8=A#M#->h#0eibz1G-hZ zT*HyjO-Kl6x(NxvOgAB+^OT5?AU3ORn{Bed8aVcMu6A)wo5i5pX6TY`2)eD%^$nUf z*{`99g*UR*yHjq_@2jhAIp28IHuGT6%{MkHbcLGgR=qCgs^L3cLbrN7nz6f6Mt6?! z2Ho}=-r-pJOT!n(N0o}Ny|_cJ|L8_QXf{aoZ~&)L@=YWNgkm4!i@mk`1Lm)g=zP$BFlY0mpF0w9-0KtM2B(qw0|uJ*X?r&rRAeOG%jtMjx!ygB6{LcqF|_Fq^#J#E-nme^R& z)t>SD(Y0}tz21Gx+zQwJ!PQ>GPPcPaXtH00)Uj({=TmU)Ob8#l_6-OR*DB99i%A!~ zJ(MiggN}EDHrekKTwLpq;;*5L;TdFI-;odHX)v&HH7gp@cU7)3Ek_LuXgkO(DIM2_Fjs5`W^kI zC=;LKFJ=jUKx?F>6-xxOswNC|o-%r;z-JDhofCN@`|b+!9RWuBy4r`3;tLsxX52Mq zB$^2}9;0`IiDVSt>sPT~1izjzC{O%@x}=ZO-b zQlA$hK9?bj#QlVb!4`z#a6sH(Bf;!`Leyb9ou>jK_OK~2(w$?S#T(g;S3128117ur ze0dsSBd)VbfC?P@aC7w@|I z;v?jkNJbRx+FM0JvHB?8pktU2dJG%C)-%l0LN#-srdXVeAZS=fM$j~DEK74yu0fU< z1)ESZ_D9zHA+*f9`Zr<8{9P{Qh+U|b2x!SDmI!7p6oxuaUAS0=&m3elp(gvHsmF2S*Xa`okNdTdC> z75j9#CF80oy4;d+wL&sfRv*L{W?0UMS+UO}Lpb=jSv4h?ZH1_-uU61`Dj;I7zWRU| z>5i9-A7<~^3I-hL>T8bB2(ICXJ*gxFR8Q80gkYwdkkENbL`V=Lk@$`5x}W|20UUdf ztA8)jWDJH6by=D$8DHu7G}0#f&2*v(sjpsO6T;mSMGSXKeW*()=;k|;S9*e35ko&y zdo!)`gf1>|=vE*$u5M@+7oEH{ul9poeFNzBy@+c#61oWq0WAZBgkY+hlF)gkTY=9U zBoe=ob%kBn+aKcUKg!PDEIy6$Q?ue&0;+_ z|!EW&)lq_m=0tnZo) zSeI+jEJ6yy#b@gB8>0V5*X0g6clyGhR4|oc$t?!#k zxVF9@gokU@MU&yM(9hTNxn$A#6Zpan(k#{wghdD99e{r$TR#{K4h8{^&@3XpK!i~2 z6hs;57$)}ywa;a< zc$ljn0!x0K=Y|}K>=a7`w5leS2xe7H80tLD&I9{=<{+a9-A^lheK&MElMZ+FBQkSf zB${zo>g(HA)l9JQ7#$o;B%}C#y1Mwp_i^l>UH#~s9vkW`-q^t9c0V19r-RWiNwawT zNHSDT=rUBuBCfMOV&vUZ2nQcG$M!i5*QsuWsH-18BA8vLjJ*@O#7K9%`)SF0F1iv1 z9O3Fy(lml=I1-)|5(1iTLP9XpO-SfG^`wv>Mj~;u_}rBb-+*KP;_9a(fM!j`{gG6x zm%dr7?}zkbz4WnP|3gOVrH}gh2~aVvm&fLl$$0iG$#^aV`BlM^aVmT2eFI3-NSb z$e@ddlF4`pM6?StEN8^Di$+%>lW}vQVwQ}Ey86YOMzd5v#@;2KGU<+&j4w?4?z}Ld z;Odw5XoTO$?-e{LB>00^Hz6UI=_Vv}o)Qrf#C&Yso5db{Bl~Lan9XtQUtRsmv?gOP z9Ph1BnoPHSGCqy8@=V5E7&jr8;O@P(!`%}_EH~up^4pG(Mn1&%$#7a+#L&;wCl>@W z-O$z4(fnhKs~eid&8NNa4H$5Yt6vM_Fw;#)=seSnkABRm z+m^+@T#jS^=Ia0IYO@%0+bzY`*>qbCPiWAz$sS~(TTaca^>TP4o0I)*Ip28I<=+;dH9kLZ!hvGZN+~E^7P`4 zHre%;QSL9!LSgP-f2Hq+FY0NNU4Lx^Pf*`?o%NYmcj3UA?0;k3g$3*2-oU!JgL@0> z;wJm;ZZf|AlXvwehOEi{Z`Re{=~tGI{*oTkjT>Zs>$rbVp zuo;}@=gAfF$H>7z!^A}V;s|CM=9}#Lg=q(44U;DO7Lb`q$nSS&0rJ#tMm+u7_R}lr zp@OTw(bswMMz;QN$JO7m{XX?yd#FFsclEcsHg7CTxu3|p`hWa>bZy*ZUpH;}-@>)W zyZZas=_#%XP4>r-I(F@+dPJu&Q{nLPXr@$eu{<#SuAL|%#pr&h8M8ppW z8YcHTq6jn%8_QBK#0$t0^EG55P4+eY=MWZ?PH>H{Ld*9%T+ETkPO(HlyVu1M!8ALS zq0W=42C?|e;j?ohZ)C4u``I_Z=tS38AU_92q8WD$^+GihY&=F^2_}+Je6RoD#r&-} zc8Y7@JC`Da70SkNk1p3b+gJ=wU&(5nZG1DE43#Ay;yXREi0>ze;IIXu5Dq?W7E{c& zLeyb9ou>jK_8Log%A`Bqy?)gSJN**|oa7oyrDz2A;fOt{Bm`7X!WuuzPc+?xgw9hU zLV_5H#7*{+hn@8ljy>5mme0+WjE%XGRIHc2m1zti{a7z0_CL)_z4WE1u>@2M^|G;8 zE}4wq!8+E9qG*E%p`boXH|Q89giyfi zpXLpd3W+ifYKkS2aj~3XaWa0#_7kFYlxvXXpkNbfvRB#XQ{GvpxW*c=WceHyb0l0S zmI!Dr6iWm%7Yak2r_!z3<1+^tO{mH4Ogja4z@$@MW1aLDGg8gCYn+UtnPB5FT0O@( z9Ap$HWBcI^mcy~9xyE|%loui-;|2w~+=6ODJgqjc4BBXr43!@uAnn2o%Na2n6nJC^ zhX&(jL&a<>L|tQ}fzFF#ukphiG146`86P}k%CRuubl1psX$04BBs?i31T;?y3BgP^ zA))h>h>#%WV=FY-lUKUt$2hj=8b3~HG6uu>I{6(-#`?#otwI~F_iSvo*h?zazvZO2Hre0ewET@v9WjL8o#EY7}hM-ZiLg{_OTdzSi3%j22Fja zX4S4KxcciwF6BHah4&TF7>0Rb;{Dj~r7#bL0%kujf`&A0Yo#}S5ZGsZ!+f(??;*cd zwzYAy*w`?o^WtW)v0Iq;beCtKS%efeZ!AmfNyN*Z9b$BC+$?5JdTBkl_AJ-fCr$Ta zf;Eec{YDb5ZS0Sy;MzvMn{aL8PZ{r8Z59uVk}f(pl`J}kfCt>5&EjE5#lzBeKm8dD z4)+`r_tV=DV!sg*4^Cl}fsSEvKfR8v@?FEkDTp{wQ%uPrei{cxhWYym0=mY*DZ|FH zP{_y2Azfm=hD@Ycykh4M_)+ffu5kn`*(c4#9Et1{O9Zs4CYA_h*(nTlo@VD^MLu(o z(S+`&%sKmg4UEoqjidW>U?iGxSL!>xK-)z#!Nz0s=QQVVkWqX;E%}?*4#lzOxW=(i z+Y4cZvT;I|F1Pz>3Z4$P59N)MI>}HuCCyNg{ljub%n4b7AsiZvn<U6?x(HadhA>naIR~dTA&eJ!;$c$kPy&x6B2@%ZbCxmDG?z-j6~vQ@s7Pt zco@e{b&a!nvnS(0NGjG#X%-Ja`n6Cmee5?T_oZI?sBfGM72|q&VlSDD|G>JqSv)__ zDrm`A%DTpA&yukW2IU+%CXx|FyKzuND5#HW<{Afd4fBLh%^XM>CbU+@+LMDv{IAzg z&0OQep3aks=9BRsUBkw*P{^0-^Yg?g*o2zJEp|C#3bg!(YgAy#S^f}ikZ_?`BA~fY zED_9HC=7L;y0DbxGY1(>C>ftG{OS=fI?pv4km3s&iDuk2PDas8u<;m`gNbAmC*y*9 zef4!5d%kO2(A8r@HeEcR%Ppub#Zwud7W}VA8kgmgq0)hfc43C)jF^iDMpq(}adW9+ zwiTid+vz+Nkg?bClu38IWZZM@?xHYY)HSAMXax7+NO)362xy)Z5`vj-LPF;$5g|d$ z$JV`BY|J;Z=XB?b-s@a3pjS z5(1iTLP9XpO-SfG(=Eqmj#+gpp12R1k4Y8RxGk;CV$f}ObV*O(nvqc1sNfqkHFh2p zvG7K={_>Dpbp3(sZ_D|{tFe2LV9?DsHX9WLQ{C#1rCej4zF?|b{qZc`<`Mc*-Iks_ zx;+etT;ne2e_NVsI1;)E2?0$vAt9LQCM0y8=%yryQMdSw>{9Dqg%)vA)is{&yM;fB zFu~r)HfH4A@D)YVjg9mbxI(4)zY5)^b+iv{ygq`bEM{{5#!Qs^%aPx{_iVg@$htL*5 zzR7OoK<~$G{t`(lmc~c1& zHRmfNigoj=8Se&ZvYSf|x#ki@z1N#d6CQUY?2s8~F%Th4J3&;}lHDn@9_SDZB^FhnHYc35fKPqxDM=OV91rT&U+Z zU7)jh_wIARsNtH+k9;vB)l5&4CR;TVY&=Fw1ry0AzSqC8(63g+u}#-psi4PNp={zU zAY88XqPZHLmcpkjf3G)J&nH7=jXpy~Y!1sAG4gIMgoBTp)%?70KQZdCotmWrBKDeV z^ofz~c=!6WukVg2lUlBs$Nf%2rb zYp#m`nk5;V^C78NFa7=0oCoR0dg)`oIoF8POCR;kHK1asm(5j+$zNiw1uf|OyBj40!vrdT2wS1lSA zC*y`;-dGk2HBhcWmh&iBb|T3*et@CyN`}hzNMyS(!*WKadqR@9+to9LKtwVYwii%cFk}NM?yCt zA)xA}Bm^_vCPjSa0AbV3lORSS@f+ED7kTVr9Q#k#+`Bh>v-sZ(N>j>o8;rQ&%OYzQ z-$ZG;EMv{$O#JMhUCiYEjaTure~wtQ$Y1nd+Sg{W>AHBzYqQwgsDmeaBikIwB#U1j zKMT!b^H1rdpAPJ~#xr?s7Mlk{_=7XrEH)1kntqpp9@E zF<%Pn5A@O89*$^C?g12x5z9O9>WY|$_;A_R2J;|V2lf$?&J z-;aV#=zcojvrRUJme}l+6!r8w`b|+~KF43o68?bJ$Za5&2xi$S40WDn=ad4UIml>2 z_fvVRy)FTx%U!eBn*$@!jJw8+L^Hw0V{~#bk&NQ|>9o(*+X}}{bIr41vlk-N*{K=2 z-0r9I@N`nBv*$<2P>He(6|#uyY?Bc))q^1%eB7L;n3ZfqUGw~iOEFIcWb8#*Vx&9X z{WR~iN4qfK3fHVgG{Q!r&I$?sAl6Mt2xhto37w}zgak1XiJQft{$h9F*ehMLJ(xWi zk3&+iUP`lg4APJF(#L-D=$_O|AN9>qs2JBvybX&#TsJT6Cl$V|$SP>f;^jHl>@sc55LSDz`zzL(^oDNJd6XH$^CfLz8hcrkHJosB7L@(0M8#WA8RknRLfX z#@DZ1b|)Bct!v&fLL;~jN5YdrLO}DRkPyst6B0U4i3kZ|KDI)$xb)zzI*z^0HSdcw z8G~-;c3GNCwu zP4l(_v2k@nv$*`UH&%xM*SqFJ(Cxm6Yd8|R2?+sBHz6UI=_Vv}p6OQLGsmpD&D(ut zMI3vBYd)FPW-;h?K!>ff>Gt!;H)yKcS5TlZg1wP#;*-SiO9U zYhJ7iO?K-m*+hJ|zB+Ktw+q^2x4zbOt*?z}liixX<684)K?K9z$TknmIhaEz_TgK7 z*ZeqO($BpM?304c6XX7xb*NzR7Oh5;+)am^9f>4IE51%p2W!qUd0_ zVtOUb9C9$i45-<0gB#)9!u@uD#i{7DQye z!&TN~w-$la!L_YL`DCtb;meJ&Yg^xh@HghoMN4#&F2W19_$5$l>7I9kG}*0Xp~kWq zz1LgI!IpFv3^44CEaMAA2nDCWAr5p53$X@LhJ}a&HN`|k#!pMWqlo?DC~C>8U}9rf z0g_z@vRq5SCUmdQGwrNXq2<3^Yk63*V1|o1;_OsQ1k~(QO9a#GRE9cF87&*}nZsx2 zMBd1LeW4nf`$;fmrNJB+CBN5cj6^e@gtr6o52e45(Q?5=GK%l@_t!svVH|slYpt5r zW3A4%))=A7wO+I`cuIG*IJVa6CPQT%i1@V;vWV{|A2DlqFoc7Tn~Y+%6`~H?acS&Q z#@;%fGU<+YuRp!zC+yJ1Tvy+B5{+w|HIQ) z!Lhfx)&~9AlW|ET73<~Q1uSVTj`X_$_0q?FYj_~_(no!3ZK!yCsF!Q@lgXIHy6{G} zwMl_hP@C-5X7I(PeM`p8`>wTlo*Wa&h@#zEvO_3VAEg^~3=={Q8xIc*6Iv+apr%+N z8Q1I^7D{?6Yx}8SEZ3V9IFEu&C>a--*1Q&4-sW0cz>*F6T+EShp;#iIxlk+-%v>l8 zb)GWXY=qAoWHg~B`?N);905kRyVlmx92kja+?D$J&)}+=VB;~`yw5otWE3akLOWk~ zFpj;$wSERqc_BhFZa+kqTTuN1Pn+j0gLcd(LuDt3XcuN!&WOQph%w@DVBGvdG21GO zgLlm9JQa|!w^N@O>5i9-FD?4XLNMS?*ZO6aMsN*B!jnQmK+{b~2xhto37w}zgak1X ziT_s%p1g0a`EcxAuC-TJlQ9^+I*j}p?m|h%g$F*3q*-h&kxe!sJLTDgaQ8$JC%|Xwa#UnGW^_Q+Si$|wj>!=Pbjhn^BW0*2uk5KSoI5K6EL=#JHDJuK8J*Cnmm(ZuOHePYivf=b9fxw$_{5d=+ObmNU#Zi_O=7ZDMN^ z&EgJ4ohN-xlg;8`*?@H^Et^I8t|{yg*EatH&PU`pkFJfI#TQx|ZwuGn>sp0~?!|=A zEFRZOy7mM-#jeGd8)MhD_~k~pR$X*TI_aWQi^-yMI(Wbh+AN-ta;;)y_tTkRaAt-a zqruP%Jnv%77A>u$yu{eHOr=$&gItw)*plh94G;GXcjF;2# zHxXl}~KnGx4ywnEflJ1!160C&1pBO*q+ zopMU1h(DEVIx)zpP;t$~l2^Web0-6iO62Z)c!cga_3$N<(nS+cb zl#Ks6Z|_aP=wa8o2~vC^Bhiez#>ps}2{s<1Yl4Yn6er^~jYofqV;^y?Te5m=NXFap zbh!o99eBDLpB5w;@9ZZ-*7iL(_h`B9qbR{wwH+LvzTOsONclLFj3dq>I+fydp z@sjatA8mdE40zPF?&;77?!%Grq>vEMJSijuGu`g&^O+;A3POUIkF9&N_!)nFzx!Rw zpx>PIm}@;Wq{$czufWK^u$3ubRqME%Pb1as5Jj5k_By!S#?`t?Zc2g}iNtSYA318xC2;IhuKm@HTjc)=m|$;Y+o`S_zRlvT zy%3*}wZ7K27eTqdwXaQfdpN=qT4&#Zwiip`X-J#w_TmLMJXX{uyS)U~jit58ZZC;- zx7Zto_R?7Qk-d>^FN1ZV$!;$@NW^!0xyZFA4YbK_e=G0W-x|^;yS-x0wO1I>(zwZP zeuM?7E}`JV)^{hMoV60*Cnc_}R zTO#b|o9xzYLk@=PJiU_eDFx;m=C7nSxhzu%SQmb4&xLcB9T+y2B}RVBMa1aZxXHfg z?^mq=*FNpqEA{DKOt2=qy&9yBUAqRKf@{}=@Ud$%5FV}-p6#`BNf)itOBCz&_X^$( z(qy;S8*%M*QPvB2a$Fw_*2mvC?2Rnr3q%M7r!dOeYXmIBT6@ic&GSxS#I-X6#grW4 z2Lufh5fMf0b$W)4Wl4td^1T8vUqdGHwY>fIx#dl0Io-9t->05j%n@g&S|Xrkr&=PI zW~VaLd6JzFi_aWBJ16o+c7v&RvdMnNwKs+oU&u%_ z=#-am?6a=D2}02yYjw80g?G8u+4h!rTHjs*wSUr0hRW6%hKh`^oDs8y7a|S^#?6+B zX~~8=9k%0Q%u@jwds}CSk?wf+`nMOqb4wWToNI4Wq!C=hk?^FD5YRj+Bm^_vgoMsh zB0_=~iNsCz%h{EGf@7a|?d@RFtjV|zl8W`x-|OuR(vS60Vt@5W>ZL^eR!}jnmp|?& zlX2%+l5rOZvcf={?DlSucGr-MyMw{*d2&o7BZ_u=ogAT9eUxs{F-!=dfVWqV3=>)? z%c6~19NVrfe5zt&H zmI!7p{BfVp95JKaMg$Q?6Kb+2js2tuMlZPbuOY=3G7`=7B>BXvnh7=@quu*SMsYI! z=-r!shhtxK?ceqE*pN+s9HPrDsP@Ct?jac`=ab2}KSZ<(Gc0Gs{L!BphXdngKgBE= z5q0g!d4jQkjJ^H)#J(&Umwx7_0~qjx&tN;9r*zrX?4Kt#u5M_u_ub6lP4%RgUHdOt8o@Oj3EhN*fTo*} z5X^KF5<1Ux^CXCoNc=|j#$%7a1joMO+JDQ;-YhO#KxxXFZc9aOxZATL_RTJya@H&^ zil6;!fSKICy)b_EuYxs;sS!M-tXW($<%VyLtXbTJPZ{e(_AMrh->LXn+$^3}NcyRm zaqao=4t9qE&pQ);&L~>5coy7n7I2R6>-#s;XtD}~f)ATByRN-dWSB37&DV0S{mrgn zz7#h8jd_cPdEUfEuSYX&o^KW#k7I3W#4z71HfI1^Gi8`Gi=Spu9t}%0i~AOJp1hIu z&ElzH-qT&0%4YGjg3TMt68j3oC}xP!wQ;lf^vGxX!nLou_St#57ZXCWcpe-UyLJ>$ zv1`i^K6Y&d!o#(C1w@0Si)y)K(P^Z-8?;$$_FcP)@+8e-8w}c{h!L7a#8>;gh){3} z9OBxe0h9X)vDPk|5b`?!IK;Ip1zoG;5I^l`V3^!bh@y5aXV_Slf-zniem@E}q5J8# z_gvb8manWT#jnpk=36BA8{TFw}XPoy|U2_tWafr}hA&*IoP4 z%p4erX55wfPA|}Q(M+)M7`20mWE9^|d%b(!+c`0-s;8Gcxu}l zi1xKZ$xyitBA!tsi$tAe#9ZmYI2;%^S1V?97Ey=oI51BIWb9q%DU5ZYQo6G1pkiDvuTCeE@lLD@Z)Dqdby)?iS-hv{+V`X_8Sevw zdpqQqNJbRxc6C4~Rv)FiT}c@xgiyfSqZz}57Rq@0Izrwf{?}`5CgasjG%?a0 zFBxCk>)MZD07BsT0*&Arj)W(Lgn(AWgoI$Gn~>0XN<>Hy^RX40#oxWL%8oepKd$|9 zPm?hiekF`NqiDLFm+@(&&0OziV=kNa>WbQ2N+nr=cuFw;#)=sYDNB#6zb+hJQA zek_jtuWSD&ugzl6?X(nIXVYymWI%(ax_u9&DZG(w4`>qXo>p&Q?Lo#y=?>&C`r zvY$3rxJTyB;zf_mQ@ZnX@HQ{QiC?DMT=^L%!+>{P`@Inw;Wx*B61oWq{vgg%At9LQ zCM0y85)l%_NF;tEd&HABy@zApa~CX-a*GT{m|$;YFBnDWZ*d{wYtWK@}hp07OXL=2+`#cnecn!wdyJT*4z9N-=YV z%=j%qIGSSS3c3FyV0dedVbHe>xd`!hmlRe}22H~)Znd44>t%Y!8#mu4a{~Yte z4Do)_AEqfqIKE;cz+kK$UiYyo9~E&2DHQAR7+a=F5QGQ2HfXX>8oB>^aP9Y$Uf*N) zVuCi==?J6_T)Qbh`L5jz!UwM10>Z(qm~jfnT6(j(&NEKoh)Zt~wU{M`_(^YBRm_i~^vH-} zJsu0j@v?QDF;hdDc_aHs>}AaT%MX;^-eWy^F^?cS?MVc*Rn4A6Fq@s0p`9n$391c`%!E1Opo)i)Ss%}C;Fx5>+*m;(SkYJ2; z3!3aDF8HJfvp-XM|3=?r+zLs>_0lxi>CKUTTrVZ|H*Jx6DN#QjDhBm(Y{N~)L$S_p zveSnrxeD4QI~|Kr`iO=mK_-X|lPPr^hzzyr86~53MWK<3S-)t`AQ##)37~WG9|m{|jjO3#E_3N%l8Jcmv0U zauNa6g>n+X)P=&(&a*Cz#rT;=)5&=H-L0E|(XW&~zVs)IL^IwsNJi02uz?sI=^wFo zGLF1^t=D1pH%gxbPZ=TnWIQdzF4v$s9ZyFlG=t7axS?_;MAQq@U(OM8T1e?iWOCe` zZZX{oQI|d=Vdq%^Irh#pl$q{8$++3|;oot9-zj}|ln=rC@NhgSBm`7X3JJkfHz8r? zSt3G$F%w&}J#5S$dw92Y*99#tgAjcerff3$2Oon&t{YR`BAA0Tl4dczWz=m#&P;F< z!n+5}qPbhfn6n3I#2rb*O!J#X?q{$agFzAFuI9`HV*~1jCVT9J35cgbi%~j(eJ)J# z8Xk^rLP9{*O-Kl)x(Nw8PjyT1GY=*bypdgVmnZLrS)%mS_(D}{3KRTh@$V=<&-%rG z%@%6?Pfd&18eu#^Q>hlK;b+fqWb*#$Rq?ZD651>dDd8!k&EjTpYJEDT&Enyh`E*1( zk$B22emCQ1L9;kH>H6tbkJ8Jgv{}3@MCmDb8k=D2x8pzmN`eT6Hj6Vl6O{gYogv?c z_m7XDL1V~ZrW8JKQx(l!STS?B8J_}MjUic!|D^|hEAcTEGtJ@yzb7d@q@7t?aQdrxhTkksg;N68rtlQF_C5$7xON(Zhifg*`&(QW&4{~2Cml9!u+3toLFo+2 zlX)YX&VfNL#2lUb3GtPlT4Km|3LHY|l#ki_2^-%R)_H!!rKkBq$o+))N#D=Yct0y5 zq9{Ehu2_%95yJ734l`zINHfi1dYv&hLdzv6olmfyyqJfRopKTZH9O@bf@yXNLp#rA zXQsi=JeW~aFM;amm5%_Uzfiiv`x8c@8Sl#azMbH+i)Mli#3+Z^GMG`&EZ#Ho-^;-4 zAWC;3jExX}ot>FtmusD!g{NFd>+B;TH&h-?aHz=s{&J3(nFb8Pf#YVD#dIq~UHXv_ zFJ?FQczi65y+;#(jDQ2(PxE5a@bYZXU`oG)HC-k&c%9=(At9jZCL{z?-GqdlXNd?2 z##pzYS-fh&(@((cl9YZO^m`}c3?voTOSzJ#A^o^sO6;dRsh1MXyly|^Z09SrJB5kvnx!bLlcziDCw>Z5e0r-c<0LMY(rl&6@`LK#m# z>U-qvDtm;JaaP36bCU7xm|{I1&*O6au35{1HPtLGJ#`tpj~cWTr9S|f*Z;_cauRDv zLqE*VJaA{rcL^;+!8DfMtMfAtX4F(No`3QRxFH5DP3ey#f5J#K<6VPf6wL%1h*8}? zA~On-@tgA&ZV9u?Q2Mi&HS1^7mq~WH2G!Sisv{o9dDtNRKLlLxd&L5Xs28TcoFnGT zq|%khcR5yM!qqlCi-go>gm|cM~f2rDL(bsKqm|JJn?F`7U zY)TKibsW0IG{63u#2Z;7k)s>G@tPcFn}KfJ*qjj(Ot)GphBpIoJI~Tx8e0C60vq2qCEw;(dY!s!| zTGBSznF!X^!nVoIY@VXlm+%hO)aA^UA?MP_Y?Y8oV7kl9$c7W&nQb7^>Mgd(&Wwsv zW)z-GlbzWTgv{&?Y;xE2IuwyE{Mmt{n~G1J~j= zRCcZ9IX33HXk5)H)|v4M;|6K6Gtnqz_Nv=^J+pU;GJA^<{=Lre1tLt`cM3;YW_KU+ zV=Xf}q4SJWIN~z?Z@xkNWX8tqJh|67iZbJBiuHIb7{|-_1Y@R#G}B}cKW^+J&~jzU z?1Pi+Q0K)wg6y;>5zuC*J&9m8J1s*yPqGtY@iPyTov$_V?~#2qvN&WKG=wq-#Qubl zXvVwxb=GPo*g%Z-PVpQb%qY0mj~IH!e3)&e%pvfU5kl*1=7} zo6S8Qw#yvd;82m_FXxCk!gsy7*G=3UX))ajQHSk#F^**gvC$Jt-svQ{9Awoo9&%3C5U6&}6sWx$g2Xy9#AaLICwnM!dT= z^-_*J21;?gl-S=bCG}FG{%HIxsFyMP%>N5k=JceSjAwdW1#Od^IXgs|vvB8`-*_|U zfWbKt<`^{DT(mReVhjbzh|--IlTb_up@3(0ODQI_P{uPy>pUkJV>-`C#?y`Bf#_ELc-b_EOugxec^jl``kT@JT6N%)@b^oJ2r%p`1i8b)hh{^Q;Tc4)HS&X4F)Z zz3JRZIWYPwWiG;T{*aMq#=8c|D4Gd25TkQaJckD}3X(B0VV%8Ub|_^MNo&^6rpv4B za!tl7@pO)#j8{k8P`L&o>V@eq=ZLwy$|i$w;JCTcVw#MIy3ExkmI9Y@>|K-MwM=)Q zWW1<;(xEuOYLvOIg%81NcsQOE5(26xg@jctS5y8V&L+C zFv=tm;U*dVgCCAVjzaVDe+jJ0?1Cqxku=$vJ#ZKPRMVPsO@x~e8UAvc zR5wF{F(wkck$q|VGpE7q8kD)K(YIL~$)(9t-L^n!da0Xw5+F{fF)GOAe6EZ*V7K3egKO>Yf|Rv#-A_}&3ISV z*SwLnnhB=$LNv4UY`v)ZM`T99{nT^kONjPC!zqK$T#68Wp?m@Q;}e{=&c1}F$E#W# zYgIQ?UV(_&C|eAEDbH}kykNi}95`-XvY2j#sLRxq13}vP}(EYU27gJuq z0sc;zSCf1Q-iL?dNg*Mi>Lw%vQ{9Awoo9&%3C5U6&@8_4#(hh|>{^t0v)(ruXCbM$ zUdsLSAkvTPrNn-vA@#DGcg(yD6@z;DV%<%~_p#1@&6fEf!BtS3#s5Yr^I=_+aUK}V zi!(Z5dL9;_)QgiydUm4;$M3uPSCEaoKRi*?0Ox^?=UGPojq)=OX4F(Nessm( z`0`$dGM}aXgpp{*y9UW9nh7=#qj`0n!-E+G$#`eWS5Lz1x|I0}9xy`q$%yxbaJdH6 zw|JTt*9`hD?uN?u5K%8oe>q2tyd4a}f#c>|Lj%>!_D_hq%y)4HgJk5``@YT?(;X-o zz3ZQU3kMiMnIAnq1h3)Ycv46RsGbxOf~jso!p^gv6cUV?*qZHOV{R5tKQ;aV%&te7 z-;y>N{ewS`LuR9@Te)G<$R73~&uv1!k8=~kyE{dU@0RE53cR5wF{vEI79 zv;8Hv!0h^zT^e7gGH(`r-DcFdbynT3f(&TTtZw5&&`saSX4c35v)7hY-rdoS-+0Za zF%;;=jm=e6!E~$DLU_>=5=@K#FlewoLj%Vfbi3g8hquQ8HlXZ^9v{Li!hbos2?_oI z6Ol{vswzM82(EDo5{$8K!5i6I=8c&Hvl~)&-7pPVJAn!MMmD=)iCW*RTHUf6 z^AnGq39uTMO0jR~M$<7`Go(CF^!M)D$1tL6rr*M>I$NHEbYuRxsL=bV=J?nO@ zC5QOQ?iE$c-0K`g*=SX<9*+g%c-b$-n5iMnG}%8~G3gR$xiMuA#s)jrcrlM4JMBpX zwApD-BABgemZ6;|RSjbCGY^xUuQl;TcFd>z{|quHLfONsf5J#K<6ZrFVKozMAVvrA z6f>AnaIc?VT=oi>-Gs77HLO{!v)SXq>~gIa6Y+Fl(iX?;3CMK612I0VQGtpwY6`~H?*?Cq#j=hr@G6D{Cub-8DzkUPYUFX`1Zpo=88gmlFGXKsTr1_Jd_xseIO0gaf3Yl_!L6k8Ttf?mZ@cVN73(Mw| zy#yyY!x-TW92W+E;s{(QCy|NjLSZPFy(%FVKl5NlO*PrG&e~-J7;Qn>%W=d%WF(sL zt^p&_Ot66%UF;u`83oDs+<_C$h1o4Bdv(g1^|R@^8oOMR@p?R6>}dww=((YC6GYSt z(_hXJb6rj8N@Q}}TyHVm3Q?E6(X;bx4sh(+*m;(SkYJ371Rr}?GdlfXm>o&kf5mJv`UgK2huoo|x{XenG?Hd9 zJ05qT|3)@@lgCX6?;bRZ=59IGoIOY*?np)_d7A&r3HLMDPB0BT?rLuG7#mPGG>aEL zGlc)Fv^8b#4)Gy)4G%{*At9jZCL{z?-Gqdlr@DFk%!7#pZ)7KD5Bv+vZbR8=Eq$9s zyozpp!&BY1MxlDWrZr z#aSUd=^NSX!wI+eJ<^bfmM-ZrtPA{9g+yzYv{`%t!aot$X7R}yWuJ_J2!`J*4o#uS ziZkT@o&wjt0O14Iz69anTFbLmb6xa`=N6q;8^#T`S$w@p z+1K$qnKX-Yz~GHKQ*iDl#8>vY1Vg@4;1J3_?_+X5A=a`ls1WvkLd0cXirKZ69O5Ti zt0^WTB8sxFc#8GlpFpxs*;mberiL`rEUvuMI{cxeQIwqXi z*(nU|Je!@bSNWL-GivI7I$-EdYlG2tlzlh!CyYch-Zi+NL^Ht#Vl>A;A~Oo^r>*9V zp9-_vQ}zSTn)U1Kyehj~>&3@-dZVtF%BK-GROY8RRG5WRXE|c#RT&Dxf#c?5i|JN~ zy6mSBJI@Npu{S@(7}Fi-etPP@%U;9*cA)I%Eqn-G!^82UkPuKk`Eiw>dHBDSTM~k4 z!50#YF_EBI{ASYj`@k%y{|`s%os2IdskmOsmHZ;okL#tx{_`QJm(ncGhl)YH{5a|+ z;}5-(@ka>qWK5gIg^+fkpNzkN!OwN(=p-YGcJ}2OLxK7z-Pso#iU}bU@a*#;#e^2h zcy_+;k+-WjIT!Qn$5A`aHjA8$KX{7u;GaOUM%f>Y4Hm4aX7R>-CT<8VcckpEILZHv z5!n=>_&fedPa>eYP);J4hQ2Vg^K2|FtnxDtX4F(NF8m_GAG+L$as(;4s@yVo`dO1Pw`|=>#@zA{@yQso z2$p9NG1!8kARG`k*hnx(F z?iyGR+KqBUp<5d-F(c5;l3+B5Hz6UI#+#6^^Q>+{g0bGZl|Fm_OPJlAa%-k+v*_#g zXoFj4)$L*Eh6c^*c0KE+Z)CHP65hxfi5%Vdjn|_MOT$(|ZfqXzqucN}eqo8(c{bku zo+50@*rIeh>z576IKXJit&R9zGsSCoIJyZ50kv`n3Bgo1Az|lPB0_>OCK9}n{r1Gc z55Vjg%558?AsfaqLEp&cc8XJLy{dV-3qK)iO|8xCiadS4Zkz1f?kM-~b7b=VxzSZT zwb&**w?~9p-%HviJ2w{V-V56%JGUp+y{m6zbK|kjecF)} z@RRS_Si^PgQ8D9M%k$`(>!M>lw^$$7Fm8}0J2$aPxrutO=T5{~PN;(chJN>j;|oL> z@(U6iLb)S+%#XEPtfBLaQ#j&sN5w2=$svAnN7ocHO*ThS?pRN;9{iKU%W-Bu7Obgz zeaL73`Wae|rQAs{tnZfH?6fDbmgMgx_9TMoZ6FNoJjqUo#m_uUb~f`ywirKo2{0N* zxp?SL7>Q=Qt6yiWW`YgG=tL7xJdYU#_xfAmkN1b!Jt=pVXU%Gz&7EspuJz)4Je}a* z>lfN=?(wi)?xGZj3hy4&SrakmRv8Myf#c?Ui`kM5cT(=ch@EEzO1VqmAcny!B&f**fF`(Y-LV|I>$+jc}vlpc$!59+>n(T>d71x5!rm05mBj^67?5B#h_lEkDmqg^16hZjMqoF3fd++cO#B^ zL(=}no4YAVxtqew(MiV9RmvSxV<=D`r5kh<6GAB9xg(;A2`!ZI+(o`eOq0#UJa@j% zvlWe#@w$XvYdy#1`g*gL1#2o9-);MzUqr%aGRb=KhCCb>%1H!N7s^Ql)6f@&cAjN) zBR`tKjGAh)+oE$92cx|yHwCQzkdbJ{+Xl%fnh7=#qnnb34*rW712LO}JTkPu9D6B2fwB_bpkVl*`9$GWrKUH_D|+k9-)OkVeuh=8nc)7&O__@w1UB-rXr;+>4y6df1HS z5I@Xfx)u5vY{yFj-MFinZsrZB8=CC&i(mEN0Q*s{1NQQ9-iL>yn~)GtbrTYTscuKd z_?bsQL`X2kM1nW6_k4BGESTM&ax?L-xa!?3;_DEt?|Q1+ctqGcH7#Oe<9Lc`vp5<* zd%J;|ynk+2{Os+7Hj6v8;3=fdVhknit&}#4|E%Ik-^k{sdT#N1q9GA&-pJ;jjJbY# z8uD+AKiE%5u)SyM_>aDk%{^PA+_Nzd!O&(gvk9i{9bt%5Rhq>|5@^tjZx}PBFuN`0 zjWyacW=di9ub4O5pC?D$sKm$A{Yf@Nm2$C!Vy0QlZPK9JKdTmV zn#HM}V$$buv-m{A$Eti(ZWf=6Dc0jLwoQz3Plp&|*9Ohvi^U;dz_kZZ?m6rkP_fmo(-zjhi%l)s zyv#NGv0zQzPgkA%gH+_njSj^SX+eGIjY5PqGV zA7__qz4#nYZ~M*S7j-vOzJiF)#F>RtXE|c#8!!k5j+@Udrgaukm;0j5OEJ$1$g%g8 zq0Dp#x}T=4@e{v|IfQawm-rC84-dzaLP9{*O-Kl)x(Nw8&k_+5j4_d*S^W2;i+6z8 zLn-$?ywE!t-#}7vy)Von%DO&b?7%C{Q1z8*~&CLMY(5mqUsPEtK)xmvxId$@n=# z#!Epm{;d01!MI%in&NpZSX0UP{-Fo*d%VLaPaya`uQkJQp`3)#AoS%Vf~gCIp`B+L z{T}CM9?Yn@WTf3M1Ea$!KL}F%AtTX@cMTYcW`YgC2oBT1jDlnwH2SB%!t4>0U%Fw< zYBJ`R53|c{P~}5mcDc=<{EA5@RPrlB#Ajn-L6_$cG1!7ZKO9Wlge<1Xh^WJMcAgaw zv4>50Fx`QYal0Rf-Gu|hC_e=MS=xk#>;)pf^9GiLfHoO%8h+;C|K?^%2xhB-CBc}9 zt=S&W#~ay~haEcvW{;%&(2`9?|KRhX#xrqE#+O1Sjcl{{ddz7;@+&2|3E|zHBF4SQ zd;|HqnU3V85Q8vpw|X*$yDBD_7BO@+*p#sWbwjiG;oa1Z0~|&9zd^U5C0@hB$p9fC zpcXM9A(+OSkg)T_ftCbgy>&bOot1iE_Grql6Sd8vuUiiyaAZn#yALv;L9>TFE&<*2 zjcjhWI<;+4=iMFM_>EVO5i`(@8=L#;JT1@-f1S-8mk>;K+X}{Zt24GJ-CkZ8%HaUV zQ2rkgJ_N7f;piqL1XSIGgkY+hkg)S?;0p=Hm`LzOcCjl?hdTxxOZgoVG-QhyCg>a4 z{BBWd{ft}Z1eWBvk^T%5dA=d;4*X-;ye`TY`Zd>;UbMpbQ-oj(YC4ouoNviXCN z_6LJ84{fq@U&IN=VaWGke#I~!N-;UsawR^RV&-tUEkcwZ8&S+0F&Bwaeq2g1c_TXx zG{%P%lO}t!I^oEQNt3-X?D`GATuJ$@Ji_tqJb8bS9|_JAbU*owY<}w$K?Gq3pIoQ>$#K2cPX&WhL4b$8k>&UT5rzV%Aje+267U?Y<60PcAl+jC)fFzhsn;@n)r6-qZ2rO|yIkwV#dtbZFO~dFo*OF35{C-2229 zeU$F}aUq_@m=Hn%&mSF8OlYBu=aZhroMgN>te9!CxuoZ(MiuMvppYroDTa(-O(o;7 z3EjIx%abWT9VfXxW>(|B92d$-_y^3~hQ2w8VCq6)Xy;iM-dp2m9!)3Xb2pAW5R6Wt zd?x%Sj6^fuHDDx~2{sU;X)&I|qjxg?`fP0u%$`d5Le!e|ld+Uwmupa!@pPXyJ^4-q zod5e+z6&Dih3PNnh$$u5WDpM1FtKbgO-4i=wzKoBfTULzr zq>(g>`QsCA6H;k#6T-VYMU3y33&RWrx^YKxWJEC44gCzZv-2!n?rJJV%K>#mlbw34 zwmc4SI^~~$ZjXj|A0CcwLP9{*O-Kl)x(Nw8&k_+5j4_emjqG1WEm?-yGbsOTsc*Bm zAIjD|Pj%Y|W$V8+zhjx=q z7Vk;oN#Drk%OSV;y@H#hxS;xU2vGaqP&#nnZxBagb&C0^W=#5b9;V_KhHFa`OUF**SfAX z&0>D@I^~Z^DQ23*{FbnLPtsyevsex(CZ&~|#aH}!{L+q0v-lcMV}91P+b1ahdWkW1 zZO|-!Ser8vu050T?}gdDnBd<}|AmVJ*M5Yjz_lM&UDxu58{t~Z6CZAL`uWd8ZqfOo zYTRI(#jlc-|0=BaQv(dXHXNP%3GtQxZfnr5NaDC{5t!q$1c};@jITrF4^K(Xel|NQXq&p$9To5vm7zM zdTcTX2acQHEv9uAQHSm9JS!k#51TT^bO&k{&$@N@H*kP+sjzs055a4AIGz*|0;+C8 zLNKeFC1K|Y5lezGCK5D@XC5B^C(NElg{5kJlkqbo71v8?7C%AyalMq-pI4W9X`;Tc z7*q`EP#>i` z|4CIbA%p^+pI28*k`ZMb)GTHz1t;TgHN~W&AsInau^tZ!ak&Or##peXl5y=>qj!at z=To5-Ct1oE;SC%Y%1H!N7s^Ql)6f@&cAj-D-DvXHm zA$T7ifhR2q0j(!-8Z*mG)MOMAcAh07Bp5TXHQU3+{6_Yeaiva}y_gCcp$3?nQ4gN~ z1!~Me`bjc=hzvj)*=BKm#A!kb>%_PT;oY4g#&^pv=IlWlaYyoDou|RP-3t9oettwS zy~xqkU{l5h)D6wz{wqy+3zSFD&EM(Wq*G;zwvrC$zY%x zH#VIK!E~!_;GPpxg6W2%pyeJ_#ula9?c;73g99X}uzd?3g4ghHbQ2N+s%}C;Fx5>+ z*mSN|uxs5>o@UYG^hs$k}pu!P>|M5f0k2J^BdD3KW1{+7k6*I@pZh?8ngcbWw0&BBF zLxh7{Os=HD*c9RTikT~^Fb@1C>VEP@wlE(1olw_#Js!)wH|(Bd_G8xuP4<+9-yaLt zPNG5_Gxp_G+GH2buDY&0m!Evso*!{tdqK*$*7Ce4!w(kF~=25uN8pT;YP0#Vk3*PvN4FVj?1}P7ozVVhNPVb3DEj7N-&Tcgd~0S9o#S7`@5P%a_iowjT&B zFQ>xgI7!^7Et{fD{EmOplL)A{ft*Azy$yt+ooB1sW&CJ{$o z2TC}=RaCgm<3sQo9zmVOIdlZN2??2~x(Nxvl!%aEjEMwI_MEoGwu0HKsc<)r)H@k3 zMpALTlvd^fq#xHyiT!hbGRjE=^h4iR5lmeu4DCD{OEdV<3})0+lRf65 zb>9Y~YpH-&qkqguG~;c9pc2gl8;H>Z{t=l`kc>Z_v&27O_BtwbB&=CK87n1rxdv4? zo*wX%ac0=9+YdoRy)gad95I!W(v`^Mxaqc-<~5?OFf(lDSphlr9x{}f?m)@7Z!Lc$ z4)9MZ%xdr+*m;(SkYLQj)@%8VUCl#b#s<_4P4;0!-aj7)xPb~!H~0{|hKHk@kPuLH6B2@{ZbHJ&Q{BS+%!7#p zZ)Ep;Ys|GUdm|N|@7FAzz@;g!x*dno^c5~0sToI?sP#+4qEw3*e)eS;GkO2QVffh> zC2bZD_VDCsvv^*WT0gI8vp9{P(5jm^YK3mh44TC^@Ux&KTnRh zdyJ2%^Gvf?8111U^%Rrdcnq-fs*0Irv9JgBo2HmO`dEQFQ>Vh4b;j7WL9;mgw~yC>Yj2{$`(bu3CiuO%pTd_l#pHfM6cxVq6zjo1fnykhIXFK&IMI|=E01bx}PpDo%{kA-Ao0%8vSENq8aZR+)tvJ zU;{DwHp+8&Fr(mp+Th0@PlwsbRQS!aX0^^17mKsYZM`Tij;C+x_EIS>QFlV6xKx5e z#cc0?&$@t!!4?b#;efcoMuO@6gs8)IcAgawv4>3=W4Z&~Ps7$4%zuKqg^Ekp`4GH@ zN8m|ILO|8H=lgU4^-jy&JL3VsU7SibEqd8H>XrR9vmf9GzrD z(Jp*dV<=D`r5kh<6GAB9h4~@HBpFf0LCs=8GJ>FDelmilVm%%o7v;LRsL;VsOt66%4fBu4jDlp`^{5p#gxP;lahnG!SQFgf|lUgEI}K*< zqT-GT`({yfE6jl!dxurG2T@JXpjq86LA?2IWD7@x@kW+6$MBc)8?QN4h63HVv3am0 zm~OQfbh||73Ee_8hPQcPp0_C7cKY@d^lyXirsA$KJ_N7f5$I+~2&lRV3Bgo1Az|lP zB0_>OCK9}n{rS`6OECLyDxMUhA-j5*pl@W0XT+)X$A;$VS^Si+#lLuV9ZwvCczfJF1uSB9>QL;^T@#+v2uS$UkhQ5(4ycs7PhoQiS$70!8J|pZzaK1&b|sF)ma)fgXBF*)cz>V(58CQbHU4Z@KX`%eP$6!uIK4sJ2Il8XC!gySpr zmd7$q0Oy46CvRkn`=tm{=)4{??*z=f-0a7$4Vvr+_gUjpxOOTPuYtvrVpye3cJT&C z9k});e)3&=GlUOZI~l^mwU+0tA=gDy5^k~nSH!qMn(X2oV0F8`1S;MM26u+R07IK> zjxP{l$ae}HLdBbW%s7Q(t$4EvA-~pf#1$u}>{?3>@l(7tq?m|^C@M}#DAwb#upBS{ zGW(et(oBFjb=XdhVDBfmlZyE| zV@!9Td;OcXRaU_P?xSMS<3sQo9*!r4gn+7>kPu9D6B2fw^`wwsjEMwI_H`pNC&BDA zDpqi$-pM!xNyYV2TA9g6KdzUvd5_{vQK^^GWapt`P%kq{HyLO3O2$VZ$Wg$`m=`liJ5OF4 zpqf!}Rzk5Jj}OS@`VnJ;1#7CwKJLIZ`GwkaDpr%MCvV8ZaiN?~S3X<`rbvFGOW@k|G z{}R@$pN!9!*yS2jFXHKOKN)LbHyK}sh=SQIyA)>ARD9F3$><*(ubokvRJR+VCXJ+7EZ%~<@TZ#AoR`Dggz)Y`vuN%Xd4V3J z5r_B|u@N*`2K0Sc7+phy7UgM-nPU}p^U&PI z6_dk_kD@X3=gATAivfn>5E@d3%zma>Eba{~Syjw5i^Y9_J*=41EWYU3dA782 zv-p)i&%BW}&0-^>^Lji!KK>F={9m0hc5Toso<8C9ad2&pia&(ey_n!Pi@(5Ofop%` zC)J?%JB0UL+rAithiiprJEa^KwJ#BJicb4qs>Th{EVd6$Qu|<(C-X(=_NBtqzGR6x zI`j*F^Q2X-rKVc-A@veTou$l=r5Tm8SJckD}3ht-t*E)YCm@QKK$_Qg4gx1;iHt0V% zZ0kk)s(4zmWG|KWzn0ukSq&n7x zVHS}$yw)cf+ZRVtalJHGa(fHXkL#tx{;zeZmnQ1lheAcaUbe4NbCYod)^WY;@nE?9 zA91dNwpnc70KQnirpdTrjoLSifg*s@RMd$< zw3@RAX~Z4L&vl*_G>hnGu$^GqqoJ$8ri=}!8=A$)i^rUT19VgSIP9}~g4ghHbQ2N+ zTHP!O!BjUPVdtrCF@EOJTesVPnX{Ko6+DuaP;C)BCLw%vv$|OlcAh07Bp3sc#eRDeap8O}aKwU`d@gWAHMtm# zSc<(*yWhCw&S3Nq701>CorQpK@lU(n+DrEr69}o8Xl-PzUpGAka#h< zYuv>aBpB;G?=7BJ+#u@Cn``DxR48ysq2ejXp`5}4ey8k(T>y(zyr_;JnL)Ut->ot> zsV)fq85noFxX1C|GMZNG*w1Eu2*qYm`#E^My>Cc2Fx|dUto@{vf~<~Fto`JKiy6h* zPl>shQLOz`&&7;l?WcuZ%qZ6G3v1LdinYhQ~M409^`?I7Jq1rwNI)k7ASUk$;CvmE0QiIid`9ZF;VQQ zsEdhWS4Uh-6uTzmVxrhJjQ~@{uC2M4D0W>*u|TnZR$WXKyWZcg=@?sQjZ7YjJwol% zQ#9mo-?$TFlcH)VP%L=E>Sty9U2%7wD0X+RwW8R+Bknv=Y--5GM6sz27Zb(qsRfuS zmMXcJD0Z)p1&ZC*3lqhr)pc#tioNk^c^4@5D78P_LPL)6H+2*Xut2erZz)i$qpH>! z6UE9Y7Zb%g6D}r-RbnnCigkG|CW>{3156crP$6VAjS$5itScBO_E5;hM6p?3fHj$w z!yo$zf0rEe7`4BQtUNKP8#s#H(NHi@>^~_NldOC;;bNlL|HWKP6noBdF;Q%G*u_M# z=M@SnfBW-w1^vq3{zBEoM6nlrEKuyFUYICW^Uv0FjNS6_ZRbF-$Ep3(C=H3n)wrYB zy9osY#omj#m?-wX=VGE*J?vtl*ar$3B}B0g>I$+AQS8I2i%E?AHx*zSWAhR&CW?I& zQ!G&I<6f93_K6=NO)J)R#t*+iG4$xaBP-8|sv$=)es?7!Q0!aZH;jp5-__iCqS*H( z7Zb&PNV=FP_G8?|M6sWuE+&dCj0Bh}_H)R^M6q9dEKuy%UYIEMo2P4=R_xbZ_IMVG zJwc_`It{tVCln}FTE+)`#Y)Ti)_uiF%QnuV!mRfl^ZT*6f3oc1FXq9_VK9Y5>Tv%N*kbqo#Y#G z6kEEay9SC4uez9tvC`jDE+&etm2fdpZ0(qfiDK(`E+&et8+I{KY=lCl%BS!|r4e-n z{mNfj&&LAA{?Q8)#WwH{+;oh6bk=uwK(QyOvSChUekm6d#r99Qm?(BY z%*8~p1HAxK#SRL)m?(Czj|GYy(hC#C4vp&Creo~ZVWWmav8SnYHWa(1q#HPjofuIt zQ0%0Ti%C|V)NnCT?Btq@iDIXeTuc-@HR)oa*lBSW6UE}u08_ZV{FH{8%%>@&rs>#aT;=mzk#FJB;R_V*ySO$6vWu&4Hpx|PE*Kq5~A4Y zRRwviD0YJ9Vxrg-g@S&pbX#0OzaK08tL|c=*d0;D0>$pEx|qb+-Tr<}$Jj>Cjd==+ z{fA0jRT^@Szk#Dz)&~Q{az3L#v3x`sF(!%?LM|qX6&fxkiWO@vCW^I}TufrDlngM9 zv5vTliDKoHVu50vzKtNpx^!CeZ+ree>70Y0*t1lsm1xNQQGW;iSetowQR;~)7%29n z=VFqTPla7f6nk1BC&r$xE6DqaV$W1vOceW1%Ed&nXA=RYin+g6*x!;$FZjv^iuL|I zKw|8rhVIvNj3t)5_7o`ge^mMuisd4@ffHlz`ql%*-izx*#ze9Aqb??j)%^{5p2XM( zA$OiA_CdqNM6nNRE+&fow-jKi*u12RNsN8uV}W8HS9M+xW1lnv3)sB< zo}&)@dufJrgmeQ(vG08Afnwjsbz-2{4^bBr#eR&qm?-vB$i+mlpBgSEiY=_Um?-vh zDZo^*Uy?2+iv8+ifnvW^bzY#@?~MR!QnBYZ+V5Z}Hk&$Dg<{?Q27zK7%lX!Q#X6Rc zt0iBtjuoOVW)$lPMO@4%*0Ey9#f)MdD>hurDAuu3&Bcsj9V?dt%qrF~Bng(bwL!M$spB+nvEOeFV;7fm!KXq?;E#5g zWF)`IxbH3p;Fna1yrD zK5I~s7s!UnwXr6t+%oCvM?nQAzBbWUmHlI&l2j^(gs5YAHtITP%PJIzSbO&_DwVB0svN1HrE({z9A%tlsNCHw zmD3JR-3%(PQper>sNnBwZ5!2<$_Yr!d#gCB>!58CRGApIprvwOgeoU6B&tlSH>pbX zxW&%_mDi|adP`qbPR0KR<;GE^avD_0M6Fd-W!oxMP{S~g;g(7U*lB?(xn@h;J7wa( z>%UGNxmsT;XCrJkt}2ytJnATUeW+{)2b~?WprukOQRN&n(Kx6aYf_cSs>@soDsNCn zxnBldh!PixD3yz9)X`nHR#g@J`-UnPHhNQeFiMq+YK$2w54AK&W!w_`-VG{qsNBR$qX}zOsq6q}OiEeMs`4np_Hr}PPV^UH$DT2Y|?vfotK;=#9cqY|Xm1GO3 zB$P_BP93w^fSW-(Ay$$KT2*Gpfz=r_R9{& z@v^btT!%ZuLAMz$K?c2oSov4nr-F{Uxh7%IsZV_hDsNNAYyD#7-yXGX8dEA$!_>h| zPcKz=g@gX>SP_VnD3wbvW~hAHY>CTE-uWm{d5=0i?N{PDBA`-ID&-J$d>OG;mCEQURXP;3s(hKE zN;%|H`8wHTtlV|rcHB_DPaR*E`ex9Bb$nQs3wYC%h7A+X0IK9!#v zO{&uUM(i+9`G7ip3H4RwsVaZDQK>wgqH;^M50!CYsywBjP$}axy_Kg^Jdsr?lh-7b z3*TC26HxgOOJaSg%ntFt`A(_KZcur!3ZSa&8KcVV5S#VuN_lV75`}~27zF}VhJfDOnop&**<{{6aS->mA5por zUoyWJ1C^>$dEcY*&;(m>sO%l1iu|=Spfa>U75QtaQDs<5ld8-QUv~wld`#tG;l8TO zD^c545vB4`lFDIoScl3!P+(rk^0N+F)1!*KI5AX)N1LQlIP}DYpz;ZohsXO;`8*6N zDW&oSvSHm=A653PQ|0q;Zz}87sPY9v5-TI>O;XuEdEIfK@+p z;#A&H1<(xIFHDvHC3{oZ2-t$SPi5nHlT?N+zsKgFGM~yDC;L+Qu?18TO6A8ol{fdS zRi(0jiYh-c<|OmxC17>NjDxnQHc4f(slzVMOHXDTPwk?Foj2SAULQPUxVc4bc>!8o6JSx(c%3vh()-k2BB$C;yvjrz?4+>M) z;D!Zl*zOpmF4Q#)LuIFC>q_LhX9t1G7gXM>|bk=$|{?_aSf<^P38R?eW|R8WZpKcRE8s&4@t2FN0r0jpf!^gv{Via zQP=P|V}{CM%{u7rM_yb8R2o!1te=BMAepyKDwPpP<|7-{s>aF@aL@?GoD4b&^ibC@ z43(pstt(s3TlF?j`5%>!?ps&7@Is?)REtu^KrNHJ!p z#FI@@nefKy^FZYrD#uHGscahomAX<$!I)7c5o=PF zbEp5tf2;V8%85i@RYr$ErK(g$Bebtn0hG#dVd@%f6bS0dl~8JQ!>4jpsYxoUzq2;D z5Bi?USJnDb86Tsz?ITKMFOSOqtg;0sY>$so*Z7zPtt!`}#O>uVW>mSsYm&+dlg~OC zRDPiH4Y9sdCe%PBrBwDSQF*copsGxS0uySzsZ35#*M23R$}P1fskBeLG6gC>Qu&rf zUn+-q)V4!NsT>-n^6i-0OO+GD)OCnwL95CgC~=2|88fQf*=&h>EcIW$4u7KZo&8GO zktI+`C>6XcEl)Ke;5g_cIOs^DKoBeU01IB0mQ(R2RY?zf;ZaaoNaa+ruPPH;K&7En zCf2DuBgThysGOXlu8E8})pkY=Se-GWO1jxtIcmY&2SDX#DyRF!%BgW`^I}Tnv?!JH zeN{Q7PF<(Q*=(Rn0jiu9^{Et_jg=`+%{~uQexY))U#y%}2bG#qIlD^bPS0A^ustnI zU1!xTXk(?bL|tcB88fPMHCtE8Pu;r#sQgOhu6}jp!YH-v=qZ(pB2<2;X00lfI25?h zC=jSJ%cCy&IG~~OaI&2+`%pPOMO~MrENE4! zCaG&uf-ys-r=`iTJ-2f5ilFj4m3zW{!}gjGwe1vED%Uiq{EUW$s&Yo1x~>WJrt%D9 z4WG)h(I%!uiE zMwJ)qO;WjH#`Qb!_aLeKQcGVdw>GG4=N6?hrAFmfH7ryW{28F@R--@=E3a0mYf8OP|yaZH;%CGmUD|dO+wp&W6+#RO!n{~DTt4ie@IO8tQf>xEcqSSSFm@%Wu z+f`L%gT-Sl1N?C}JN)O5KxJ_%zmw{#%Dp8j*Zr`a)2b zfZ-EX7>3G+$tJ0s{KlHkg31z9{;<@SN*X$R;)m@+&}jDrTX3j+f^12LEoiBnAET~^ zJjM)_`F;j1LY0M=TvrB_zfgI8zYKb;O64#85?5s^8Wz?;<*z*IdaTN3{a9Jzf)sUC zJ;n@`ul>5R2r9chcML=yJc!C)_p2*ULzQnmjg@C`4UCSl1xJ-{OVssr*n(D-3+vP+ zS#PL(A8XP`mojV9(bXGgN-5 zHA!WD>CwkQWl1Xk(&$U&l@{t;ET&Xm#WgU-V+(;Qor~3|>lMZv2VDXON!Bx!&c(eZ zsk}Mz<`+R_DJ+Tgr7|~8orC>Yd9w;CC2Q5jO6M|h>Y5wxP32NJ=*=o)hRU+_CaL`M zqEYLE%F@)iTuWan?^UUDML(JAxCZtJvjs<$mBQ5ZUe$s&RxSfp4>4w_tlVrepLo`q zJA%qG)VXrMWd0~ZovZrE{BZ(QG%Qq=RgugeMS4@26r-+>6F!xp%{plCeXDN`D$7#m z(0&g3JVhNh`Ko+@YhWxJa8+r8Gd@pQ(5iAdurC^nu`1==n+@BZli&CWRF=b%eqp;H zM4jvS8T3sIRN~gEs<;pO1eG_BMIA}z(R6dDpGaOWwr_K@msQefMm4s%{ zPbE;PvjrzswyRRtk1-2cRjvZPpGu4wDmz4)4BMTTzH~ECS%Erti1rQJ-)hvkizah- zOPJcmMXgm;1s|^O`mNTR%GD{tWX24Y-I|S+PapXY7k;>6x4yB`{TGiq_t0eS9+U)? zDqC<=8S4?IS?1Q1@~z)V62D zT2)o{ZV;yRrgB}3Fqwy;vTv+OD)&aZrhv*y)VXh>FO`*0D-YCU?p`?xDk-+$sB&PE zx>sV1L95C?;h>eHj2S8ig`1=@JG4B0I~=?+bspsPrLrniIkajmbPt6p<3rY}s&ZI_ zx>xO^%Jp%=WS`36ElpCHbLi7Ig31u;JUrZ&%HP7&d6ch8I1Va_K2-4AYxm#67PP9| zP@?W|oH3)y(ak#O_L*y}3o5PDd2~Mqt(~OK<2_YnojRyAtW{Ozc$i%~X+cZn#s+n- zQ)kRjnOJR7mG!3nYYwQiQRfN$R9U}Hou_CBbZ;1;w!LC(!HJbq;?%u<-GY`%vV}02 zF+=6lRFhPO9Jumcpt1^eo?7kepiQIHd1g#i*(?PrHEUH>IkQIHn@0OkLD+7VV$4uE zyV+RT@3t=|gUYJZd3HZlMpmiw{HjvfCPZyfk1aT=To9q|kyQ&?Rc`jEdz%nrhRTJ_ z#>)M}K3Ex4{z{z}_KTGrBGj4iRq+y_QnFT6l>}5lWyc7T`Q|!xdkMx2l}XL2vfg7W zuqs2Tb5cK5c1=*{RlX{_)u?UnFk5g`xf-hMny{c%WpaYLcdIdGs9e)*tk8r_js%s} zsPmeBu`;$noj3TZ?CF6@(pptjZh$Id8@;LA5~J=tJ;n@`o0?T+gXf;=0hM9Yc~d`C z_K8vFExs!ImZ)tXHsGpqD^%GhWpi*ZGPOPM$$`N4;T2-ca)EzUN4V8PnCaK)A(I+WT`5SfK8|zEu*d%q{?^8Lh zPHp=}tyNWJ2B;jH>_eqa-N)4#GgKa^H%VpXwyF1m3eKNy=}YD07V6B$)Iq02L8ZzT z998l)>OPq<2CXXpic$9|hO?nkY*v--=PJ8{%9_+!?5D~Zaq2Als+?J+wh0kyRaNPP zDrdxdQ@I_na%Pn=L#2{xQk4l?UiKEK45!XYwXZ7YRjKo#u&Q!F2vkyR!BOR*Bz2!x zwV+ky4mb#ZdBHGL9&TxpO3(Q9ZvmCRQ|H6szEm!aQ0L>mDv1QO?Pn}FRH{(rQkBY5 zxhqWFiG)w(iDp&Vec~b9I;};WPxMpe$`o}zXZyv<^&#qf-d6>6w{1UT!J+a3RJmTIva0+W4!R-cQ+csj zRTjK+IA4eBQ0I&NRGA#3&R2a^ZYfdQ{>Fks-}Qo_8N7*nb5FB-EG64uQ41dZ#Jm=b_Fe!6dZJC*r)P#tjVw)J7YbjGJ-na zPV^1idpzo_`>Ldp)OLW!791*dsB({jmdd>;>P{tjqKTCcn^k4KtIj?KRMw-;5BsTd ze~CKr{y;2rKhOdyMt6tG$57>d1ud2P5GxNb6QS~Hv$3-Ep!MGYm48s@r~P6j-$I>V z`l=M7)OKK)EjU!ZgerN)oD7-{2Nj}>8CAY+R+Sk~9s3HXtWTX^_fw@Dr_OJDRXVGn zVsv+?d;?X=@!nMKhl4t+K9%p9jg`jK_AsbyK%L+9iins$4w@CAwu9J!tIEPC zbY6?_T0DA{jTA<3~ z(cV<>=DE9?QYsZ{R+WWUEc+{{Y(y36r^?g#Ow}M?m1i2DQnyx>%3!GSbjpHOl?-C# znFeF5N@b~LV`a|pRQ2vz!hhO+yW1XWh{Re7}rDphM$sSJTCuOuvJRmsCauhtkds*%6f^u zs(cZq%7(rwU&cWt!4@141a2j5B6b*|=F%p55}5R#4f3DjWAxWkHfE zoBOJKQwNoXwW_LY4pkN;ds8XHLEqFFGgP*0HdbEUeB2G7vL#iv>=!FP)~T|szRm7l z7@@YqVr;=tWmK5Df2>>3s?rGuEsQW`sBG8ld)uMctif&eR#e%p?|a+s-=kE)$BM+l zgDojgsadP4%1#Z!wBA&@!i33;87jLp8!P9u?6M-LjHJpgePiXpzf`F*MjiAZ-tD#> z?y&_&l`$p4Gz(f)x?_aNj2S9po27E=_U+u;ZcUZ3{irM(p-R-Jg1Xywc*$B-RidD> zY@|1p2kZ3UatX$m%DTTqS{Aq8+{Hhd|3hl||Dm2zJMD{Ymw@qY@by}JUzopz>o4^GJZ-;W%RdMVOfbh}Y*8opY^@v7 znhhRBl>D&Q3e+5vinXjumiq~n&NM_>N-%< zC^|5qU|`^|h+>N}&^5F&0tRsN99`${tm-<)z>x_B0|W9AE5;XPVE4ACK7@fCsd8eJ zzlo}QIR++%6$}iVpwJ=>j6C>^i(z0Vs>E@E>Ha#$z^Nrg0|Tce6rQF!N9;do??qK@X6G9qhVkds$3H0?}F+&$H0XR1p@;Y`AimNVE&9* zufo8tRJo$Y-|*DE90QY53I+x)Pbju11CiI3;I3vjs{Av--`mu6j)7~V3I+zQizv1z z18?SMya5BdQ)P05zm2Ku90N%o3k)Rd3NFgP=$%gCZgn(OZm;rpEOnh@;5OevU_ib< z$&(jlV88cfa;X?Ym3!j+&B;G7@NZASz`#_0;-Uuf`NftLa{{|`04P8|AB#VROyWIcNcY? zW1u6VU|^seQfyHM&V6Lc;xMo$Rc3|w8;QEkG4Nnr!N9=Gs$z>WaMSlEV#?t0RH-5l zzVp{P1|Ey*W`TjnBZ@7`z&pF`%FWMSRKc&3`1YW#a|}G?TMZ06ozjVmGO)%!{(dM7 zprw1k*3l-)dlBc0(sF%D__J?tcXg>`j$d@tLzF{dJCkmlL{KVBnRQVv8~` z<*jx2-RC}3c?+MJTEXATF)+us8W@;cYu3Q2Z5N*h1N%}1zoOw=dAiOq@Lo*8z<~VP z#`vO6u=Mx?9)p1iRQb5h-?h`d90T)83I+x~N-DM}10(m?b}bm#k1AhA`5Sb)&N1+L zSi!&m3Zxy2GH}ROEAoe5_NU4>RsLRFF#NXVe?Hs5zyhDiq6~bna5`T&2T%pS`rzAc ze_-H89}5h~uS5KUMH#qs-d1yA;6UnH9AUae-QUb_yzKYW_y@iL`~5V=7G>bj*ofC* z;2`Q+8euvr!fRxxMD|-}33xA7D*Et4;_-q3MttFkfC$-2-9dv*Et4O z3o95H7^cu7C5kLKWeyDde`EI@=S2C13w-i`1gQZsp~HxDQ6nHCMMG0$5d$uY6d6Df z6*1C9gt5?D=&-;t^p4qGc7qBSEPx6a5vi6D5wV~VQ4y8g^PZX6%-zo~ckldx-#j_z zJu}&Cwm7pHfc%^3Y5GW_urc*PHm~LqccccSK4FSGf>oQ#x26G)BflvSsQv>eQ?X0)~l^;3qJPjCx{PwE2lFIIL3~1}O!_;z`1kUb2MNu z@;k-F^-*@8V?YPp4pReCpD@J@=yc(dcr6-&{B8kp)swxJV}N-@lei-_pliY|EN;N5 zrW0GxfG3b242x@->^{eU9;zLt20Rq7v*HFc&TJ`ODxO6CqmsBn$?kIu$SjSSuv9sa^oa$CVd|&hw^6AG|x|+!Da|{?% zxVNbR|NlS6c5K{o8x0tS{Ac{)8lnF&U|3<68ZgYT!{Uyh)rIrBX~5IS59#8{AG^TeW(9Vh*u;u;BpU{A3kU!2NuII7)90Nuhc9VP4rH?nn(VuWS)naRZ+0xZpk-KxeYA3W;l4M31=@ z4py;E2by0}`y z?sE({6|%$BfYUKMD{jCoi3h6Cfbn$6L_%DHk=hDB556i~EH%LJ*oDOnn0w~)0vhll z^1oNbl@)fM;|RX<+hJyAhO~HJfEq)Mgu0(#Q=1A#)BTwV{U~5$`(ExsR60yb8!P&Z*9<& z252bo`o%Q^_VTHR$hsat+>shkrSP#VZouZv8IRL|SLnojI)cXn|6@S4|8WHL{j9YW zH=xdiFR#;pS5ZL!bDhrbxBDDNP`5Bl9f5i7z9=qkK&yKeouUD+p`cMroV71{%&qWa z&>(C_sR0cQJ1cHL+s(aO(}34eaGOV*i*NTij^LKU#Zm)q_1lHT4On(%9esUuPeDOT zO`KtG_c;bMFZ_U}2DH%a!r}&WpSZ0a4VX%&xhKSV^LC$OKlf+r(_F9eseF`6r)PTN-~41rvbB3FeoU_9k=@& z0|pi@mKtE57cPp68*ppWzgN+KIVgB4Ce8>KJ?2*Unfzqfj#2}L8g^FPfPr0CPND&G zQ82-=DGM{2;1pj}wp5q$a4 zi4`=*X&v68~Av;VB$cx!oaRYAc8){1fvQY50N1X3#_c;daHtaAp;4QzM z6*u6uQ@4npa8{sTzb4M&wfh_c-YI-IQUhYTU0B?JCUtVC#Jv&)2jk-0T)WRP-~-(b zQv(hp?5wx}4SG!%zinBCf}?Z-&5erP=NNF&x#$U2AJobioCcZm>il-Rqk~txDpd*or)fFEBqLk zXOxOCHQ;x{EGmA$s0sIq9|G5-;2)1Tm(=caJVaLu7fTJe=C=!rJA(T=H~X6gY(Uhd zi8Dm)KF5G$VOTi8I{Q-;7dPO+z7wv}fQ@vTW7u z*)IO9pnDUd6;yFHr|2=a!U5$9ACA<3@>*dTJsYBxV&bNIGoqF46L$H2S82(G`n5IM z4|+coj_4nVKbC~3`J=FV3n^@!`^**2rpjuOCT~Op?yZP=6X}{fdXXldhnuz`>a$Pc zYj8>ss9UPJrFhg+_V$X8liMeS&1T( zYKFP#4Mc0k(>1N-g+-k;sTQx|rqge0`_naTiHQcWSxt3<;)Xj1(K_LDO_7MG5u4Ri zmjib$qIDDLnxee0qv2&HQ}78EsNvnDkRiyQ7Jq7CEeno?hBtfoc@ZrY7# zV}H6PgZD<%SxrrNwEIm&n}pLfIbZ$xldx%oC%uJe(?q(aOS-5Ln>DGKN8E6~jcBuA zx~3~(Q6o01$*+qW?mdY5jdV@d3{fLCtEoBPaPLL5dAb)C`U~(}lxk|Biom@O(H44| zN%XhcMUB|3NiAdIhWi~v@08LtRp8?mbyia=Mci=55N)NVYx3%%Mr>BoU7EPz-jC>A zv2;x}5~4A7n}E3Ceh<+$TDqo2aZw{StEsIaZn)n^ zv|ZXsw<)4VY*thIfVkoQ0MYhoC$)@-8nIbT_vqq=`v9W%80mJ8z7w}Lt0}-Y-3Jj3 z1kyEi2o`DTpo+kK2+t(nXp&@oo2qh;~Xl=^=lSrp~Ge+#ey@Io+h(-Z4=l zHtU$W#KaBv$B5o1rP;mQ{vJ^yHmm7=Mci;7M)ZC)UDIGy)QHV$>Z*ww?jwkHjiqZE zmJl^!vzodo;)eSuqTSL?dOjd(#AY>_-%7YYK{O-nq!-POR8x0DBK4he8nqBmR+cUsTpCQ^a?W6^os1chr zsh2KpxQ`>+EA6D^ML)QiqDOLnj%a2e-R`YcxrqL;CiUjPeFD+mdb*~K@ghx+#6;je ziRhzJx~3hfs1chrsgEjdxKAP4C+(!Xh^P^p)$~|Q+;E>pv~Su;Zv{k+*sP|0in!rE zgJ?fB-R{N0qDE|1Q-4j|aGyoAe=J?o0YlV?&1xE8h#T%N5H0*uMp5xBoZbYR*^pT$It*sLbi5I5XkAv#D&w|i%TqDE|1)8K%(;r<%Y!CJbeZxm4@ zHkbJ=_7Gj%aMPt#LyUAy-xof5R@0NBM{<9I=#znTO}_-Vi2kvfhUy}4pF?zL+DTU; zqDE|1(=fi_{ua?;X(#=oa#5=3X%5`y5q(-uvwQTn4~sNC6BB{^J4BzA(lwP06=@o- zaMJ}uhpXwDDszpfvySPxn7HBo9?=nLCsix@kUy_*(?vv|PdmwHh#Ik3lR}!f;r;>9 zP%Pc5*sP`(3~|H#BcdafbWQDJqDE|1)2M*B;rSQz>!GJC+t`b+?Nm?n|4yqM3JU(x(M9AAUe)Sw|jkxKIG=t9`~<^z8FZ? zG{9eE(gc+!B@msUr)x@`T4x>8L|(-G8=@1_PI@X*WYWv#q|1oDoOaT4p(0I_Vj^(= zj_715-R_Nw7irQIZn}b~rlxC}Q1pR#MdPMF5Pc<lU_F` z{e|f3X(!DoI%$d_(dNF2=u{=$?ky@hXAi;)eSgqSK9Z zO?uHuGXvc8527>EPTE+sX_n4S{~|go?WFB-Q6n~M_vY{o_kW1a38dS-yrPrls-nw% z9nraZx~4b7yfXb`O_~=Ifjfz4SW4FvD>`YuDw;}5h|W(t=|Is*3u4^lLUdu;Nk@uK zTBL9j{n}}fnr`<#D>`Yh#!V#)D(NPre#>h$ zEz`K^21J*|(luQuI%&DVO{EacN;~ONkz*?ON#!OtqT94|lYTEcsl1;Pf6EQBO+?VW*yV6fVfe*0;0RLbWJxEos@5IQ$<7z z(oSkrbW$|HO&&y}X(!!Qbkc5}n<^o?+eo*2sh{1gV|q*UNTn+y`c@!aQ#*x==pU=; zZB+!Nt04Nep0255Lez-OYTC;;N_!FAn|9IzMIVTLs^}^$Bf2l`q~QP6#8V`A_rzH) zcw-?JNCv9}y!(T!lB5{x26*4~vr3V4mK)ypJgm|rjddd_!72mq`zos}Db6Yf?*{=^ zc~XQ`0p0@&t0F1P^1yqLAyp!Un3YKes|vh_f-Em7#**Rv(9iObbXHY(Kk~4uku+9y zQi4?j-j7vQO;Vgy3*N&4R&7#*RR`W93ac(D%u?Vz%8+g%g_!k72J2>cKMAtxlVYp} z@W%bDh9sTU2;NUUti~jb)r6E_HHG(>%DROVXElTOvjFQ>QiOFIyvG&R?W8cv5AWv; z=?+qe*_>psTEKfE$ZAQ7vF?QTq@UG_q_ggV_mqd#nxwJrCM8&H;61If+LGd|cJQ7F zu-cO%tb5=+tFZ1Rg;@c3zhFomNFioNlELZ(@0USVXHtyS1>Udxtoukh>wb8@_OQB= zG}Z&81gjgohRVty#aZ3q{U*SAkQ8C{fcKojdWaNe1>yacA@wAMn7v2_>tT4$2U(e< z7^^qD-}zaOkaX6g@Luq+`j9l%W26MDFTCHYtbU|8t3SLK1FQk02 z?~e>=5GlkQOfpzQ;QcAcdV&;VJqhoxDr+by&Uy;oM1VDn6k$CL?{5n08B&<_EWDQ) z(r{9U`5eh$jez&}AnSQjj1_|Sil6lYNoS3O_YV(i6iH)^CM8&7;Qdo&jU~ldZGz}L+W6#=0FPQthtcx zRax^O-J`I=klGon`H z4pM!EwH{JEgS7$DO)6_6Bt>Cuf>g&~ZH81^XKjI0OJ!|^RKs9xgH&B-ZHH7%W$l1e zRblOfBpa+ZAbC|*1X2}+MJI?(dmxq2S$iQtW$l9`8LW3)$)wJTxsuma)_zy=KZW(K zEBTMXde4=-rn265CI41fAGnf#8LR`Y%~7gg3VSMq|v`plL5PG=o= zCC{s@&t1uH71jw?@*9J7(v>t+)+tx=YlU^%mHg6RopB|<&{=0)$+If!3s>^A!TQpb zJf*X~awSiytgl_k6AH_4C661dZ(PaGRMt6H@|eQ<)|HGKtn;qqCpzmpSMsRJy5LG4 zHdx=gk{|1=i>~BHD(eSV@#VNr+b9C0fu4F`I{pU))p|GyIk~<7m(v{q<3b`a#a+|_(xsqE9 z7F@~AI;(^$xk+V}bR{2(3gRSnXsF;;a*uV}0qkS43Fnvf#6SPGN&( zAU$iannHR;XWatnX_eIs(lCW}E2No!PFs;t`~J)yAtkOmv9J0K0xS6XNCN~U*PW31#aOK%_0?E+LF%KjT0?qNVciX>x4~)yDN|>)h4iq(Y6q#C#%d3# ztIoOyQWt}DFXS5%tN`RvDysu2&gux+9bk1LMOd97msVI^NMY7}kZ%-_T=$bgtga-3 z^#J5DK~^_XjFkbote@4Lq_ZA`T+YMlLDEj+4$aikDy zJjr0a2)S;MHGvdky#!hDvnG;s*2|D@@~|e6G}dHNf~7&Or?Orl#aXXHzB#~pjTB+M z4!ORcx2wGDD>m9?D|XYGJ|cYw8%6k)vqxsAe#kix7S$ZZ8AS1u{U z$|D)9U69)aS^1b6rkm9VpkOKkMK2n7B z4&)9BD@F>l_CxL{Ai3Tpg;?*A4A%RQI|W%EkYcO@kURTX2T3~X5acc%)`ujG^${t- z`WW(kD(f&Q&N>45{s8MJDZ=^$a#w{FCxuy`LViF%avdXuSf7y$)^W()f~?O;G1dvl z8GhDDlFm8>xx0sTnxwJLkP@u3kRMc8Uy$OgFCq5`u)ZQiSYJbaNMRYIFzXx0K>^8i zjuc{jOEOsJA@}6FUEh&noLzw2%g_3rq_ZwUe%QnMfuym1BqdlsLC#cJKa=9DOOSg9 zSig`WtY0BNqOcOAFzYwSj|xbx%cKzNcap)n0=Z9+^#|mB3D%#G`>U+KNO9Ix$O8hb zzey3+HOP-Etba&h*1wPk3P`U1NFmmBlEF$sR)a$Hvx%(6ST4we{49`kRtd<1J*<)> zjdcSl!72rLh{|%4;;hn;p9rvSBt=+dAU~~(s*@tD8jy!8teT`Ss}|(v z1SHfZg;;e+2CFXQ5kZzhim`5j{Jfu4kEFA1h8*&+>XS5915$$35b_Hus}U*AY7BW~ zfYpQ)VKs$3N@3kX3bUF)9xWi@R#J#{8_8hZ4tY$FER2J!@j)s_@ywS)YUfQ0s>5bGY2!MYdn#2_m` zim^ICe%a6JNYYuIAW!nJI+HY37gBwZ$4)fKW9U_C&Ju)0BhMPX%-!mRF) zUlow>ASuM^K{8kmL4GaB3X)>1o{(SnvwD$q*29pecvzVvjn$i!U_AnPs>*tl6le8; zJT1U_4Dw8a)fe)tAgdoK#_A7wwx2bCq_ZA}JjcTtNYYp;DZv^9d9KPDOp3FHK%N(1 zJwb}Fo`f7$SVKu+)>Dw@3rHA73bCFh8LVd@F9@=pCB<07Ausf^o+Ih35s(*oSkIF* zR)~~fy#RT!${IfG1nU*ZD^=F3q&Vv}$g2XZ*GUo96v){MYbq(sng)5b zfQ0F!5Nig>V9kWA2U)X7G1hFzYy7M^B%L)E@>&mT9!X<`NeR|`$m>+r0#cl{5c2u} zYY{2JS`2xE!dgNKvz9{MC?H`ODa2Y%GFVxVHw9TMNHNw*$eaDFRV1C24S9=)wVI@{ zbW(z~2J%*wwU!iTt%JNRz*ObW5KkPOyV$UB3qZKN1$ zJLEU~tQ{nswG(p0!+L|Hu_B}dD+h9p%E~3hS$U9i1FT)72rD0Qp28|1g;`O^y96Zc zCWTmUk_^^ckn@AAw@ES99>@iL)?UbO###FyzZGD;LyE9skl$8V`$=KeyO8$?NO+GF zV!cl?SRX*%8)O|I#aIU+@AI<`k#yFFkl*pJJ|bzXk4XvEVaPF+b%YdW9fiCpLL3)vra=k;9;F1X{@uP z1nUdP2UXUWq&Vv<$cF-~uSpS>0r^9P^$jV^ItTe90SVudLag&7gY_NckAtiWq!{aa z$cO!`izJ=(1LPwf){i8O^%E(<`Wf<3m34^}XZ-^ClK|^iQiPR&99LMsk;1IYkUtfW z@H;8Qx zu#%*RPzee0NrmMig;{`nN`U?zJt@R0NitYBKt3I0l_JGhZpdf+tkNW%btB}n9#$EW z#wtrnu*yOHLS>aF#aR^~e;Hs^Bt=*r$X_X}N~AEWGUTrXq!Lv~A(oe9uw=+akmV!A zSXCi^<7ZVP>8$FI&v{riNE)jqDZ#1*`CFA$n-pi&fqXu|s!NKn6v*ExteYTTjIruL z{=v_>nWVGoL;lgjYCzIh4M_=BBgj9gtj44`s|nS1*u zX{`H53D*6P|5jODNpaQ#kgo+;-AEBu2IPMfR(DdE^&sSb1*8%^NFmlkB!d-%{9lmO zlN4k1f_&Z2dYGiMG9f2DtllJz^$01!dK5lMW%VJ&S&zZz3b6W;BCLM!L1FbLg;@jO zD`lSm=fWRk(6 zH|wYzWW7R)v0jC*il6lwNoTzdpVz~h0$H<=U8Ke+vCdpvU zg0Du9HJcP;&4I6`pEZ}Hv*y89%fkwjG}e4lg0%p?+A3=yDb891U!4GJF)6}Y0$*K) zwUiWQErX9Esl;+ph_ft`!CC>|O+nU5QjE0MBwUuPBw!zmp$l6Ydv39`M#LwDE z(phi7*VMy`kTg~fDZ$Ex?-rGnM~bs{!PhLn$|prw1@PUfu%e_eYd3ti2}mX0B!yUS zkqp+`@ZBC{?IFcjd*So@S^G#j>mB&+@UUVejkTYYV7&`pbCvZTDb9Kyz7_%22c!t= z0DLVK)udP#^{@<*#`=boV4Z_6pt8Ot#aZX!>kwdlM~bj6z}Hb>eNPIrF2dJI zKq~PAeD~?BAK|;-!}^J&v3@2cSeM}Is;_ zP4B$w=X-A?>8vvJW}hBbS(3&oM@q2D+wZ?wvV#5gmnAFGTRsN(UJoh4s${?SV#&(( zTOXFJLhrFB?vzS;Ng?Z{+g+8cOKQx?t=R%8jJ?_hODaIM$cmu4MBr|x&E_g@Q}Iwa5M<&Jaar6Oo+2y zM1zTbYqd^YUusFMbJ2i)m>0W|p`B?R{*a=1Xs|`&`lV>_W|*}M4c zoKb{sY>lbS1FX9-wS~f3kEtJOtPPm@QG^x2)NcZ;985hIV(r1ye@*Sh)c@iF?KmW@-f$4+H-X}4Au-O~J z^cT(S1x%k{W)m@eeu!6o8PgZ&tZA6O&8(Y&={v%lEyDEoO)bXs4_IX-%rHC3x-es5 z+pt*Woj*BndHRLs-^ zVrJR7n3>D_QFb0?=EXQ$fte@GY$ayWGs*l6xN>M?NX04N~s`{mezE08Kn6*A3RxRhktOA1t%-S7dRluyXVOB-V`ckoupt0I~ z95vL(Y;9mW6d1&?#p#3dKR}Yqj}L?4_5Sa-U+(x`b6#ZEEYY6n%v``^??Q z&>D=5K2Fism=jZZ)$cLK{9Q-6i6(%0(-TWE_a$ncQ!%zld!egyp`)_Qq&aTxLtLT{cy~G!OwaQ^GC*5FJk^ig*5^5H$|+~^6S<8mReV0{`ru# zTHedL=Of2L>~7P!p)&clK#2Cu&h3*-NfMzK~qb6dSu)OrF7Ua)5ljf`AL(Mc>ArE>k(STH5XGO%E(!TK2swhBlUE@8p8 zu(ev_x2ioMNfj$%!Nq{JZ=a35IFcd{7W`mW^_%z`eWWVZ#=??8t11>b`8-8+u&`7> ztXi=l7FP4K8ew7e7^?*qwli2QvG5*qcQds+D+Ie!!vk3OYTR0F!qpaCDe8uWubZ8{ zuyB=!J0HfvY@Ia_3-_D%s#y4*xg(j{YZKo1gj!$4BFWyj{&gmGr06v)a_QD_elhyw zWs1UBRLguIGqh1P<}agYJ{Hw6CoRV!J^-m=78czR=9wF@C^N#^ghh{-4^gJ}*YSq* ziB@qB7R^+xBgjAS_zsHpV$tj%*MERT*#Xu8ELt6B9mApn3hOg0IvBC`ZB5s$?^Ej^ zSX?q>tu`a;CHiVx@lPziA!bz_zLuaDJ5LELzCB@8{Zjdl0*Xpv@g0U()l&|OJMkn> zc`WXta#kIShnZOoEPlq^-At`?WIuhPd2YwzY|UEjtHw_>qsWiNdWbt)V{xvz#@$$) zm*A`m7N7L6?!)3!y0ve4p6pZ9It)vy#jVxaW?igH(bHH`L$RuUtzWQ+qOn-g(rnDo zY7QHjP0=_kX%*&GCu2!hjiq5pH*?Z#EP2k)*&Hkx5wlhs`ouVTPI}g2$y&pjnJ_xi zXR>DrAIxix>)*&&bkFlFUMHTu=GmMTCJ|J zcp5A~sj=~#9&Y|XsfW5_XzW?)&IkG;}-EIX%g z=K?JIHp0rra<`eS#`4k;Yu_gQTs}&z`?0)>8D(fELf-``dKb&@w?9%-?m5_#qEE1V zkY>$n-1xX?jAQwb5U+m<%g2XVr?Gs3Iq5qrH-9FmbOFn= z?QME_s(dH1G8C)ow)!zDb*!jou%=)| z{gAcVtgZ*>m96q7tQh3CR?{XV|DD@Jwx9t&ty6l#dlJ2dt_ghbr7iQ2|y= zHurHKR?Js;{dcfpfjRwStjLdZb{H$7sx?#7+O(n8Z?NJgb3lgnd*2)OQFIO~E`_-M zN32Ac^%GW>R9Jsua1t6s=eRZw;oy5 zrqG>pue@Qjcf#u1!>rC&eTTvdVs&PK)f20G$F0?Fd%ZM$b@7hE>cuf@wYo#Ur!wzo ztX}G|s*X&b(1@Z}usSDbRn7O*sz=eQSe<9CIuoluS9twdSbf4gf~8n}&CHfz^*`qB zW@-zzcc<3{?+)mK+#UIcVW$lptV{eFt9tdK87`Kn^A@qtJ5coqP|$ON4Kh$KJpzs+2p6N=7eF* zJni}5ONxeJ%_-h*IfONr61@HkSo5pKdKqgg>#Rvw>os>bQ~Ra!)fcICA=Y-aXKw%E z?ZXr;!rE>Du3w3@{r#*}SUVub+Jd#3!P<(ouZFCB8>zSdm0AyD?YpYAZzq4bK^)W( ztbH$HRn43}h+dxLGg$kTxf>bUlZnH*6rIIdL*Z4w#oEi}qjnx^f7dxnV4W|<`VH%< znY){*eR90+NlEgR!n%QBYv#xPwF4<~W8EN4)cY!8-KY@DgLPvBBwsD8TV`gpv5p>O z^L3&575OH*^Ioi@Ma{1+-R~(;g`xn~ow8@{p8X(wiSRv$b(iB-)kn{4K1xv!th*B9 z^&i3dQW4gpSYJB88iMt=sH`Wj-ulKXQ`^yT=1gjR5$gwetkqn@I@2!sCSd&#Q7-vj z#rp9I>ou%@(Oh>P)~}3n7RLH)^8wG)Lie4SKn=NAe^#+pD>L@-*%akr{TCsQ==0!m zG?Stj*8dr?s%rG`qNm`u+i-z99IuR*l={=kMA9@d}OFjE(*3T%iZSS7F_SF`plQ;B>^cQ(KV zdg@vqgGo2j175WuHYDO!ReW>RofNge#Of|RY>HZ9WBCBD+727*`dRI0?!qzKm!!^W`AdKw!SnCp(g#{3{> zW3jQouvSxNep-uKmtoTl32U{vP0Q2cQFS>sxy=EYTEC6+#LSJ@bhBht^&0x|FBEOU zruqq9^$l!lWw0XH)H=l4gH8R+Y%ewqu#e!G3jOItpz1Mfnjf}SYdV20MUtw1hD{4K z?mUZ48_YGnz@|+ilB!QpO-&E<5f z>aH3`L{uG{8>!aJ8G%`CD5`5k@nxA`< z?)&^5D(iyHi_HNU+8h2JR9EdjY+f4X`X1Q4MPogL&D%Vze%Sm~h}9pP4ar*V(ugti zT}8D?*ize!GPGWEYqY0mGPcwST2<4lJ$5fev$3U}U6stbxQ?PZ*wUV6lNMvkL$oYu z3AXg~v({qEXq~kVTgLjW)tdI5^8uCZ#+I$-fDG-=&{X;uRC^O!wg&Fq4i8mdy1mV*xDdsRc+Wc@fnJe*m`fm zs(OFeoAeDsbqQNL@T%2IW9y@0d8zu1*xDz|lCkxr2+N19F9)pE_P4t)NoBWS>wa@L zGPL*lUVVh3X4v|k%JnU=_46R>PHa74usUGtH8bmot^XL-YTnV^S5fN|*w$LN_U*Os zg10Gp65H-JcQ;e3*T4CGibi5vrg=~q+BaJ(eninIY?+Y&%5%C2hjCGv;cWvF)tE*)D9OBd4u; zKDJ9?YqdG!o2;eiB(}GUSw~R4Wb`;ir?CAVk5v^NT5&W*-(fpFxaKQX`xD1w6kWjf zz8bIk3$_mrv3|w&5du>6f3SUx%K8`E*Cwph`gEIjK$2=y!uFHqfDEmF!~d>RR2kb( zi*l((4Q&5KVb#R;Un8tW*ikvoYK$FT)mp8bUztx0-LRvl`4DAj(ep=!Qgu_Q&0VaEuAR~>{MT9`E$J6=^-Bd}wGnLUpko9vIl!7m=j zq}JE5<7~isJSxO~qz_AtDcJF)pF8JZ$K@bvE_VEGu(GhThI#J_?5r8H_HCj!@hr9O z#m-@2Yqe`7>-VQFm_JWdHv(qxy;<#&#^O0 z;p`ji+-qj%u=5@J2p-(|96cv%{Dqyr+eh$p;s%+btJwJm?_*5~Z@77lnl8LSKh@J# zvpn9oCC;jVH*Qr~4X|@^jMWf3lR?%Ucw@A=N*IwQAMPyf)bsCYQX5AS?KG8V) z4v`CHb^(#^&FnWsuAA9qM3QFqA98$Vb{#oYHOXcD1$YTBx7>7)1}2ds(?eMppFaNi zWJ#(;e8pHO{q_K-Y5=yOFac=vZX;?v?b~SF1E~ zA^}HyclTVegEu0lz;MK|+yR#5gD9?uoXs)EBA=gsOzgJ@ITz?trttB;yZ@FU z6jwsdCBGwH<(>Bv#g&nBMRCL}5A3{$;ws3w7IMTrO1B+Gu@||LbL5n!)0KEE>cWyiP|IPh-hruf36zEw<9+% z;HX~K4&tdv@2~o1+!2r4nyf_e9mtI-j=1MX{ue23j$H9ALE-Zx@8>V}QQQK#MA*<&Zitj}3X(JW8lBGTrhtmqVM#K@1L)B3f--X=o9ed(hd^vk9 z#jTNh$+6$AWzBY8q4;j(UI{oB`KsakP87F6?zMy?ZoO!CjN-P)bE%GaVnP4MDQ<^6 zw{z{=PE71YaeL%da_(U5T=5EC>mKA)bMD{|&*Ud4z85+3oYmFl4)RhQKwd-Vp5#l< zJVtQ`iZ#cc%%3~#7m7O~ud{Op@AuKwmr|`x$m{0Z!N<<+&Y`$7@_ITK>G?$;@hQ~> zd3~Ha_{yO}2F3RwuYb%jGSt87TNK}qyur@ur(P{RgW|5pdn(|lKCrXPW{Mv`-gA;8 zUVb>)k>YO18>Kqp>%)AnQJjIi7h{gNpMGnA;_k@PoYf1CzxXi44v$|7w;=L62 zK;9f@_3a(|*QNL&yh{3waxy z@rd)AWQrd~-gecwNFXMjKAFhNbyg>@cP&kEZ{)qHII3spp}rJ9g1mQ})dS!CtscdX zBJY5+di=SD;!9m02q#JY)MI&u~@` z9=XR&aew4pa8_Sizp(_x1CV#gS>5`+CO=U8IP!jXR->MrMe#u7{cSi#R=DlZ3X19N zaU^H;>l6EludaiztCX|)MAP3@iU(s?d1p1ou6&l_A=p*LS-nf)FJ4bzSB-#UED6c58L=hrmXbyb|OQ0r;z sYU}(g&N3P;r1%-^>Zm#Pq|)~PGAVu*yB=_k{5GpxPl|_QS1|B@0MqJD0RR91 literal 0 HcmV?d00001 diff --git a/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.ngo b/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg.ngo new file mode 100644 index 0000000000000000000000000000000000000000..24133b2263f07e47f04dc55dc404cca5a6172f3b GIT binary patch literal 297027 zcmb4sd7K6xt#Dq++p8U_gYx3gIOOAl6`g;NJOhA~%&Rp(ZSXU?8Ezp3BACH)%bG|gWy z<6@mj;9&oA<%}Z^%3?Dyo=){pt6Gx31Ibq_^ zF&2cY@gVw)7&&Rw@R8L%cI-py$&@^ok%4{;H+hnegOrsFJ&6>p1<}aSNs0oDsb=AQ^jfRG%+4C+J zqT^qNbRq|rb*r2j94y2J)0J?+jCoD7g~;!s*I~8(hMYxe394?1q{x;QfLG-Hz;Xjb#`d7Km5%p?3%ANhK zTB{A{#edVQKL=L{t8Fp>WpH3I$o<1G8lyVZVDQxze7~7-vIaC zI)=PHp0R3kn6vfAXsPxwQR}bHr3g$_?;C!Kz%bVTC`u6+XYbqTDj3AtPht-w0YdH_ z7gj3(E?j(36T<3!UsEWya# z`M4_PdBaYD;?;midnXtQhavag=PMMw9#GrIG;G+#Q@ogM8wO=KOwRh}9Mum)U;k2| za_DvaO_9nOXs=hRaafg_IM>9JXwboj*Llmmi) z;vA>0BfckoXx6a-JjAMFjNO0UBVLeRS$iLpZAcDXtUs|1ktY7lvW11y7GE^A>GD~# zv5GES1o{?@4aM}O^J6;j428z=_{dK&voNOh4@b2an5|o7OR{^++4^&>n#wg`_qsF2 z>#wzIYD4@k&^xK#01iTLP;US;(s^o7uYndi$JZM~3o~a5(esd9cOk_b-_1>NsJ7gf zczX3+vVAYRF2`@P{#LKfCGR#?J+_8^)!qtv-HjA`J2X|5L60%&K8@9CPp7JpLbdgO zOjQH!U6>jhS~Ma9?WBkXsQDKvX$BLKf5%TVZ1=q=&9LE@wKT()t7~#RT)wyE z7w1ww28bn<6akO+@4Av`ns)`%58xvD%$+`e@uG&Nh5=j{^8b}JVs0?0HPsV~xr>)H zHDHET%#=+y)19UTQ|C4{7)||}8sT=&ld@*QH-a93u{}86WG4wdgx(n76JLd-t7n)P z-kQSz6U>=j;M6e}q&4yDv9`oLY1bVvvM1hj>lm~1#7DV0W`~tjgNSSGB~o`5%$PPC z=buMFh_~>TE0o4hcq=b zHNyMB-7<7zQzPbJxtpPlrbbMKcT^?a_8@kN0eHSb4@TSl4A7rtXta%^=FQ2_IBb}; z2ky(MZKDxP)&p-T-h-NOG%+_1oRjfJBZ&LH543b6{Sjb)G;;rctu#G=v?0W=mMV=5 zWZamlU;Qpaqe~3_SHqP?1~#Ef4Cnqu3OxXg^v9gsU&_#pP5m*-`;X7iMpJ(b=iWbP z=>RdUP5lwceYQgPXWKYv_dT4U(KZKvXog1Hi2L3%D{9*fO#=+jxeSd)Fr0gL&d^5F z0L1;s3On5h*71Hk%f9OkOQA6*1JKCbPvle@FF?e7&me_v#Bc`S=-hLS(j~H_X#nQr z?oTq_Xd9!v`$&bxYSAf3n`l2++r=-aKj;{G$u9G$O>r{xwS*O^Ey9U+N;YRyA-9;~C&12dg|-tb5=u z%<_%=bo%g1S=zuyVj&*7DiG=Bk@!sw2=d{bLWSoc;(7hUXXqIo3dZ`-hO9r@$Ea3B zf#T1j)PSS((10wDb}-x(tKE!0+Cltljtj|i!RO0+?V&(b2=T7FEuv&S$K*E+#8f=^ zXE#mbT>-}yQ@7$fSss~&xp=TG%VV*_*zVdZ(>x9%nz?IYhHh*ch*sJ7^LPaXLwk3S(c}7eQAMW@zmx09C{R57ZKbqqFw3C_y+T zYc8+Vhks=bDMg z_mwsGz@r*3CvDBrdP|6Z&2_b^e79M12Yh2^M)7#vnIW&JJ2R+!-5GtXJ+JPJH|2l( zvZd+?!w|k43*~C{ghB^vrw7st6U`RB5haMPfi-W{Aw#WbHI>u3MXx~)^G`t4$oV>1 z^J(3gqy8YQsT|i=b!QA@?X)@s+OzI!2vIy*hnk%E4B<*qTv|~mbYCpy6bc8aI4M*p z#9a8RN?}xmDW2kmaJr90x2YbPJl$Q6!tt>89F^fvx91jJ^}}d;zRGauwr8@f`eBl~ zKlfD*$DsRXD#z?A+?uHixAP*UDig0fH&8irQGUi$IfPRFN*xCCuza7Z`e7JlFv_$b zyz-9%mBSR2UdZ?vLUiR-j!R}~XilliWv=8{Du;2D9?(<{Q&8F`P&vfh^-hMv*t+)5 zw1=3x7F5)_n3t{*nLaR%;(M982Btn zxB7|~)41#?6w~n{f zpI>JB;OKv$axy<}&(ww61r?<^=4WYyqi{U_Wye!Eu9)TLY?b41g{5-L+3LmL+Q%V& zbSX5ssvq2T2vrKBC^vX2$25LPS2+XRLOi5qitpH^<&nzam~|CHl|v|{{XLaq%D$KJ z!_c}8%JjjMUF@rMnX;!wD#w(4-%&Xf@)VL8Q7H zB8B40SO|25!%&OLt7MQ|vTx5RUd;7gm13?>@f0sM|52z=JoxJ!g_60htl9Y7#azEl zSN#xL?~WM`?e$bo4dcW?UFP~%nLe27zx0*%WUk+lX%B98#*ImGnx#~gxxUahk;) zylTT8D3@pDRWeYf>>a-1#gq*kg<{GoE6hNiuNFQI6fYjbCoP4NDXYA3;OYcZHV3y< zWO8}lIm0n!r<$rCCaFhR6VV=1wwVnkCr=nXA}+LM>cVYWMRjA!o?$4QOxeplg_9}! zlCE+b?#`OZ;rN#ywpETPJHu2txP8-8DW>coTjiLt)r*wzixwk8w52OEA#)gyMoL|N zXzJ<>RSuz)4z*PdA$NV~sT_vZb$F&drtHc^BFo#B|WTMg9@urSb7IZ(SeDN0`I z>mC#-lw5c>g$jp36#ID!g)tOca|*>Qe#%lPX7P-QLNSX!^%RPSb)%tBGK&Y;D#t8t z4pk1J^?ozMp}n5zy6VR)R#rP)NMIJ<=_r0Oi`z1F;WpD(-FW!NSPCbz_)1sdWELwc z-~f4zult*k>WAqpKW3^NhE|wms~p_E<*F33_=F6{EdFz#`WfJ^(G*TDysIiI$1D!i zx^m(DwyF9d&LL**7yBqAmR-QtHZ-eZp5crtDK{U751qu~k2& z>?awHDSKq552oz(j#`%~J3iAdQ})wLT?12alB?DQrCBMeQJw_v;iM>eRkQoYzCy{h z_!&>(FvZ0oD#gUUC*8ihK3x2brc`8N&&eqi6Z=cGEgtFL8j6=p>=SjBV`AT>R+VQI z%Av-UY9{vVP_4_v-Zj$)6Z>A3lZky_rY_trb(H30Vo%T%PA2wsrozd@ejQZp#g1=h zINUuWm1APB$@np`=jo~+-1c@=iivGyI41Vnf$E2WmaeZToJ?##r*cf}&92HJlu}<) z<(Sx?W&AL-uA?%2FtKm4)VfUUvorlNvA@t%KLb;6imh^(Ju_VuS9O_F&jl$re7Awt zl$Qi9+k(0uE!K`jBfrupKC4np*?ErQh31QUsLk^j{!UZ8WXhflD%HL`3BFgYDpPjv z42Sl5F3oUES!DslmjX=LUs*~YGG!mg)P>vpj2p&UIy+LT%9Oo9S2&rnZ-y$z;qF~g zIi_s8u5wJ-%Rr^30Ee}_pRH0DMR`JoW6HkkseT5yn|y_nDZ4JiF=c@)V}HF8syc7;px`!odvV_ z1us<--~OQkCI97DOGVF8yqLw$YYN3IzD)IE7HewrJgm=0N=2E)W~g!)XV0(HsxpiB z%W!C~XMUzGvshW}@ZA&Uw|lvv^dYnO!AxDaRlkgnKNn$=n8lMTN>!P~H=7D4vshUH zQJ2Hr&sY7J#hsvPhZ=sE^}@nX<=}RpsZz}1Ng0k={8v}?!$3>7WJ2aJ3OU73ew2Gw ztt+$mhj2@U$L}M${%xuphSqg#raflyZLaFaEI!{-IcD)!nfMG$!AM>8!|Wa6rzw1{ zV9q|~sU)Vacz~^Ph`D%$((eGd_&%bke$3mEnY!%bj~R}K@{vfbD^qvBEXmwm>}5O= zV$a{QB--pbHOzQo!n)thw#ocmVyK=nfv?O~hvO(G4|p3Lc&Dj81$^Knp=A@SYfKeRL_Pn7e2 zS2%f5x%=>3+AsbLxY|`oX8*w%j@f^eq55&rek@WsX8-A?$}#(2&2Y^Al@--bX8(a% zlG(q+&3H2VKgyEK{!u~3liB~imLZw_S7%6>{nuox!*R5w)|Lx+W2BNY`MIw=f!TjsnDJ!xj|ErHHFzR@KejU*vtQRUBt17684|cO)mKTG{W&K?GW(b5 z84?kdcFK~7z3b&H$?V@b+Yz&WIzmVfNS>Aq$o7uli+{{kN6o@vTBbU(KY~zivig}& zcT`TU^J{FC#Bhp-WjJQ~wMr+|&xNZiYF%c!x-|8Z*P36?w8u<;JW}h*OjqBDs=rI? zxjIvuCn-JZ@s2+pj`lKbGSmN-?TDFvZHAPYeqFXY9LE`IlQPo>R8&%C`bFTFTQ#pB!NoW`<*?9|=zBoSDAK&5#&NX?jj2Wu~`S8IqZP zH@I}0a-H8LOCt8JSImqjGkw==N6hq#wTvg%`N7%Vndz@qGM)zJ9dZ>~ztAFifNQ7Bd zUy(QtnBQ_swmP$VLXfGB&Og^P9JBg+VTNQ@KW%471LVbyO3JKWmL-|h_vsl=L{!=} z%#h6L*Rq}%W!LW6HksA4qfB*X^@&D?WLCfKXGk~|zEjDNSik!^W9svP`&;VjX!O6+A4_w7Qd^j9CQChP33s*(q2(H=DxZJHOSn5C(|AR?C$W@x-$3G z_Z)mmWbR*YXFL&N&*xbZZT5`!GM>!+kFy;y_iv0;Pnr8SXRE{UY$M~y+&?j=k}~&a zfm3D|otM=`wfgz9{HdAo#Qc_*1sM{fEKKq;Bs%{Rl+q7#|L7n?GWVY`GbF}Rx+EJr z2XwckddknC4}eQ|Bvse_rqMq)4+W6^)nvS zG1K!owLO{XM`lT8`b}oW6Cw6|nI)O&XS*3sX8NbuHks)^t*D+d)0bwe!*Q~fab#kg z?5m{A^h-jOl&ieD&|=CFX8ChHdf?^Sx;vAJ5I(EPKEF1 zG9+sMS5I-(A6*w74ipZZ6kc)_3S%iQN_QcDY+hV}UTPCz$O|zqL<+^#d|$OKjJ7z; zRJ<@NJqrSblHVXMcU2By^d6Yu&|HslNg2QA#@zLcK-E-id>80`v7&MazkIH(aQwx0 z_klT;LwwzfEtNxNcR!@597fxHYNjq;(d9y@`e7X9cRiKEILb?PmBW!Jl^lg*u9f~~ zD_o<2x`*g0hdC%*0V*{I%<}Uih2m?m^mAY3_@X^FR5>Q@@tIJVqB&djL&#mvXE+SE z>%vT3#F9VNVBO@50|P6Z4k8sWI}n-RP^WN!rnrI1|2UU!3!};px6%y8ls~~wGZ>NI zjH-xW-sSlJF@C7e?-Qk6(0~3MmBIM(2Py5a+M$(nHTrxfmu4^n`3sa~FN8P(bRw zuVt3vg`sxdR8c5K@bjEPF@)=Vg))SAyxk;qvD|P2E|32`sAG)m@td?du5QTDd3J?V zGJH1jAIVf3!6ZKZyjQP;fFFOuuVX~}cwp5r#@JS*Zf3V2`O&4$G&r~Db!P+kK|CZ8 zW1Jn`8ZrN|rfE80^&|L9{&PA1Z??L<$qw1J;n*eHnK9Q{bR{d^{4v{c$Br96X6R@k zR$pYZ*^8#Lp^IvJk8~`pke)tcS_A%Z+A)~v?e~C^T>plq1$^e1VChiZ359bw$*b!;Ff;r*=A56Ft7Fdb572GW9_IM* z>f1`SJsjxO|ACz7aP2tLAx4Bl1~4Md>{+r2QXB^V`>f-f8YSZQsQYLt;s$G}NOKUhX@z{>~{N=8p= zNR&`AdbV{^C81>Wr22UYC8KA>>L&vpJ{di!<+6?#{qW2FibMlYp-Z|5XVC1U^nsMfXVDz zW7sm8J%YrCy_4A~Oc+MU-gm1p90q-M2@)U92$>x~$U`uQqerdw|Cli|kiC-`VkZom z;XuFE6M`YrcJ7G8o z`Yd%5h5?ef*-ID>i_8sf!f-OkToWV=4-Q+t0)!lTBjX`cTQ}GYhjm%ag#ps#$}p+K zVUbx_V>mlx`sQLE_QYmpm`No@gC)0po}Mrq6)QEl3By|SnPn#o!y!|B-@_u($k`z? zA&7k%7$BK*YYaz4W{Q{iFk~{5Y79drGt5kU*gIF+>c>v>)gbdgCe)dF;>C#I)n5H9 zK`)Msj1?w692r}BEJ~P02FaE>R#$2qLy+A4n5t?jHp<56SN+L>j6ya?uFa|W$zaKO zR*jRPk-MkPak^w5)qe$IdkwN*WJX78E~(qV31YT%+~L*t#fi5^t(B#`N`K0 zxX!x`a?|Ex9ocl8E%m93rsBg7zhvsTsMd-hM~T_*sDA2aREF#g`OtBrR%=;~h`n7` z?@iVvcWvEIj*@k+GHP|@41sH}{y;?b5g(U%PU2`yWz%3U&2UhSVVYqrLr*iDi2;fa$9bZi_Td;#^->JaEjA4gQ%oc0!c-WJ z^Kw1y17eh&B6!}gX>{6!@7$Z3!n6+?K2P!CKqq=>9}e`aFwJlf=h$h6gP5ZDAiym* zxrxv0d6=9n3(PuZbk}JK#-uZpC1HwS3$yivm^}~EvgK;Kjxn0eSEVp>Xv=M3-GR6b zFgef|$mSb^I_4{9^Dn{_fnjXf$*dEc_|3lqPMYBNber3~6oIHVKjzj6Uf$mPfSw{a z4lhl>p&Zm5xPWbbDoha^*~e)Q9F)y(x+xDv{s%inV62<}>ZJ%~`IljeU>GNwb%Lw! zmIK@rfjGA8VW$Yr_)4=*AdD?X2X)NX$(AF$6oJUMH0mh=ac%gq^uW^1y+XFwP?PE8 z`}meIZi-+RBkUBxDwDhv!Etn?J%HoWNURF8=b_2`8yYLHN3@rp<|GJpJ9AbQA+mh+ zSWlae|26{(WvfJ(nhpJfs^37l1>f6If-vU%jGBh<^5<2m8veHl?cZz0p0MAE73{Nv z7W;&WA7|y&Bo2P-l7G%mH1wVCVNf7$&{I8oJ&cInwg4kj*i`dQu+S*vdK0Vki>^h_BiEVB$mZvKTVw?ZA z6T~)0SqWmBuhv>&{FleA(9g?N{p9Zt);HD=+usn^lD}Z@{K8EAhyK-B9H_MbWqVo5)GR+zwk9RU8=k#PZ zLn2gPw=*O|{h207RNC;jN?pf3JvPV6 zkx1;eji%}_6b`;F9qK7In0+>qMW6I&N84zk?lFWOYd|XOK(cGK3_FPI<57kkKz5y# zVH+Aq7u+l@XJKWKp&RMEs*+**kuByjY=i7D?__D|-DziO*>s1QrTOA(c{Iqfj;pP zgwutMU)l*mmT%hGOb}kln|9C>gf=(rRzt|$O?yJBc8Jv3_+F48e%9XjCQuv=+S&Ma zSc9m#@guhe4Zsh)8pPl>?H$%2(EdS!;M%Gate$wTH4-nBTR01SCXF5k`{s(YPalP> z?4)gCo9~IEh6BojXHGbGEI{*G58C7j7EHM!^_?&R@izZK2Mrt1HNQ+3*a*D&^{8qi zuGSNCux}VYZcM|#$qmfobI^(~$4{8Z*To|`K%eo|%{QAL41tDEFd&p%DNN@JrsW(D zfK^!kDU(WajQKKYd$y{NJS};>wf$CylR19mgpm`;q1r}vTdT&`PTTWN)u~#IZ9l1} zo#adD*NCQGPri-(0=17oDA>joWgM!&2kZ+a~7iNb`_O@Zgvz(&c!BM;bib#UYe6sH>tj7 z9nEEpO|4{87h9voHVr#_!l)6GK|LC#sIf!GAmy7oJa9W&BOsp>!8FGW=et+i^A>*x-bSxI6w!8_`95ZrE(`ajW z)2X9IV;pOKWP|KG=IqH0+>Y)i9fvOMTou zXGgBdF=qJpc{TSz41c9v#|%H8!tDMzuci;=bUdBX8UB-DO&^5t+9q3d4Ed$HJdokN zrq|?w=zf_|cjtzq%XZ7vbvFN{SI3;4kMug`@ct6kF~k4Vu49D1Or& mWzrgLx7 zWm=_Qq#HP?y{vR-2lEptLfZhw{4D9$B^%=%iP{~*}ZkSAGNC8~T-~4HJ(<$cee1f{OvbKHe4!jPz?C)01f&Y`Y?4`QS%U{cW zSE=hfK9}WcnEi!y$mW;5YSm=^wsqNGv^qw-Z9Av@4e0->)OC*cA1NK}w+XGTb2@jg z`}1mS+ZA<;>a8c%-5Yp)x$THttv;`bwH<8LG2&}GHia?#wxd!FFy6*geZ*h4mg94G`DsDS|;R@=^pkZJlSQ2=v-|RhS~!?Ja2!9@l$P1dn5Dt6r}n zXJ&AvPO#TU!aC+uw0d5OVB}BODS}~))k*NJmGajT$#<0oeu>ulC!2CiFotKtI?=$P z2YQNNl~US+1KVt;JUFo5>ve+P?zf$y)d@O$;?*&~`)qwTOc5N|n`sY5{-K@n;K05J zQv|}ev-+tz9ttAA^Bd_Z=(g=AsW1RX=29&H-vAiT1**56t`lh6iTyzh@pDMvB$7;dXOGX90##l zE=nBP-A$n691Y@(U0c%_^w0f7V<`8831ZM~wR#L}c?~g?AgRYQ@s*v4#?z#gPegGm zJm*^7s3mPeDm#h9&VN@!40DT-Xbk1|euB{Xsuc<92d7mhCx~zRt9Ex{Po9IV{0AK5 zV0nhL^1Z}!An280qVZII<%dz?$uQwvt;g|vomAs_)5?E2i6equwR6%4ca2~5y_zTJ zRR=|hC+2U}J~fR)I06pUz|i?BzMV+KPd2NLN$T;uY4yp8#tsHMi6c9|ERlG|u}Xfh zV)FC!Xw{iDPt3!r+LFL6{8ydjC#^7)T2}Q(bE_^)+T=;js!O8Slcz+hN9ajCNI!QH zi5*<)Cx{2(ikikSYZ=F{3s)_xHOVk@wR#Mt`pXFnfj`QB?CBtGvw^=ITJjqM(?XW1SO9p->g?#01_eQ)!#G|iQWB2PY_4&&l*Btt9M{M9!p*eT)kgSV=H^s zIzV8n5781&bly^?=ME$Jds9r{)|zIZ&SQVBL)3iiwhrfmyFL_zzeHm}+ zZB<@?`Aa3^%S$z_JGmKFUMFka*~`+5wRIOiOUp*Sk;~G2BX8Z&$Z`EG;i; zeWhh-d0p$jdX|>+{U5MueDc74QOVNs+SI?oEG>ugd61>$tbA-|=my#LKin)WBl*nB z(lVL;>1Sy<%1?7yS|&<$bx5U8_3MSd>sfCZ;8rtB%i%;;mX=57BO^=8Ie81LGJ~?| zcgzecec!Rc_GNgIkyr6EEg^3x-r07)^eU61LozFC`T(EkSJkydXwcVOq|&6NxQO zu@c0XrU1y8_=Ad;DPDqD?}A){2+RZ+L}82wrbbmTlxN^Awx1vd(&WU5FQvo=Tq5Ry|GSy2Et4-G>8a0evO*0Y+ z;G$dtIHMPt31a&fg$Y89W_iMM!-#RPTc)|OB0)uhQF5`E9>jn_Om||y;m*)vfMAQ^5e=(uj)8V4sa#_hC6B)Bc0*LfDO*H5Umy&9P!2XU2?y9PpedL2TmET!J{AZ_u;v2zE42PXq&KuA3mn zIv14eSLW&5N`iRk=LIohwRuh=;q%&y)mr$d)^yIO5fe}6H@|H!!vRgz$)O12O&4U} z5i+7snh3S|jhr+wCCzWLslFpHRn2c!q=^}5UP1Qa5s0GsEnBjTuQ?2=Hk%6_X=4!0 zZ)sJUHQ$Db_IQYz-}0+AedHMicr@HH-v)0EpD+wgZM`uVF>-kP9d>g^1bq$`uI3dk ztcypm(%Tx$KEp4aKMlvKWj+y`K-+B_U=zemVB9TEOv!|p@5jyt6{`w{a*7=<22>VF zx1l43$xrQjIFR}boy*Ut6K5@$v2fP;4gL9(48GkoKNLV6J{;AWAJU~cYAoA}V4K57 zpNRv~yrLoneEk8i&IFh<{7g7qMw3oFKt&s1)^M)Um*phSZ%$e+3#&F=9&%t0AC7oe z%h&(#;ls~v91h`On*v{#%@1qR!`5^6o$?~*tzwm#_GVXmV|4ZiE{HA;L ztc(}jre-h8;`O`fm)kUjC8u@QZP<9^vi-ZZX&*2DO?TSa_OVoKx_+CM_@=PQ^RmuZ zA2!_|W?A~Ku(K?V?53M?SysO6j&LPCb_9Owgi*KdrUCDjei6EX@>~_kv(3xRSdvN@D-8RJN(?x%)dmOWA|nr{BO6Mvb-3o_Ip~th;%&3vE9x*^j`$^s+)X9%Kc) z53ok<%k{RGLMSe?$la1iv|0k}ZjS88xk#Y4rtR?Nq`8vi;;=)C& zT3oMudcr^9h9J5##|NRx`ReEjlXe)_|JU4Xe8o=cG6r5sOO9EiRg2+Yjg2Qj-B~n0 zX{vLR#E?qG{}qFrhwUAojW0Us82CL=lAcFae)YlMo`t%LXx^f$UGEU5XYVj24dlCP z?@3m=N#0K}ZW6z@84;XvFw}2~=4UcZ?jN81_a0;<)j66KM7{ghnj|MbiuCT|r2Rsj zetRi?@&iuq?uwuMfYiHdE?rlCChFbUPjhdCY;VVk!m(DbQ2k7BJzpt)Z(HQ#_{VX`&r3a@8C53cY@nV`R6nAgt%_e5ko(ZWqx@rguSYO@Ud_dn?BjKh z+|!jb_XnL^LE&EZ$kksJY)>A}O^4LlW0vw8)HqA=3rs9ACp!Y~KmVD2(5p~)70v&r zsi}e&k9tC@X5p(kWc*9|}{uqONFW&GiBklK|57Z&oLP;|&|7I&m zGyM2;>xav9kh_WI4>RH5ZAcC)wa&}xw`jHMx8yGB`tmkZ|CVUpYOAA3s;AZzIV(TU zCDrj0?#&ZN%|&&*y?s(qt0&8Pes%x{`6nmZgV}jnh)4NXoBRzY)&|aQcvIm$tIIkr z`C*CZ)cNz;9pxD;epm}8Z*p%882Frxw|W>GaL}ajq{|&Ez-%;QV{^cF1u(zW@mp6H z#u!guY|G8lx8-I#+u;Imo3FO;DE}BUPF%pgIUDBY+j3P#CUNz` z(dK1-n#0u!_&rcjIE&m$L*YDf9aQ8W`*j|FN3?Fdo#y1Hg?0U@$Unw+g$r}tfwm;@Ah`;R zj(>$|Zi!Cr&wP}B^phV&I@WtJCF{!b`phxE%7RChW33E#SqN?ePs6K!>|?SCJHuT@ zPCbRG{zpV=RbX8QZrMJZ?Zo1E@auTo#iRUV-E%yc>RWF0x@acmne@wY(YD;h=61N; zcDQg`ZkDkf&fS)qR@s)Du5X8Pw!;P6ax=8;aQ1dMe_L)QsO-GV+D?44^=Lg>!+{?-42-k2+Pky-XJv>VLEwX_!`LdWE8BU&fXE=GqQ9Yfn{(w81_iQio z`e^XB?L%Ib&Ga$f-j1ISF7<}Zv7KXq%e+2p`lbHxo>%=+CCjmH>QDN4DFUYCqHVd0 z%+a+PhlCVe}cvmGwjmb10(aQ3#`G-ErQyDfMAcG^4N z-j1KYEhoRNOVJ$f6e~r*l$^I6F4~s6z}%LTUw)@(wl_HhkvicecdkM1@>=hbbIF~S z!=wC@Tm@#&{$7=-#&@0$)cu~yIpiKyxq#e~5RdYY?OnjuyU)<8OtrlWLUM=NDNgPr zw)2ki59#74If8)Yvxu8I02|G-c^xx)@*|__C)($5@{MNpd;wXD--QUgrfbsF42(&tRUWaru}=qX#buW@3hf= zbWXG%t;bvTv>)S$_G3`vxRCXJ1no!AjDV;CS_7W@c|`p!SYf(cDbSi+dfEo8 zAl^l%eVPW#i}&VfpAo@w<2`rUXG5C>ZH~!$IN5HW15KXjw$H1;vg56R+As5Aneiq- z?Td1veUTpTEYyAlv@4)p8M2kDpj`#+ntE{L-E%~{2cHK+dai)B0$Kq8kEmBL<87weOVCQtx&vxGsMmw% z^&Zg%3sx9!b=CfBXuk%#QEHo@ZG^VPrRUQItRUVdtNj@bmKX2*)&9E(mK$%X)&2ss z3bfywtoM6pzlZh*o#+o06g%D@to=_uEHmEatNqV8(TP}(pZ$f($+FK#(y$$Vc zX#W=wz3ZUh`7a*PUoBW+ya!tQ-waqmyl+|iN6`KbEpqAkF|-KUKWw5;HCSG}ZCv|5 zBUo;{OIrKCDzNN$Gq(2sK>H80uXWb@8rs)(ymed04n8b1-u|uQ8#&SO4L#oIt>c@H z==dhy_IC?Wuj5d*WI1i1xN%h4Dsn9s3!uf_SsKjsrDVUc4<`$05)T zhIW|EdWS%iL6fwiXtD-Jx5hITYGtT;f&KsyH7 zaUl`bo(`-%9Y#QewWkAXPe(tGXpjpljQ0fWIKdMgCm8W|fE_=E_G2yHj*IXR+BO;{DtG0!2Iufy`={gyfwfVnIe?-$jv7}_FeS6K91f^r44s|=#6 zLKG+79jfEn0G1W+AJyS{qQf=f9i}>NgmxpenDiLptVZvPH3&r+8lb`rNi>${kS^r1#?eMbm09H*8L%h6Yt;E zu^fyCZH3fUKwAOr5sjXY1SnR#n;1`VJ60O;K4Sbp*zvd)?=RZ17TQ{9U9y#~5S9~f zMcUCFz_LU~Z^Sm&!*e}6Uvr55ti$s0aaz{kjat@niS810u1mKQ(?ZU)LYn zSyCGh?JQ{Xr8Xbhd}zOj=y{umVu*FC9HP}aEMKhKBDJTXVZB?2bq3md(BAb$=ML05 zcl1yU(YbGqXg?EHMRXo1wZ72$LhEl)8-UV3Cpt&?M0j`Y91)4mQv;&YLKH`Io*_NY z1ak&F#~9ScKpO+i(uk}8#S)$4Bccf&iXp1I$#zb1Mdu_f-blCeTxjP)JHJBDDbS`s zyU-_UvSCH>cDkJxnXoFNbGk!qh7QY*H`eW(1?^&Jmq=|6v`e7PwdgqyWo|Cs%D2-A zVL76@9dPHu0G1VR$I^K@w9BDgsj=Rb(5{4bbwqTHhhoGV&vahriq7k_c$eMI8*Ern zyfbg-&CqU!c8kw?OQGEY?dLh7TTNJ%c=O-R+jUreyfIJb9nf>Rco*Q#R%k8ImRYRV zhO!LW-3AfP8awZH;th8?@3Ub=@pdJh516njqI0?I{XuBUp}iW z#2d$Sz7oK);%#a=U-LxgYeu{gPUjoY-hdWH?ENihZ$W#fLiB$wiWYAU)A<)0RwSys zvUL94fmMk&Wa*4@qBGLtU1K``0qq~C|Cz^D{%OGqMRkLlPP}3|zX;;(bUOc|!Sdn_ zdpf^{_TM1hC@8;!2Fr`LGs^E2!E!}@7aeWpcd4M*@$N7A-F#SPyz@(b4`_Qp+bg7} z7NA)1M&kK>J(1tn5c&N%zWf0$iWcu5oJ7JuMxYGOiTtSs z(WxPd6K^w=KLgAtXrrYz8ro=R<1~7X3s9_hlcW52PvplNB0sT0?Q9oCi?>YAPlh%b z+IdntAKLlQF7WAjp$#jFH$cx%HDOiaEvE9*by&WrZV#288H)T&N91SA-e(7}EK%LY zo8MFBFOA}zzw?d>t0M9X9QLqKhvkd>;vCWC&=x~mQX#t1MbSk58rkMG0W2%tuRMR9 zC-T=B@eV}!8{l~ZJa39v?`CK>LtAPPEe%necvtiMFLYRbybXK)m(cNERNXo$e+NA8 zfM=`4dUv9!N6) zx=~mDc^eiyUy$01&|ZZ0vPRFB0~9OXAwK^nFt6l99_t9K*FqF0-k>c120Y(@XJ}J< z%SF*d{vDs_|7=*1$iEj6;cPko7enMfkga?W!g56Q4vqQ02e7R8E_V3{S_JJM8teT7 z+CQLuCR_QZC-VO^;(NyA|K*DOzci8mw@=Ui*svmz|8IrpYiM6>;&1q3r=pliJ?UG-&%8^xQW@aYO;D3eW)o ziX{qIRe%omPz+HxOlpTgI}F;lrFI0gBcNebf#-K!6ipQR`b6KeVMU_w{T$IT4y=kO z92XMd%((C)T@;Lfr~z67p8I)3{ViCbC=7Io1{tsdQ5a$qouI+;MD^zKg&#vZ3EC-A zGohUVZJ0^VVG)WesyB@-jI6-2Beb#5tdQue9Eu*_ z_p30`5rv6hCwbJ)v0#OwdbgOudC<-SJ4I?2K$`-s$)%@lzzRg+BAaNM2FnwL879$; z2*nkJSyGz~Z5FgSQkw&94zzhXJ?B+W?D&4xh0AQ0f_4?OYXYKc9TYrY=MlLUtWXqgaEWd-UJt47+EQq@+C;z5 zV0of&yGe9=gyM?A9Xin+6%<<(TBX(strc3E)UZMo+MwM7M?Cr{rYPJO65XFe(M92b zfXH)D@cdv%v;x`+Xb%TOk3f3_&yRUTD=k=|D6Dpg9yeeGqOis$TC2hGM4?@39njjL zbxJJ{trJ?&q-QZgaYdo56O}6{wkY%h;L%4hMIn${04;#_l+>Pr_7t>DAw4(eP;^mv zIw1OugM#O0JfdeUSfQxi7{2g4wCBOTAhj2vy#Vbcm!2;humVx|qfPXR2FnwLS52Z< zBNSH@UYFV%&|ZfYN-cyILVHK2=Q|Y?JH8E6;XNOgDGGlLslA^=(M91y06aqb5ZXsl z+X`(fw2uR7pExLZ{?sG-%z_n)!sjm07Y3|A6uy+&zoC5@it3%|i+ehvxF_z=qfsmF zZNY-JuS>L_0V@#218kxLHCUb~9xSy(pdAeDFsU65?J#IZnDjg%LUH3;!WF+;fn|&0 z_aNcXM=?e5Xr1V2Xh%akMry}EI|iCudx}_lia*jt(Fo{?wWrvC=YAd$)}CU&P!tDB zZ4k6UV6o!h@dRi?pq=CrVeKiN6o{f+dx}_lil=y@ILxFrEJATb5i1VRsnAA3!-@lR zI<(WFov9OH?I~jIsotcqh_$CU){JjkSH#*=JWChFiEzXtw29Crg+%8-I|tgi0TI@o z;(2(U;t^r(DNYGRvB@QxX2S|ZaYlt`ri-G9;w+zNwhb#1#W^{mOHEi6QJm)x&DUZ1 z@lD%{3&32Ki|^f3Tnudyv@0xnE*f;!FtWmnN)=DBdBpW@vXnYn9ra z&|0CjIrO|shvkdnJr>cuDEH(<@qUBo{t(3xMNewW!FbSCNNokQ70@2h==n&1Vu|X_ zk&7!mQCw+=;_3>u$6XXn6xT#VYoV=$)-JUUXdTcxeQJ3dRwRnW98s4Et0IbJhp1bJ z<%?pk)Ye1mg%(KdNoWDIr!0DIM0qMFikl6h%^`{-ioel_eiNWrqWG-To`v=-wCAPv zJhbPby%5p!MGwUg)f-Y5Uv@?DWldCX&|7@Ph82n8s}*{_2JJOyulq!AKzkipm?L`2 zgjEs6cO0Vs(_#6d_?|`d7nJvMqWHelK7jTCjp8j zil0VApLr;TD1ITeFQ9z^?Mtcs8`{62eO007e_a$UzR6Qp9~)K_->D-vDD z=7^3nVO8QgXLT7mEI+=>cvnAYjnD=-^c)Cn0JOmt(GZlux%iHrT_=XHocJDxT_*>y ztoS}~T|=P_g*HNCy%EqxKsz-eI?Y2dMAxVa(HSm^Cb~xZL}P4Nk?0yHH4B;rZM@Vb zKpPM3>>NEOnXoFNYqCRht`5r=UFTawQ&7&&#dr7WYJzqlw5d|N2-;L=(+zq~4^f=> zcD!8|2e7R8Hl|&dc%thPBfha?*Ia0Gq0Nt2&w=JZTTmfd=%Q$%Yq3vsxeY54T}yIA zSDLUYqU&m@T?6fEXg`tKbJ!O4?tTU z5q!R%&rf+o8!cF&=-TWO zZ82a4qU$#v(Ql#s2HLYO(eI!=3+;KEsG`C0MAwTZ(Tfp^8{bsC>*We8JHGL9*DKIo zf%ckC&)1;62JH<1Jo+eRe4E3rw{oKEEnRfI6Hxm<2L;dXg+zaW_7`aHOYH+_AAtSP zqxN?TRw%l*xZP43u%PWCwOyd?0&Uljp1b8xbWz$pAlk!0!Sh}ok!HaPMQIA0LI9jA-Z@gX%MK(R!rF(T^cp%|hxph7gzMbSj{ z2F#@)HmoSVC2i>>6ILa@ZD;8eXeUD(nq$3T(1t=A;Si0~VfmtTnniRv%4s=KI>R73 zBSdjTX^hmyf*AwNl9~n0f;K^;=Y#;o5~Z^vqDdZ#Axe`gMCZCFT71{S(iCV@pj{}n zCTLC2ruy`}$c7cgHyba_Fkw~Vn>3eZ>9G9xwzZ`>&@O>C*I~VR(B?vOETYR$oSY~v zG>8_4D2^yyt`S`xpje`GrPQv3b|tiHq;?IoYoPrkqUUuUiXlqZSBP$KQ8ZDy$tSwm zh82m@EmB(wZ7H;$OYK%@KZkZ(j-I!huqvXIbBONHVfmueViC2XwB$r-nbg{#ErWKq z)b4?HH?;c3rS>qihoL+uzXSKv50z6dUB$)!614{ zgXP3`kS}dEUfrsq_xmccu0ow0EKX)fM30 zH(&+v4LM66YOp*}`pBgAQH0`((#JN@C(u5I_Nml9h4v}5&vj~_S5WNuuFRz`eOP9E z=i1U&IZ^sb7iIY2CZEDbF-3WYkZ8vo3bbzoL_0euc>bnGw5tUx6y6XgR-O`H%`MTa*u%+TqX+hoCn zjs(D?k7CBRQ!gKt6Xm1y_y*JEA2_1?1Na;h((_no$3pv2Ky*B`1Lw z-1sK2<@2DO3vG(jra+qltx2b6Qw7Bq<%| zfI?^?G`aSavG$bT0sF2;4Qo#sYft&FE)mwAGS;3lRve%Yq5TcoM^f7g?IUO(+tjf3 zlt1=F^`7x%tUcvV-T1!R<$pu}+!p1p9D4p&hvkcIVF7jbK@mC8y`w?2V~FC2?wvKF zodXn0bbm7<+SNlbMECAe+a22O(DsztUeNY}ws(b|`?x5Y=-$sK+TVs1#W!5;KFEYs z5#5JK?NDfkKs!7~&u>FJ9GdPBeMg7oi|!*WqP{3c=0x{V2GLO=iWA?zz59n?egN%Q zsT~XLSZK#<^gKR5u|#)6MAYb^7^1s>g=m0_qKWQ7QX33yFtih-b|SPBq5asW=gBs# zNOYSyqM;_Nis&Bh5RK4b`SDGmyHA65Dzs5jI|JG%XrnE9jzJln6W!wsqH!ULBf7_H zMB@V#OLU(twX>m}4Q;a2CPSMH?YxMd=X)rI=)RyrbfJr)iEi5`nrg#}ME5kQO@}re z+Dxfk3~eT~**SV%V#2D3?n@n_xjHOgbkDblKy}a0iS7kbTL^6dw8c`p9NJ=NOALB0 z2~ix;eYHk(b%0`t?rS5WpLi&S=)PWR*F(D=+Kp1X3EEB2ep;dDEiQ^Cx_{;q{oIBX ziSA$Ih;B1sRYdnMrIv&COK8nfYk}4b?M{cD%XC=2=)TJ$x*O%LoanySAi6h1aYXm8 zr1k)qUqM?gwdK&3LwiW0=R*OCCAuGph#vJ&4AH%^LbS?7(M0#-QhNg06VTR5&4=bg z>+tEh&W06cem7fpmjrAFSQNO)};dq04MdViDJhtNKR_ECkcY;{pk?_;0n6B||}xZPtWd_=-D$O!rIfbmm#V*i0{GL)3c8zda&X^+aKEg&cqE2*T1;rLUCj;QoM=?c@84?Z6 zq3EJ|v-_SA&_+NzRcfa}I}O^XfSzYKD0m+25sk56g`#JiOJo_a0?{*GY7?N1hjzBq zCP6zJ+GLxa=W4J#(R02@bbf^5ik=H~q6;f1w&CmP_yBLmm^ifRF zGdm=@B!{Alo=XFwxef}R=S$6j=0IB@wS~|YLR;+7^KuJTD0-H-L{}QH0?~7|O>~V0 z%M(36k=k|8egf@!soenWdT2M9^t>rTaYfH9I?*i^6kGKC8~~3#iYa<-liF?2ZiAMS zS`JzcT60LxmK=&MdhQH}mN_VRzRM%J+kzE}o_nQsAGG_x{z_^OK>HQ65 zL4me203M<34DFjC(XKfZUG#n{AlltQ!SkLT(Owp;Q1tFCwSA!N19m^D?GJ4~Xa~CV zJjj3*h~7hNqC+)Up6ET?Bsx4oapU_r^`3-!Cx)W;WS810sCRM@-}{OGrrbNs7QLfG zYGZ)L0F9H#f|rH56AWq-LKH{zPSS`bL7N0^VMMeD+9GJpQfmRzoD;owN^O}ZdY2iZ zdcUgPdtA|bk0yHW^I7kH8&)KGAIK4TCaj9+eb6CVp~Lb;Z(tEU2`zy3hOGCdCwkvB zMDN?O-aF9VhK6++p6`Vyj_8#ubT3xuUaZi)a)s{w&=b8MqTW`Go?D@9wZ!_}BcSzr zgeZhXL_?qrhIXPu zbdnCs7wgZph|Ys{F0?D8w#0^odRNPO|Bt;lfs>j@-^YtXL_};6QSpi>F5WHPsMxyd zvg&G!3JM~T`%q{EQ2{mLxnA4C9LS;Jl$il+uI{-fDl;IWwk+OPJQl^Xz3|5W`@WTQ zr+O;>neVjB{${H_pGj9ec~W`jecvQcSE`e#ux<*hyCaCqJ8bxiuxdCep*78dzc8zY zqY_&8nD7^5)o@fo>wW|N0<3xwty^rf>cz0#TH3A_)~}7S>UFeky~(QA!*!M*~J_>))DC2J@tAR6AO+K_{265d?8~!4!W;QYB05TiaEsx;3 zr!=U=Sj`Grw-S&Qux>SNx7vmpY?lZiGhxABnAMcix^h@o4(qCDT@|dWf^{`1WLhTt z1zF8ANo+l9z+ZsX)W)z?r$a5pYSz=b=V0A)u)wQQ?*x(gjtzeiR$~XSWn1tUW;GwA zu=Sw{e?eBWh1P9>bz5NFC$w%WtlJ9fK1(9=a|8YYtmexYw!YG#mVzJT1)+sMkF(5( z^R_U2l*rnbG`99-3)bP&7uIfq9~6~gFKb^3vbCEn=drK&bcnUDrJOfOt$ho&dkeOE z4~Vdg!C%OEk<;1_VcmzYZi|KMK90a2e12+T>$5P_Z08+MYrhIY&2nBjwf0+B_YJJu zW+3wiSho$<{j6gPa(*r3Jb!W3+U+T*8O{r+YFj3uraLdEs%?{k8mw!l!FILnU|l;{ z*8v)^jKN>Xd52VO$0)1qs5$SDs_h(MwVi>pzm3c;u&xWNJJ7_|L1C!b&dX|RwE)yi z=Vi9FU6WALo!8dZc7yG@Y0j%}Yr8|MJG73XUXBh!&34{)TzgyqYNqpo+1g+dYP$0R z+S(IgyAxr%lWpAlDbP9vTBlJjr-z|tJ1?EBJu?6`(|NgVZLcKMbm!HwwdcTg=fHM< zrC!d1)_KsnfO`2`7;3ijhS}N+15h)ax69UEoP?V0yh6A3QrPZN*zPjwr4O|FK8>pAD&>9P^2=y{P3^m(%HE`|30Mtz9mAbW)l2Fr~ z*U{EawOH+~n)9~W+B=|i2W)#M=6vlm1O8y!yQA2;Cj>Rv_P#K-?uWnoqRtb(Y9Fwm z2HQOxz;zEp>tSg9i+YIyE2=rqOsky%_;lNO!dY!W0BRoPuYpspYU4;j#K-4jXp)17zd;zI`NmRQa!cJc87bt`P=ZMpbhfw~IV;#ul* zZ4@3WpgC{nt$QH`wUF~p+`88SP&1uZ=GNIks9DbIaqG5*p=LYp*RA^|!s@HZr7b3fST#N0e9UcL8w{I+iutO3q#FzUYEO0k3lWuybpQZ zs3g>M=Y7iSZcIVVaNdo)ZfXE(rt|LQb@v3JW;w4#UiV0pt$RdsUW&Y~Fb1`d^KRmG zW)f<;^SbRYK_SN9beenbPn?l^IXkY{rLr3+E+V zhfNrI(#PcI`N^>zkYjN#ihgiAtD2?vG4{4F41?tkO$RmX8A z954>^S0-WZ*R#tjr*S46Ob+wsl!Uo&qMVKAOgPXS=1;bS+4JH8Qm_YKa3 zgWh3&7nLygI(7XGGdUAZ2oCdGL&6Mfz2KbNITKD64imgk|LEBB(05DT^#kcD4p5@x$qJ$E@C8E`_2;RiB7eAfTpcRO0S*kTQ9P@E@b0G793I_B`yRQI~kAzy!`hBJBJ$lNz=qldZ2b*+DE z%bE9t@DrK)q+{-3w(qck{f#q0k~z#yw)=m3n7g;XVBQqYe86&eeQbm=dZ{9p)Yp3A6PFLsu4ZCP+?)*`QXPY<(e$HV)y;>?4>F7uuA-aX7iKkccdI8zI_ z%(pE`pIV;_xAEf=zZ^ory}uQcFb{cVbWLa8XSb-!eAARL501AS;) zEAs~P>s#&7VVC*3Az>c)Y4;bLoIft$GGB{GmfG?>@m-(tLVRpG=!}@nP z6TZYk!Thcgt_08iHGco3|0$YexYEW{|-u+`%eAnOXt`; zGvG2eX%c4Vhp*jrH8S7}bJAtL9FQ>g`PcOSoWPmqr0s-F+$&5b>pGp+#hA{S=cSo1 zrXkdw#fW)M1?2C(V4$lrTHYkDdM?XI>e0`&=KDF!y-=*N6D`0~|1b zg3(-ONSN(+ZU5W^-e>=$%dCq?n7e;{_`R=k=D@U_wYr4aZhCmW#+iDWxi&0e?zXk{ z9<`h~B#fVE=d&RRv+dKz4f~WcVerCXJ`MRJmYXI&kLpl*?S2k}!8U z=>8(-?A?<3MmtMv3A1%=u$w`B;vg;*WELkS%vSfezwcYlY?tn{$dWKyE?oSeb8L2q zxqUt5pNnfk1)sv@6v8xU zcpfuJyE!cVOj>wT=Y4O_!vipJV@2{5wc`ugN zEhu)Gww!;3NOx&M4WAh1l=N;q4+W&%9GTh8Vadq_*W(wtjx4XcA?_w^IgbJMn(orG z8|w1dkjL^?`)$Kg}@o z#OhO_%g!wCWS=gxE!TjK536trb$JGzbn;+T=3&ieg~tVIy8vrnmUmiK-|oYj#b-M5 zmm}aqIh=xCp@TfjhEFlEJdZU;-j*0(D@$!>Q#>Z=^~`XJ%eL#b3m%#UYxiS$p`hr- zKe7uS$>Ef=U0LqtcJXrpQZsf{Sk9*0uHN1djO~8z&9HWVmUlr!G{;SQd>)Qdu*)J1 z8u)xXr_jH8k+;j0UACP9E4#3~zh`+q-DRhcyLr0Hn2mm=LS0_s?Q+BDz80)HfaP86 z*X7|RZ3~M`mmqDNLS0_w?Q)v7{%lxzAj`YdugjC&tvy|uVwYD+a<6ZAb@%Vzg>4UF zd400_c4O>uAey^126T@H5To3SW&Q=i!pO_mfEeZ8itbU@So&A{drxhPy4(Onb}-Ak zIwX&AH5ouXV3$0`0r6iQ=pO5(g=!dYpYqCylZj5oVfm705#!_jFtkk_-b~vK< zG}u66d4qhq#Q6mEx=e~)4)Jz**40hTsmj3@Tc4dyfj9ojLd&fluyC#}4yUuI7;w7LR&hl;y`Ph|fe;pkW?3yf% zW7VANrvj}T%e%!dj=A>NNnydRJ2jcVB5jTVjm(ZE1;ERjF zuD`IndzeogbM3F50l{AnOXFD9`w94d%DS_>2X|I}of;JEf-{8VJaPQmF@u42B+Gl$ zCyrSc*v4NALZZKBN#mIR-OtB?T}QDzxEm#WA#l$VSr>)IpTEu${biame?8N6zs_LS z(Jb$ApE%~+U*{)5@>xn=+p5-Nc;+XUNx-2T#Wofd! zfB(iR{Qenac~w4f%(=fV5&cys&9BKl3aY@a6Ik9_CeM4Bmc_?rI;G)yqF>nEmh{&~ zX&gU2=BNFE)`R73@X41k$FWc`p{N8XP%S-yiG3WjoDEjLS zX&j3`=@19IPGWhl`Nc8U{^~FK>pfGJ_p@SMz685YW_j=U#ZjUs8kJu|0zw@BBh9Zf zhHmT)v{P8#hlWqy=h|O-P_XNBX&l@3{B9Z0PGxypcUFFl68-g!G>+G|IrVq2>ok^^ z@{41x{WUBl`0Gb$9Q6(@egoR+EN`1n9A)SGM&;Moq+r+Ynk?^o9MiQ2(0a1GUwz^z zJKs0z{53}KSN<;2{OZ#2yV+pZ87#jQljnWT{WUS_^;iDx(m2kX+I?4`oyqcd^U1HA z`)hnmu&bjqj@NXZvH@skvHU%K;+S)PO&0yNpEQovw$yyEs~5}f>=VbF`|D=WUk97A zyuYE>{83=n*)0D+pE%~+Uq)DnjY^W?fV}%8#*|bHrybfK!@_X;B z{CXrM*mapSj?cAu>_wpcjpbkB7e|R+ZPf8tDEh0PG>%(l9SJ9T)|=&D=@-Xb=U1NS zuYsm4?`Ln=Zynh6ca}fECyui7eWUy}H!8$&h%~=0>b>S&pk2uFuQTL%FFW5i>Uf+L z6YLr-jpH7JKdb}VMJ#`WPk!axUkgNkMWk_j=c7^gf?XH0{INc9%(=hJh~TeD(l`!^ zKK?e){=xDm`ouBk{wfpwb(<#3`~KZG;(6i{mOt4ijyd;NJSO<-E@^(9b6(p#pk2!H z?_~14&$+*9!h&7@l*Vy&ugTW~EzI)o+gbTl84>J?O5-^1n7s8syNu;O>=Q@X^-=cy zafkI+t>~`;X&hS@kN64f>cjFOoYL`onf{V}f81gH^^EARIi@V{wVre5fnArg{8>J6 z%(=gw4+wFbFU_y#ZmF9Ev@2M?Y3w9_tq%%z6-nbb{^uWh0qshbzj$Zm*UO^6o|4A# zrjyPcwRdyB2FWXuE`nTw>r)}BsII-ZV>%gw7S$>Tn_m}K^-za~*9TohwUK+;_R^N%| zul_8*){@&LyFNB5zut%mcD*Q#9CPlkPr_&u7P9MoU1nF!y(Zqbx|Zd? z$97VF{U<_3xpsYQ%j~-3%n7LP2eJJB?5zCyQuNoC(l{Q_{j1Snm(KD(^NC~5^Xs!9 z?2rn@@jGc8+dgv0X5d`M^1tzkqfAf8zCX(5(%~!ooYEpa&+5B?i;-PFo3c3e8{8dr z;b4~kqfZ=V`b+lxF(#S+g%8rHXV=$h4DBjl()_BLsJ#Vihp_zZhCJ_c?ysMcuB~KO zJDT2}r+Me&_6>hE`1lCWhO&azKKYe%e{D+%b_J5MIPTYFXd%#sv4Refo#e0IMStxp zokKeM#-by@uHmepliwUtiT-L-e*G%?>!7gAU)Jy!9|XHbu!1hBo#QWj<*rjGzYde; z*DKpk!uxz9S;3(}AG>nwFMKhu*RG?aalGyGQV^MiRhnO)9Q^DRz`B7Igkti%&$++ggypsC@A5cKJ7plS z#v%~pyTvV`YN>UcbK^IJY8EBJO z!L5FA%(cIc7X39%nqO}YJ^4Ja>t(G#-o+dJ&9ezsuOn_*er zADS{c1MMDG@S0y7C3>P!`K23zUA8p8%=N!s3AB4z!Mj19ywA122AYChA4}u7rhlKA zK)a6>e6+LjYq%lU^@TK!S1ex82Wa=Rf=~V8m}`Fx(FMD{mBz8+n(Ezw_D@#uwND&n z=le$G*YzR6E*PGcd{1jPvkv3<04w;xCyui7eWQ-YQM%WzLO84>b{&1@g^PgpAS?JS zCeQnv`|C#6EV@1xwvooM?3)440`DPK*vcora_+Bjnrj$d9}C+{q8K zPaJdZuUlNRxWD$6#_`#~eemnaBdjps6UUtU>n6=LjQq8KSe9QW9yJ>M^)FV~*(Z)U z_t$NfVAmni{JQd(-|;?QlocKnl;?fU{WaMZ>^edk$H_yV!+D~QvckiB@=K;CWZxfm zSbyDR33eSLjpOKP?|%cd>8$WbpE%0&m+brF4(kcS6zu9DjpKXU9=sfAGgx8JCyqJy z*FO!xuG5mTyx-;17M}nuj}@Nc7e~qUu~GiI*A(nJTbf_Hk6euRhw@qBnK60Z=iFca z3JG?dFOB2&UKXD33s@oi482j~t2>-u59xwk7fIvz(}jIL0$L#}{JUQqC3>|{$76m- zu&a+Wjzc@I#_{`^tngC5IOaOPrt5-TF#aad6M-+s;`g-2SmBjEag?3!8|5#YKgA1O zhpv_8*Obti#{g*-E4(Hs&o9~ezEQ{HOwBQiU$=)z<5+gZ$tMAAHY*(LlV3Ua7tWXE zh5R)}8ppyftNsb3IjnG`PaJdZuer8k7Wdb9X&m>n2jKUPxvX%UPaJdZuf>*N*Ud>; z-tYPI#qEIhI4iu#CyqJy*8*Fx>vm~=)&4pR=XE^63a7;6d7pECEj0wY?oWE2>UYt* zp~0}$WQF(XqB-t^ys{pDSEK=bg@$AOR48RvMp*~`h^)d@fmW<=wFZC5R_wl-6e~B#R@mTgDDF)XsmFf0hNs=D|{&om6sw; zWmAw9Zngn=1^U@+AKyBT|HnL5_;5)4KPIvnV^Xk6eB9p*`jVK9MI}7DK6XP;f*BGl zSsxp#)yGCfB$x%5^P&Q%;Unl~j1C|+6_->9)1m6Td;mehVu(Ew#Wohi#$d&Hp+Fi7 zBW5PCjfHq7RGgOtxY*bTVygn!#)7=D7F3)E|GU_&$XK;S!!{P=-Ks-n)p`?_R0xYf zbybPR5zd>8ja3huUTjhnv2nJ5VQW<%-HY8EMC>G6zzoFp)degGt(8C7UhEbPvA1za z1?{^f0@an(CP%n^w^-21%9qJu31-&E#@m32$I*z1T*Sm zLz4Bep_&AXNHD8D23P)i|JyKKg2n1%!vV_n%SefRqqO?GiP#^$=g}z`kDIVwz1Vgj zipNc1#LmA zyFPYpP=Xl}ELk5Lq)D)d1heX6dO(8d^|2um`-T|xc>^T<4UqIVAXb0dYeb0rd`(yc z(f8Mw5-e388yKpOT@{sJHed-s`pvjHh}caUEUDmruQs5XI5)i~!jgz}LN*n|`fE^) zzanB0#1`X{3h^wc#&3>sgy+@3C}O=u%toxU1xqThEt|P#xT*D8*DE^w3YN@gg`b9+ zkzUK_Vmy~{y>?`Td!AowN9)kS_x(AAco;E!>z@bXdhPsxfQ6BFNl?H{#OSO=F!smw zTIQ@pn1#GiNg}}lF{RhojL9ZABkTInw0iBhs5eGRudx}!0`+60^xFTbUOPG>93Nq5 zDZS>pgg#Lp46+1>^pMhPY{peK_@ykP0?}8b*UFAfpLYo}fLFRb2}>%3VXHJ5a3Jz2 z>9x{FMJ$56jIzdUX_8QBQ1fm}dabmDE?_pa5}Qm9#%5m8IrM|ut46z#>3f$xjSjvDFQnHh_6xadNQVWXRlX%GU~EkA)v^b50$>3coB3Fi>$Si~|2P;dS;%Hi3^gOY zHYM!(8PBmazE*cPMvn?%I#lZ(j&j5qbBiHXfJ-XK<9h9hq=1E?RaX=gFcYzrxP}UD zOM0!YA|hZGwCdJIy_nK#|BZUBXTTdHrPq{R!(&?MHCL~l8WfI?FtpV8n(Gq!#OuD& zYk#2Es`g9g5c-P7*DB|Wm;tV=q!|ZkpI)6r?7SEOu)u9euT^$51S|rrihqlkh1fz| zQo(HpMxk0U$w8cZq&8xI3%MZh*vxmET(7yP3bkI*Z8r($JfpZ@}t8!KElvadd+nSeWDgndhHMNT4G+> zU+62+Yl$00%z$Phb1o)c60yB7Dfe1N$43}iO0T&tp--jP_*j+i{m?S{H9^`}q}R%hin&fCZDv5L^p|w5rS)3r z3qdcB^jhg7A{N1IGry=HU1uS7YzUTA5F@>o*lsuw={nMDiI*%7#vU8lgu)LG2!?KLrdv3*Cq6c zT0o7j{lWNJ#Xh?0Fw$W`XqA5$5ikR>)#+R#UJ|i;QeGbEwer3q7D3*=B4zUkb;k5mW0Wc{vpSgby#p6Airp6781MwyBl zU*qFQgCed+_@~lq|3BkvRr`cpkEQim=lG_d^qNZI_5VK7UPk zeT4J;wX=c(FA1$ghjjkowtW8DOCpA?xG7>5V&h}5q(U}Fp&HNJ-zUsQY%k*A0x&k~ z)F#(!Pic?+0+tlBS@6?}W;4FFPR(Ca^VcA)8>QCavqkEQA07d5{2 zKlr>{8ohwvJLAuIv}7G0dittJG`gN&$E)cjUK_7VA88(*nWj*Mc&Yl>;E)81N-(=V zrk;|BfKets>e-1 zWqhCdQR(Ac={2R-Ae+?h4ICfv`=P^9 z=o2hTuPMETdC*|JR@E_r+hIwMuT{nhy;j-Yav-y1Y=d@V8CO(F6hpT9fM&!M-|VU+p#|yUyF;+c%$*Pcn8h1Et?IG z3~1u_y-&Yjqz)!6XS0uJPI^tvUsLx(A*~yw*D}vkRC-P6HOMBV*Bl@4@wLpHZ8g58 z^co%?qq3*xq<*Gm6lcrnszi61Qiv!RuE&Wo|x(I(ex8yCKNI2iU6n?0r(=`}TfO^vT< zkk*aTYm=kU0~Mv$lwQL`P~&Ud2VAe+9OFj^$JF~n)%Y6fp@z+06Q94q=dZn!&MVSp z24q?JauG`+c1Oz1Khj|uVwVL4EP_}^5wid*6QA)$daZ0l2-Z-c|HFoA*))RySOCUm zzZz)j@wFw-{89{-#MtaubJA;5!mgk396LGyE%p9T&~SZpuU~G)OkQ=8Eno&>`|1Lg zgx1QRY%g|;hS=Maa9Pa zS=e~Q>N=s7RFKz0gKDGnnt@hQ!T!|qJk;|%Fb~xIQ1^c5Z4u%4z@tU|KGbyyed2Xr zJumkU#@DL$OrK-XSESb};ic+MA;t@B@sIVmsF5P<7<_>*$zZweKfvSu|dQv zU{uT&Fw$!kH)ybi3ie0$Lo0ge4n%rw&H+uX*YfUteqS(Y1)IGMjGUS_uLb*m`FXi& z{+b$J(;%%IrPuCFpEs0V5N3z&h}sSyE7LM#3wvZ!JH`LxOP+F@5c^EWVQHJel0ob=k1bUg4JJ30XWqME-38cxk$%brUY!A!}TUl%a} zTTz&VB^9ODlwQL`P9vZ{As0j*pTBm7;lbG4_>fw~{+-Ve>p3!>u#k0W(I)H!4D57Ka!0Fgd`X;4Bzo~{cJ@rDE? zm{A`aAn9*_F5$)MW7mif?RTfWk1CNLa(9nDpx+xLh8qHgt;9)T0ZT$F{=I;aUW?a7 zy}bSrE$Ov*Tg`*9xf7dQuRU=0L#yzgVskGIH6y*I zrDKWb5*lAycO*uS3Sl}_*Y!wpglnYHF~rVDc`>fn&JPG!79x{3LIQ@2(y$?57Gj4A z80oddH@Joh{U0_|6KgpDOTgINvL@GS3wrE|iX_42=7pM%UQ_ee)cBePY2B##Yh_XB zfeKxZOsHm!9}EuzmZdR=BQG0E)yGDJBpB{7YugcaeQab=lGdaYtN(AWJ$ z07m(el)y_OHaY0UNUxQjAz~5awHGl9u(Ee>4HdFE3e~a_gCoxWVIwxx@?dQ4_9oYB zzc0D#4KS&c&3&Ue>9r|g*Uxw^QS;Z>j7c_lMBNXqr-%IKuhj@Sq{i3O_!^!|)cBe^ zz9!D;P~&TAe2uhE$i4FNPsDunTGei-l&Fw43qrMWhKL!64NVdW7R19=X z*In;meEIyfAtGh~BXL67v&6%A#J>?R(rfW&W3Yw_^79ywr?y*YO zBNJL#*CTa56vo_i@JrS`++nf$n7SX@-0p|2Pha1ZUgOvOK@rG%PeCo9o|pRv<7;IX z+OE6MSA723f$8%uVFoZtzX%JwBx2Q3FGhN;H1mT=+?MoO=|6OVXF;pqTQ+f^a05#IU5Y{pUG2i>46>Th+i99}kZ8NGdDufwOEnl2I z7ZZkz@|(j%f(2rv*UC>5c%;|LcN2LQY)~dXx za=kX8`^>Yzl1ldY7e+JEYg5uO!gGn5zozD|VID;A;L92d@}IxN0WmLorU{qe0&NbpC5$hpf zq}M9pOzjlpkzT8K#)Y`9v!GcqBjkd}BfVBJ)DSQmS`{aIG4@2iCf93kzq-pfFszC_ z(ZgsydQHt=Q{!tIq;;dl*WQjo4^)(1Q+f>(0rNoVHCL~_5#vXP^L-`^Ev467m(V9_ z0d+t05A<5%Um@3F=qu7|iGi3&R0uPmnm8_fE+#AqSo|viBfS>4a19mYkzR{u-cv)E z1*_vj0-WWH31Uz2lfnXKBi2&)VC;!GO|IA2oT8~ ztDfhfp68+Tnpdy=hYlw^M(Fzt9xZBo%{^t%Ctml}_}U+guT_Z8-ypqK{&LE7B55-N zTICCZ0+vK z@wM*)&;u13KQN)1bv;tg^H9(8APtAtg{r<5EU6G?LA7e4O#mzqn}``zbx=ycbZBLb z`QC(#ENoJ!J~loI*y{N@EUDl=`r)?Od5>6KrzB@_TTU>cm9>v?0STr{uoz$~z7E2Y z3hg%x)vV(~{oX*0uc2=8)oY1|be=(6OVRjRqJKod3}_{e#*Cwa{au}eYW#Bn4mNmx>$>yZi7tm~1|Yf7(SZcM;4{r{X^+m@n( z0~SiJaV?3+5sBfXaRR1+{{Br3cZGrwg=F^;Z}89!(NQu_g0W+XgZi*P5 znajtAy*xgDt%rz3kk=|I@GNMRZBBYI(rab&O#!o^RW<>aRKPwq?~FiG|K8xJPTN|6 zC2QHdeVUVAo05)OMz7tC(W63`4%NDc)3GEhhFAeEsUXJn+7n3u3qz}}C@5eiVk>bC z72KBeT3tm%z$|Fht&MuITLaKidaa(88gGMNYR(CIeRWl+J~ke)touDZG~_kn_XaC> z5n`nDn$l}{Oi#ePQhJTCl`Ui72`cCprPq{RYp`CcV(A=8>$S=UM9hE`s~nKxndaO# zO(J%b3-RZwY0#|rCFFwGU;hYVl_F*#_OO5rj3Rce0ZS_Mf7np1INl-v7J#vNMw9Ec z`Jb+S3@oW-^9H~_I8}!_l@@FwXchEQ*8QXFLlO-C-)x>;AG;wa!3+tOtdEV=>SLoK z63hZj&0kaFYZ~N1qx9PDnAKG1dSpUX-4CsIoU8Xy{g2)cUD+1TzEqT6Q};tL5lH8R zC?(Ph#Qf*4{nK!X$m8?Z;GwimAq*Lb?nwc|R(z|#qw%$Pxxgd67Jn$<-IjiD5FZ%! zVx-sN#|ixnw1KhaSKY&7^J<%1uT6_za3Gje$L5*MNw2Bruc`Z?kk*aTYkQ@SX{Fcr z9Hn7+=F9qCru5qXs$T05fo!0n^qSIZm=O0QueU>>OZq0ajaR(1;VqeK1P0R4d4Vi4*B zPa(|m08m9tPoG)%I>Pk&ydh~1XLv)5`n&;>{s!n0UaUTLjR=u_*Mvn7?YqX5V5$08 zgMM#NzFl*BzELkuqUNtDy@tA}L3*w7x1^gxq|Gp+D(@391F@?E z0uLFLf6=@c>9vX$MY(`uI68 z>^V07#$fZ&YijJuF zb$Wr<+0w zA`;B1kE!uB=Y3R(drj9n7$ZJ^?TVOy8PG~}6ETcOe2ajQUW+GE-fd}oE&k7-fLXXL ztsxlqOL{GSbi~W!^VfbdJQ$n*c9ZM1Pp1847cgl9o1cg@BfX~Puc`TKkk*aTYneH& zO0OxshUaa}19d;ty&synhAO?L?uX*>LE0yTwM;?G_xA>6XX`FAt=Gx|VF5FsRr-EZ zz>=h^&Un~`2q^Vih)ng(gzD7}{Xy^zvtO0PjSDZS?SfRC?bo;k1dn$l}{e2~rw zVJ%Y-^VMtRzod!C^3%DR*2DHj&g#`@tTKSmzdae8z5sLt?>^Bj!5PLBRODbeD zGRo%I9C7{+Y?aYdDF_B0TQI!I^;*rjr~d?&Y-9`0X-;}=N|>As3p|&M4nU<&J%3F- zFBkG4>-UYm?>AU^ssU^&O0OxshKYcApvKqS@wF2o{OI6*QS;Z-{58@(AvY`dCt|*O zt@7uT%SImQwaU9i%m7AZ-=M%tB6fJli_!R6#rGl>0Y*h!#4N<_Ghj)DY>q;;;wp+jv!SwPn+Z!QfUyNBtEqo)@ZrSso&rl=WDA~e zPI^tvUsLnfAgvpv*ZvxU9;hh2rt}&ng3@bVy%y5x;Bfq+^qSIZq;o=UR`5^6e8<Q1jQ+_?iZ3-6*~G_Ym|zMd>xA z*Dw*3Ui0d;3p6@79KR^Nrt}(VpOBjs{1Y)>y;lBR%4L%_3qq^B4wqC2GoV^t7~zOV zua%FCc`?#!$S@D?SH595-cjg?E2Wqpae7OV?&blv7wp-i%2l5J~k{M!E^~0tB(x_DBCY1CH9Td>hmUI zf7SOJu%v>=^i5c+UThNp3&O&Pov8_!iCF)T7lTI^!8<^|vINY4R`njXfT4X=3p6jL z=C8T)*De^-H93K4pOc+{O-)Hog%iy1QZM;r|Xe{G8+}2ZwXL9@ZJK^E#&8&_I`teVY<7=_|pB*#3kvhA$UUmZdC;)ZDI@GYfgGi&0kaV*C4GM zbw8BeTtbJd(rfB|C?9x``Yyq>NRl0}f#YnFu-qi)nhF0P!(}S@^7ivvCzBYB^ zxS3$mzuBV0n~`2q^Vih)ng(gzD7~f|&;u2v*OXqvL{NIotJemabZ|I+QF=}3wFc|8 z@^1oe4yE;4`LkgGGoV$T7Zos6YURTOjL%;?Lc}7#EBgW2RB#{kExb&8#vAFivO>+F zdFHQ;^kQt$znWaH{bU`2^Vc@BMKH-ry+0IOQCARiB`d9lgZSoN^!#U^3ztH#*^ zhAlOJt==3e|L3m_H@yB+_e0hFP^H(rdTod<93Nq5sr#X>OXw5z!5~Y3NDHXwVpuT>oGLWr?No10v(9Uh!|3s~|BTl7>j(raq|n$l|;q;;dl*RBsi4^;3vt@N7G zYf7(q_1Y-iIXu+-HE;x8_XnXq@D#!<4**rflwNc6TH>~}chE0<{@O*T#;6cxKs9kt zfFs<0^gNIFy8_1NuYrhh3U1p!0*$y47BCC3%c5S4^jiE7Q^0I!tx4gM3iQVo@89Hl zZP;e}WU%B_w&=U&q}SB^H8s8lY27Hjb|W39xKMhH`#}9ZRQ=unj|Kj`+;N(FfY9-Q zS4B0x=DLJFQ8TFVwLf^CN7*Tc>oC%0L1>lj8WS*Nl)j!4uq0xS2|PZ3ZG`3JkzOl3 z-GxXi)BVuWb|DwU{gPfwyk!WO4XwmdFUA&M+vIv}`|HO)2Zp`I7N6dX^qQK#rpDJa zNb5%FH5_;5Md>xA*Dw<>4<=|(sd_pDODcp#pjy?};)uh;R@Fq?i%kq5c2G*dbZBKg z|K%oRWMPv+^|A3$z*f)KVM&GZ6t~UJd&KHGB{_@RcY+D6tbL3NNHAT3#Qd+Avk<#o zz(}uETx!D_D)fKYP^~yrgC!NxYo9c^UfXy2-IstpZ?MJivy5i*djmCpO^vT_O_)>w_KZ3m5a7hKXrTd|A@fmNV*Ww3S4vqgGHni4! z;l(_ST8Zhil_GH`Uq}SB^H8pL;cIR9j`OlWtxSW)Wk@u)3U^Zfd zbq~g#Of}ZTm-Q5uZ(-C_(Dqu0h)brQas(sNr zRM3AnC!xCXCz~VuoPCRi*xR_Ig1lSM!z-&zjyOCET3PoXCx<1NSsxp316FfR5SCPM zA6JE-nuU!=ENeb>4-I)=>9C}N+oE~dw)o!<%@5Iru)uBmnNU&l*XsFC&0m8tH%zSm zx!)U1*WtgWLdORl(^QD~LkGMly~Z`Gn!l#*hvKoo$Jb_R!toJ?meOmk zOXw4|z#vP2NDHXvuer}(%lrl(eZ}XmT@Y|RMwkJu#J(by1T6l#fRSE{7lpms_KzTM za#X-9#KdR3kzR}MZ+dz3`_MI?+8&H8Ij70>+C6K}z8*|^mn}Ik+I;SZu2b{Z)ciF_ z>qhCddG4XA#@E0Tc%4>yP3g6aUYl#X2MA*7eyF-1iu$TS_e0BqmYYLqy;jyDCSV4% zN;jnhEQ#0*0VBOuI@qQ0F}DcvP7Jvq?t|`!mbMl!(rbxV3@?xLT4J%~!PpX*ve}^( z%hMPuToq`=3Ri3Jmu$uEt4XoKL==!UA*jTlQl+!PYD8*)OqHh%cF)%VK-PwwN}a_D zH!wgpXsmFf0hNs=D|{&om6sw;WmAw9Zngn=1^U@+cd0)25isdJw&c2Iq}SB^H8s8l z2UX+r+F}cOphDLp6RKI`2kLnq>UkcR8~>a4Ll@X|aKJ+8HGWTS5b6U@L4Bac*Z$ys zX!+Kl>#nq3D=$xbkuU=ox};y6|j{pc_}Kh>mN%8KM8B!XG=Dw zeC)c~q)uRw8@oE9C#jHK@G}vKzxsZ#VKQ(&U`zfT@v&==&U?v~T^(X>e`MF&0hwK! zdW9DN?L)TYjhK&JgF|jVx$#%Kq}w0a^+8x>*QY(UVYokHOWyN~Rnz7yZww6?e3Rf_ZYdg>od_`ouqNxH+l9%*zM=6sH0yT9}MNzu8$*b ze-y_9q;b6Xleq;z`+^ni=NHFEG`FAJ#PP$Z+aK9=Xjqo_x7#BY1MN#zbg*9>ama|5 z+}QPA%^?YvukkpgWF;4x2)*gq>o+u>ux`}v1^9g9k=VE zn9Qzq{g1x^c;B(2zXyEmI?!-C%8gwghTQ(hUzbVa*yHZUVQ=hvR&=Rf9J{9b$&Fp_ znXp4DWY<;FIR2uqyc{^&SkaY!aqOn?UOZa9G5J+}yy^Bwc3m5h#W4{1p)b&WU`5yX z#qkW??I$Pxsy@c;j_21>Yuja^gI{gJ=MNaJ|n6<59h zyZwn3jr7Z}^IWqs=k`X$@fO|fkn9>SjpI9?u9^$9pIOm3zc^lCyZz+GU+Yb`KeFp) zX&eL7zpnt=FRbV$zc^lOyZz+GF4T6skX^T>WO@H>$AMFT^eZcx;uptDEvK6t#c_Mg z?T_rbTbf@p!sEXI+Hb7r&ZJM?Uun4gUmUO1-F|Z7ubSgTJy9r)z#+bg*dio z$%+>F<=0fp?I#__M%i_b>GntdS|-h}sey%`fL*OvQHfuE-I4AmH+J1`3wEuR#__QJ z%k#mm)~slSUmPFO+ZL%(l~Yw6h97j?aGSQ`sLT->3(u! z*OR7T*9+1(_J2Sh26nY&MbG=ivDkL|$&FpBEVn=M*T1E4WY?cI3GCX96}{{i$7Sh$ za${G*HH+)jH&U{^@6lI6|>sx6YPug}bjPbVUz=~3SaeTw=CP(Mhk94;~^4CuhS>CUD z;MHDW*Pg8C2fsMJWxM_4#$O+sZhvIg@6!C5VV>U&>TG|%%5 z(5~V((m0Mwjz1Rc+KUyp^2smHxKM8FdRz1QtGK;1j;DA0>;tf?BP(v_6UVB|xKM8F z`pFjT>L`t4=T6ta?<896&58qlar`jk_LCF4JkPkGIPRB{<^8NlSK;}-6D#iQ7svO^ z+}d@L?e<4@9U{%IDM$RGgI)Wu;scZNy!VU?<;GuqV28YrUEQQ{T-yK9)4;CItoSgW z{PK(o<;JdYwqV!M(l{>K-sV4G*S@UyNS`=XPYt>K&l_{dY0JuKii@DSmNW4+LIvV^^j>@>efuekDfU@DSM5 zg%zI}_R0HN*R0I-u`%cSRa-Q-L$XUge&2p|w~xTC16c97e)+XMJuZ|Jf30q13U*y2 zjpOkh?x_O14rIlCtI%VrB56^<3hQy>txp~`rc7|ZBS-c&+|U8#g2kP|E{zor4*1wLDdhH(wyQDY0G{{tl3k;vaop?v2hRn&4rRr@ zT9|EkzF@OWcl^+@?Zq) zI*b+H>W7pQSo}>I)m7WLVoo{km^;5foUB|HEWqxtol>Yy6 zW7q3p!Cz&jEWaka{=ltZ*RiZP5%P&+X1ppVcGVmh73_lHNXcC2%E0o2z^>z1ah2g? zS7y8_H+JnF6zr;t$m}|5bBA-luH#wpvwm^>*mV2JiCwGzX1o1Ser=Qqaup614`e~A8i zBPfgGke}~75$x*0ieL4KqbE;uV^`R69Y%3{Pnur`&-$nU>^hMZzY~zhu_lwJxv}eV zQ?Tnl(l~z9_QEk>*Ga7SL!bQeyEs(e#CPkb(o<6aNFzAxByGArKd6UWt=e8`Pm z>yv`NzLCc9z@F!i0=rIO#VMaSuFm8`ZtRMScKw<@uX^W%Ry~t90qi=J75|)Nmpdo4 z>VSaz|DjzCniE9L_;!|AL9#_ff9sc9Q7x805!?uV7`rrd@O>D=}DVP0T=u(d@`R?=Scv0<+6 zcGPeiR@?5j6pK#Md?-5QVEmqN1}o|4mk(yh?Wf^3l#4cW3CLoxe*Qswf(>V~lKuSh zVXO6jx1lP%E%`x{=0j2MyH|q^XR(rlQa-Wx-2A`W@T}o(OEw%K&4=fY*?b__(2JEE z?voGIr|51!4Ua`e_mU0ANb_O(w!;R24QI2GqkQtA`t;EM-G+^6`^knL(tHTqa?E6~ zA;e08KKW2R&HBIF@KVU#mTZ9A6psG%9-DhTFcHs_=dhBKee$9D9@Fio;jwtd-ISjn zdP(zP+0x(R`)C|&_$w#7!oX4A6uv)_9!fPL0jhAZi|0HicX&&t&c580=D`Z!(BtE zJi*)%_f164qWdP=$;ZFC0^HY|m5j?e(p>j>e%(y2iop(RJEjdvyD!UCivqyQ!u}NZ zd0dcopXV3eY4@$Z+Vr?;I(OeN&4aO$yI2F=*Ru6^_@PmYzq69tBl7I4?SKxWl5t^<8TSoBi>PGWH#o!*I8i}Ha`%mOA$~!b9%!KZ+NMg*0QX(UN**?R z+}9@M?jz&Amj6ZW%eWxpzLA2f=-^#FMsxp$+9owf{gnjUbpab-|7jL$5l@D-C=kzRuYReF#FbDc=}p! z-^Hw?BDW+g918|c10 z?5#Mb@e)?DAxmGTv+v`GyIVAmgv;6wqXMQwtI4u2H2J}sC37kJvN0Wu$i@Qov4xfdi`2(_~^c@NhajJ>~9!4SdcBR(S2F+`cHA+>WmB0*+&lH3vkOHeCzmk znu+dPx_d))-;eiy_A0oq4_n&CNB3pBDhB(>#&p24pv!(m^=)P=M`MNJlUX%ModHv_OFXMuSyYF?&@g9BaSbAiz zf$r;jef1~czAM<$!%g|QZ%5siEw9miS@QbNabLy-4R_yLrr^HQ8mjwt+tTKGaNm_| z>4~vMx-Uy!llw$@{pYwZH^wAHjFQ76aJQM|^Z& z_O}UiU)Hw?8t=>cNeYcNXJLPe`&MUMkj_5GCHMhu@z1{1-$q<2xo>_$b>FwGue<=< zcMV%Q*GKndf14opiQgug)_oZlG~9jL!h-u&gm&cI=lRxgO9yzkC~Gm0EnVuP`)aek zO_2Mth6D=hiBFZuZuzD%NQ&8t%T|#q4{xp}Oyf-YeUK z`*gPSO&{I2HtX92xljBy(X{T%xS+xAThmg^zAtu2_j%5J`Jz3bF z*k~TvL13m*_ZWz5nuOZx%AJ; zzKjbp*%z=pZsFfL*6eM1u?2Y8t~o^aU~JjxJEZ$O?whx5)N|mz;cVGSKDsaKnJ3(R zABNoBqRCC`zKjbp?%Ut%7RP-D8m`TdY5X?%n$toaj4kV}H}Kr|T(E9DxNiho_E#U> zSM{Fh?t)x}XO*nSk2J0OGA_utuWQJ)8C^wFgw}M^yco~EbG#T^)-TdP_r3Y%*WZEr zMzUpnZ27q_>xn3oeOXT6aRXcSctn2g%RVUaux&Q7d4lM^l?~N>(^ghr3+@}s zmMt^n?pre{Une;Q zG48&3ArHouy=OG=+&5}Nhkt$hdE|;o6sR z-{WW{m5lphrUP-={AIL(?i+OG#yGg|Mz-uz=5y{_Wx2b^xG&*CJR@~zHktb}F37mA z*me!fxNn)|#d!9mA@0848mjwR&UwHF_f25SehT=w?^(^=ZN`0dx`1J;$=qkTrcfy7 z+t6H79&*8q`>HfA#@+Xl?!nmd_6^m2r{Diue{kPKw!E#6?pw3TaCeb$-z%1Y>CkF2 z_hnp=$-d`I*S?JVHX2@xyYGE3#+D!0P~A7@*SGEf_ua&n@9U%c)_kP9yUn=oV^hG8 z(PZw+xFF*`_#*0*jQifQy%=}jyOsxI%a673y$pF8W6O`zM|2&2EVyP8TYf~ArrQUu zJO*qS?`*-63hsJA5UTOLZH~aEfY}km_HrSPX^3^eB^BhomxOA3cL(9y!i5a5wwenP zkM^-k$cw#eLo41=7qBR_*8FY=SO~FSbuaco7_pxW0mH_cZKfA{KZe-1mVoJqrECEU zAohjj#cXV?`PBAe@1&sRdHxFiZ||6htxtM+uWE?J1?&|Qu|)w`Qo(JDG^p0zVG#fe z2s>MTs^$sjywo|rfnRTC%a6Cj^K3ety<_g?*d-6=`NFPwIL}RadA0_vct|jZhx4qU zm&e1|Q{*8deyYIZ;XEnq-S*um@=k~dSO~G>a19l-nZg-ATHt+vYvbK?BEbTA6wY{8 zL%?)s#SbwBEP&X7mKURN#`ib9*q;;5spdQd|;R( zJWM>C{UZW~jd(wS$HRGrzyX~J7&hhDeP&fx@o^Z~4B)JyCIfX61+~?Yv=p>j;;Y{q6?h->x z;Y@Uhc>fiCbp9gncsPF)`s3mJUgRMo{!PT&9}nkOQ31nN{PUQAg%H~+@OU`42s|Fn z50l<)c{uHqfa%!Zy8!{iRvd;DoI*aZ5PMzV{W;+r8+G?f;T#(9gmdKb&@u?;RJQyY zpKE7AlsG(`A;D}OPEq1eI1@d??ym7)rf?=sjR=?lt%N9X=;%yjNgVtCtL@z5rJDZ# z|2pR+gp3e!+sOSkZi&c7gikR-NZ3fC4~CMvMv9a&iPD8eCHHe?rkUBdIcEmVIqr=< z!pE(h$X)Ysr;q&BdcS9%vsa(a@B8WT{hsy5c&+FAy=Luszs{PyPR^{6dFmF2-fYbS zlOjS*&O!H1bH8$sk)7t=N*>v1?y2OFo#yV9;MkRh=$X5Q6efFSk8qID>NIyy@;b)O zk#T=svh!L!VCVTGzPU`;c_-?xOljR*)i`a1{tr;SVCcj=$UsG6(&tHZ}WnT?YzZD^g24m&f#)) zYGmhFEwDPT9D4m2Vdq%X-`w84b6KJ~nl6#;Tv`k=ezSeUQ_EYg~deA z6!-6HBa6w-7quW`JDYTck)5uZCo@b-K2np<$986oDx%lHt1}%7GPbiJp)f=A%;#eY zBRii-1R2}8s2F4&W9JnSe-5&9V({MC^OdFh2|Ht`kF|5}v}z20xGW9Zxh@uDZ0Fj9 z!Zgt{e=7zV+xc@sVKLD&e<&&}EZG__$k@*Bd_o{_l+Q9JD10TtYhpn;{F_D=QLqo>p$|{`O^4KqJKB) z?{DYcX@xa^c&nY(!FrI9oz?+{!Zgvd_KgJ@*=hAmC`@Kpd&oy>at_)GS-T~wh^_NP z&)T`DF!{o)9X*BVl6Ch{o1IoS&xdjjveS~jaFdMew6@X|W{95EMOT;{W^HN&8QE!d z(u1sH?7UdDb9V6FIp>quWx~#JsDCKF;d`fbsTRCMTAkKVA5pp3&I^@1Pxh?Ax_G1} z%f)t{V^k51rF*9pi76~Bde%TA$Y^z1XT%gHUzpWDp)g&te#Ic8H(TpOPhqlS^(_V& z+u6rcm?3)BF+QSermc|GOY@<8ZEWWedXRODokPn09JD$YXo1z)^|R%}g`M}Heoj00 zPHS4oA1*JO?VKtLPK{nlR7h*GlILlXO`sh4AoJMHaheZ_#YE2VWNhcH zy29e3XN@rwrb{*|7G!K^G@&rrv92u!8QXbHLScsJSyvSmCSR#F%nLHM^Ku{2>+Kjj zFKDeZ+4+3%-g&3<+)7dF@u*+e-o3NjI$V~Y?R+!rU$ zI$w+i$MTzPQ#{DnPB*DAnPFLKo-SEd$zwa`D|w&FC$i=#d2Hw0vJA;4U)xGU2y2#_ z7m{p-lE-#VuZRdWIksc$JTKzUL3U=vb++C+lb?O|iRe#2{R{2gJJ%_f&33L;E}QNA zJ>*YA7Hgts{Spo`w)00NkL|3ed9r7H7YUAKJHL)9EH3lDkdM^lytEavK2!48&Xr0Y z+xbZ{IF{}Fu&gjc^sM(Q3JXcLT*+fQmnnH2W9Oi_e=THZV@^SKP6cg6+L_3vcfdUdRBsr?6mg`DJ&-Qc2o1hlI^18k)8HVGD3~4 ziwkLYk5mz@CbHAsHX3APryWxA$WD7JC6Da1yTpTIR~n*cZ<^v*(&r5c;XaPI-`QVyEg`M}Iz7X5+R>&Tj@Q1hAd0{NbXm#3yl{`-q8TL6v@kmXM zWjiBY74iKvCVKXnK4KP@>DjlP}CZMe`x$>5`o!AE`;kcAj8V5shU# zkJE#U?L0=wV>^4rl)RAW*+(RTjO`2;gREoh98mV>AUnmqul^Hwj&cy*3Z@;-@+ z411KCr%QH&c;MD%=XILGWXB$<2N~NrLQ|L_diIsN!a|Z=ZUhyd3mNFn##|1ntG72of$)6n&{anJ;>P3dP89`(X*eE zkJQNau#ooC2`1w5#E$~o`D9UHWaoS}PZt^XyrOud)@J8iuZjYzbGDC&v7IwDAIh=3 zIv@5C=aHS$lsvX`s_rMsu^nS)|A;>a*|{=!?;P!Iy`8AnB-DS<-o5i%dGXZbC9<7g zg@cUkT-`d2%=0vnVXuk?^Vm*L$y*VVc^|8JVadb=RhxW1w)4HD!eoa1PFZ2PWN#~Z z{AT->lJ}_`WWQdK|B+43!FIkHVp3&>=-JI-g~<&2WhIa8Z1mIU`8vkVesO;ivh!;% zusTob^6^x0-IGzjs-1hM)1&MUmoJ&@bato&8QJMy_JS>k_o)jWXuZ=)OD3OPfR%Vs+VE0@i7o~z_} znwZo%JM2#_UozWyW<+5znb$|nlRc+*D454~9;M{5org!1JY9}GG#X@Nr*lwTVY1`w zujG-P&OS;W+3D<+434FL6>_w4kdd9vu9YDBSoZ$U|B|n7>%3&=pTfS@d*>^aGv5^b zDX9OsoqMMYN}ilp6hHMul|7DS2$?SS63`yfaiCN^eN?X6xJ*R+#KLH%Eeu z?HsM-v7I-ldAb~XT{JkB?Hn0bnCv(sl0n9HUa91p^2dnnOsILf9Q&Aj25K^o?VKG^nCv(+qd~@YJ{(t=A@ioG zc_GQBDtT<@&ge8Md2FYn@=8CJTHhF#YuR_i`Ey&o;wLT(C*v|E~I7yM>{1EaJ$vQ)_HS&t65tA9tw~;EM z=VLq79e>Bzc|_cwm+b5r4%j*R-dX1eJEx&xmv-K4-Tt~iT)q@qoo+uZ$k@&kl{`;o zxP1-rNKKAqJNv|{h{`~#)9sy5m@J-qR4mBY&chQ5lP}CYw5Tv$vV*)JBRky#eAH&A zyN{AbZ?i8QJOXYy?@y*cmSSbC8_}YXLj+Bkma~?0g6f zdlxsnvvtS#qp1vR=P1pG^5wCeQ6KS7tITk(m5E`>;}b!~cHUi7 zm?3)ZT?vJeowpZ*j92F^UXXQ+od-tzImpfvgng~|&O-<6E^MfI7!60a^NWi6kC;DP zmWJ&tCxVRae6y%9P4wK?yddK@+m@ojVxs3R@f1dOzT_h+KigT*d?>Hw6S6a}D@^uW zTMIH?ojDnyCdaa!i;XIxIoQr*Okpy^eIcQ+kYvxsf{g8aDiLHIW9NSIRZ}B7`v-qf z*&Qbi5q3U;2ECnoXI|ankezvTi$iwi)h&*vi5c^odo-_jkR>EL^J42&ZNy@tlUKJm zw6o2NXtl{awsW1PFtT&4t}xkie=~xN?fh9rsLAJJJAW{$C}8KBn8IX+`)xvDWan4K zAY(gMD|sDb=iaKFXKR7gdE@9)J`%N_friuD`9&q)FB!aSwzKUYnq+C%&b~^Xr-@Pd zKIPz8wzGFdVKJE}ZUEILpO5W4T*)Il^9OmsJX)Q3b&Eqg+x)&-Fpuoa_tX_8JNZ2{ z`5)QjytF#=>K13EA%b~zi$hzX{EjgZp++?*3Yp(NK|nl6)-iVOS@!3k)j1@1?|g33 zMca$HXQE+HJNM4~sHi_&ULxBWjRzUqd99M?X(A(kjgrT9UX=`vrF&<7SXp6VIrg$j zkg=VYD0yt>MQWZd$6go;j%7OshZQC}`Ew#c#&$-OJhpS7nirB|&xi)c((26jj|W-D z*s00csga#m2>V*^olkzS)7GN@C>q3OaD#sZpPwK0hqu`|FA`*I=iI2mG||h?Qu5f& z8A=}8IXxa6%d0b<3^KNJN?BoYRDP10r%QI9lE-$AuLQ?_Dm(dcp&(;B$A%SV$h3;icsSlj@M{wG@y+W-syCtIjVHcdQmlRuL4J-^5Q6=mTgyaHIV1!Vym z2g*lkRKDB7|3&%4mpZq8yYqfMyNbHi%tpga#)iwc{&zv zWJt1ID?!#Fz+<$LP=7mpF;n~R3&#dd!BR_XqlKr$}hSkJ#c!O)P4Ze;n^j3582DBt5~NO|p) z?+)Fcg33bWyTwqL>@^M16(-BqbetAs3yY$+-fd@L^gr1~-8!1uO3+%qre214R%)%~ zYdS`+BKba7E#DhKhK5pcBg;2zyKnyx<(r3wVx^t(y^`>!Z7W~NM|_(wM7L>?=R?Y) z@=c5dS;v&Gtpsi58&~w_Z7bh|M3B)>U!gW0U}zBko9KVuxCHOK#dYt`5#>vup{%!4 zzI91|inj8tmBpb(%n-8aD>YAMG`%08B=I2gI;4Do610_XS?j!QL&RKjk(lE@J)TTn)*@|J0~9DrrcvEy6+8G39G3L2LO6 zn?(J2TgzA2ED~f~zP>?*h94>$S-zp2f9@>GHy;h(x3ljn^ifLiWKvwj`p0z{8(^XT zWF1q!wi2|JuXnI4?QfgKCHhU28ArbD5A>zNHEbagF6&f@@mCC1W?7r`f=kMzw%J(Fa zJGZm%E2RAyxKvcWjORltl_7eig&~E>Ug?QQkabM?+DfqTS}Lg_Qc6>b;*lCLD&M4H z6?NdYxvc~nU%n6h%j2KEksG`3%U7276Xkmr$*bDi_kHa7b5L25qF3;W3Nu8nG|@*~ zW-8wV-G_2)$NcoQm0;t`w<_UZAN};*{$K6;0=JICBEw!4<$DgvG41U83ag9$6m8}E zDxok#^h)Dm3X|nqZ!g#}Mn$;Fa?at9Hk=nCJ(XEi$TPM{O zsr@ukTO)NK351Y3gxNMo9mcF1Qb%|sw?(Q~k<@lb9g`rnJyORp>yFe3%yvNPq!>ka zMCufS)J{m9rjzP{)ZduxjMSOTc0uZFjiS3EbuJ0)hSXq>Z4n}HRk>DXnP4Gw^iqu4AVWcJ}h#iL1)EKG5k(y?ZIs&POnH`DLOlC(R zHCv}>FQgvRNF9w-f&_XawSd_%Nab{b$0B7j>w{FD5ROBt$s>6@QZF&&brMo#W+x+6{ZpBKNWE)N^c1B2sgpVtsgE>L{gGP1>@=i4Wp+AJ zpHmQLAoYbu@^46eT_iOCsqdH#M5@BTIO`&1?`-e=$1; z=~^AKos0A)8maS;-i!nWBfSN)^O5e#>;j}i9?1)l-nK|;2-4jXq%J~wCuT#D-i6u4 zNbeq_=p{(+X^^@U>FSy>klv5kWk?^WQ}lAAt83;8q^oOY7}7_06ulDZW5c9|BYk{` z)Ky5IP$4w}>66N&u15NlB&lnVK8@K(r2oe3??|5+r|7jvpB*K29n$AUNL`Qg`OKn7 z4`Fr#(iev*dLzDh5o_aXgQl+;9|6U^>MdI7UZNH2;|bTZP%pSqwvzg7n;_I2s#NsJo z%6k-xpG}gQg~hKhn~lYvGn<1<9kaQ}Y+I(h$B^mC>~Um{j1!xOOuq`L1Ty`jq@FbGdM(Q5i%DtdkUGM%$`Q(k_ttiLFTeDsb`THmL&BY zGFLHs9+_*Hy@1TMaf;R>6OEE;KxR~gR1z6+qn9m(%&pAQ$lMVowiubQAyOG+?yiu^ zA~S(m4w?IynaE5kQ`ACcN|Ka~Om(a7ATynri_DBTMf1qaijrzXW=@4v6EcsNNfnTJ zf>{xnWpQFJBC|Y7>Lp~}kC1v9nGeIHmLT&9vl22ZnKdKxS%{)7$gHlAdIg!U%A{UJ zrlOI04VfQF;B{nv_DH^g%x^_fZz8icLFz4J)-hX(Yz?zAvYld(?QLW?HApQ(wu?^c zAINUS>>Xr75n{`c-8M|>U1Yn5NWF*bjulexBfAT;e#~=WRGXI0$H8&JY-Lf(};=reMD`+PUm<&Og`!_0Ym`ZSgX|SaQr{vwJWA?2WUr2p`X1T8S4gcv_WClZ z3bHpQN&O4io0$E8?5!bUKO%cagw#*Sjt!Ig8QJQef&7B(1ZKY?J26Vp-;kZ0B=tM8 z)ei#wf$TJnu0{6YI7R=B?94K$KargiBDD_L$10@$LN-BBv4hMmAXZa@>{DSGt*J%! z*(j+xWM7Do>V#~PqnjYRm|16Jb8(7pimY8GwHdPcB&p4jEpW68vM({)0@+f9qFW;S zYM9hk$i5LG)fL&dIJz~m%b10bT^^z6HpspoC)Ewv52K{EMV41Z&34GH{(+0Nn%5W*DQMSML%h}5pguC0*TO?)AJo8j+xg; z9gEz#QlO>}a=b&XISx7AA=ey_9Pf~8`Xa|W{f;*PMdfXpKgkirmdQss70E4!PzuaWc9@s5u?Eu^y>2kmDV4&EJsY z9dgY8{f;*9=B(5wr7=d)lMu1;{;DBy}Nj^$Ai#kV`SU2)PWip~#srie4-ZAU8-| zB9155NnMIuk(nWmHfMGja?Kh=FGo)Njw_n@I`Q1=9;so-EtNuk$#d>)kJNDF-YJs0 z3c2?Zq(+Fdt7D|DM($%~*C6LH8;RU11EPsJMCG{lC1hYjj7chGY=AsBipN9ENnA9`k zbl4E7XJIxldk$ur+4C?n6^gz9(=3y!hv_6qHNb3SmV{YkmV)_moT6!%%~4W|#Ywsm zQW=i5q-=5ADKiJ=$IM)qUYVkKn5&Yc8ey)ElWKzb z6|(}&ZpLnJt02mRSkrx)4R1VWC2*1y)^|)GM$$ zGkX=*=FDD$wPli`ufy6pPU;O<-J+!4gta}hx5TNU%$ABXMTPjeX^7M^ zSUs8jL!5QW>>XJ9S17t1)LXYuGW%E@gvsm^aZF~IqAOsX5hCTm8c-p%5>|xSr?3VwTLtU9GDSawbwQHU=ddn{ zlUfby5@uh(x{TSE;ta(oMZXd!EJjFu4eOdPsc*#TjLg1;6=n9FIN32o(eGj1R3Wtn z)-7dH6L*zDMM?b(Yf6#SFRzg2G)y^t+p1{ z%O0sZSj|OJonXD{k=g{-8_YVxTACoXDXe8NQk#iW?hI0!i!<<;b%FIEvn^nKqEmEB zSSvMBTfzEF3ekLvmz!p0V(Q0=T$F>=yb`poW>7;tV?xK;}8TM9EpmrD7TYIE-g}tp5sND_r z_8zI-VeeQZrNQ1gL23_ih+T}-p0M{|wwE{nk6BM~Je~p3YWIeHfKF;3*avH*_Jtje zklIh2Ll!2rKkQy1QU}29T_JTK>^@~u2f^;k>|od@GCKrzza&Kuh21|+DlE=ji;_AF z_CRKb!;UaJLY(Lpq3DsY2Zu==1^dDfsa~*$GCLafrObN6zN|vgV_**}lR6gmRY_8P zU|+-RIM~-RJ05m4PSL*NFt8}86JU>tkkVn_%IrkgcQ88%_Si5*PZmd%g-G>-J-$Nf z6xb7)oeFyrv;MHBlqq@|?0AyY>9D8ANu2?E2D87xp2chc?72~j4ix8CMM#|qdw!VI zS+JjE77-_7F*{qFn-!wyAlNTdNSy;aStfNZ?8VH^gPmhG7`C0H==reoaZ(q+E<{OP z2)k4yH3ar62~rn{LzQBrhQfZ!Aa$`gkVz+Xi8!u_*`=`GV`hk>o-~SHCJus<0=1XJ zUg43tLL4F`1!{-E{@f#VCG0PYq=v)(CPC^d*x$!Ujez|xW><@oewbYY`&WaaBVqrc zllnXCKQ&UkUbY(UQ&Nj?O!`UuD(VO7x z5F<4PP7j0B&2V;Qb_*Ph*{yK)(kXfyoP9J>w~J$Mq(JQ*a1PQ)-6@XDkpi`M!8yz$ zH5Sg1MN%<1M<+<#4d+;9GGoMg~zk6;9M6^&p&4MN)A%W0*~Yb1Sol;M|d* z=yW(^W27Dyr`i~#9)UA~*$g-nnazYVS*Pfu;`k7a)GTqhh!m)u4d-Ev)Esdzi4>@v z3ul%`>M=NTi=-ZhGcQ4E9-R5i5^$bm_5_@#V-T%&KAh(aQVYaEEIO%$a8k^kgp*;m z2#%>y^eH%w6sUa~PTnK+j5sYr3e-Le=OvHSb8xC_=6N{PHS>Zvr6WesdN^+-NHvHP zKA0upRM$)jPIb+s;Z)bmVsWyFP9rjKJdIQqPIb-X;8fR)38%VdEI8kIlxM^FzDUY} zQ(ZGIoa&m%!>O*BMmT>aDX$4G;-m_2>!PHJ;y{ZCsTaj@7hzH_!QGPC%i^dEW=r69 z3sJNLce@IyX1F_)NwvW3!R!^dyE1zfu9l?eYjF39lX@NQK2cI{z}=tOo8lY=W^aj8 z79tc~3it3Zsj@hsAw=qJxV@PzgWHGMKj0o;q3Ans^)jjDa8FK>dKd1g%-(~0I*J(8hkGNl)o^cO_66Kqq7?lS?(Gp$Ux`nghe>@6_ikq2h)=6C z`xfqfA&Pzn_x=j0@8LdBCbb6cgUl*$A7b_|xQ`?$`UBiYX4VDn8qV7S?!PKDVoSI`l}T*{_g7|J;r_vFYq-2->O#o#nyK4HdXb&SLF9$wj1)iX6klFp4W`H-{pDD)a`-%Q3)EcC-S{xr1laY2sTLdM82<1 zYH#FE)JW}vd_SGkzR34ywx9T1rbMXQANc_usRNKdt4QiVJ7v9gO@135p(q z{6#TRha!K8K`Jahhsx|QSHtkRK%l>W)VK zCXZBa$;dzIk?M#1Tq#g@3i6M8q)tWti6W`~$S+KgI!%0StU>B@@ujk? z&JYLHX~h19JimL_4M3jXz3T=d&+p!KXCnVok@C(${?`Pl2=af#NS%%Rp9ZNxXyhGF z-8pEiV|FeYJL?oZ4~?5^qz0pLODRxyJ{oz)Q+ELxyD_^EjoWz?9fHOkili<=V~+%> zq2i-=F;W+!v5!aU5;XQLlDZU)CmEy+@d-j!m!UBdA$Ga=tXGuO6=)pHY?%0b8nY|W z_)wgp!_hb+OzJ8$&JK|pfyTKNQdgsKUYXQ2Xq=xUH4=?QW`9THiZHQj(fBE|>(ID5 zO6+6b@zVWEYB~zX z7D+vf!tu-=K|yCW1BG~;qBBu=gw>-cJQ^i73xzq%W}{G_BsK?y6tlS~WH|3J6wC-k zA4kDqHV=hHW(gFEVTwM1!pk92^HC^ONG(9&Rb~rOc%9jkD7;ms=pq!}PLg^Gg?Hkl zo<`w4X3wDT0kdaO_&7?@=foefMMyo5!m2Q-7f@KutR980m^GmAZHS^t6uz&JN{PSD zijqoW$x@BfVk}uE1?nJ^lBPmp>Qr9BN&uc5TJM(TBx_G9%1N(Xqv-bCr(1gW=B z3LB)BqI85ts*F-ER&S%!+atCNr9KH#|3Im)LFyfpPSi*(N2wpHcTqakBlaFjrzc3g zkJ12x)IU)=OC$9GN`qK^h|;+pv5!zXKSAnal!h3jK0)bXjnoR13|1aWmwUukqI6|~ z)TbzoFi5RJiGRzg`wXS)G-98l#J^?Ltww27g4h=*jWI}liPEhasjtKri$_R(E&kRo zMCu!q#+ONbi_(2@Qs1F8iSxb}f04>+4N7s1q7{@L@<{y)rAHE^eh_~*ZIJpAr8%sA zLg{gh*v}{>JW{`)v>-w1SCkeRq<%x`8CJie^t?vw4>VWrE^E=eO@i3J(Y&2O>Q6M^ z=8;;5=J8UX?k_Y?(WL4GG|$jU)u4HvL8=zbPZvqmp*iJ|>V#%j3Ut~8%}X>=ozeWJ zPHIy$zndVn8JbrVNo|hiFFjIS(ENiG=(Giz*J`A;L`$a_sjbkmRf1Gkv~(|$+8Qmp zd!#~W*G6SrB961wrJ^>AhjJ@1{O(ekCwq6sqSbobW%H@WrEn, B=>invout_2, Z=>wren_i); + + INV_13: INV + port map (A=>full_i, Z=>invout_2); + + AND2_t4: AND2 + port map (A=>RdEn, B=>invout_1, Z=>rden_i); + + INV_12: INV + port map (A=>empty_i, Z=>invout_1); + + AND2_t3: AND2 + port map (A=>wren_i, B=>rden_i_inv, Z=>cnt_con); + + XOR2_t2: XOR2 + port map (A=>wren_i, B=>rden_i, Z=>fcnt_en); + + INV_11: INV + port map (A=>rden_i, Z=>rden_i_inv); + + INV_10: INV + port map (A=>wren_i, Z=>wren_i_inv); + + LUT4_129: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_le_1, AD1=>wren_i, + AD0=>empty_i, DO0=>empty_d); + + LUT4_128: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_ge_d1, AD1=>rden_i, + AD0=>full_i, DO0=>full_d); + + INV_9: INV + port map (A=>wptr_11, Z=>wptr_11_inv); + + INV_8: INV + port map (A=>wptr_12, Z=>wptr_12_inv); + + INV_7: INV + port map (A=>wptr_13, Z=>wptr_13_inv); + + INV_6: INV + port map (A=>wptr_14, Z=>wptr_14_inv); + + LUT4_127: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec0_p00); + + INV_5: INV + port map (A=>rptr_11, Z=>rptr_11_inv); + + INV_4: INV + port map (A=>rptr_12, Z=>rptr_12_inv); + + INV_3: INV + port map (A=>rptr_13, Z=>rptr_13_inv); + + INV_2: INV + port map (A=>rptr_14, Z=>rptr_14_inv); + + LUT4_126: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec1_r10); + + LUT4_125: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec2_p00); + + LUT4_124: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec3_r10); + + LUT4_123: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec4_p00); + + LUT4_122: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec5_r10); + + LUT4_121: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec6_p00); + + LUT4_120: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec7_r10); + + LUT4_119: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec8_p01); + + LUT4_118: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec9_r11); + + LUT4_117: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec10_p01); + + LUT4_116: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec11_r11); + + LUT4_115: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec12_p01); + + LUT4_114: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec13_r11); + + LUT4_113: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec14_p01); + + LUT4_112: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec15_r11); + + LUT4_111: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec16_p02); + + LUT4_110: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec17_r12); + + LUT4_109: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec18_p02); + + LUT4_108: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec19_r12); + + LUT4_107: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec20_p02); + + LUT4_106: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec21_r12); + + LUT4_105: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec22_p02); + + LUT4_104: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec23_r12); + + LUT4_103: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec24_p03); + + LUT4_102: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec25_r13); + + LUT4_101: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec26_p03); + + LUT4_100: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec27_r13); + + LUT4_99: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec28_p03); + + LUT4_98: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec29_r13); + + LUT4_97: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14_inv, DO0=>dec30_p03); + + LUT4_96: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14_inv, DO0=>dec31_r13); + + LUT4_95: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec32_p04); + + LUT4_94: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec33_r14); + + LUT4_93: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec34_p04); + + LUT4_92: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec35_r14); + + LUT4_91: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec36_p04); + + LUT4_90: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec37_r14); + + LUT4_89: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec38_p04); + + LUT4_88: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec39_r14); + + LUT4_87: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec40_p05); + + LUT4_86: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec41_r15); + + LUT4_85: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec42_p05); + + LUT4_84: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec43_r15); + + LUT4_83: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec44_p05); + + LUT4_82: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec45_r15); + + LUT4_81: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec46_p05); + + LUT4_80: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec47_r15); + + LUT4_79: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec48_p06); + + LUT4_78: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec49_r16); + + LUT4_77: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec50_p06); + + LUT4_76: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec51_r16); + + LUT4_75: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec52_p06); + + LUT4_74: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec53_r16); + + LUT4_73: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec54_p06); + + LUT4_72: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec55_r16); + + LUT4_71: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec56_p07); + + LUT4_70: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec57_r17); + + LUT4_69: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec58_p07); + + LUT4_68: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec59_r17); + + LUT4_67: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec60_p07); + + LUT4_66: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec61_r17); + + LUT4_65: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13, + AD0=>wptr_14_inv, DO0=>dec62_p07); + + LUT4_64: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13, + AD0=>rptr_14_inv, DO0=>dec63_r17); + + LUT4_63: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec64_p08); + + LUT4_62: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec65_r18); + + LUT4_61: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec66_p08); + + LUT4_60: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec67_r18); + + LUT4_59: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec68_p08); + + LUT4_58: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec69_r18); + + LUT4_57: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec70_p08); + + LUT4_56: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec71_r18); + + LUT4_55: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec72_p09); + + LUT4_54: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec73_r19); + + LUT4_53: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec74_p09); + + LUT4_52: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec75_r19); + + LUT4_51: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec76_p09); + + LUT4_50: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec77_r19); + + LUT4_49: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec78_p09); + + LUT4_48: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec79_r19); + + LUT4_47: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec80_p010); + + LUT4_46: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec81_r110); + + LUT4_45: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec82_p010); + + LUT4_44: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec83_r110); + + LUT4_43: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec84_p010); + + LUT4_42: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec85_r110); + + LUT4_41: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec86_p010); + + LUT4_40: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec87_r110); + + LUT4_39: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec88_p011); + + LUT4_38: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec89_r111); + + LUT4_37: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec90_p011); + + LUT4_36: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec91_r111); + + LUT4_35: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec92_p011); + + LUT4_34: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec93_r111); + + LUT4_33: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13_inv, + AD0=>wptr_14, DO0=>dec94_p011); + + LUT4_32: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13_inv, + AD0=>rptr_14, DO0=>dec95_r111); + + LUT4_31: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14, DO0=>dec96_p012); + + LUT4_30: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14, DO0=>dec97_r112); + + LUT4_29: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14, DO0=>dec98_p012); + + LUT4_28: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14, DO0=>dec99_r112); + + LUT4_27: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14, DO0=>dec100_p012); + + LUT4_26: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14, DO0=>dec101_r112); + + LUT4_25: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14, DO0=>dec102_p012); + + LUT4_24: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14, DO0=>dec103_r112); + + LUT4_23: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14, DO0=>dec104_p013); + + LUT4_22: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14, DO0=>dec105_r113); + + LUT4_21: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14, DO0=>dec106_p013); + + LUT4_20: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14, DO0=>dec107_r113); + + LUT4_19: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14, DO0=>dec108_p013); + + LUT4_18: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14, DO0=>dec109_r113); + + LUT4_17: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12_inv, AD1=>wptr_13, + AD0=>wptr_14, DO0=>dec110_p013); + + LUT4_16: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12_inv, AD1=>rptr_13, + AD0=>rptr_14, DO0=>dec111_r113); + + LUT4_15: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13, + AD0=>wptr_14, DO0=>dec112_p014); + + LUT4_14: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13, + AD0=>rptr_14, DO0=>dec113_r114); + + LUT4_13: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13, + AD0=>wptr_14, DO0=>dec114_p014); + + LUT4_12: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13, + AD0=>rptr_14, DO0=>dec115_r114); + + LUT4_11: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13, + AD0=>wptr_14, DO0=>dec116_p014); + + LUT4_10: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13, + AD0=>rptr_14, DO0=>dec117_r114); + + LUT4_9: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11_inv, AD2=>wptr_12, AD1=>wptr_13, + AD0=>wptr_14, DO0=>dec118_p014); + + LUT4_8: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11_inv, AD2=>rptr_12, AD1=>rptr_13, + AD0=>rptr_14, DO0=>dec119_r114); + + LUT4_7: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13, AD0=>wptr_14, + DO0=>dec120_p015); + + LUT4_6: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13, AD0=>rptr_14, + DO0=>dec121_r115); + + LUT4_5: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13, AD0=>wptr_14, + DO0=>dec122_p015); + + LUT4_4: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13, AD0=>rptr_14, + DO0=>dec123_r115); + + LUT4_3: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13, AD0=>wptr_14, + DO0=>dec124_p015); + + LUT4_2: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13, AD0=>rptr_14, + DO0=>dec125_r115); + + LUT4_1: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>wptr_11, AD2=>wptr_12, AD1=>wptr_13, AD0=>wptr_14, + DO0=>dec126_p015); + + LUT4_0: ROM16X1A + generic map (initval=> X"8000") + port map (AD3=>rptr_11, AD2=>rptr_12, AD1=>rptr_13, AD0=>rptr_14, + DO0=>dec127_r115); + + AND2_t1: AND2 + port map (A=>rden_i, B=>invout_0, Z=>r_nw); + + INV_1: INV + port map (A=>wren_i, Z=>invout_0); + + XOR2_t0: XOR2 + port map (A=>wcount_15, B=>rptr_15, Z=>wcnt_sub_msb); + + INV_0: INV + port map (A=>cnt_con, Z=>cnt_con_inv); + + pdp_ram_0_0_63: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec0_p00, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec1_r10, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_0_8, + DOB7=>mdout1_0_7, DOB6=>mdout1_0_6, DOB5=>mdout1_0_5, + DOB4=>mdout1_0_4, DOB3=>mdout1_0_3, DOB2=>mdout1_0_2, + DOB1=>mdout1_0_1, DOB0=>mdout1_0_0); + + pdp_ram_0_1_62: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec2_p00, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec3_r10, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_0_17, + DOB7=>mdout1_0_16, DOB6=>mdout1_0_15, DOB5=>mdout1_0_14, + DOB4=>mdout1_0_13, DOB3=>mdout1_0_12, DOB2=>mdout1_0_11, + DOB1=>mdout1_0_10, DOB0=>mdout1_0_9); + + pdp_ram_0_2_61: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec4_p00, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec5_r10, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_0_26, + DOB7=>mdout1_0_25, DOB6=>mdout1_0_24, DOB5=>mdout1_0_23, + DOB4=>mdout1_0_22, DOB3=>mdout1_0_21, DOB2=>mdout1_0_20, + DOB1=>mdout1_0_19, DOB0=>mdout1_0_18); + + pdp_ram_0_3_60: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec6_p00, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec7_r10, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_0_35, + DOB7=>mdout1_0_34, DOB6=>mdout1_0_33, DOB5=>mdout1_0_32, + DOB4=>mdout1_0_31, DOB3=>mdout1_0_30, DOB2=>mdout1_0_29, + DOB1=>mdout1_0_28, DOB0=>mdout1_0_27); + + pdp_ram_1_0_59: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec8_p01, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec9_r11, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_1_8, + DOB7=>mdout1_1_7, DOB6=>mdout1_1_6, DOB5=>mdout1_1_5, + DOB4=>mdout1_1_4, DOB3=>mdout1_1_3, DOB2=>mdout1_1_2, + DOB1=>mdout1_1_1, DOB0=>mdout1_1_0); + + pdp_ram_1_1_58: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec10_p01, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec11_r11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_1_17, + DOB7=>mdout1_1_16, DOB6=>mdout1_1_15, DOB5=>mdout1_1_14, + DOB4=>mdout1_1_13, DOB3=>mdout1_1_12, DOB2=>mdout1_1_11, + DOB1=>mdout1_1_10, DOB0=>mdout1_1_9); + + pdp_ram_1_2_57: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec12_p01, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec13_r11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_1_26, + DOB7=>mdout1_1_25, DOB6=>mdout1_1_24, DOB5=>mdout1_1_23, + DOB4=>mdout1_1_22, DOB3=>mdout1_1_21, DOB2=>mdout1_1_20, + DOB1=>mdout1_1_19, DOB0=>mdout1_1_18); + + pdp_ram_1_3_56: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec14_p01, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec15_r11, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_1_35, + DOB7=>mdout1_1_34, DOB6=>mdout1_1_33, DOB5=>mdout1_1_32, + DOB4=>mdout1_1_31, DOB3=>mdout1_1_30, DOB2=>mdout1_1_29, + DOB1=>mdout1_1_28, DOB0=>mdout1_1_27); + + pdp_ram_2_0_55: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec16_p02, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec17_r12, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_2_8, + DOB7=>mdout1_2_7, DOB6=>mdout1_2_6, DOB5=>mdout1_2_5, + DOB4=>mdout1_2_4, DOB3=>mdout1_2_3, DOB2=>mdout1_2_2, + DOB1=>mdout1_2_1, DOB0=>mdout1_2_0); + + pdp_ram_2_1_54: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec18_p02, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec19_r12, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_2_17, + DOB7=>mdout1_2_16, DOB6=>mdout1_2_15, DOB5=>mdout1_2_14, + DOB4=>mdout1_2_13, DOB3=>mdout1_2_12, DOB2=>mdout1_2_11, + DOB1=>mdout1_2_10, DOB0=>mdout1_2_9); + + pdp_ram_2_2_53: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec20_p02, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec21_r12, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_2_26, + DOB7=>mdout1_2_25, DOB6=>mdout1_2_24, DOB5=>mdout1_2_23, + DOB4=>mdout1_2_22, DOB3=>mdout1_2_21, DOB2=>mdout1_2_20, + DOB1=>mdout1_2_19, DOB0=>mdout1_2_18); + + pdp_ram_2_3_52: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec22_p02, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec23_r12, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_2_35, + DOB7=>mdout1_2_34, DOB6=>mdout1_2_33, DOB5=>mdout1_2_32, + DOB4=>mdout1_2_31, DOB3=>mdout1_2_30, DOB2=>mdout1_2_29, + DOB1=>mdout1_2_28, DOB0=>mdout1_2_27); + + pdp_ram_3_0_51: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec24_p03, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec25_r13, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_3_8, + DOB7=>mdout1_3_7, DOB6=>mdout1_3_6, DOB5=>mdout1_3_5, + DOB4=>mdout1_3_4, DOB3=>mdout1_3_3, DOB2=>mdout1_3_2, + DOB1=>mdout1_3_1, DOB0=>mdout1_3_0); + + pdp_ram_3_1_50: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec26_p03, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec27_r13, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_3_17, + DOB7=>mdout1_3_16, DOB6=>mdout1_3_15, DOB5=>mdout1_3_14, + DOB4=>mdout1_3_13, DOB3=>mdout1_3_12, DOB2=>mdout1_3_11, + DOB1=>mdout1_3_10, DOB0=>mdout1_3_9); + + pdp_ram_3_2_49: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec28_p03, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec29_r13, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_3_26, + DOB7=>mdout1_3_25, DOB6=>mdout1_3_24, DOB5=>mdout1_3_23, + DOB4=>mdout1_3_22, DOB3=>mdout1_3_21, DOB2=>mdout1_3_20, + DOB1=>mdout1_3_19, DOB0=>mdout1_3_18); + + pdp_ram_3_3_48: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec30_p03, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec31_r13, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_3_35, + DOB7=>mdout1_3_34, DOB6=>mdout1_3_33, DOB5=>mdout1_3_32, + DOB4=>mdout1_3_31, DOB3=>mdout1_3_30, DOB2=>mdout1_3_29, + DOB1=>mdout1_3_28, DOB0=>mdout1_3_27); + + pdp_ram_4_0_47: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec32_p04, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec33_r14, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_4_8, + DOB7=>mdout1_4_7, DOB6=>mdout1_4_6, DOB5=>mdout1_4_5, + DOB4=>mdout1_4_4, DOB3=>mdout1_4_3, DOB2=>mdout1_4_2, + DOB1=>mdout1_4_1, DOB0=>mdout1_4_0); + + pdp_ram_4_1_46: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec34_p04, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec35_r14, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_4_17, + DOB7=>mdout1_4_16, DOB6=>mdout1_4_15, DOB5=>mdout1_4_14, + DOB4=>mdout1_4_13, DOB3=>mdout1_4_12, DOB2=>mdout1_4_11, + DOB1=>mdout1_4_10, DOB0=>mdout1_4_9); + + pdp_ram_4_2_45: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec36_p04, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec37_r14, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_4_26, + DOB7=>mdout1_4_25, DOB6=>mdout1_4_24, DOB5=>mdout1_4_23, + DOB4=>mdout1_4_22, DOB3=>mdout1_4_21, DOB2=>mdout1_4_20, + DOB1=>mdout1_4_19, DOB0=>mdout1_4_18); + + pdp_ram_4_3_44: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec38_p04, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec39_r14, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_4_35, + DOB7=>mdout1_4_34, DOB6=>mdout1_4_33, DOB5=>mdout1_4_32, + DOB4=>mdout1_4_31, DOB3=>mdout1_4_30, DOB2=>mdout1_4_29, + DOB1=>mdout1_4_28, DOB0=>mdout1_4_27); + + pdp_ram_5_0_43: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec40_p05, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec41_r15, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_5_8, + DOB7=>mdout1_5_7, DOB6=>mdout1_5_6, DOB5=>mdout1_5_5, + DOB4=>mdout1_5_4, DOB3=>mdout1_5_3, DOB2=>mdout1_5_2, + DOB1=>mdout1_5_1, DOB0=>mdout1_5_0); + + pdp_ram_5_1_42: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec42_p05, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec43_r15, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_5_17, + DOB7=>mdout1_5_16, DOB6=>mdout1_5_15, DOB5=>mdout1_5_14, + DOB4=>mdout1_5_13, DOB3=>mdout1_5_12, DOB2=>mdout1_5_11, + DOB1=>mdout1_5_10, DOB0=>mdout1_5_9); + + pdp_ram_5_2_41: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec44_p05, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec45_r15, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_5_26, + DOB7=>mdout1_5_25, DOB6=>mdout1_5_24, DOB5=>mdout1_5_23, + DOB4=>mdout1_5_22, DOB3=>mdout1_5_21, DOB2=>mdout1_5_20, + DOB1=>mdout1_5_19, DOB0=>mdout1_5_18); + + pdp_ram_5_3_40: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec46_p05, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec47_r15, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_5_35, + DOB7=>mdout1_5_34, DOB6=>mdout1_5_33, DOB5=>mdout1_5_32, + DOB4=>mdout1_5_31, DOB3=>mdout1_5_30, DOB2=>mdout1_5_29, + DOB1=>mdout1_5_28, DOB0=>mdout1_5_27); + + pdp_ram_6_0_39: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec48_p06, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec49_r16, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_6_8, + DOB7=>mdout1_6_7, DOB6=>mdout1_6_6, DOB5=>mdout1_6_5, + DOB4=>mdout1_6_4, DOB3=>mdout1_6_3, DOB2=>mdout1_6_2, + DOB1=>mdout1_6_1, DOB0=>mdout1_6_0); + + pdp_ram_6_1_38: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec50_p06, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec51_r16, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_6_17, + DOB7=>mdout1_6_16, DOB6=>mdout1_6_15, DOB5=>mdout1_6_14, + DOB4=>mdout1_6_13, DOB3=>mdout1_6_12, DOB2=>mdout1_6_11, + DOB1=>mdout1_6_10, DOB0=>mdout1_6_9); + + pdp_ram_6_2_37: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec52_p06, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec53_r16, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_6_26, + DOB7=>mdout1_6_25, DOB6=>mdout1_6_24, DOB5=>mdout1_6_23, + DOB4=>mdout1_6_22, DOB3=>mdout1_6_21, DOB2=>mdout1_6_20, + DOB1=>mdout1_6_19, DOB0=>mdout1_6_18); + + pdp_ram_6_3_36: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec54_p06, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec55_r16, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_6_35, + DOB7=>mdout1_6_34, DOB6=>mdout1_6_33, DOB5=>mdout1_6_32, + DOB4=>mdout1_6_31, DOB3=>mdout1_6_30, DOB2=>mdout1_6_29, + DOB1=>mdout1_6_28, DOB0=>mdout1_6_27); + + pdp_ram_7_0_35: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec56_p07, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec57_r17, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_7_8, + DOB7=>mdout1_7_7, DOB6=>mdout1_7_6, DOB5=>mdout1_7_5, + DOB4=>mdout1_7_4, DOB3=>mdout1_7_3, DOB2=>mdout1_7_2, + DOB1=>mdout1_7_1, DOB0=>mdout1_7_0); + + pdp_ram_7_1_34: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec58_p07, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec59_r17, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_7_17, + DOB7=>mdout1_7_16, DOB6=>mdout1_7_15, DOB5=>mdout1_7_14, + DOB4=>mdout1_7_13, DOB3=>mdout1_7_12, DOB2=>mdout1_7_11, + DOB1=>mdout1_7_10, DOB0=>mdout1_7_9); + + pdp_ram_7_2_33: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec60_p07, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec61_r17, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_7_26, + DOB7=>mdout1_7_25, DOB6=>mdout1_7_24, DOB5=>mdout1_7_23, + DOB4=>mdout1_7_22, DOB3=>mdout1_7_21, DOB2=>mdout1_7_20, + DOB1=>mdout1_7_19, DOB0=>mdout1_7_18); + + pdp_ram_7_3_32: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec62_p07, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec63_r17, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_7_35, + DOB7=>mdout1_7_34, DOB6=>mdout1_7_33, DOB5=>mdout1_7_32, + DOB4=>mdout1_7_31, DOB3=>mdout1_7_30, DOB2=>mdout1_7_29, + DOB1=>mdout1_7_28, DOB0=>mdout1_7_27); + + pdp_ram_8_0_31: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec64_p08, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec65_r18, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_8_8, + DOB7=>mdout1_8_7, DOB6=>mdout1_8_6, DOB5=>mdout1_8_5, + DOB4=>mdout1_8_4, DOB3=>mdout1_8_3, DOB2=>mdout1_8_2, + DOB1=>mdout1_8_1, DOB0=>mdout1_8_0); + + pdp_ram_8_1_30: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec66_p08, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec67_r18, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_8_17, + DOB7=>mdout1_8_16, DOB6=>mdout1_8_15, DOB5=>mdout1_8_14, + DOB4=>mdout1_8_13, DOB3=>mdout1_8_12, DOB2=>mdout1_8_11, + DOB1=>mdout1_8_10, DOB0=>mdout1_8_9); + + pdp_ram_8_2_29: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec68_p08, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec69_r18, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_8_26, + DOB7=>mdout1_8_25, DOB6=>mdout1_8_24, DOB5=>mdout1_8_23, + DOB4=>mdout1_8_22, DOB3=>mdout1_8_21, DOB2=>mdout1_8_20, + DOB1=>mdout1_8_19, DOB0=>mdout1_8_18); + + pdp_ram_8_3_28: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec70_p08, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec71_r18, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_8_35, + DOB7=>mdout1_8_34, DOB6=>mdout1_8_33, DOB5=>mdout1_8_32, + DOB4=>mdout1_8_31, DOB3=>mdout1_8_30, DOB2=>mdout1_8_29, + DOB1=>mdout1_8_28, DOB0=>mdout1_8_27); + + pdp_ram_9_0_27: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec72_p09, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec73_r19, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_9_8, + DOB7=>mdout1_9_7, DOB6=>mdout1_9_6, DOB5=>mdout1_9_5, + DOB4=>mdout1_9_4, DOB3=>mdout1_9_3, DOB2=>mdout1_9_2, + DOB1=>mdout1_9_1, DOB0=>mdout1_9_0); + + pdp_ram_9_1_26: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec74_p09, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec75_r19, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_9_17, + DOB7=>mdout1_9_16, DOB6=>mdout1_9_15, DOB5=>mdout1_9_14, + DOB4=>mdout1_9_13, DOB3=>mdout1_9_12, DOB2=>mdout1_9_11, + DOB1=>mdout1_9_10, DOB0=>mdout1_9_9); + + pdp_ram_9_2_25: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec76_p09, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec77_r19, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_9_26, + DOB7=>mdout1_9_25, DOB6=>mdout1_9_24, DOB5=>mdout1_9_23, + DOB4=>mdout1_9_22, DOB3=>mdout1_9_21, DOB2=>mdout1_9_20, + DOB1=>mdout1_9_19, DOB0=>mdout1_9_18); + + pdp_ram_9_3_24: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec78_p09, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec79_r19, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_9_35, + DOB7=>mdout1_9_34, DOB6=>mdout1_9_33, DOB5=>mdout1_9_32, + DOB4=>mdout1_9_31, DOB3=>mdout1_9_30, DOB2=>mdout1_9_29, + DOB1=>mdout1_9_28, DOB0=>mdout1_9_27); + + pdp_ram_10_0_23: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec80_p010, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec81_r110, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_10_8, + DOB7=>mdout1_10_7, DOB6=>mdout1_10_6, DOB5=>mdout1_10_5, + DOB4=>mdout1_10_4, DOB3=>mdout1_10_3, DOB2=>mdout1_10_2, + DOB1=>mdout1_10_1, DOB0=>mdout1_10_0); + + pdp_ram_10_1_22: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec82_p010, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec83_r110, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_10_17, + DOB7=>mdout1_10_16, DOB6=>mdout1_10_15, DOB5=>mdout1_10_14, + DOB4=>mdout1_10_13, DOB3=>mdout1_10_12, DOB2=>mdout1_10_11, + DOB1=>mdout1_10_10, DOB0=>mdout1_10_9); + + pdp_ram_10_2_21: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec84_p010, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec85_r110, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_10_26, + DOB7=>mdout1_10_25, DOB6=>mdout1_10_24, DOB5=>mdout1_10_23, + DOB4=>mdout1_10_22, DOB3=>mdout1_10_21, DOB2=>mdout1_10_20, + DOB1=>mdout1_10_19, DOB0=>mdout1_10_18); + + pdp_ram_10_3_20: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec86_p010, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec87_r110, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_10_35, + DOB7=>mdout1_10_34, DOB6=>mdout1_10_33, DOB5=>mdout1_10_32, + DOB4=>mdout1_10_31, DOB3=>mdout1_10_30, DOB2=>mdout1_10_29, + DOB1=>mdout1_10_28, DOB0=>mdout1_10_27); + + pdp_ram_11_0_19: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec88_p011, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec89_r111, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_11_8, + DOB7=>mdout1_11_7, DOB6=>mdout1_11_6, DOB5=>mdout1_11_5, + DOB4=>mdout1_11_4, DOB3=>mdout1_11_3, DOB2=>mdout1_11_2, + DOB1=>mdout1_11_1, DOB0=>mdout1_11_0); + + pdp_ram_11_1_18: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec90_p011, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec91_r111, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_11_17, + DOB7=>mdout1_11_16, DOB6=>mdout1_11_15, DOB5=>mdout1_11_14, + DOB4=>mdout1_11_13, DOB3=>mdout1_11_12, DOB2=>mdout1_11_11, + DOB1=>mdout1_11_10, DOB0=>mdout1_11_9); + + pdp_ram_11_2_17: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec92_p011, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec93_r111, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_11_26, + DOB7=>mdout1_11_25, DOB6=>mdout1_11_24, DOB5=>mdout1_11_23, + DOB4=>mdout1_11_22, DOB3=>mdout1_11_21, DOB2=>mdout1_11_20, + DOB1=>mdout1_11_19, DOB0=>mdout1_11_18); + + pdp_ram_11_3_16: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec94_p011, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec95_r111, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_11_35, + DOB7=>mdout1_11_34, DOB6=>mdout1_11_33, DOB5=>mdout1_11_32, + DOB4=>mdout1_11_31, DOB3=>mdout1_11_30, DOB2=>mdout1_11_29, + DOB1=>mdout1_11_28, DOB0=>mdout1_11_27); + + pdp_ram_12_0_15: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec96_p012, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec97_r112, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_12_8, + DOB7=>mdout1_12_7, DOB6=>mdout1_12_6, DOB5=>mdout1_12_5, + DOB4=>mdout1_12_4, DOB3=>mdout1_12_3, DOB2=>mdout1_12_2, + DOB1=>mdout1_12_1, DOB0=>mdout1_12_0); + + pdp_ram_12_1_14: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec98_p012, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec99_r112, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_12_17, + DOB7=>mdout1_12_16, DOB6=>mdout1_12_15, DOB5=>mdout1_12_14, + DOB4=>mdout1_12_13, DOB3=>mdout1_12_12, DOB2=>mdout1_12_11, + DOB1=>mdout1_12_10, DOB0=>mdout1_12_9); + + pdp_ram_12_2_13: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec100_p012, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec101_r112, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_12_26, + DOB7=>mdout1_12_25, DOB6=>mdout1_12_24, DOB5=>mdout1_12_23, + DOB4=>mdout1_12_22, DOB3=>mdout1_12_21, DOB2=>mdout1_12_20, + DOB1=>mdout1_12_19, DOB0=>mdout1_12_18); + + pdp_ram_12_3_12: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec102_p012, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec103_r112, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_12_35, + DOB7=>mdout1_12_34, DOB6=>mdout1_12_33, DOB5=>mdout1_12_32, + DOB4=>mdout1_12_31, DOB3=>mdout1_12_30, DOB2=>mdout1_12_29, + DOB1=>mdout1_12_28, DOB0=>mdout1_12_27); + + pdp_ram_13_0_11: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec104_p013, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec105_r113, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_13_8, + DOB7=>mdout1_13_7, DOB6=>mdout1_13_6, DOB5=>mdout1_13_5, + DOB4=>mdout1_13_4, DOB3=>mdout1_13_3, DOB2=>mdout1_13_2, + DOB1=>mdout1_13_1, DOB0=>mdout1_13_0); + + pdp_ram_13_1_10: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec106_p013, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec107_r113, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_13_17, + DOB7=>mdout1_13_16, DOB6=>mdout1_13_15, DOB5=>mdout1_13_14, + DOB4=>mdout1_13_13, DOB3=>mdout1_13_12, DOB2=>mdout1_13_11, + DOB1=>mdout1_13_10, DOB0=>mdout1_13_9); + + pdp_ram_13_2_9: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec108_p013, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec109_r113, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_13_26, + DOB7=>mdout1_13_25, DOB6=>mdout1_13_24, DOB5=>mdout1_13_23, + DOB4=>mdout1_13_22, DOB3=>mdout1_13_21, DOB2=>mdout1_13_20, + DOB1=>mdout1_13_19, DOB0=>mdout1_13_18); + + pdp_ram_13_3_8: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec110_p013, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec111_r113, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_13_35, + DOB7=>mdout1_13_34, DOB6=>mdout1_13_33, DOB5=>mdout1_13_32, + DOB4=>mdout1_13_31, DOB3=>mdout1_13_30, DOB2=>mdout1_13_29, + DOB1=>mdout1_13_28, DOB0=>mdout1_13_27); + + pdp_ram_14_0_7: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec112_p014, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec113_r114, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_14_8, + DOB7=>mdout1_14_7, DOB6=>mdout1_14_6, DOB5=>mdout1_14_5, + DOB4=>mdout1_14_4, DOB3=>mdout1_14_3, DOB2=>mdout1_14_2, + DOB1=>mdout1_14_1, DOB0=>mdout1_14_0); + + pdp_ram_14_1_6: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec114_p014, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec115_r114, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_14_17, + DOB7=>mdout1_14_16, DOB6=>mdout1_14_15, DOB5=>mdout1_14_14, + DOB4=>mdout1_14_13, DOB3=>mdout1_14_12, DOB2=>mdout1_14_11, + DOB1=>mdout1_14_10, DOB0=>mdout1_14_9); + + pdp_ram_14_2_5: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec116_p014, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec117_r114, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_14_26, + DOB7=>mdout1_14_25, DOB6=>mdout1_14_24, DOB5=>mdout1_14_23, + DOB4=>mdout1_14_22, DOB3=>mdout1_14_21, DOB2=>mdout1_14_20, + DOB1=>mdout1_14_19, DOB0=>mdout1_14_18); + + pdp_ram_14_3_4: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec118_p014, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec119_r114, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_14_35, + DOB7=>mdout1_14_34, DOB6=>mdout1_14_33, DOB5=>mdout1_14_32, + DOB4=>mdout1_14_31, DOB3=>mdout1_14_30, DOB2=>mdout1_14_29, + DOB1=>mdout1_14_28, DOB0=>mdout1_14_27); + + pdp_ram_15_0_3: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>dec120_p015, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>rptr_10, ADB12=>rptr_9, + ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, ADB8=>rptr_5, + ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, ADB4=>rptr_1, + ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>dec121_r115, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_15_8, + DOB7=>mdout1_15_7, DOB6=>mdout1_15_6, DOB5=>mdout1_15_5, + DOB4=>mdout1_15_4, DOB3=>mdout1_15_3, DOB2=>mdout1_15_2, + DOB1=>mdout1_15_1, DOB0=>mdout1_15_0); + + pdp_ram_15_1_2: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec122_p015, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec123_r115, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_15_17, + DOB7=>mdout1_15_16, DOB6=>mdout1_15_15, DOB5=>mdout1_15_14, + DOB4=>mdout1_15_13, DOB3=>mdout1_15_12, DOB2=>mdout1_15_11, + DOB1=>mdout1_15_10, DOB0=>mdout1_15_9); + + pdp_ram_15_2_1: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec124_p015, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec125_r115, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_15_26, + DOB7=>mdout1_15_25, DOB6=>mdout1_15_24, DOB5=>mdout1_15_23, + DOB4=>mdout1_15_22, DOB3=>mdout1_15_21, DOB2=>mdout1_15_20, + DOB1=>mdout1_15_19, DOB0=>mdout1_15_18); + + pdp_ram_15_3_0: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>dec126_p015, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>dec127_r115, + RSTB=>Reset, DOA17=>open, DOA16=>open, DOA15=>open, + DOA14=>open, DOA13=>open, DOA12=>open, DOA11=>open, + DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, + DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, + DOA0=>open, DOB17=>open, DOB16=>open, DOB15=>open, + DOB14=>open, DOB13=>open, DOB12=>open, DOB11=>open, + DOB10=>open, DOB9=>open, DOB8=>mdout1_15_35, + DOB7=>mdout1_15_34, DOB6=>mdout1_15_33, DOB5=>mdout1_15_32, + DOB4=>mdout1_15_31, DOB3=>mdout1_15_30, DOB2=>mdout1_15_29, + DOB1=>mdout1_15_28, DOB0=>mdout1_15_27); + + FF_106: FD1P3DX + port map (D=>ifcount_0, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_0); + + FF_105: FD1P3DX + port map (D=>ifcount_1, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_1); + + FF_104: FD1P3DX + port map (D=>ifcount_2, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_2); + + FF_103: FD1P3DX + port map (D=>ifcount_3, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_3); + + FF_102: FD1P3DX + port map (D=>ifcount_4, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_4); + + FF_101: FD1P3DX + port map (D=>ifcount_5, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_5); + + FF_100: FD1P3DX + port map (D=>ifcount_6, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_6); + + FF_99: FD1P3DX + port map (D=>ifcount_7, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_7); + + FF_98: FD1P3DX + port map (D=>ifcount_8, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_8); + + FF_97: FD1P3DX + port map (D=>ifcount_9, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_9); + + FF_96: FD1P3DX + port map (D=>ifcount_10, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_10); + + FF_95: FD1P3DX + port map (D=>ifcount_11, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_11); + + FF_94: FD1P3DX + port map (D=>ifcount_12, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_12); + + FF_93: FD1P3DX + port map (D=>ifcount_13, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_13); + + FF_92: FD1P3DX + port map (D=>ifcount_14, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_14); + + FF_91: FD1P3DX + port map (D=>ifcount_15, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_15); + + FF_90: FD1S3BX + port map (D=>empty_d, CK=>Clock, PD=>Reset, Q=>empty_i); + + FF_89: FD1S3DX + port map (D=>full_d, CK=>Clock, CD=>Reset, Q=>full_i); + + FF_88: FD1P3BX + port map (D=>iwcount_0, SP=>wren_i, CK=>Clock, PD=>Reset, + Q=>wcount_0); + + FF_87: FD1P3DX + port map (D=>iwcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_1); + + FF_86: FD1P3DX + port map (D=>iwcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_2); + + FF_85: FD1P3DX + port map (D=>iwcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_3); + + FF_84: FD1P3DX + port map (D=>iwcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_4); + + FF_83: FD1P3DX + port map (D=>iwcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_5); + + FF_82: FD1P3DX + port map (D=>iwcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_6); + + FF_81: FD1P3DX + port map (D=>iwcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_7); + + FF_80: FD1P3DX + port map (D=>iwcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_8); + + FF_79: FD1P3DX + port map (D=>iwcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_9); + + FF_78: FD1P3DX + port map (D=>iwcount_10, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_10); + + FF_77: FD1P3DX + port map (D=>iwcount_11, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_11); + + FF_76: FD1P3DX + port map (D=>iwcount_12, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_12); + + FF_75: FD1P3DX + port map (D=>iwcount_13, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_13); + + FF_74: FD1P3DX + port map (D=>iwcount_14, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_14); + + FF_73: FD1P3DX + port map (D=>iwcount_15, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_15); + + FF_72: FD1P3BX + port map (D=>ircount_0, SP=>rden_i, CK=>Clock, PD=>Reset, + Q=>rcount_0); + + FF_71: FD1P3DX + port map (D=>ircount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_1); + + FF_70: FD1P3DX + port map (D=>ircount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_2); + + FF_69: FD1P3DX + port map (D=>ircount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_3); + + FF_68: FD1P3DX + port map (D=>ircount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_4); + + FF_67: FD1P3DX + port map (D=>ircount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_5); + + FF_66: FD1P3DX + port map (D=>ircount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_6); + + FF_65: FD1P3DX + port map (D=>ircount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_7); + + FF_64: FD1P3DX + port map (D=>ircount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_8); + + FF_63: FD1P3DX + port map (D=>ircount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_9); + + FF_62: FD1P3DX + port map (D=>ircount_10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_10); + + FF_61: FD1P3DX + port map (D=>ircount_11, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_11); + + FF_60: FD1P3DX + port map (D=>ircount_12, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_12); + + FF_59: FD1P3DX + port map (D=>ircount_13, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_13); + + FF_58: FD1P3DX + port map (D=>ircount_14, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_14); + + FF_57: FD1P3DX + port map (D=>ircount_15, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_15); + + FF_56: FD1P3DX + port map (D=>wcount_0, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_0); + + FF_55: FD1P3DX + port map (D=>wcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_1); + + FF_54: FD1P3DX + port map (D=>wcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_2); + + FF_53: FD1P3DX + port map (D=>wcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_3); + + FF_52: FD1P3DX + port map (D=>wcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_4); + + FF_51: FD1P3DX + port map (D=>wcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_5); + + FF_50: FD1P3DX + port map (D=>wcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_6); + + FF_49: FD1P3DX + port map (D=>wcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_7); + + FF_48: FD1P3DX + port map (D=>wcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_8); + + FF_47: FD1P3DX + port map (D=>wcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_9); + + FF_46: FD1P3DX + port map (D=>wcount_10, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_10); + + FF_45: FD1P3DX + port map (D=>wcount_11, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_11); + + FF_44: FD1P3DX + port map (D=>wcount_12, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_12); + + FF_43: FD1P3DX + port map (D=>wcount_13, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_13); + + FF_42: FD1P3DX + port map (D=>wcount_14, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_14); + + FF_41: FD1P3DX + port map (D=>wcount_15, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_15); + + FF_40: FD1P3DX + port map (D=>rcount_0, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_0); + + FF_39: FD1P3DX + port map (D=>rcount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_1); + + FF_38: FD1P3DX + port map (D=>rcount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_2); + + FF_37: FD1P3DX + port map (D=>rcount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_3); + + FF_36: FD1P3DX + port map (D=>rcount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_4); + + FF_35: FD1P3DX + port map (D=>rcount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_5); + + FF_34: FD1P3DX + port map (D=>rcount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_6); + + FF_33: FD1P3DX + port map (D=>rcount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_7); + + FF_32: FD1P3DX + port map (D=>rcount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_8); + + FF_31: FD1P3DX + port map (D=>rcount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_9); + + FF_30: FD1P3DX + port map (D=>rcount_10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_10); + + FF_29: FD1P3DX + port map (D=>rcount_11, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_11); + + FF_28: FD1P3DX + port map (D=>rcount_12, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_12); + + FF_27: FD1P3DX + port map (D=>rcount_13, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_13); + + FF_26: FD1P3DX + port map (D=>rcount_14, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_14); + + FF_25: FD1P3DX + port map (D=>rcount_15, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_15); + + FF_24: FD1P3DX + port map (D=>rptr_11, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_11_ff); + + FF_23: FD1P3DX + port map (D=>rptr_12, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_12_ff); + + FF_22: FD1P3DX + port map (D=>rptr_13, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_13_ff); + + FF_21: FD1P3DX + port map (D=>rptr_14, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_14_ff); + + FF_20: FD1P3DX + port map (D=>rptr_11_ff, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_11_ff2); + + FF_19: FD1P3DX + port map (D=>rptr_12_ff, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_12_ff2); + + FF_18: FD1P3DX + port map (D=>rptr_13_ff, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_13_ff2); + + FF_17: FD1P3DX + port map (D=>rptr_14_ff, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_14_ff2); + + FF_16: FD1S3DX + port map (D=>wcnt_sub_0, CK=>Clock, CD=>Reset, Q=>wcnt_reg_0); + + FF_15: FD1S3DX + port map (D=>wcnt_sub_1, CK=>Clock, CD=>Reset, Q=>wcnt_reg_1); + + FF_14: FD1S3DX + port map (D=>wcnt_sub_2, CK=>Clock, CD=>Reset, Q=>wcnt_reg_2); + + FF_13: FD1S3DX + port map (D=>wcnt_sub_3, CK=>Clock, CD=>Reset, Q=>wcnt_reg_3); + + FF_12: FD1S3DX + port map (D=>wcnt_sub_4, CK=>Clock, CD=>Reset, Q=>wcnt_reg_4); + + FF_11: FD1S3DX + port map (D=>wcnt_sub_5, CK=>Clock, CD=>Reset, Q=>wcnt_reg_5); + + FF_10: FD1S3DX + port map (D=>wcnt_sub_6, CK=>Clock, CD=>Reset, Q=>wcnt_reg_6); + + FF_9: FD1S3DX + port map (D=>wcnt_sub_7, CK=>Clock, CD=>Reset, Q=>wcnt_reg_7); + + FF_8: FD1S3DX + port map (D=>wcnt_sub_8, CK=>Clock, CD=>Reset, Q=>wcnt_reg_8); + + FF_7: FD1S3DX + port map (D=>wcnt_sub_9, CK=>Clock, CD=>Reset, Q=>wcnt_reg_9); + + FF_6: FD1S3DX + port map (D=>wcnt_sub_10, CK=>Clock, CD=>Reset, Q=>wcnt_reg_10); + + FF_5: FD1S3DX + port map (D=>wcnt_sub_11, CK=>Clock, CD=>Reset, Q=>wcnt_reg_11); + + FF_4: FD1S3DX + port map (D=>wcnt_sub_12, CK=>Clock, CD=>Reset, Q=>wcnt_reg_12); + + FF_3: FD1S3DX + port map (D=>wcnt_sub_13, CK=>Clock, CD=>Reset, Q=>wcnt_reg_13); + + FF_2: FD1S3DX + port map (D=>wcnt_sub_14, CK=>Clock, CD=>Reset, Q=>wcnt_reg_14); + + FF_1: FD1S3DX + port map (D=>wcnt_sub_15, CK=>Clock, CD=>Reset, Q=>wcnt_reg_15); + + FF_0: FD1S3DX + port map (D=>af_set, CK=>Clock, CD=>Reset, Q=>AlmostFull); + + bdcnt_bctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>cnt_con, B0=>scuba_vlo, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>bdcnt_bctr_ci); + + bdcnt_bctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>bdcnt_bctr_ci, S0=>ifcount_0, S1=>ifcount_1, COUT=>co0); + + bdcnt_bctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0, S0=>ifcount_2, S1=>ifcount_3, COUT=>co1); + + bdcnt_bctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1, S0=>ifcount_4, S1=>ifcount_5, COUT=>co2); + + bdcnt_bctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2, S0=>ifcount_6, S1=>ifcount_7, COUT=>co3); + + bdcnt_bctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3, S0=>ifcount_8, S1=>ifcount_9, COUT=>co4); + + bdcnt_bctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_10, A1=>fcount_11, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4, S0=>ifcount_10, S1=>ifcount_11, COUT=>co5); + + bdcnt_bctr_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_12, A1=>fcount_13, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co5, S0=>ifcount_12, S1=>ifcount_13, COUT=>co6); + + bdcnt_bctr_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_14, A1=>fcount_15, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co6, S0=>ifcount_14, S1=>ifcount_15, COUT=>co7); + + e_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci); + + e_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>rden_i, A1=>scuba_vlo, B0=>fcount_0, B1=>fcount_1, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci, S0=>open, S1=>open, COUT=>co0_1); + + e_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_2, + B1=>fcount_3, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_1, S0=>open, S1=>open, COUT=>co1_1); + + e_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_4, + B1=>fcount_5, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_1, S0=>open, S1=>open, COUT=>co2_1); + + e_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_6, + B1=>fcount_7, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_1, S0=>open, S1=>open, COUT=>co3_1); + + e_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_8, + B1=>fcount_9, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_1, S0=>open, S1=>open, COUT=>co4_1); + + e_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_10, + B1=>fcount_11, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_1, S0=>open, S1=>open, COUT=>co5_1); + + e_cmp_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_12, + B1=>fcount_13, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_1, S0=>open, S1=>open, COUT=>co6_1); + + e_cmp_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_14, + B1=>fcount_15, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co6_1, S0=>open, S1=>open, + COUT=>cmp_le_1_c); + + a0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_le_1_c, S0=>cmp_le_1, S1=>open, + COUT=>open); + + g_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_1); + + g_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci_1, S0=>open, S1=>open, COUT=>co0_2); + + g_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_2, S0=>open, S1=>open, COUT=>co1_2); + + g_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_2, S0=>open, S1=>open, COUT=>co2_2); + + g_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_2, S0=>open, S1=>open, COUT=>co3_2); + + g_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_2, S0=>open, S1=>open, COUT=>co4_2); + + g_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_10, A1=>fcount_11, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4_2, S0=>open, S1=>open, COUT=>co5_2); + + g_cmp_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_12, A1=>fcount_13, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co5_2, S0=>open, S1=>open, COUT=>co6_2); + + g_cmp_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_14, A1=>fcount_15, B0=>wren_i, + B1=>wren_i_inv, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co6_2, S0=>open, S1=>open, + COUT=>cmp_ge_d1_c); + + a1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_ge_d1_c, S0=>cmp_ge_d1, S1=>open, + COUT=>open); + + w_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>w_ctr_ci); + + w_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_0, A1=>wcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>w_ctr_ci, S0=>iwcount_0, S1=>iwcount_1, + COUT=>co0_3); + + w_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_2, A1=>wcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_3, S0=>iwcount_2, S1=>iwcount_3, + COUT=>co1_3); + + w_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_4, A1=>wcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_3, S0=>iwcount_4, S1=>iwcount_5, + COUT=>co2_3); + + w_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_6, A1=>wcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_3, S0=>iwcount_6, S1=>iwcount_7, + COUT=>co3_3); + + w_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_8, A1=>wcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_3, S0=>iwcount_8, S1=>iwcount_9, + COUT=>co4_3); + + w_ctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_10, A1=>wcount_11, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_3, S0=>iwcount_10, S1=>iwcount_11, + COUT=>co5_3); + + w_ctr_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_12, A1=>wcount_13, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_3, S0=>iwcount_12, S1=>iwcount_13, + COUT=>co6_3); + + w_ctr_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_14, A1=>wcount_15, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co6_3, S0=>iwcount_14, S1=>iwcount_15, + COUT=>co7_1); + + r_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>r_ctr_ci); + + r_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_0, A1=>rcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>r_ctr_ci, S0=>ircount_0, S1=>ircount_1, + COUT=>co0_4); + + r_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_2, A1=>rcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_4, S0=>ircount_2, S1=>ircount_3, + COUT=>co1_4); + + r_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_4, A1=>rcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_4, S0=>ircount_4, S1=>ircount_5, + COUT=>co2_4); + + r_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_6, A1=>rcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_4, S0=>ircount_6, S1=>ircount_7, + COUT=>co3_4); + + r_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_8, A1=>rcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_4, S0=>ircount_8, S1=>ircount_9, + COUT=>co4_4); + + r_ctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_10, A1=>rcount_11, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_4, S0=>ircount_10, S1=>ircount_11, + COUT=>co5_4); + + r_ctr_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_12, A1=>rcount_13, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_4, S0=>ircount_12, S1=>ircount_13, + COUT=>co6_4); + + r_ctr_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_14, A1=>rcount_15, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co6_4, S0=>ircount_14, S1=>ircount_15, + COUT=>co7_2); + + mux_35: MUX161 + port map (D0=>mdout1_0_0, D1=>mdout1_1_0, D2=>mdout1_2_0, + D3=>mdout1_3_0, D4=>mdout1_4_0, D5=>mdout1_5_0, + D6=>mdout1_6_0, D7=>mdout1_7_0, D8=>mdout1_8_0, + D9=>mdout1_9_0, D10=>mdout1_10_0, D11=>mdout1_11_0, + D12=>mdout1_12_0, D13=>mdout1_13_0, D14=>mdout1_14_0, + D15=>mdout1_15_0, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(0)); + + mux_34: MUX161 + port map (D0=>mdout1_0_1, D1=>mdout1_1_1, D2=>mdout1_2_1, + D3=>mdout1_3_1, D4=>mdout1_4_1, D5=>mdout1_5_1, + D6=>mdout1_6_1, D7=>mdout1_7_1, D8=>mdout1_8_1, + D9=>mdout1_9_1, D10=>mdout1_10_1, D11=>mdout1_11_1, + D12=>mdout1_12_1, D13=>mdout1_13_1, D14=>mdout1_14_1, + D15=>mdout1_15_1, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(1)); + + mux_33: MUX161 + port map (D0=>mdout1_0_2, D1=>mdout1_1_2, D2=>mdout1_2_2, + D3=>mdout1_3_2, D4=>mdout1_4_2, D5=>mdout1_5_2, + D6=>mdout1_6_2, D7=>mdout1_7_2, D8=>mdout1_8_2, + D9=>mdout1_9_2, D10=>mdout1_10_2, D11=>mdout1_11_2, + D12=>mdout1_12_2, D13=>mdout1_13_2, D14=>mdout1_14_2, + D15=>mdout1_15_2, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(2)); + + mux_32: MUX161 + port map (D0=>mdout1_0_3, D1=>mdout1_1_3, D2=>mdout1_2_3, + D3=>mdout1_3_3, D4=>mdout1_4_3, D5=>mdout1_5_3, + D6=>mdout1_6_3, D7=>mdout1_7_3, D8=>mdout1_8_3, + D9=>mdout1_9_3, D10=>mdout1_10_3, D11=>mdout1_11_3, + D12=>mdout1_12_3, D13=>mdout1_13_3, D14=>mdout1_14_3, + D15=>mdout1_15_3, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(3)); + + mux_31: MUX161 + port map (D0=>mdout1_0_4, D1=>mdout1_1_4, D2=>mdout1_2_4, + D3=>mdout1_3_4, D4=>mdout1_4_4, D5=>mdout1_5_4, + D6=>mdout1_6_4, D7=>mdout1_7_4, D8=>mdout1_8_4, + D9=>mdout1_9_4, D10=>mdout1_10_4, D11=>mdout1_11_4, + D12=>mdout1_12_4, D13=>mdout1_13_4, D14=>mdout1_14_4, + D15=>mdout1_15_4, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(4)); + + mux_30: MUX161 + port map (D0=>mdout1_0_5, D1=>mdout1_1_5, D2=>mdout1_2_5, + D3=>mdout1_3_5, D4=>mdout1_4_5, D5=>mdout1_5_5, + D6=>mdout1_6_5, D7=>mdout1_7_5, D8=>mdout1_8_5, + D9=>mdout1_9_5, D10=>mdout1_10_5, D11=>mdout1_11_5, + D12=>mdout1_12_5, D13=>mdout1_13_5, D14=>mdout1_14_5, + D15=>mdout1_15_5, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(5)); + + mux_29: MUX161 + port map (D0=>mdout1_0_6, D1=>mdout1_1_6, D2=>mdout1_2_6, + D3=>mdout1_3_6, D4=>mdout1_4_6, D5=>mdout1_5_6, + D6=>mdout1_6_6, D7=>mdout1_7_6, D8=>mdout1_8_6, + D9=>mdout1_9_6, D10=>mdout1_10_6, D11=>mdout1_11_6, + D12=>mdout1_12_6, D13=>mdout1_13_6, D14=>mdout1_14_6, + D15=>mdout1_15_6, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(6)); + + mux_28: MUX161 + port map (D0=>mdout1_0_7, D1=>mdout1_1_7, D2=>mdout1_2_7, + D3=>mdout1_3_7, D4=>mdout1_4_7, D5=>mdout1_5_7, + D6=>mdout1_6_7, D7=>mdout1_7_7, D8=>mdout1_8_7, + D9=>mdout1_9_7, D10=>mdout1_10_7, D11=>mdout1_11_7, + D12=>mdout1_12_7, D13=>mdout1_13_7, D14=>mdout1_14_7, + D15=>mdout1_15_7, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(7)); + + mux_27: MUX161 + port map (D0=>mdout1_0_8, D1=>mdout1_1_8, D2=>mdout1_2_8, + D3=>mdout1_3_8, D4=>mdout1_4_8, D5=>mdout1_5_8, + D6=>mdout1_6_8, D7=>mdout1_7_8, D8=>mdout1_8_8, + D9=>mdout1_9_8, D10=>mdout1_10_8, D11=>mdout1_11_8, + D12=>mdout1_12_8, D13=>mdout1_13_8, D14=>mdout1_14_8, + D15=>mdout1_15_8, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(8)); + + mux_26: MUX161 + port map (D0=>mdout1_0_9, D1=>mdout1_1_9, D2=>mdout1_2_9, + D3=>mdout1_3_9, D4=>mdout1_4_9, D5=>mdout1_5_9, + D6=>mdout1_6_9, D7=>mdout1_7_9, D8=>mdout1_8_9, + D9=>mdout1_9_9, D10=>mdout1_10_9, D11=>mdout1_11_9, + D12=>mdout1_12_9, D13=>mdout1_13_9, D14=>mdout1_14_9, + D15=>mdout1_15_9, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(9)); + + mux_25: MUX161 + port map (D0=>mdout1_0_10, D1=>mdout1_1_10, D2=>mdout1_2_10, + D3=>mdout1_3_10, D4=>mdout1_4_10, D5=>mdout1_5_10, + D6=>mdout1_6_10, D7=>mdout1_7_10, D8=>mdout1_8_10, + D9=>mdout1_9_10, D10=>mdout1_10_10, D11=>mdout1_11_10, + D12=>mdout1_12_10, D13=>mdout1_13_10, D14=>mdout1_14_10, + D15=>mdout1_15_10, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(10)); + + mux_24: MUX161 + port map (D0=>mdout1_0_11, D1=>mdout1_1_11, D2=>mdout1_2_11, + D3=>mdout1_3_11, D4=>mdout1_4_11, D5=>mdout1_5_11, + D6=>mdout1_6_11, D7=>mdout1_7_11, D8=>mdout1_8_11, + D9=>mdout1_9_11, D10=>mdout1_10_11, D11=>mdout1_11_11, + D12=>mdout1_12_11, D13=>mdout1_13_11, D14=>mdout1_14_11, + D15=>mdout1_15_11, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(11)); + + mux_23: MUX161 + port map (D0=>mdout1_0_12, D1=>mdout1_1_12, D2=>mdout1_2_12, + D3=>mdout1_3_12, D4=>mdout1_4_12, D5=>mdout1_5_12, + D6=>mdout1_6_12, D7=>mdout1_7_12, D8=>mdout1_8_12, + D9=>mdout1_9_12, D10=>mdout1_10_12, D11=>mdout1_11_12, + D12=>mdout1_12_12, D13=>mdout1_13_12, D14=>mdout1_14_12, + D15=>mdout1_15_12, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(12)); + + mux_22: MUX161 + port map (D0=>mdout1_0_13, D1=>mdout1_1_13, D2=>mdout1_2_13, + D3=>mdout1_3_13, D4=>mdout1_4_13, D5=>mdout1_5_13, + D6=>mdout1_6_13, D7=>mdout1_7_13, D8=>mdout1_8_13, + D9=>mdout1_9_13, D10=>mdout1_10_13, D11=>mdout1_11_13, + D12=>mdout1_12_13, D13=>mdout1_13_13, D14=>mdout1_14_13, + D15=>mdout1_15_13, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(13)); + + mux_21: MUX161 + port map (D0=>mdout1_0_14, D1=>mdout1_1_14, D2=>mdout1_2_14, + D3=>mdout1_3_14, D4=>mdout1_4_14, D5=>mdout1_5_14, + D6=>mdout1_6_14, D7=>mdout1_7_14, D8=>mdout1_8_14, + D9=>mdout1_9_14, D10=>mdout1_10_14, D11=>mdout1_11_14, + D12=>mdout1_12_14, D13=>mdout1_13_14, D14=>mdout1_14_14, + D15=>mdout1_15_14, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(14)); + + mux_20: MUX161 + port map (D0=>mdout1_0_15, D1=>mdout1_1_15, D2=>mdout1_2_15, + D3=>mdout1_3_15, D4=>mdout1_4_15, D5=>mdout1_5_15, + D6=>mdout1_6_15, D7=>mdout1_7_15, D8=>mdout1_8_15, + D9=>mdout1_9_15, D10=>mdout1_10_15, D11=>mdout1_11_15, + D12=>mdout1_12_15, D13=>mdout1_13_15, D14=>mdout1_14_15, + D15=>mdout1_15_15, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(15)); + + mux_19: MUX161 + port map (D0=>mdout1_0_16, D1=>mdout1_1_16, D2=>mdout1_2_16, + D3=>mdout1_3_16, D4=>mdout1_4_16, D5=>mdout1_5_16, + D6=>mdout1_6_16, D7=>mdout1_7_16, D8=>mdout1_8_16, + D9=>mdout1_9_16, D10=>mdout1_10_16, D11=>mdout1_11_16, + D12=>mdout1_12_16, D13=>mdout1_13_16, D14=>mdout1_14_16, + D15=>mdout1_15_16, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(16)); + + mux_18: MUX161 + port map (D0=>mdout1_0_17, D1=>mdout1_1_17, D2=>mdout1_2_17, + D3=>mdout1_3_17, D4=>mdout1_4_17, D5=>mdout1_5_17, + D6=>mdout1_6_17, D7=>mdout1_7_17, D8=>mdout1_8_17, + D9=>mdout1_9_17, D10=>mdout1_10_17, D11=>mdout1_11_17, + D12=>mdout1_12_17, D13=>mdout1_13_17, D14=>mdout1_14_17, + D15=>mdout1_15_17, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(17)); + + mux_17: MUX161 + port map (D0=>mdout1_0_18, D1=>mdout1_1_18, D2=>mdout1_2_18, + D3=>mdout1_3_18, D4=>mdout1_4_18, D5=>mdout1_5_18, + D6=>mdout1_6_18, D7=>mdout1_7_18, D8=>mdout1_8_18, + D9=>mdout1_9_18, D10=>mdout1_10_18, D11=>mdout1_11_18, + D12=>mdout1_12_18, D13=>mdout1_13_18, D14=>mdout1_14_18, + D15=>mdout1_15_18, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(18)); + + mux_16: MUX161 + port map (D0=>mdout1_0_19, D1=>mdout1_1_19, D2=>mdout1_2_19, + D3=>mdout1_3_19, D4=>mdout1_4_19, D5=>mdout1_5_19, + D6=>mdout1_6_19, D7=>mdout1_7_19, D8=>mdout1_8_19, + D9=>mdout1_9_19, D10=>mdout1_10_19, D11=>mdout1_11_19, + D12=>mdout1_12_19, D13=>mdout1_13_19, D14=>mdout1_14_19, + D15=>mdout1_15_19, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(19)); + + mux_15: MUX161 + port map (D0=>mdout1_0_20, D1=>mdout1_1_20, D2=>mdout1_2_20, + D3=>mdout1_3_20, D4=>mdout1_4_20, D5=>mdout1_5_20, + D6=>mdout1_6_20, D7=>mdout1_7_20, D8=>mdout1_8_20, + D9=>mdout1_9_20, D10=>mdout1_10_20, D11=>mdout1_11_20, + D12=>mdout1_12_20, D13=>mdout1_13_20, D14=>mdout1_14_20, + D15=>mdout1_15_20, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(20)); + + mux_14: MUX161 + port map (D0=>mdout1_0_21, D1=>mdout1_1_21, D2=>mdout1_2_21, + D3=>mdout1_3_21, D4=>mdout1_4_21, D5=>mdout1_5_21, + D6=>mdout1_6_21, D7=>mdout1_7_21, D8=>mdout1_8_21, + D9=>mdout1_9_21, D10=>mdout1_10_21, D11=>mdout1_11_21, + D12=>mdout1_12_21, D13=>mdout1_13_21, D14=>mdout1_14_21, + D15=>mdout1_15_21, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(21)); + + mux_13: MUX161 + port map (D0=>mdout1_0_22, D1=>mdout1_1_22, D2=>mdout1_2_22, + D3=>mdout1_3_22, D4=>mdout1_4_22, D5=>mdout1_5_22, + D6=>mdout1_6_22, D7=>mdout1_7_22, D8=>mdout1_8_22, + D9=>mdout1_9_22, D10=>mdout1_10_22, D11=>mdout1_11_22, + D12=>mdout1_12_22, D13=>mdout1_13_22, D14=>mdout1_14_22, + D15=>mdout1_15_22, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(22)); + + mux_12: MUX161 + port map (D0=>mdout1_0_23, D1=>mdout1_1_23, D2=>mdout1_2_23, + D3=>mdout1_3_23, D4=>mdout1_4_23, D5=>mdout1_5_23, + D6=>mdout1_6_23, D7=>mdout1_7_23, D8=>mdout1_8_23, + D9=>mdout1_9_23, D10=>mdout1_10_23, D11=>mdout1_11_23, + D12=>mdout1_12_23, D13=>mdout1_13_23, D14=>mdout1_14_23, + D15=>mdout1_15_23, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(23)); + + mux_11: MUX161 + port map (D0=>mdout1_0_24, D1=>mdout1_1_24, D2=>mdout1_2_24, + D3=>mdout1_3_24, D4=>mdout1_4_24, D5=>mdout1_5_24, + D6=>mdout1_6_24, D7=>mdout1_7_24, D8=>mdout1_8_24, + D9=>mdout1_9_24, D10=>mdout1_10_24, D11=>mdout1_11_24, + D12=>mdout1_12_24, D13=>mdout1_13_24, D14=>mdout1_14_24, + D15=>mdout1_15_24, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(24)); + + mux_10: MUX161 + port map (D0=>mdout1_0_25, D1=>mdout1_1_25, D2=>mdout1_2_25, + D3=>mdout1_3_25, D4=>mdout1_4_25, D5=>mdout1_5_25, + D6=>mdout1_6_25, D7=>mdout1_7_25, D8=>mdout1_8_25, + D9=>mdout1_9_25, D10=>mdout1_10_25, D11=>mdout1_11_25, + D12=>mdout1_12_25, D13=>mdout1_13_25, D14=>mdout1_14_25, + D15=>mdout1_15_25, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(25)); + + mux_9: MUX161 + port map (D0=>mdout1_0_26, D1=>mdout1_1_26, D2=>mdout1_2_26, + D3=>mdout1_3_26, D4=>mdout1_4_26, D5=>mdout1_5_26, + D6=>mdout1_6_26, D7=>mdout1_7_26, D8=>mdout1_8_26, + D9=>mdout1_9_26, D10=>mdout1_10_26, D11=>mdout1_11_26, + D12=>mdout1_12_26, D13=>mdout1_13_26, D14=>mdout1_14_26, + D15=>mdout1_15_26, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(26)); + + mux_8: MUX161 + port map (D0=>mdout1_0_27, D1=>mdout1_1_27, D2=>mdout1_2_27, + D3=>mdout1_3_27, D4=>mdout1_4_27, D5=>mdout1_5_27, + D6=>mdout1_6_27, D7=>mdout1_7_27, D8=>mdout1_8_27, + D9=>mdout1_9_27, D10=>mdout1_10_27, D11=>mdout1_11_27, + D12=>mdout1_12_27, D13=>mdout1_13_27, D14=>mdout1_14_27, + D15=>mdout1_15_27, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(27)); + + mux_7: MUX161 + port map (D0=>mdout1_0_28, D1=>mdout1_1_28, D2=>mdout1_2_28, + D3=>mdout1_3_28, D4=>mdout1_4_28, D5=>mdout1_5_28, + D6=>mdout1_6_28, D7=>mdout1_7_28, D8=>mdout1_8_28, + D9=>mdout1_9_28, D10=>mdout1_10_28, D11=>mdout1_11_28, + D12=>mdout1_12_28, D13=>mdout1_13_28, D14=>mdout1_14_28, + D15=>mdout1_15_28, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(28)); + + mux_6: MUX161 + port map (D0=>mdout1_0_29, D1=>mdout1_1_29, D2=>mdout1_2_29, + D3=>mdout1_3_29, D4=>mdout1_4_29, D5=>mdout1_5_29, + D6=>mdout1_6_29, D7=>mdout1_7_29, D8=>mdout1_8_29, + D9=>mdout1_9_29, D10=>mdout1_10_29, D11=>mdout1_11_29, + D12=>mdout1_12_29, D13=>mdout1_13_29, D14=>mdout1_14_29, + D15=>mdout1_15_29, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(29)); + + mux_5: MUX161 + port map (D0=>mdout1_0_30, D1=>mdout1_1_30, D2=>mdout1_2_30, + D3=>mdout1_3_30, D4=>mdout1_4_30, D5=>mdout1_5_30, + D6=>mdout1_6_30, D7=>mdout1_7_30, D8=>mdout1_8_30, + D9=>mdout1_9_30, D10=>mdout1_10_30, D11=>mdout1_11_30, + D12=>mdout1_12_30, D13=>mdout1_13_30, D14=>mdout1_14_30, + D15=>mdout1_15_30, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(30)); + + mux_4: MUX161 + port map (D0=>mdout1_0_31, D1=>mdout1_1_31, D2=>mdout1_2_31, + D3=>mdout1_3_31, D4=>mdout1_4_31, D5=>mdout1_5_31, + D6=>mdout1_6_31, D7=>mdout1_7_31, D8=>mdout1_8_31, + D9=>mdout1_9_31, D10=>mdout1_10_31, D11=>mdout1_11_31, + D12=>mdout1_12_31, D13=>mdout1_13_31, D14=>mdout1_14_31, + D15=>mdout1_15_31, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(31)); + + mux_3: MUX161 + port map (D0=>mdout1_0_32, D1=>mdout1_1_32, D2=>mdout1_2_32, + D3=>mdout1_3_32, D4=>mdout1_4_32, D5=>mdout1_5_32, + D6=>mdout1_6_32, D7=>mdout1_7_32, D8=>mdout1_8_32, + D9=>mdout1_9_32, D10=>mdout1_10_32, D11=>mdout1_11_32, + D12=>mdout1_12_32, D13=>mdout1_13_32, D14=>mdout1_14_32, + D15=>mdout1_15_32, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(32)); + + mux_2: MUX161 + port map (D0=>mdout1_0_33, D1=>mdout1_1_33, D2=>mdout1_2_33, + D3=>mdout1_3_33, D4=>mdout1_4_33, D5=>mdout1_5_33, + D6=>mdout1_6_33, D7=>mdout1_7_33, D8=>mdout1_8_33, + D9=>mdout1_9_33, D10=>mdout1_10_33, D11=>mdout1_11_33, + D12=>mdout1_12_33, D13=>mdout1_13_33, D14=>mdout1_14_33, + D15=>mdout1_15_33, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(33)); + + mux_1: MUX161 + port map (D0=>mdout1_0_34, D1=>mdout1_1_34, D2=>mdout1_2_34, + D3=>mdout1_3_34, D4=>mdout1_4_34, D5=>mdout1_5_34, + D6=>mdout1_6_34, D7=>mdout1_7_34, D8=>mdout1_8_34, + D9=>mdout1_9_34, D10=>mdout1_10_34, D11=>mdout1_11_34, + D12=>mdout1_12_34, D13=>mdout1_13_34, D14=>mdout1_14_34, + D15=>mdout1_15_34, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(34)); + + mux_0: MUX161 + port map (D0=>mdout1_0_35, D1=>mdout1_1_35, D2=>mdout1_2_35, + D3=>mdout1_3_35, D4=>mdout1_4_35, D5=>mdout1_5_35, + D6=>mdout1_6_35, D7=>mdout1_7_35, D8=>mdout1_8_35, + D9=>mdout1_9_35, D10=>mdout1_10_35, D11=>mdout1_11_35, + D12=>mdout1_12_35, D13=>mdout1_13_35, D14=>mdout1_14_35, + D15=>mdout1_15_35, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + SD3=>rptr_13_ff2, SD4=>rptr_14_ff2, Z=>Q(35)); + + precin_inst1073: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"0000", + INIT0=> X"0000") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>precin); + + wcnt_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>cnt_con, A1=>wcount_0, B0=>cnt_con_inv, B1=>rptr_0, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>precin, S0=>open, S1=>wcnt_sub_0, COUT=>co0_5); + + wcnt_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_1, A1=>wcount_2, B0=>rptr_1, B1=>rptr_2, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_5, S0=>wcnt_sub_1, S1=>wcnt_sub_2, COUT=>co1_5); + + wcnt_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_3, A1=>wcount_4, B0=>rptr_3, B1=>rptr_4, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_5, S0=>wcnt_sub_3, S1=>wcnt_sub_4, COUT=>co2_5); + + wcnt_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_5, A1=>wcount_6, B0=>rptr_5, B1=>rptr_6, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_5, S0=>wcnt_sub_5, S1=>wcnt_sub_6, COUT=>co3_5); + + wcnt_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_7, A1=>wcount_8, B0=>rptr_7, B1=>rptr_8, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_5, S0=>wcnt_sub_7, S1=>wcnt_sub_8, COUT=>co4_5); + + wcnt_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_9, A1=>wcount_10, B0=>rptr_9, B1=>rptr_10, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4_5, S0=>wcnt_sub_9, S1=>wcnt_sub_10, COUT=>co5_5); + + wcnt_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_11, A1=>wcount_12, B0=>rptr_11, B1=>rptr_12, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co5_5, S0=>wcnt_sub_11, S1=>wcnt_sub_12, COUT=>co6_5); + + wcnt_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_13, A1=>wcount_14, B0=>rptr_13, B1=>rptr_14, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co6_5, S0=>wcnt_sub_13, S1=>wcnt_sub_14, COUT=>co7_3); + + wcnt_8: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_sub_msb, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co7_3, S0=>wcnt_sub_15, S1=>open, + COUT=>open); + + af_set_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>wren_i, B0=>scuba_vlo, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_2); + + af_set_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_0, A1=>wcnt_reg_1, B0=>AmFullThresh(0), + B1=>AmFullThresh(1), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>cmp_ci_2, S0=>open, + S1=>open, COUT=>co0_6); + + af_set_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_2, A1=>wcnt_reg_3, B0=>AmFullThresh(2), + B1=>AmFullThresh(3), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co0_6, S0=>open, S1=>open, + COUT=>co1_6); + + af_set_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_4, A1=>wcnt_reg_5, B0=>AmFullThresh(4), + B1=>AmFullThresh(5), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co1_6, S0=>open, S1=>open, + COUT=>co2_6); + + af_set_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_6, A1=>wcnt_reg_7, B0=>AmFullThresh(6), + B1=>AmFullThresh(7), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co2_6, S0=>open, S1=>open, + COUT=>co3_6); + + af_set_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_8, A1=>wcnt_reg_9, B0=>AmFullThresh(8), + B1=>AmFullThresh(9), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co3_6, S0=>open, S1=>open, + COUT=>co4_6); + + af_set_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_10, A1=>wcnt_reg_11, B0=>AmFullThresh(10), + B1=>AmFullThresh(11), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co4_6, S0=>open, S1=>open, + COUT=>co5_6); + + af_set_cmp_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_12, A1=>wcnt_reg_13, B0=>AmFullThresh(12), + B1=>AmFullThresh(13), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co5_6, S0=>open, S1=>open, + COUT=>co6_6); + + af_set_cmp_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_14, A1=>wcnt_reg_15, B0=>AmFullThresh(14), + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co6_6, S0=>open, S1=>open, + COUT=>af_set_c); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + a2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>af_set_c, S0=>af_set, S1=>open, + COUT=>open); + + WCNT(0) <= fcount_0; + WCNT(1) <= fcount_1; + WCNT(2) <= fcount_2; + WCNT(3) <= fcount_3; + WCNT(4) <= fcount_4; + WCNT(5) <= fcount_5; + WCNT(6) <= fcount_6; + WCNT(7) <= fcount_7; + WCNT(8) <= fcount_8; + WCNT(9) <= fcount_9; + WCNT(10) <= fcount_10; + WCNT(11) <= fcount_11; + WCNT(12) <= fcount_12; + WCNT(13) <= fcount_13; + WCNT(14) <= fcount_14; + WCNT(15) <= fcount_15; + Empty <= empty_i; + Full <= full_i; +end Structure; diff --git a/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg_ngd.asd b/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg_ngd.asd new file mode 100644 index 0000000..c265c78 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x32k_oreg/fifo_36x32k_oreg_ngd.asd @@ -0,0 +1 @@ +[ActiveSupport NGD] diff --git a/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.cst b/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.cst new file mode 100644 index 0000000..4204e1d --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.cst @@ -0,0 +1,3 @@ +Date=03/18/2015 +Time=14:41:29 + diff --git a/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.edn b/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.edn new file mode 100644 index 0000000..8bd1ced --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.edn @@ -0,0 +1,4721 @@ +(edif fifo_36x4k_oreg + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timestamp 2015 3 18 14 41 31) + (program "SCUBA" (version "Diamond (64-bit) 3.4.0.80")))) + (comment "/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n fifo_36x4k_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 4096 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill -fdc /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.fdc ") + (library ORCLIB + (edifLevel 0) + (technology + (numberDefinition)) + (cell CCU2C + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A0 + (direction INPUT)) + (port A1 + (direction INPUT)) + (port B0 + (direction INPUT)) + (port B1 + (direction INPUT)) + (port C0 + (direction INPUT)) + (port C1 + (direction INPUT)) + (port D0 + (direction INPUT)) + (port D1 + (direction INPUT)) + (port CIN + (direction INPUT)) + (port S0 + (direction OUTPUT)) + (port S1 + (direction OUTPUT)) + (port COUT + (direction OUTPUT))))) + (cell AND2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell FD1P3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1P3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell INV + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell MUX21 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D0 + (direction INPUT)) + (port D1 + (direction INPUT)) + (port SD + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell ROM16X1A + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port AD3 + (direction INPUT)) + (port AD2 + (direction INPUT)) + (port AD1 + (direction INPUT)) + (port AD0 + (direction INPUT)) + (port DO0 + (direction OUTPUT))))) + (cell VHI + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell VLO + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell XOR2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell DP16KD + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port DIA17 + (direction INPUT)) + (port DIA16 + (direction INPUT)) + (port DIA15 + (direction INPUT)) + (port DIA14 + (direction INPUT)) + (port DIA13 + (direction INPUT)) + (port DIA12 + (direction INPUT)) + (port DIA11 + (direction INPUT)) + (port DIA10 + (direction INPUT)) + (port DIA9 + (direction INPUT)) + (port DIA8 + (direction INPUT)) + (port DIA7 + (direction INPUT)) + (port DIA6 + (direction INPUT)) + (port DIA5 + (direction INPUT)) + (port DIA4 + (direction INPUT)) + (port DIA3 + (direction INPUT)) + (port DIA2 + (direction INPUT)) + (port DIA1 + (direction INPUT)) + (port DIA0 + (direction INPUT)) + (port ADA13 + (direction INPUT)) + (port ADA12 + (direction INPUT)) + (port ADA11 + (direction INPUT)) + (port ADA10 + (direction INPUT)) + (port ADA9 + (direction INPUT)) + (port ADA8 + (direction INPUT)) + (port ADA7 + (direction INPUT)) + (port ADA6 + (direction INPUT)) + (port ADA5 + (direction INPUT)) + (port ADA4 + (direction INPUT)) + (port ADA3 + (direction INPUT)) + (port ADA2 + (direction INPUT)) + (port ADA1 + (direction INPUT)) + (port ADA0 + (direction INPUT)) + (port CEA + (direction INPUT)) + (port OCEA + (direction INPUT)) + (port CLKA + (direction INPUT)) + (port WEA + (direction INPUT)) + (port CSA2 + (direction INPUT)) + (port CSA1 + (direction INPUT)) + (port CSA0 + (direction INPUT)) + (port RSTA + (direction INPUT)) + (port DIB17 + (direction INPUT)) + (port DIB16 + (direction INPUT)) + (port DIB15 + (direction INPUT)) + (port DIB14 + (direction INPUT)) + (port DIB13 + (direction INPUT)) + (port DIB12 + (direction INPUT)) + (port DIB11 + (direction INPUT)) + (port DIB10 + (direction INPUT)) + (port DIB9 + (direction INPUT)) + (port DIB8 + (direction INPUT)) + (port DIB7 + (direction INPUT)) + (port DIB6 + (direction INPUT)) + (port DIB5 + (direction INPUT)) + (port DIB4 + (direction INPUT)) + (port DIB3 + (direction INPUT)) + (port DIB2 + (direction INPUT)) + (port DIB1 + (direction INPUT)) + (port DIB0 + (direction INPUT)) + (port ADB13 + (direction INPUT)) + (port ADB12 + (direction INPUT)) + (port ADB11 + (direction INPUT)) + (port ADB10 + (direction INPUT)) + (port ADB9 + (direction INPUT)) + (port ADB8 + (direction INPUT)) + (port ADB7 + (direction INPUT)) + (port ADB6 + (direction INPUT)) + (port ADB5 + (direction INPUT)) + (port ADB4 + (direction INPUT)) + (port ADB3 + (direction INPUT)) + (port ADB2 + (direction INPUT)) + (port ADB1 + (direction INPUT)) + (port ADB0 + (direction INPUT)) + (port CEB + (direction INPUT)) + (port OCEB + (direction INPUT)) + (port CLKB + (direction INPUT)) + (port WEB + (direction INPUT)) + (port CSB2 + (direction INPUT)) + (port CSB1 + (direction INPUT)) + (port CSB0 + (direction INPUT)) + (port RSTB + (direction INPUT)) + (port DOA17 + (direction OUTPUT)) + (port DOA16 + (direction OUTPUT)) + (port DOA15 + (direction OUTPUT)) + (port DOA14 + (direction OUTPUT)) + (port DOA13 + (direction OUTPUT)) + (port DOA12 + (direction OUTPUT)) + (port DOA11 + (direction OUTPUT)) + (port DOA10 + (direction OUTPUT)) + (port DOA9 + (direction OUTPUT)) + (port DOA8 + (direction OUTPUT)) + (port DOA7 + (direction OUTPUT)) + (port DOA6 + (direction OUTPUT)) + (port DOA5 + (direction OUTPUT)) + (port DOA4 + (direction OUTPUT)) + (port DOA3 + (direction OUTPUT)) + (port DOA2 + (direction OUTPUT)) + (port DOA1 + (direction OUTPUT)) + (port DOA0 + (direction OUTPUT)) + (port DOB17 + (direction OUTPUT)) + (port DOB16 + (direction OUTPUT)) + (port DOB15 + (direction OUTPUT)) + (port DOB14 + (direction OUTPUT)) + (port DOB13 + (direction OUTPUT)) + (port DOB12 + (direction OUTPUT)) + (port DOB11 + (direction OUTPUT)) + (port DOB10 + (direction OUTPUT)) + (port DOB9 + (direction OUTPUT)) + (port DOB8 + (direction OUTPUT)) + (port DOB7 + (direction OUTPUT)) + (port DOB6 + (direction OUTPUT)) + (port DOB5 + (direction OUTPUT)) + (port DOB4 + (direction OUTPUT)) + (port DOB3 + (direction OUTPUT)) + (port DOB2 + (direction OUTPUT)) + (port DOB1 + (direction OUTPUT)) + (port DOB0 + (direction OUTPUT))))) + (cell fifo_36x4k_oreg + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port (array (rename Data "Data(35:0)") 36) + (direction INPUT)) + (port Clock + (direction INPUT)) + (port WrEn + (direction INPUT)) + (port RdEn + (direction INPUT)) + (port Reset + (direction INPUT)) + (port (array (rename AmFullThresh "AmFullThresh(11:0)") 12) + (direction INPUT)) + (port (array (rename Q "Q(35:0)") 36) + (direction OUTPUT)) + (port (array (rename WCNT "WCNT(12:0)") 13) + (direction OUTPUT)) + (port Empty + (direction OUTPUT)) + (port Full + (direction OUTPUT)) + (port AlmostFull + (direction OUTPUT))) + (property NGD_DRC_MASK (integer 1)) + (contents + (instance AND2_t5 + (viewRef view1 + (cellRef AND2))) + (instance INV_5 + (viewRef view1 + (cellRef INV))) + (instance AND2_t4 + (viewRef view1 + (cellRef AND2))) + (instance INV_4 + (viewRef view1 + (cellRef INV))) + (instance AND2_t3 + (viewRef view1 + (cellRef AND2))) + (instance XOR2_t2 + (viewRef view1 + (cellRef XOR2))) + (instance INV_3 + (viewRef view1 + (cellRef INV))) + (instance INV_2 + (viewRef view1 + (cellRef INV))) + (instance LUT4_1 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance LUT4_0 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance AND2_t1 + (viewRef view1 + (cellRef AND2))) + (instance INV_1 + (viewRef view1 + (cellRef INV))) + (instance XOR2_t0 + (viewRef view1 + (cellRef XOR2))) + (instance INV_0 + (viewRef view1 + (cellRef INV))) + (instance pdp_ram_0_0_7 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x4k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_1_6 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x4k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_2_5 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x4k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_3_4 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x4k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_0_3 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x4k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_1_2 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x4k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_2_1 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x4k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_3_0 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x4k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance FF_82 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_81 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_80 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_79 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_78 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_77 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_76 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_75 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_74 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_73 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_72 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_71 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_70 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_69 + (viewRef view1 + (cellRef FD1S3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_68 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_67 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_66 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_65 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_64 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_63 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_62 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_61 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_60 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_59 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_58 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_57 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_56 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_55 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_54 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_53 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_52 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_51 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_50 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_49 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_48 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_47 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_46 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_45 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_44 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_43 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_42 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_41 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_40 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_39 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_38 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_37 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_36 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_35 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_34 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_33 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_32 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_31 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_30 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_29 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_28 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_27 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_26 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_25 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_24 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_23 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_22 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_21 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_20 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_19 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_18 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_17 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_16 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_15 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_14 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_13 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_12 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_11 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_10 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_9 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_8 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_7 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_6 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_5 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_4 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_3 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_2 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_1 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_0 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance bdcnt_bctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance bdcnt_bctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance e_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance mux_35 + (viewRef view1 + (cellRef MUX21))) + (instance mux_34 + (viewRef view1 + (cellRef MUX21))) + (instance mux_33 + (viewRef view1 + (cellRef MUX21))) + (instance mux_32 + (viewRef view1 + (cellRef MUX21))) + (instance mux_31 + (viewRef view1 + (cellRef MUX21))) + (instance mux_30 + (viewRef view1 + (cellRef MUX21))) + (instance mux_29 + (viewRef view1 + (cellRef MUX21))) + (instance mux_28 + (viewRef view1 + (cellRef MUX21))) + (instance mux_27 + (viewRef view1 + (cellRef MUX21))) + (instance mux_26 + (viewRef view1 + (cellRef MUX21))) + (instance mux_25 + (viewRef view1 + (cellRef MUX21))) + (instance mux_24 + (viewRef view1 + (cellRef MUX21))) + (instance mux_23 + (viewRef view1 + (cellRef MUX21))) + (instance mux_22 + (viewRef view1 + (cellRef MUX21))) + (instance mux_21 + (viewRef view1 + (cellRef MUX21))) + (instance mux_20 + (viewRef view1 + (cellRef MUX21))) + (instance mux_19 + (viewRef view1 + (cellRef MUX21))) + (instance mux_18 + (viewRef view1 + (cellRef MUX21))) + (instance mux_17 + (viewRef view1 + (cellRef MUX21))) + (instance mux_16 + (viewRef view1 + (cellRef MUX21))) + (instance mux_15 + (viewRef view1 + (cellRef MUX21))) + (instance mux_14 + (viewRef view1 + (cellRef MUX21))) + (instance mux_13 + (viewRef view1 + (cellRef MUX21))) + (instance mux_12 + (viewRef view1 + (cellRef MUX21))) + (instance mux_11 + (viewRef view1 + (cellRef MUX21))) + (instance mux_10 + (viewRef view1 + (cellRef MUX21))) + (instance mux_9 + (viewRef view1 + (cellRef MUX21))) + (instance mux_8 + (viewRef view1 + (cellRef MUX21))) + (instance mux_7 + (viewRef view1 + (cellRef MUX21))) + (instance mux_6 + (viewRef view1 + (cellRef MUX21))) + (instance mux_5 + (viewRef view1 + (cellRef MUX21))) + (instance mux_4 + (viewRef view1 + (cellRef MUX21))) + (instance mux_3 + (viewRef view1 + (cellRef MUX21))) + (instance mux_2 + (viewRef view1 + (cellRef MUX21))) + (instance mux_1 + (viewRef view1 + (cellRef MUX21))) + (instance mux_0 + (viewRef view1 + (cellRef MUX21))) + (instance precin_inst389 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x0000")) + (property INIT0 + (string "0x0000"))) + (instance wcnt_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcntd + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance af_set_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance af_set_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance scuba_vhi_inst + (viewRef view1 + (cellRef VHI))) + (instance scuba_vlo_inst + (viewRef view1 + (cellRef VLO))) + (instance a2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (net invout_2 + (joined + (portRef Z (instanceRef INV_5)) + (portRef B (instanceRef AND2_t5)))) + (net invout_1 + (joined + (portRef Z (instanceRef INV_4)) + (portRef B (instanceRef AND2_t4)))) + (net rden_i_inv + (joined + (portRef Z (instanceRef INV_3)) + (portRef B (instanceRef AND2_t3)))) + (net invout_0 + (joined + (portRef Z (instanceRef INV_1)) + (portRef B (instanceRef AND2_t1)))) + (net r_nw + (joined + (portRef Z (instanceRef AND2_t1)))) + (net fcnt_en + (joined + (portRef SP (instanceRef FF_70)) + (portRef Z (instanceRef XOR2_t2)) + (portRef SP (instanceRef FF_82)) + (portRef SP (instanceRef FF_81)) + (portRef SP (instanceRef FF_80)) + (portRef SP (instanceRef FF_79)) + (portRef SP (instanceRef FF_78)) + (portRef SP (instanceRef FF_77)) + (portRef SP (instanceRef FF_76)) + (portRef SP (instanceRef FF_75)) + (portRef SP (instanceRef FF_74)) + (portRef SP (instanceRef FF_73)) + (portRef SP (instanceRef FF_72)) + (portRef SP (instanceRef FF_71)))) + (net empty_d + (joined + (portRef D (instanceRef FF_69)) + (portRef DO0 (instanceRef LUT4_1)))) + (net full_d + (joined + (portRef D (instanceRef FF_68)) + (portRef DO0 (instanceRef LUT4_0)))) + (net wptr_0 + (joined + (portRef Q (instanceRef FF_41)) + (portRef ADA3 (instanceRef pdp_ram_0_0_7)) + (portRef ADA3 (instanceRef pdp_ram_0_1_6)) + (portRef ADA3 (instanceRef pdp_ram_0_2_5)) + (portRef ADA3 (instanceRef pdp_ram_0_3_4)) + (portRef ADA3 (instanceRef pdp_ram_1_0_3)) + (portRef ADA3 (instanceRef pdp_ram_1_1_2)) + (portRef ADA3 (instanceRef pdp_ram_1_2_1)) + (portRef ADA3 (instanceRef pdp_ram_1_3_0)))) + (net wptr_1 + (joined + (portRef Q (instanceRef FF_40)) + (portRef ADA4 (instanceRef pdp_ram_0_0_7)) + (portRef ADA4 (instanceRef pdp_ram_0_1_6)) + (portRef ADA4 (instanceRef pdp_ram_0_2_5)) + (portRef ADA4 (instanceRef pdp_ram_0_3_4)) + (portRef ADA4 (instanceRef pdp_ram_1_0_3)) + (portRef ADA4 (instanceRef pdp_ram_1_1_2)) + (portRef ADA4 (instanceRef pdp_ram_1_2_1)) + (portRef ADA4 (instanceRef pdp_ram_1_3_0)))) + (net wptr_2 + (joined + (portRef Q (instanceRef FF_39)) + (portRef ADA5 (instanceRef pdp_ram_0_0_7)) + (portRef ADA5 (instanceRef pdp_ram_0_1_6)) + (portRef ADA5 (instanceRef pdp_ram_0_2_5)) + (portRef ADA5 (instanceRef pdp_ram_0_3_4)) + (portRef ADA5 (instanceRef pdp_ram_1_0_3)) + (portRef ADA5 (instanceRef pdp_ram_1_1_2)) + (portRef ADA5 (instanceRef pdp_ram_1_2_1)) + (portRef ADA5 (instanceRef pdp_ram_1_3_0)))) + (net wptr_3 + (joined + (portRef Q (instanceRef FF_38)) + (portRef ADA6 (instanceRef pdp_ram_0_0_7)) + (portRef ADA6 (instanceRef pdp_ram_0_1_6)) + (portRef ADA6 (instanceRef pdp_ram_0_2_5)) + (portRef ADA6 (instanceRef pdp_ram_0_3_4)) + (portRef ADA6 (instanceRef pdp_ram_1_0_3)) + (portRef ADA6 (instanceRef pdp_ram_1_1_2)) + (portRef ADA6 (instanceRef pdp_ram_1_2_1)) + (portRef ADA6 (instanceRef pdp_ram_1_3_0)))) + (net wptr_4 + (joined + (portRef Q (instanceRef FF_37)) + (portRef ADA7 (instanceRef pdp_ram_0_0_7)) + (portRef ADA7 (instanceRef pdp_ram_0_1_6)) + (portRef ADA7 (instanceRef pdp_ram_0_2_5)) + (portRef ADA7 (instanceRef pdp_ram_0_3_4)) + (portRef ADA7 (instanceRef pdp_ram_1_0_3)) + (portRef ADA7 (instanceRef pdp_ram_1_1_2)) + (portRef ADA7 (instanceRef pdp_ram_1_2_1)) + (portRef ADA7 (instanceRef pdp_ram_1_3_0)))) + (net wptr_5 + (joined + (portRef Q (instanceRef FF_36)) + (portRef ADA8 (instanceRef pdp_ram_0_0_7)) + (portRef ADA8 (instanceRef pdp_ram_0_1_6)) + (portRef ADA8 (instanceRef pdp_ram_0_2_5)) + (portRef ADA8 (instanceRef pdp_ram_0_3_4)) + (portRef ADA8 (instanceRef pdp_ram_1_0_3)) + (portRef ADA8 (instanceRef pdp_ram_1_1_2)) + (portRef ADA8 (instanceRef pdp_ram_1_2_1)) + (portRef ADA8 (instanceRef pdp_ram_1_3_0)))) + (net wptr_6 + (joined + (portRef Q (instanceRef FF_35)) + (portRef ADA9 (instanceRef pdp_ram_0_0_7)) + (portRef ADA9 (instanceRef pdp_ram_0_1_6)) + (portRef ADA9 (instanceRef pdp_ram_0_2_5)) + (portRef ADA9 (instanceRef pdp_ram_0_3_4)) + (portRef ADA9 (instanceRef pdp_ram_1_0_3)) + (portRef ADA9 (instanceRef pdp_ram_1_1_2)) + (portRef ADA9 (instanceRef pdp_ram_1_2_1)) + (portRef ADA9 (instanceRef pdp_ram_1_3_0)))) + (net wptr_7 + (joined + (portRef Q (instanceRef FF_34)) + (portRef ADA10 (instanceRef pdp_ram_0_0_7)) + (portRef ADA10 (instanceRef pdp_ram_0_1_6)) + (portRef ADA10 (instanceRef pdp_ram_0_2_5)) + (portRef ADA10 (instanceRef pdp_ram_0_3_4)) + (portRef ADA10 (instanceRef pdp_ram_1_0_3)) + (portRef ADA10 (instanceRef pdp_ram_1_1_2)) + (portRef ADA10 (instanceRef pdp_ram_1_2_1)) + (portRef ADA10 (instanceRef pdp_ram_1_3_0)))) + (net wptr_8 + (joined + (portRef Q (instanceRef FF_33)) + (portRef ADA11 (instanceRef pdp_ram_0_0_7)) + (portRef ADA11 (instanceRef pdp_ram_0_1_6)) + (portRef ADA11 (instanceRef pdp_ram_0_2_5)) + (portRef ADA11 (instanceRef pdp_ram_0_3_4)) + (portRef ADA11 (instanceRef pdp_ram_1_0_3)) + (portRef ADA11 (instanceRef pdp_ram_1_1_2)) + (portRef ADA11 (instanceRef pdp_ram_1_2_1)) + (portRef ADA11 (instanceRef pdp_ram_1_3_0)))) + (net wptr_9 + (joined + (portRef Q (instanceRef FF_32)) + (portRef ADA12 (instanceRef pdp_ram_0_0_7)) + (portRef ADA12 (instanceRef pdp_ram_0_1_6)) + (portRef ADA12 (instanceRef pdp_ram_0_2_5)) + (portRef ADA12 (instanceRef pdp_ram_0_3_4)) + (portRef ADA12 (instanceRef pdp_ram_1_0_3)) + (portRef ADA12 (instanceRef pdp_ram_1_1_2)) + (portRef ADA12 (instanceRef pdp_ram_1_2_1)) + (portRef ADA12 (instanceRef pdp_ram_1_3_0)))) + (net wptr_10 + (joined + (portRef Q (instanceRef FF_31)) + (portRef ADA13 (instanceRef pdp_ram_0_0_7)) + (portRef ADA13 (instanceRef pdp_ram_0_1_6)) + (portRef ADA13 (instanceRef pdp_ram_0_2_5)) + (portRef ADA13 (instanceRef pdp_ram_0_3_4)) + (portRef ADA13 (instanceRef pdp_ram_1_0_3)) + (portRef ADA13 (instanceRef pdp_ram_1_1_2)) + (portRef ADA13 (instanceRef pdp_ram_1_2_1)) + (portRef ADA13 (instanceRef pdp_ram_1_3_0)))) + (net wptr_11 + (joined + (portRef Q (instanceRef FF_30)) + (portRef CSA0 (instanceRef pdp_ram_0_0_7)) + (portRef CSA0 (instanceRef pdp_ram_0_1_6)) + (portRef CSA0 (instanceRef pdp_ram_0_2_5)) + (portRef CSA0 (instanceRef pdp_ram_0_3_4)) + (portRef CSA0 (instanceRef pdp_ram_1_0_3)) + (portRef CSA0 (instanceRef pdp_ram_1_1_2)) + (portRef CSA0 (instanceRef pdp_ram_1_2_1)) + (portRef CSA0 (instanceRef pdp_ram_1_3_0)))) + (net wptr_12 + (joined + (portRef Q (instanceRef FF_29)))) + (net rptr_12 + (joined + (portRef Q (instanceRef FF_16)) + (portRef B (instanceRef XOR2_t0)))) + (net rptr_11_ff + (joined + (portRef D (instanceRef FF_14)) + (portRef Q (instanceRef FF_15)))) + (net ifcount_0 + (joined + (portRef S0 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_82)))) + (net ifcount_1 + (joined + (portRef S1 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_81)))) + (net bdcnt_bctr_ci + (joined + (portRef CIN (instanceRef bdcnt_bctr_0)) + (portRef COUT (instanceRef bdcnt_bctr_cia)))) + (net ifcount_2 + (joined + (portRef S0 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_80)))) + (net ifcount_3 + (joined + (portRef S1 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_79)))) + (net co0 + (joined + (portRef CIN (instanceRef bdcnt_bctr_1)) + (portRef COUT (instanceRef bdcnt_bctr_0)))) + (net ifcount_4 + (joined + (portRef S0 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_78)))) + (net ifcount_5 + (joined + (portRef S1 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_77)))) + (net co1 + (joined + (portRef CIN (instanceRef bdcnt_bctr_2)) + (portRef COUT (instanceRef bdcnt_bctr_1)))) + (net ifcount_6 + (joined + (portRef S0 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_76)))) + (net ifcount_7 + (joined + (portRef S1 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_75)))) + (net co2 + (joined + (portRef CIN (instanceRef bdcnt_bctr_3)) + (portRef COUT (instanceRef bdcnt_bctr_2)))) + (net ifcount_8 + (joined + (portRef S0 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_74)))) + (net ifcount_9 + (joined + (portRef S1 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_73)))) + (net co3 + (joined + (portRef CIN (instanceRef bdcnt_bctr_4)) + (portRef COUT (instanceRef bdcnt_bctr_3)))) + (net ifcount_10 + (joined + (portRef S0 (instanceRef bdcnt_bctr_5)) + (portRef D (instanceRef FF_72)))) + (net ifcount_11 + (joined + (portRef S1 (instanceRef bdcnt_bctr_5)) + (portRef D (instanceRef FF_71)))) + (net co4 + (joined + (portRef CIN (instanceRef bdcnt_bctr_5)) + (portRef COUT (instanceRef bdcnt_bctr_4)))) + (net ifcount_12 + (joined + (portRef S0 (instanceRef bdcnt_bctr_6)) + (portRef D (instanceRef FF_70)))) + (net co6 + (joined + (portRef COUT (instanceRef bdcnt_bctr_6)))) + (net co5 + (joined + (portRef CIN (instanceRef bdcnt_bctr_6)) + (portRef COUT (instanceRef bdcnt_bctr_5)))) + (net cmp_ci + (joined + (portRef CIN (instanceRef e_cmp_0)) + (portRef COUT (instanceRef e_cmp_ci_a)))) + (net rden_i + (joined + (portRef A0 (instanceRef e_cmp_0)) + (portRef Z (instanceRef AND2_t4)) + (portRef B (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_3)) + (portRef AD1 (instanceRef LUT4_0)) + (portRef A (instanceRef AND2_t1)) + (portRef CEB (instanceRef pdp_ram_0_0_7)) + (portRef CEB (instanceRef pdp_ram_0_1_6)) + (portRef CEB (instanceRef pdp_ram_0_2_5)) + (portRef CEB (instanceRef pdp_ram_0_3_4)) + (portRef CEB (instanceRef pdp_ram_1_0_3)) + (portRef CEB (instanceRef pdp_ram_1_1_2)) + (portRef CEB (instanceRef pdp_ram_1_2_1)) + (portRef CEB (instanceRef pdp_ram_1_3_0)) + (portRef SP (instanceRef FF_54)) + (portRef SP (instanceRef FF_53)) + (portRef SP (instanceRef FF_52)) + (portRef SP (instanceRef FF_51)) + (portRef SP (instanceRef FF_50)) + (portRef SP (instanceRef FF_49)) + (portRef SP (instanceRef FF_48)) + (portRef SP (instanceRef FF_47)) + (portRef SP (instanceRef FF_46)) + (portRef SP (instanceRef FF_45)) + (portRef SP (instanceRef FF_44)) + (portRef SP (instanceRef FF_43)) + (portRef SP (instanceRef FF_42)) + (portRef SP (instanceRef FF_28)) + (portRef SP (instanceRef FF_27)) + (portRef SP (instanceRef FF_26)) + (portRef SP (instanceRef FF_25)) + (portRef SP (instanceRef FF_24)) + (portRef SP (instanceRef FF_23)) + (portRef SP (instanceRef FF_22)) + (portRef SP (instanceRef FF_21)) + (portRef SP (instanceRef FF_20)) + (portRef SP (instanceRef FF_19)) + (portRef SP (instanceRef FF_18)) + (portRef SP (instanceRef FF_17)) + (portRef SP (instanceRef FF_16)) + (portRef SP (instanceRef FF_15)) + (portRef SP (instanceRef FF_14)))) + (net co0_1 + (joined + (portRef CIN (instanceRef e_cmp_1)) + (portRef COUT (instanceRef e_cmp_0)))) + (net co1_1 + (joined + (portRef CIN (instanceRef e_cmp_2)) + (portRef COUT (instanceRef e_cmp_1)))) + (net co2_1 + (joined + (portRef CIN (instanceRef e_cmp_3)) + (portRef COUT (instanceRef e_cmp_2)))) + (net co3_1 + (joined + (portRef CIN (instanceRef e_cmp_4)) + (portRef COUT (instanceRef e_cmp_3)))) + (net co4_1 + (joined + (portRef CIN (instanceRef e_cmp_5)) + (portRef COUT (instanceRef e_cmp_4)))) + (net co5_1 + (joined + (portRef CIN (instanceRef e_cmp_6)) + (portRef COUT (instanceRef e_cmp_5)))) + (net cmp_le_1 + (joined + (portRef S0 (instanceRef a0)) + (portRef AD2 (instanceRef LUT4_1)))) + (net cmp_le_1_c + (joined + (portRef CIN (instanceRef a0)) + (portRef COUT (instanceRef e_cmp_6)))) + (net cmp_ci_1 + (joined + (portRef CIN (instanceRef g_cmp_0)) + (portRef COUT (instanceRef g_cmp_ci_a)))) + (net co0_2 + (joined + (portRef CIN (instanceRef g_cmp_1)) + (portRef COUT (instanceRef g_cmp_0)))) + (net co1_2 + (joined + (portRef CIN (instanceRef g_cmp_2)) + (portRef COUT (instanceRef g_cmp_1)))) + (net co2_2 + (joined + (portRef CIN (instanceRef g_cmp_3)) + (portRef COUT (instanceRef g_cmp_2)))) + (net co3_2 + (joined + (portRef CIN (instanceRef g_cmp_4)) + (portRef COUT (instanceRef g_cmp_3)))) + (net co4_2 + (joined + (portRef CIN (instanceRef g_cmp_5)) + (portRef COUT (instanceRef g_cmp_4)))) + (net co5_2 + (joined + (portRef CIN (instanceRef g_cmp_6)) + (portRef COUT (instanceRef g_cmp_5)))) + (net wren_i_inv + (joined + (portRef B0 (instanceRef g_cmp_6)) + (portRef Z (instanceRef INV_2)))) + (net cmp_ge_d1 + (joined + (portRef S0 (instanceRef a1)) + (portRef AD2 (instanceRef LUT4_0)))) + (net cmp_ge_d1_c + (joined + (portRef CIN (instanceRef a1)) + (portRef COUT (instanceRef g_cmp_6)))) + (net iwcount_0 + (joined + (portRef S0 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_67)))) + (net iwcount_1 + (joined + (portRef S1 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_66)))) + (net w_ctr_ci + (joined + (portRef CIN (instanceRef w_ctr_0)) + (portRef COUT (instanceRef w_ctr_cia)))) + (net iwcount_2 + (joined + (portRef S0 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_65)))) + (net iwcount_3 + (joined + (portRef S1 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_64)))) + (net co0_3 + (joined + (portRef CIN (instanceRef w_ctr_1)) + (portRef COUT (instanceRef w_ctr_0)))) + (net iwcount_4 + (joined + (portRef S0 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_63)))) + (net iwcount_5 + (joined + (portRef S1 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_62)))) + (net co1_3 + (joined + (portRef CIN (instanceRef w_ctr_2)) + (portRef COUT (instanceRef w_ctr_1)))) + (net iwcount_6 + (joined + (portRef S0 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_61)))) + (net iwcount_7 + (joined + (portRef S1 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_60)))) + (net co2_3 + (joined + (portRef CIN (instanceRef w_ctr_3)) + (portRef COUT (instanceRef w_ctr_2)))) + (net iwcount_8 + (joined + (portRef S0 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_59)))) + (net iwcount_9 + (joined + (portRef S1 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_58)))) + (net co3_3 + (joined + (portRef CIN (instanceRef w_ctr_4)) + (portRef COUT (instanceRef w_ctr_3)))) + (net iwcount_10 + (joined + (portRef S0 (instanceRef w_ctr_5)) + (portRef D (instanceRef FF_57)))) + (net iwcount_11 + (joined + (portRef S1 (instanceRef w_ctr_5)) + (portRef D (instanceRef FF_56)))) + (net co4_3 + (joined + (portRef CIN (instanceRef w_ctr_5)) + (portRef COUT (instanceRef w_ctr_4)))) + (net iwcount_12 + (joined + (portRef S0 (instanceRef w_ctr_6)) + (portRef D (instanceRef FF_55)))) + (net co6_1 + (joined + (portRef COUT (instanceRef w_ctr_6)))) + (net co5_3 + (joined + (portRef CIN (instanceRef w_ctr_6)) + (portRef COUT (instanceRef w_ctr_5)))) + (net wcount_12 + (joined + (portRef A0 (instanceRef w_ctr_6)) + (portRef A (instanceRef XOR2_t0)) + (portRef Q (instanceRef FF_55)) + (portRef D (instanceRef FF_29)))) + (net ircount_0 + (joined + (portRef S0 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_54)))) + (net ircount_1 + (joined + (portRef S1 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_53)))) + (net r_ctr_ci + (joined + (portRef CIN (instanceRef r_ctr_0)) + (portRef COUT (instanceRef r_ctr_cia)))) + (net rcount_0 + (joined + (portRef A0 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_54)) + (portRef D (instanceRef FF_28)))) + (net rcount_1 + (joined + (portRef A1 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_53)) + (portRef D (instanceRef FF_27)))) + (net ircount_2 + (joined + (portRef S0 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_52)))) + (net ircount_3 + (joined + (portRef S1 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_51)))) + (net co0_4 + (joined + (portRef CIN (instanceRef r_ctr_1)) + (portRef COUT (instanceRef r_ctr_0)))) + (net rcount_2 + (joined + (portRef A0 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_52)) + (portRef D (instanceRef FF_26)))) + (net rcount_3 + (joined + (portRef A1 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_51)) + (portRef D (instanceRef FF_25)))) + (net ircount_4 + (joined + (portRef S0 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_50)))) + (net ircount_5 + (joined + (portRef S1 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_49)))) + (net co1_4 + (joined + (portRef CIN (instanceRef r_ctr_2)) + (portRef COUT (instanceRef r_ctr_1)))) + (net rcount_4 + (joined + (portRef A0 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_50)) + (portRef D (instanceRef FF_24)))) + (net rcount_5 + (joined + (portRef A1 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_49)) + (portRef D (instanceRef FF_23)))) + (net ircount_6 + (joined + (portRef S0 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_48)))) + (net ircount_7 + (joined + (portRef S1 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_47)))) + (net co2_4 + (joined + (portRef CIN (instanceRef r_ctr_3)) + (portRef COUT (instanceRef r_ctr_2)))) + (net rcount_6 + (joined + (portRef A0 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_48)) + (portRef D (instanceRef FF_22)))) + (net rcount_7 + (joined + (portRef A1 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_47)) + (portRef D (instanceRef FF_21)))) + (net ircount_8 + (joined + (portRef S0 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_46)))) + (net ircount_9 + (joined + (portRef S1 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_45)))) + (net co3_4 + (joined + (portRef CIN (instanceRef r_ctr_4)) + (portRef COUT (instanceRef r_ctr_3)))) + (net rcount_8 + (joined + (portRef A0 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_46)) + (portRef D (instanceRef FF_20)))) + (net rcount_9 + (joined + (portRef A1 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_45)) + (portRef D (instanceRef FF_19)))) + (net ircount_10 + (joined + (portRef S0 (instanceRef r_ctr_5)) + (portRef D (instanceRef FF_44)))) + (net ircount_11 + (joined + (portRef S1 (instanceRef r_ctr_5)) + (portRef D (instanceRef FF_43)))) + (net co4_4 + (joined + (portRef CIN (instanceRef r_ctr_5)) + (portRef COUT (instanceRef r_ctr_4)))) + (net rcount_10 + (joined + (portRef A0 (instanceRef r_ctr_5)) + (portRef Q (instanceRef FF_44)) + (portRef D (instanceRef FF_18)))) + (net rcount_11 + (joined + (portRef A1 (instanceRef r_ctr_5)) + (portRef Q (instanceRef FF_43)) + (portRef D (instanceRef FF_17)))) + (net ircount_12 + (joined + (portRef S0 (instanceRef r_ctr_6)) + (portRef D (instanceRef FF_42)))) + (net co6_2 + (joined + (portRef COUT (instanceRef r_ctr_6)))) + (net co5_4 + (joined + (portRef CIN (instanceRef r_ctr_6)) + (portRef COUT (instanceRef r_ctr_5)))) + (net rcount_12 + (joined + (portRef A0 (instanceRef r_ctr_6)) + (portRef Q (instanceRef FF_42)) + (portRef D (instanceRef FF_16)))) + (net mdout1_1_0 + (joined + (portRef D1 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_1_0_3)))) + (net mdout1_0_0 + (joined + (portRef D0 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_0_0_7)))) + (net mdout1_1_1 + (joined + (portRef D1 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_1_0_3)))) + (net mdout1_0_1 + (joined + (portRef D0 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_0_0_7)))) + (net mdout1_1_2 + (joined + (portRef D1 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_1_0_3)))) + (net mdout1_0_2 + (joined + (portRef D0 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_0_0_7)))) + (net mdout1_1_3 + (joined + (portRef D1 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_1_0_3)))) + (net mdout1_0_3 + (joined + (portRef D0 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_0_0_7)))) + (net mdout1_1_4 + (joined + (portRef D1 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_1_0_3)))) + (net mdout1_0_4 + (joined + (portRef D0 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_0_0_7)))) + (net mdout1_1_5 + (joined + (portRef D1 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_1_0_3)))) + (net mdout1_0_5 + (joined + (portRef D0 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_0_0_7)))) + (net mdout1_1_6 + (joined + (portRef D1 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_1_0_3)))) + (net mdout1_0_6 + (joined + (portRef D0 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_0_0_7)))) + (net mdout1_1_7 + (joined + (portRef D1 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_1_0_3)))) + (net mdout1_0_7 + (joined + (portRef D0 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_0_0_7)))) + (net mdout1_1_8 + (joined + (portRef D1 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_1_0_3)))) + (net mdout1_0_8 + (joined + (portRef D0 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_0_0_7)))) + (net mdout1_1_9 + (joined + (portRef D1 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_1_1_2)))) + (net mdout1_0_9 + (joined + (portRef D0 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_0_1_6)))) + (net mdout1_1_10 + (joined + (portRef D1 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_1_1_2)))) + (net mdout1_0_10 + (joined + (portRef D0 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_0_1_6)))) + (net mdout1_1_11 + (joined + (portRef D1 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_1_1_2)))) + (net mdout1_0_11 + (joined + (portRef D0 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_0_1_6)))) + (net mdout1_1_12 + (joined + (portRef D1 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_1_1_2)))) + (net mdout1_0_12 + (joined + (portRef D0 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_0_1_6)))) + (net mdout1_1_13 + (joined + (portRef D1 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_1_1_2)))) + (net mdout1_0_13 + (joined + (portRef D0 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_0_1_6)))) + (net mdout1_1_14 + (joined + (portRef D1 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_1_1_2)))) + (net mdout1_0_14 + (joined + (portRef D0 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_0_1_6)))) + (net mdout1_1_15 + (joined + (portRef D1 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_1_1_2)))) + (net mdout1_0_15 + (joined + (portRef D0 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_0_1_6)))) + (net mdout1_1_16 + (joined + (portRef D1 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_1_1_2)))) + (net mdout1_0_16 + (joined + (portRef D0 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_0_1_6)))) + (net mdout1_1_17 + (joined + (portRef D1 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_1_1_2)))) + (net mdout1_0_17 + (joined + (portRef D0 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_0_1_6)))) + (net mdout1_1_18 + (joined + (portRef D1 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_1_2_1)))) + (net mdout1_0_18 + (joined + (portRef D0 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_0_2_5)))) + (net mdout1_1_19 + (joined + (portRef D1 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_1_2_1)))) + (net mdout1_0_19 + (joined + (portRef D0 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_0_2_5)))) + (net mdout1_1_20 + (joined + (portRef D1 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_1_2_1)))) + (net mdout1_0_20 + (joined + (portRef D0 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_0_2_5)))) + (net mdout1_1_21 + (joined + (portRef D1 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_1_2_1)))) + (net mdout1_0_21 + (joined + (portRef D0 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_0_2_5)))) + (net mdout1_1_22 + (joined + (portRef D1 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_1_2_1)))) + (net mdout1_0_22 + (joined + (portRef D0 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_0_2_5)))) + (net mdout1_1_23 + (joined + (portRef D1 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_1_2_1)))) + (net mdout1_0_23 + (joined + (portRef D0 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_0_2_5)))) + (net mdout1_1_24 + (joined + (portRef D1 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_1_2_1)))) + (net mdout1_0_24 + (joined + (portRef D0 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_0_2_5)))) + (net mdout1_1_25 + (joined + (portRef D1 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_1_2_1)))) + (net mdout1_0_25 + (joined + (portRef D0 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_0_2_5)))) + (net mdout1_1_26 + (joined + (portRef D1 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_1_2_1)))) + (net mdout1_0_26 + (joined + (portRef D0 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_0_2_5)))) + (net mdout1_1_27 + (joined + (portRef D1 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_1_3_0)))) + (net mdout1_0_27 + (joined + (portRef D0 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_0_3_4)))) + (net mdout1_1_28 + (joined + (portRef D1 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_1_3_0)))) + (net mdout1_0_28 + (joined + (portRef D0 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_0_3_4)))) + (net mdout1_1_29 + (joined + (portRef D1 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_1_3_0)))) + (net mdout1_0_29 + (joined + (portRef D0 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_0_3_4)))) + (net mdout1_1_30 + (joined + (portRef D1 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_1_3_0)))) + (net mdout1_0_30 + (joined + (portRef D0 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_0_3_4)))) + (net mdout1_1_31 + (joined + (portRef D1 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_1_3_0)))) + (net mdout1_0_31 + (joined + (portRef D0 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_0_3_4)))) + (net mdout1_1_32 + (joined + (portRef D1 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_1_3_0)))) + (net mdout1_0_32 + (joined + (portRef D0 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_0_3_4)))) + (net mdout1_1_33 + (joined + (portRef D1 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_1_3_0)))) + (net mdout1_0_33 + (joined + (portRef D0 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_0_3_4)))) + (net mdout1_1_34 + (joined + (portRef D1 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_1_3_0)))) + (net mdout1_0_34 + (joined + (portRef D0 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_0_3_4)))) + (net rptr_11_ff2 + (joined + (portRef SD (instanceRef mux_0)) + (portRef Q (instanceRef FF_14)) + (portRef SD (instanceRef mux_35)) + (portRef SD (instanceRef mux_34)) + (portRef SD (instanceRef mux_33)) + (portRef SD (instanceRef mux_32)) + (portRef SD (instanceRef mux_31)) + (portRef SD (instanceRef mux_30)) + (portRef SD (instanceRef mux_29)) + (portRef SD (instanceRef mux_28)) + (portRef SD (instanceRef mux_27)) + (portRef SD (instanceRef mux_26)) + (portRef SD (instanceRef mux_25)) + (portRef SD (instanceRef mux_24)) + (portRef SD (instanceRef mux_23)) + (portRef SD (instanceRef mux_22)) + (portRef SD (instanceRef mux_21)) + (portRef SD (instanceRef mux_20)) + (portRef SD (instanceRef mux_19)) + (portRef SD (instanceRef mux_18)) + (portRef SD (instanceRef mux_17)) + (portRef SD (instanceRef mux_16)) + (portRef SD (instanceRef mux_15)) + (portRef SD (instanceRef mux_14)) + (portRef SD (instanceRef mux_13)) + (portRef SD (instanceRef mux_12)) + (portRef SD (instanceRef mux_11)) + (portRef SD (instanceRef mux_10)) + (portRef SD (instanceRef mux_9)) + (portRef SD (instanceRef mux_8)) + (portRef SD (instanceRef mux_7)) + (portRef SD (instanceRef mux_6)) + (portRef SD (instanceRef mux_5)) + (portRef SD (instanceRef mux_4)) + (portRef SD (instanceRef mux_3)) + (portRef SD (instanceRef mux_2)) + (portRef SD (instanceRef mux_1)))) + (net mdout1_1_35 + (joined + (portRef D1 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_1_3_0)))) + (net mdout1_0_35 + (joined + (portRef D0 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_0_3_4)))) + (net wcnt_sub_0 + (joined + (portRef S1 (instanceRef wcnt_0)) + (portRef D (instanceRef FF_13)))) + (net rptr_0 + (joined + (portRef B1 (instanceRef wcnt_0)) + (portRef ADB3 (instanceRef pdp_ram_0_0_7)) + (portRef ADB3 (instanceRef pdp_ram_0_1_6)) + (portRef ADB3 (instanceRef pdp_ram_0_2_5)) + (portRef ADB3 (instanceRef pdp_ram_0_3_4)) + (portRef ADB3 (instanceRef pdp_ram_1_0_3)) + (portRef ADB3 (instanceRef pdp_ram_1_1_2)) + (portRef ADB3 (instanceRef pdp_ram_1_2_1)) + (portRef ADB3 (instanceRef pdp_ram_1_3_0)) + (portRef Q (instanceRef FF_28)))) + (net cnt_con_inv + (joined + (portRef B0 (instanceRef wcnt_0)) + (portRef Z (instanceRef INV_0)))) + (net wcount_0 + (joined + (portRef A1 (instanceRef wcnt_0)) + (portRef Q (instanceRef FF_67)) + (portRef D (instanceRef FF_41)) + (portRef A0 (instanceRef w_ctr_0)))) + (net cnt_con + (joined + (portRef A0 (instanceRef wcnt_0)) + (portRef Z (instanceRef AND2_t3)) + (portRef A (instanceRef INV_0)) + (portRef B1 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_cia)) + (portRef B1 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef bdcnt_bctr_4)) + (portRef B1 (instanceRef bdcnt_bctr_5)) + (portRef B0 (instanceRef bdcnt_bctr_5)) + (portRef B1 (instanceRef bdcnt_bctr_6)) + (portRef B0 (instanceRef bdcnt_bctr_6)))) + (net precin + (joined + (portRef CIN (instanceRef wcnt_0)) + (portRef COUT (instanceRef precin_inst389)))) + (net wcnt_sub_1 + (joined + (portRef S0 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_12)))) + (net wcnt_sub_2 + (joined + (portRef S1 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_11)))) + (net rptr_2 + (joined + (portRef B1 (instanceRef wcnt_1)) + (portRef ADB5 (instanceRef pdp_ram_0_0_7)) + (portRef ADB5 (instanceRef pdp_ram_0_1_6)) + (portRef ADB5 (instanceRef pdp_ram_0_2_5)) + (portRef ADB5 (instanceRef pdp_ram_0_3_4)) + (portRef ADB5 (instanceRef pdp_ram_1_0_3)) + (portRef ADB5 (instanceRef pdp_ram_1_1_2)) + (portRef ADB5 (instanceRef pdp_ram_1_2_1)) + (portRef ADB5 (instanceRef pdp_ram_1_3_0)) + (portRef Q (instanceRef FF_26)))) + (net rptr_1 + (joined + (portRef B0 (instanceRef wcnt_1)) + (portRef ADB4 (instanceRef pdp_ram_0_0_7)) + (portRef ADB4 (instanceRef pdp_ram_0_1_6)) + (portRef ADB4 (instanceRef pdp_ram_0_2_5)) + (portRef ADB4 (instanceRef pdp_ram_0_3_4)) + (portRef ADB4 (instanceRef pdp_ram_1_0_3)) + (portRef ADB4 (instanceRef pdp_ram_1_1_2)) + (portRef ADB4 (instanceRef pdp_ram_1_2_1)) + (portRef ADB4 (instanceRef pdp_ram_1_3_0)) + (portRef Q (instanceRef FF_27)))) + (net wcount_2 + (joined + (portRef A1 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_65)) + (portRef D (instanceRef FF_39)) + (portRef A0 (instanceRef w_ctr_1)))) + (net wcount_1 + (joined + (portRef A0 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_66)) + (portRef D (instanceRef FF_40)) + (portRef A1 (instanceRef w_ctr_0)))) + (net co0_5 + (joined + (portRef CIN (instanceRef wcnt_1)) + (portRef COUT (instanceRef wcnt_0)))) + (net wcnt_sub_3 + (joined + (portRef S0 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_10)))) + (net wcnt_sub_4 + (joined + (portRef S1 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_9)))) + (net rptr_4 + (joined + (portRef B1 (instanceRef wcnt_2)) + (portRef ADB7 (instanceRef pdp_ram_0_0_7)) + (portRef ADB7 (instanceRef pdp_ram_0_1_6)) + (portRef ADB7 (instanceRef pdp_ram_0_2_5)) + (portRef ADB7 (instanceRef pdp_ram_0_3_4)) + (portRef ADB7 (instanceRef pdp_ram_1_0_3)) + (portRef ADB7 (instanceRef pdp_ram_1_1_2)) + (portRef ADB7 (instanceRef pdp_ram_1_2_1)) + (portRef ADB7 (instanceRef pdp_ram_1_3_0)) + (portRef Q (instanceRef FF_24)))) + (net rptr_3 + (joined + (portRef B0 (instanceRef wcnt_2)) + (portRef ADB6 (instanceRef pdp_ram_0_0_7)) + (portRef ADB6 (instanceRef pdp_ram_0_1_6)) + (portRef ADB6 (instanceRef pdp_ram_0_2_5)) + (portRef ADB6 (instanceRef pdp_ram_0_3_4)) + (portRef ADB6 (instanceRef pdp_ram_1_0_3)) + (portRef ADB6 (instanceRef pdp_ram_1_1_2)) + (portRef ADB6 (instanceRef pdp_ram_1_2_1)) + (portRef ADB6 (instanceRef pdp_ram_1_3_0)) + (portRef Q (instanceRef FF_25)))) + (net wcount_4 + (joined + (portRef A1 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_63)) + (portRef D (instanceRef FF_37)) + (portRef A0 (instanceRef w_ctr_2)))) + (net wcount_3 + (joined + (portRef A0 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_64)) + (portRef D (instanceRef FF_38)) + (portRef A1 (instanceRef w_ctr_1)))) + (net co1_5 + (joined + (portRef CIN (instanceRef wcnt_2)) + (portRef COUT (instanceRef wcnt_1)))) + (net wcnt_sub_5 + (joined + (portRef S0 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_8)))) + (net wcnt_sub_6 + (joined + (portRef S1 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_7)))) + (net rptr_6 + (joined + (portRef B1 (instanceRef wcnt_3)) + (portRef ADB9 (instanceRef pdp_ram_0_0_7)) + (portRef ADB9 (instanceRef pdp_ram_0_1_6)) + (portRef ADB9 (instanceRef pdp_ram_0_2_5)) + (portRef ADB9 (instanceRef pdp_ram_0_3_4)) + (portRef ADB9 (instanceRef pdp_ram_1_0_3)) + (portRef ADB9 (instanceRef pdp_ram_1_1_2)) + (portRef ADB9 (instanceRef pdp_ram_1_2_1)) + (portRef ADB9 (instanceRef pdp_ram_1_3_0)) + (portRef Q (instanceRef FF_22)))) + (net rptr_5 + (joined + (portRef B0 (instanceRef wcnt_3)) + (portRef ADB8 (instanceRef pdp_ram_0_0_7)) + (portRef ADB8 (instanceRef pdp_ram_0_1_6)) + (portRef ADB8 (instanceRef pdp_ram_0_2_5)) + (portRef ADB8 (instanceRef pdp_ram_0_3_4)) + (portRef ADB8 (instanceRef pdp_ram_1_0_3)) + (portRef ADB8 (instanceRef pdp_ram_1_1_2)) + (portRef ADB8 (instanceRef pdp_ram_1_2_1)) + (portRef ADB8 (instanceRef pdp_ram_1_3_0)) + (portRef Q (instanceRef FF_23)))) + (net wcount_6 + (joined + (portRef A1 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_61)) + (portRef D (instanceRef FF_35)) + (portRef A0 (instanceRef w_ctr_3)))) + (net wcount_5 + (joined + (portRef A0 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_62)) + (portRef D (instanceRef FF_36)) + (portRef A1 (instanceRef w_ctr_2)))) + (net co2_5 + (joined + (portRef CIN (instanceRef wcnt_3)) + (portRef COUT (instanceRef wcnt_2)))) + (net wcnt_sub_7 + (joined + (portRef S0 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_6)))) + (net wcnt_sub_8 + (joined + (portRef S1 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_5)))) + (net rptr_8 + (joined + (portRef B1 (instanceRef wcnt_4)) + (portRef ADB11 (instanceRef pdp_ram_0_0_7)) + (portRef ADB11 (instanceRef pdp_ram_0_1_6)) + (portRef ADB11 (instanceRef pdp_ram_0_2_5)) + (portRef ADB11 (instanceRef pdp_ram_0_3_4)) + (portRef ADB11 (instanceRef pdp_ram_1_0_3)) + (portRef ADB11 (instanceRef pdp_ram_1_1_2)) + (portRef ADB11 (instanceRef pdp_ram_1_2_1)) + (portRef ADB11 (instanceRef pdp_ram_1_3_0)) + (portRef Q (instanceRef FF_20)))) + (net rptr_7 + (joined + (portRef B0 (instanceRef wcnt_4)) + (portRef ADB10 (instanceRef pdp_ram_0_0_7)) + (portRef ADB10 (instanceRef pdp_ram_0_1_6)) + (portRef ADB10 (instanceRef pdp_ram_0_2_5)) + (portRef ADB10 (instanceRef pdp_ram_0_3_4)) + (portRef ADB10 (instanceRef pdp_ram_1_0_3)) + (portRef ADB10 (instanceRef pdp_ram_1_1_2)) + (portRef ADB10 (instanceRef pdp_ram_1_2_1)) + (portRef ADB10 (instanceRef pdp_ram_1_3_0)) + (portRef Q (instanceRef FF_21)))) + (net wcount_8 + (joined + (portRef A1 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_59)) + (portRef D (instanceRef FF_33)) + (portRef A0 (instanceRef w_ctr_4)))) + (net wcount_7 + (joined + (portRef A0 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_60)) + (portRef D (instanceRef FF_34)) + (portRef A1 (instanceRef w_ctr_3)))) + (net co3_5 + (joined + (portRef CIN (instanceRef wcnt_4)) + (portRef COUT (instanceRef wcnt_3)))) + (net wcnt_sub_9 + (joined + (portRef S0 (instanceRef wcnt_5)) + (portRef D (instanceRef FF_4)))) + (net wcnt_sub_10 + (joined + (portRef S1 (instanceRef wcnt_5)) + (portRef D (instanceRef FF_3)))) + (net rptr_10 + (joined + (portRef B1 (instanceRef wcnt_5)) + (portRef ADB13 (instanceRef pdp_ram_0_0_7)) + (portRef ADB13 (instanceRef pdp_ram_0_1_6)) + (portRef ADB13 (instanceRef pdp_ram_0_2_5)) + (portRef ADB13 (instanceRef pdp_ram_0_3_4)) + (portRef ADB13 (instanceRef pdp_ram_1_0_3)) + (portRef ADB13 (instanceRef pdp_ram_1_1_2)) + (portRef ADB13 (instanceRef pdp_ram_1_2_1)) + (portRef ADB13 (instanceRef pdp_ram_1_3_0)) + (portRef Q (instanceRef FF_18)))) + (net rptr_9 + (joined + (portRef B0 (instanceRef wcnt_5)) + (portRef ADB12 (instanceRef pdp_ram_0_0_7)) + (portRef ADB12 (instanceRef pdp_ram_0_1_6)) + (portRef ADB12 (instanceRef pdp_ram_0_2_5)) + (portRef ADB12 (instanceRef pdp_ram_0_3_4)) + (portRef ADB12 (instanceRef pdp_ram_1_0_3)) + (portRef ADB12 (instanceRef pdp_ram_1_1_2)) + (portRef ADB12 (instanceRef pdp_ram_1_2_1)) + (portRef ADB12 (instanceRef pdp_ram_1_3_0)) + (portRef Q (instanceRef FF_19)))) + (net wcount_10 + (joined + (portRef A1 (instanceRef wcnt_5)) + (portRef Q (instanceRef FF_57)) + (portRef D (instanceRef FF_31)) + (portRef A0 (instanceRef w_ctr_5)))) + (net wcount_9 + (joined + (portRef A0 (instanceRef wcnt_5)) + (portRef Q (instanceRef FF_58)) + (portRef D (instanceRef FF_32)) + (portRef A1 (instanceRef w_ctr_4)))) + (net co4_5 + (joined + (portRef CIN (instanceRef wcnt_5)) + (portRef COUT (instanceRef wcnt_4)))) + (net wcnt_sub_11 + (joined + (portRef S0 (instanceRef wcnt_6)) + (portRef D (instanceRef FF_2)))) + (net wcnt_sub_12 + (joined + (portRef S1 (instanceRef wcnt_6)) + (portRef D (instanceRef FF_1)))) + (net rptr_11 + (joined + (portRef B0 (instanceRef wcnt_6)) + (portRef CSB0 (instanceRef pdp_ram_0_0_7)) + (portRef CSB0 (instanceRef pdp_ram_0_1_6)) + (portRef CSB0 (instanceRef pdp_ram_0_2_5)) + (portRef CSB0 (instanceRef pdp_ram_0_3_4)) + (portRef CSB0 (instanceRef pdp_ram_1_0_3)) + (portRef CSB0 (instanceRef pdp_ram_1_1_2)) + (portRef CSB0 (instanceRef pdp_ram_1_2_1)) + (portRef CSB0 (instanceRef pdp_ram_1_3_0)) + (portRef Q (instanceRef FF_17)) + (portRef D (instanceRef FF_15)))) + (net wcnt_sub_msb + (joined + (portRef A1 (instanceRef wcnt_6)) + (portRef Z (instanceRef XOR2_t0)))) + (net wcount_11 + (joined + (portRef A0 (instanceRef wcnt_6)) + (portRef Q (instanceRef FF_56)) + (portRef D (instanceRef FF_30)) + (portRef A1 (instanceRef w_ctr_5)))) + (net co5_5 + (joined + (portRef CIN (instanceRef wcnt_6)) + (portRef COUT (instanceRef wcnt_5)))) + (net co6_3d + (joined + (portRef S0 (instanceRef wcntd)))) + (net co6_3 + (joined + (portRef CIN (instanceRef wcntd)) + (portRef COUT (instanceRef wcnt_6)))) + (net wren_i + (joined + (portRef A1 (instanceRef af_set_cmp_ci_a)) + (portRef Z (instanceRef AND2_t5)) + (portRef A (instanceRef AND2_t3)) + (portRef A (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_2)) + (portRef AD1 (instanceRef LUT4_1)) + (portRef A (instanceRef INV_1)) + (portRef OCEA (instanceRef pdp_ram_0_0_7)) + (portRef CEA (instanceRef pdp_ram_0_0_7)) + (portRef OCEA (instanceRef pdp_ram_0_1_6)) + (portRef CEA (instanceRef pdp_ram_0_1_6)) + (portRef OCEA (instanceRef pdp_ram_0_2_5)) + (portRef CEA (instanceRef pdp_ram_0_2_5)) + (portRef OCEA (instanceRef pdp_ram_0_3_4)) + (portRef CEA (instanceRef pdp_ram_0_3_4)) + (portRef OCEA (instanceRef pdp_ram_1_0_3)) + (portRef CEA (instanceRef pdp_ram_1_0_3)) + (portRef OCEA (instanceRef pdp_ram_1_1_2)) + (portRef CEA (instanceRef pdp_ram_1_1_2)) + (portRef OCEA (instanceRef pdp_ram_1_2_1)) + (portRef CEA (instanceRef pdp_ram_1_2_1)) + (portRef OCEA (instanceRef pdp_ram_1_3_0)) + (portRef CEA (instanceRef pdp_ram_1_3_0)) + (portRef SP (instanceRef FF_67)) + (portRef SP (instanceRef FF_66)) + (portRef SP (instanceRef FF_65)) + (portRef SP (instanceRef FF_64)) + (portRef SP (instanceRef FF_63)) + (portRef SP (instanceRef FF_62)) + (portRef SP (instanceRef FF_61)) + (portRef SP (instanceRef FF_60)) + (portRef SP (instanceRef FF_59)) + (portRef SP (instanceRef FF_58)) + (portRef SP (instanceRef FF_57)) + (portRef SP (instanceRef FF_56)) + (portRef SP (instanceRef FF_55)) + (portRef SP (instanceRef FF_41)) + (portRef SP (instanceRef FF_40)) + (portRef SP (instanceRef FF_39)) + (portRef SP (instanceRef FF_38)) + (portRef SP (instanceRef FF_37)) + (portRef SP (instanceRef FF_36)) + (portRef SP (instanceRef FF_35)) + (portRef SP (instanceRef FF_34)) + (portRef SP (instanceRef FF_33)) + (portRef SP (instanceRef FF_32)) + (portRef SP (instanceRef FF_31)) + (portRef SP (instanceRef FF_30)) + (portRef SP (instanceRef FF_29)) + (portRef B0 (instanceRef g_cmp_0)) + (portRef B1 (instanceRef g_cmp_0)) + (portRef B0 (instanceRef g_cmp_1)) + (portRef B1 (instanceRef g_cmp_1)) + (portRef B0 (instanceRef g_cmp_2)) + (portRef B1 (instanceRef g_cmp_2)) + (portRef B0 (instanceRef g_cmp_3)) + (portRef B1 (instanceRef g_cmp_3)) + (portRef B0 (instanceRef g_cmp_4)) + (portRef B1 (instanceRef g_cmp_4)) + (portRef B0 (instanceRef g_cmp_5)) + (portRef B1 (instanceRef g_cmp_5)) + (portRef B1 (instanceRef af_set_cmp_ci_a)))) + (net x + (joined + (portRef CIN (instanceRef af_set_cmp_ci_a)) + (portRef CIN (instanceRef bdcnt_bctr_cia)) + (portRef CIN (instanceRef e_cmp_ci_a)) + (portRef CIN (instanceRef g_cmp_ci_a)) + (portRef CIN (instanceRef w_ctr_cia)) + (portRef CIN (instanceRef r_ctr_cia)) + (portRef CIN (instanceRef precin_inst389)))) + (net cmp_ci_2 + (joined + (portRef CIN (instanceRef af_set_cmp_0)) + (portRef COUT (instanceRef af_set_cmp_ci_a)))) + (net wcnt_reg_0 + (joined + (portRef A0 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_13)))) + (net wcnt_reg_1 + (joined + (portRef A1 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_12)))) + (net co0_6 + (joined + (portRef CIN (instanceRef af_set_cmp_1)) + (portRef COUT (instanceRef af_set_cmp_0)))) + (net wcnt_reg_2 + (joined + (portRef A0 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_11)))) + (net wcnt_reg_3 + (joined + (portRef A1 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_10)))) + (net co1_6 + (joined + (portRef CIN (instanceRef af_set_cmp_2)) + (portRef COUT (instanceRef af_set_cmp_1)))) + (net wcnt_reg_4 + (joined + (portRef A0 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_9)))) + (net wcnt_reg_5 + (joined + (portRef A1 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_8)))) + (net co2_6 + (joined + (portRef CIN (instanceRef af_set_cmp_3)) + (portRef COUT (instanceRef af_set_cmp_2)))) + (net wcnt_reg_6 + (joined + (portRef A0 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_7)))) + (net wcnt_reg_7 + (joined + (portRef A1 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_6)))) + (net co3_6 + (joined + (portRef CIN (instanceRef af_set_cmp_4)) + (portRef COUT (instanceRef af_set_cmp_3)))) + (net wcnt_reg_8 + (joined + (portRef A0 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_5)))) + (net wcnt_reg_9 + (joined + (portRef A1 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_4)))) + (net co4_6 + (joined + (portRef CIN (instanceRef af_set_cmp_5)) + (portRef COUT (instanceRef af_set_cmp_4)))) + (net wcnt_reg_10 + (joined + (portRef A0 (instanceRef af_set_cmp_5)) + (portRef Q (instanceRef FF_3)))) + (net wcnt_reg_11 + (joined + (portRef A1 (instanceRef af_set_cmp_5)) + (portRef Q (instanceRef FF_2)))) + (net co5_6 + (joined + (portRef CIN (instanceRef af_set_cmp_6)) + (portRef COUT (instanceRef af_set_cmp_5)))) + (net wcnt_reg_12 + (joined + (portRef A0 (instanceRef af_set_cmp_6)) + (portRef Q (instanceRef FF_1)))) + (net af_set + (joined + (portRef S0 (instanceRef a2)) + (portRef D (instanceRef FF_0)))) + (net scuba_vhi + (joined + (portRef Z (instanceRef scuba_vhi_inst)) + (portRef OCEB (instanceRef pdp_ram_0_0_7)) + (portRef WEA (instanceRef pdp_ram_0_0_7)) + (portRef OCEB (instanceRef pdp_ram_0_1_6)) + (portRef WEA (instanceRef pdp_ram_0_1_6)) + (portRef OCEB (instanceRef pdp_ram_0_2_5)) + (portRef WEA (instanceRef pdp_ram_0_2_5)) + (portRef OCEB (instanceRef pdp_ram_0_3_4)) + (portRef WEA (instanceRef pdp_ram_0_3_4)) + (portRef OCEB (instanceRef pdp_ram_1_0_3)) + (portRef WEA (instanceRef pdp_ram_1_0_3)) + (portRef OCEB (instanceRef pdp_ram_1_1_2)) + (portRef WEA (instanceRef pdp_ram_1_1_2)) + (portRef OCEB (instanceRef pdp_ram_1_2_1)) + (portRef WEA (instanceRef pdp_ram_1_2_1)) + (portRef OCEB (instanceRef pdp_ram_1_3_0)) + (portRef WEA (instanceRef pdp_ram_1_3_0)) + (portRef C1 (instanceRef bdcnt_bctr_cia)) + (portRef C0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_cia)) + (portRef D0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_0)) + (portRef D0 (instanceRef bdcnt_bctr_0)) + (portRef C1 (instanceRef bdcnt_bctr_0)) + (portRef C0 (instanceRef bdcnt_bctr_0)) + (portRef D1 (instanceRef bdcnt_bctr_1)) + (portRef D0 (instanceRef bdcnt_bctr_1)) + (portRef C1 (instanceRef bdcnt_bctr_1)) + (portRef C0 (instanceRef bdcnt_bctr_1)) + (portRef D1 (instanceRef bdcnt_bctr_2)) + (portRef D0 (instanceRef bdcnt_bctr_2)) + (portRef C1 (instanceRef bdcnt_bctr_2)) + (portRef C0 (instanceRef bdcnt_bctr_2)) + (portRef D1 (instanceRef bdcnt_bctr_3)) + (portRef D0 (instanceRef bdcnt_bctr_3)) + (portRef C1 (instanceRef bdcnt_bctr_3)) + (portRef C0 (instanceRef bdcnt_bctr_3)) + (portRef D1 (instanceRef bdcnt_bctr_4)) + (portRef D0 (instanceRef bdcnt_bctr_4)) + (portRef C1 (instanceRef bdcnt_bctr_4)) + (portRef C0 (instanceRef bdcnt_bctr_4)) + (portRef D1 (instanceRef bdcnt_bctr_5)) + (portRef D0 (instanceRef bdcnt_bctr_5)) + (portRef C1 (instanceRef bdcnt_bctr_5)) + (portRef C0 (instanceRef bdcnt_bctr_5)) + (portRef D1 (instanceRef bdcnt_bctr_6)) + (portRef D0 (instanceRef bdcnt_bctr_6)) + (portRef C1 (instanceRef bdcnt_bctr_6)) + (portRef C0 (instanceRef bdcnt_bctr_6)) + (portRef C1 (instanceRef e_cmp_ci_a)) + (portRef C0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_ci_a)) + (portRef D0 (instanceRef e_cmp_ci_a)) + (portRef B1 (instanceRef e_cmp_ci_a)) + (portRef B0 (instanceRef e_cmp_ci_a)) + (portRef A1 (instanceRef e_cmp_ci_a)) + (portRef A0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_0)) + (portRef D0 (instanceRef e_cmp_0)) + (portRef C1 (instanceRef e_cmp_0)) + (portRef C0 (instanceRef e_cmp_0)) + (portRef D1 (instanceRef e_cmp_1)) + (portRef D0 (instanceRef e_cmp_1)) + (portRef C1 (instanceRef e_cmp_1)) + (portRef C0 (instanceRef e_cmp_1)) + (portRef D1 (instanceRef e_cmp_2)) + (portRef D0 (instanceRef e_cmp_2)) + (portRef C1 (instanceRef e_cmp_2)) + (portRef C0 (instanceRef e_cmp_2)) + (portRef D1 (instanceRef e_cmp_3)) + (portRef D0 (instanceRef e_cmp_3)) + (portRef C1 (instanceRef e_cmp_3)) + (portRef C0 (instanceRef e_cmp_3)) + (portRef D1 (instanceRef e_cmp_4)) + (portRef D0 (instanceRef e_cmp_4)) + (portRef C1 (instanceRef e_cmp_4)) + (portRef C0 (instanceRef e_cmp_4)) + (portRef D1 (instanceRef e_cmp_5)) + (portRef D0 (instanceRef e_cmp_5)) + (portRef C1 (instanceRef e_cmp_5)) + (portRef C0 (instanceRef e_cmp_5)) + (portRef D1 (instanceRef e_cmp_6)) + (portRef D0 (instanceRef e_cmp_6)) + (portRef C1 (instanceRef e_cmp_6)) + (portRef C0 (instanceRef e_cmp_6)) + (portRef C1 (instanceRef a0)) + (portRef C0 (instanceRef a0)) + (portRef D1 (instanceRef a0)) + (portRef D0 (instanceRef a0)) + (portRef C1 (instanceRef g_cmp_ci_a)) + (portRef C0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_ci_a)) + (portRef D0 (instanceRef g_cmp_ci_a)) + (portRef B1 (instanceRef g_cmp_ci_a)) + (portRef B0 (instanceRef g_cmp_ci_a)) + (portRef A1 (instanceRef g_cmp_ci_a)) + (portRef A0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_0)) + (portRef D0 (instanceRef g_cmp_0)) + (portRef C1 (instanceRef g_cmp_0)) + (portRef C0 (instanceRef g_cmp_0)) + (portRef D1 (instanceRef g_cmp_1)) + (portRef D0 (instanceRef g_cmp_1)) + (portRef C1 (instanceRef g_cmp_1)) + (portRef C0 (instanceRef g_cmp_1)) + (portRef D1 (instanceRef g_cmp_2)) + (portRef D0 (instanceRef g_cmp_2)) + (portRef C1 (instanceRef g_cmp_2)) + (portRef C0 (instanceRef g_cmp_2)) + (portRef D1 (instanceRef g_cmp_3)) + (portRef D0 (instanceRef g_cmp_3)) + (portRef C1 (instanceRef g_cmp_3)) + (portRef C0 (instanceRef g_cmp_3)) + (portRef D1 (instanceRef g_cmp_4)) + (portRef D0 (instanceRef g_cmp_4)) + (portRef C1 (instanceRef g_cmp_4)) + (portRef C0 (instanceRef g_cmp_4)) + (portRef D1 (instanceRef g_cmp_5)) + (portRef D0 (instanceRef g_cmp_5)) + (portRef C1 (instanceRef g_cmp_5)) + (portRef C0 (instanceRef g_cmp_5)) + (portRef D1 (instanceRef g_cmp_6)) + (portRef D0 (instanceRef g_cmp_6)) + (portRef C1 (instanceRef g_cmp_6)) + (portRef C0 (instanceRef g_cmp_6)) + (portRef C1 (instanceRef a1)) + (portRef C0 (instanceRef a1)) + (portRef D1 (instanceRef a1)) + (portRef D0 (instanceRef a1)) + (portRef C1 (instanceRef w_ctr_cia)) + (portRef C0 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_cia)) + (portRef D0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_cia)) + (portRef A1 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_0)) + (portRef D0 (instanceRef w_ctr_0)) + (portRef C1 (instanceRef w_ctr_0)) + (portRef C0 (instanceRef w_ctr_0)) + (portRef D1 (instanceRef w_ctr_1)) + (portRef D0 (instanceRef w_ctr_1)) + (portRef C1 (instanceRef w_ctr_1)) + (portRef C0 (instanceRef w_ctr_1)) + (portRef D1 (instanceRef w_ctr_2)) + (portRef D0 (instanceRef w_ctr_2)) + (portRef C1 (instanceRef w_ctr_2)) + (portRef C0 (instanceRef w_ctr_2)) + (portRef D1 (instanceRef w_ctr_3)) + (portRef D0 (instanceRef w_ctr_3)) + (portRef C1 (instanceRef w_ctr_3)) + (portRef C0 (instanceRef w_ctr_3)) + (portRef D1 (instanceRef w_ctr_4)) + (portRef D0 (instanceRef w_ctr_4)) + (portRef C1 (instanceRef w_ctr_4)) + (portRef C0 (instanceRef w_ctr_4)) + (portRef D1 (instanceRef w_ctr_5)) + (portRef D0 (instanceRef w_ctr_5)) + (portRef C1 (instanceRef w_ctr_5)) + (portRef C0 (instanceRef w_ctr_5)) + (portRef D1 (instanceRef w_ctr_6)) + (portRef D0 (instanceRef w_ctr_6)) + (portRef C1 (instanceRef w_ctr_6)) + (portRef C0 (instanceRef w_ctr_6)) + (portRef C1 (instanceRef r_ctr_cia)) + (portRef C0 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_cia)) + (portRef D0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_cia)) + (portRef A1 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_0)) + (portRef D0 (instanceRef r_ctr_0)) + (portRef C1 (instanceRef r_ctr_0)) + (portRef C0 (instanceRef r_ctr_0)) + (portRef D1 (instanceRef r_ctr_1)) + (portRef D0 (instanceRef r_ctr_1)) + (portRef C1 (instanceRef r_ctr_1)) + (portRef C0 (instanceRef r_ctr_1)) + (portRef D1 (instanceRef r_ctr_2)) + (portRef D0 (instanceRef r_ctr_2)) + (portRef C1 (instanceRef r_ctr_2)) + (portRef C0 (instanceRef r_ctr_2)) + (portRef D1 (instanceRef r_ctr_3)) + (portRef D0 (instanceRef r_ctr_3)) + (portRef C1 (instanceRef r_ctr_3)) + (portRef C0 (instanceRef r_ctr_3)) + (portRef D1 (instanceRef r_ctr_4)) + (portRef D0 (instanceRef r_ctr_4)) + (portRef C1 (instanceRef r_ctr_4)) + (portRef C0 (instanceRef r_ctr_4)) + (portRef D1 (instanceRef r_ctr_5)) + (portRef D0 (instanceRef r_ctr_5)) + (portRef C1 (instanceRef r_ctr_5)) + (portRef C0 (instanceRef r_ctr_5)) + (portRef D1 (instanceRef r_ctr_6)) + (portRef D0 (instanceRef r_ctr_6)) + (portRef C1 (instanceRef r_ctr_6)) + (portRef C0 (instanceRef r_ctr_6)) + (portRef C1 (instanceRef precin_inst389)) + (portRef C0 (instanceRef precin_inst389)) + (portRef D1 (instanceRef precin_inst389)) + (portRef D0 (instanceRef precin_inst389)) + (portRef B1 (instanceRef precin_inst389)) + (portRef B0 (instanceRef precin_inst389)) + (portRef A1 (instanceRef precin_inst389)) + (portRef A0 (instanceRef precin_inst389)) + (portRef C1 (instanceRef wcnt_0)) + (portRef C0 (instanceRef wcnt_0)) + (portRef D1 (instanceRef wcnt_0)) + (portRef D0 (instanceRef wcnt_0)) + (portRef C1 (instanceRef wcnt_1)) + (portRef C0 (instanceRef wcnt_1)) + (portRef D1 (instanceRef wcnt_1)) + (portRef D0 (instanceRef wcnt_1)) + (portRef C1 (instanceRef wcnt_2)) + (portRef C0 (instanceRef wcnt_2)) + (portRef D1 (instanceRef wcnt_2)) + (portRef D0 (instanceRef wcnt_2)) + (portRef C1 (instanceRef wcnt_3)) + (portRef C0 (instanceRef wcnt_3)) + (portRef D1 (instanceRef wcnt_3)) + (portRef D0 (instanceRef wcnt_3)) + (portRef C1 (instanceRef wcnt_4)) + (portRef C0 (instanceRef wcnt_4)) + (portRef D1 (instanceRef wcnt_4)) + (portRef D0 (instanceRef wcnt_4)) + (portRef C1 (instanceRef wcnt_5)) + (portRef C0 (instanceRef wcnt_5)) + (portRef D1 (instanceRef wcnt_5)) + (portRef D0 (instanceRef wcnt_5)) + (portRef C1 (instanceRef wcnt_6)) + (portRef C0 (instanceRef wcnt_6)) + (portRef D1 (instanceRef wcnt_6)) + (portRef D0 (instanceRef wcnt_6)) + (portRef C1 (instanceRef wcntd)) + (portRef C0 (instanceRef wcntd)) + (portRef D1 (instanceRef wcntd)) + (portRef D0 (instanceRef wcntd)) + (portRef C1 (instanceRef af_set_cmp_ci_a)) + (portRef C0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_ci_a)) + (portRef D0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_0)) + (portRef D0 (instanceRef af_set_cmp_0)) + (portRef C1 (instanceRef af_set_cmp_0)) + (portRef C0 (instanceRef af_set_cmp_0)) + (portRef D1 (instanceRef af_set_cmp_1)) + (portRef D0 (instanceRef af_set_cmp_1)) + (portRef C1 (instanceRef af_set_cmp_1)) + (portRef C0 (instanceRef af_set_cmp_1)) + (portRef D1 (instanceRef af_set_cmp_2)) + (portRef D0 (instanceRef af_set_cmp_2)) + (portRef C1 (instanceRef af_set_cmp_2)) + (portRef C0 (instanceRef af_set_cmp_2)) + (portRef D1 (instanceRef af_set_cmp_3)) + (portRef D0 (instanceRef af_set_cmp_3)) + (portRef C1 (instanceRef af_set_cmp_3)) + (portRef C0 (instanceRef af_set_cmp_3)) + (portRef D1 (instanceRef af_set_cmp_4)) + (portRef D0 (instanceRef af_set_cmp_4)) + (portRef C1 (instanceRef af_set_cmp_4)) + (portRef C0 (instanceRef af_set_cmp_4)) + (portRef D1 (instanceRef af_set_cmp_5)) + (portRef D0 (instanceRef af_set_cmp_5)) + (portRef C1 (instanceRef af_set_cmp_5)) + (portRef C0 (instanceRef af_set_cmp_5)) + (portRef D1 (instanceRef af_set_cmp_6)) + (portRef D0 (instanceRef af_set_cmp_6)) + (portRef C1 (instanceRef af_set_cmp_6)) + (portRef C0 (instanceRef af_set_cmp_6)) + (portRef C1 (instanceRef a2)) + (portRef C0 (instanceRef a2)) + (portRef D1 (instanceRef a2)) + (portRef D0 (instanceRef a2)))) + (net scuba_vlo + (joined + (portRef Z (instanceRef scuba_vlo_inst)) + (portRef AD3 (instanceRef LUT4_1)) + (portRef AD3 (instanceRef LUT4_0)) + (portRef CSB2 (instanceRef pdp_ram_0_0_7)) + (portRef CSA2 (instanceRef pdp_ram_0_0_7)) + (portRef CSB1 (instanceRef pdp_ram_0_0_7)) + (portRef CSA1 (instanceRef pdp_ram_0_0_7)) + (portRef WEB (instanceRef pdp_ram_0_0_7)) + (portRef ADB2 (instanceRef pdp_ram_0_0_7)) + (portRef ADA2 (instanceRef pdp_ram_0_0_7)) + (portRef ADB1 (instanceRef pdp_ram_0_0_7)) + (portRef ADA1 (instanceRef pdp_ram_0_0_7)) + (portRef ADB0 (instanceRef pdp_ram_0_0_7)) + (portRef ADA0 (instanceRef pdp_ram_0_0_7)) + (portRef DIB17 (instanceRef pdp_ram_0_0_7)) + (portRef DIA17 (instanceRef pdp_ram_0_0_7)) + (portRef DIB16 (instanceRef pdp_ram_0_0_7)) + (portRef DIA16 (instanceRef pdp_ram_0_0_7)) + (portRef DIB15 (instanceRef pdp_ram_0_0_7)) + (portRef DIA15 (instanceRef pdp_ram_0_0_7)) + (portRef DIB14 (instanceRef pdp_ram_0_0_7)) + (portRef DIA14 (instanceRef pdp_ram_0_0_7)) + (portRef DIB13 (instanceRef pdp_ram_0_0_7)) + (portRef DIA13 (instanceRef pdp_ram_0_0_7)) + (portRef DIB12 (instanceRef pdp_ram_0_0_7)) + (portRef DIA12 (instanceRef pdp_ram_0_0_7)) + (portRef DIB11 (instanceRef pdp_ram_0_0_7)) + (portRef DIA11 (instanceRef pdp_ram_0_0_7)) + (portRef DIB10 (instanceRef pdp_ram_0_0_7)) + (portRef DIA10 (instanceRef pdp_ram_0_0_7)) + (portRef DIB9 (instanceRef pdp_ram_0_0_7)) + (portRef DIA9 (instanceRef pdp_ram_0_0_7)) + (portRef DIB8 (instanceRef pdp_ram_0_0_7)) + (portRef DIB7 (instanceRef pdp_ram_0_0_7)) + (portRef DIB6 (instanceRef pdp_ram_0_0_7)) + (portRef DIB5 (instanceRef pdp_ram_0_0_7)) + (portRef DIB4 (instanceRef pdp_ram_0_0_7)) + (portRef DIB3 (instanceRef pdp_ram_0_0_7)) + (portRef DIB2 (instanceRef pdp_ram_0_0_7)) + (portRef DIB1 (instanceRef pdp_ram_0_0_7)) + (portRef DIB0 (instanceRef pdp_ram_0_0_7)) + (portRef CSB2 (instanceRef pdp_ram_0_1_6)) + (portRef CSA2 (instanceRef pdp_ram_0_1_6)) + (portRef CSB1 (instanceRef pdp_ram_0_1_6)) + (portRef CSA1 (instanceRef pdp_ram_0_1_6)) + (portRef WEB (instanceRef pdp_ram_0_1_6)) + (portRef ADB2 (instanceRef pdp_ram_0_1_6)) + (portRef ADA2 (instanceRef pdp_ram_0_1_6)) + (portRef ADB1 (instanceRef pdp_ram_0_1_6)) + (portRef ADA1 (instanceRef pdp_ram_0_1_6)) + (portRef ADB0 (instanceRef pdp_ram_0_1_6)) + (portRef ADA0 (instanceRef pdp_ram_0_1_6)) + (portRef DIB17 (instanceRef pdp_ram_0_1_6)) + (portRef DIA17 (instanceRef pdp_ram_0_1_6)) + (portRef DIB16 (instanceRef pdp_ram_0_1_6)) + (portRef DIA16 (instanceRef pdp_ram_0_1_6)) + (portRef DIB15 (instanceRef pdp_ram_0_1_6)) + (portRef DIA15 (instanceRef pdp_ram_0_1_6)) + (portRef DIB14 (instanceRef pdp_ram_0_1_6)) + (portRef DIA14 (instanceRef pdp_ram_0_1_6)) + (portRef DIB13 (instanceRef pdp_ram_0_1_6)) + (portRef DIA13 (instanceRef pdp_ram_0_1_6)) + (portRef DIB12 (instanceRef pdp_ram_0_1_6)) + (portRef DIA12 (instanceRef pdp_ram_0_1_6)) + (portRef DIB11 (instanceRef pdp_ram_0_1_6)) + (portRef DIA11 (instanceRef pdp_ram_0_1_6)) + (portRef DIB10 (instanceRef pdp_ram_0_1_6)) + (portRef DIA10 (instanceRef pdp_ram_0_1_6)) + (portRef DIB9 (instanceRef pdp_ram_0_1_6)) + (portRef DIA9 (instanceRef pdp_ram_0_1_6)) + (portRef DIB8 (instanceRef pdp_ram_0_1_6)) + (portRef DIB7 (instanceRef pdp_ram_0_1_6)) + (portRef DIB6 (instanceRef pdp_ram_0_1_6)) + (portRef DIB5 (instanceRef pdp_ram_0_1_6)) + (portRef DIB4 (instanceRef pdp_ram_0_1_6)) + (portRef DIB3 (instanceRef pdp_ram_0_1_6)) + (portRef DIB2 (instanceRef pdp_ram_0_1_6)) + (portRef DIB1 (instanceRef pdp_ram_0_1_6)) + (portRef DIB0 (instanceRef pdp_ram_0_1_6)) + (portRef CSB2 (instanceRef pdp_ram_0_2_5)) + (portRef CSA2 (instanceRef pdp_ram_0_2_5)) + (portRef CSB1 (instanceRef pdp_ram_0_2_5)) + (portRef CSA1 (instanceRef pdp_ram_0_2_5)) + (portRef WEB (instanceRef pdp_ram_0_2_5)) + (portRef ADB2 (instanceRef pdp_ram_0_2_5)) + (portRef ADA2 (instanceRef pdp_ram_0_2_5)) + (portRef ADB1 (instanceRef pdp_ram_0_2_5)) + (portRef ADA1 (instanceRef pdp_ram_0_2_5)) + (portRef ADB0 (instanceRef pdp_ram_0_2_5)) + (portRef ADA0 (instanceRef pdp_ram_0_2_5)) + (portRef DIB17 (instanceRef pdp_ram_0_2_5)) + (portRef DIA17 (instanceRef pdp_ram_0_2_5)) + (portRef DIB16 (instanceRef pdp_ram_0_2_5)) + (portRef DIA16 (instanceRef pdp_ram_0_2_5)) + (portRef DIB15 (instanceRef pdp_ram_0_2_5)) + (portRef DIA15 (instanceRef pdp_ram_0_2_5)) + (portRef DIB14 (instanceRef pdp_ram_0_2_5)) + (portRef DIA14 (instanceRef pdp_ram_0_2_5)) + (portRef DIB13 (instanceRef pdp_ram_0_2_5)) + (portRef DIA13 (instanceRef pdp_ram_0_2_5)) + (portRef DIB12 (instanceRef pdp_ram_0_2_5)) + (portRef DIA12 (instanceRef pdp_ram_0_2_5)) + (portRef DIB11 (instanceRef pdp_ram_0_2_5)) + (portRef DIA11 (instanceRef pdp_ram_0_2_5)) + (portRef DIB10 (instanceRef pdp_ram_0_2_5)) + (portRef DIA10 (instanceRef pdp_ram_0_2_5)) + (portRef DIB9 (instanceRef pdp_ram_0_2_5)) + (portRef DIA9 (instanceRef pdp_ram_0_2_5)) + (portRef DIB8 (instanceRef pdp_ram_0_2_5)) + (portRef DIB7 (instanceRef pdp_ram_0_2_5)) + (portRef DIB6 (instanceRef pdp_ram_0_2_5)) + (portRef DIB5 (instanceRef pdp_ram_0_2_5)) + (portRef DIB4 (instanceRef pdp_ram_0_2_5)) + (portRef DIB3 (instanceRef pdp_ram_0_2_5)) + (portRef DIB2 (instanceRef pdp_ram_0_2_5)) + (portRef DIB1 (instanceRef pdp_ram_0_2_5)) + (portRef DIB0 (instanceRef pdp_ram_0_2_5)) + (portRef CSB2 (instanceRef pdp_ram_0_3_4)) + (portRef CSA2 (instanceRef pdp_ram_0_3_4)) + (portRef CSB1 (instanceRef pdp_ram_0_3_4)) + (portRef CSA1 (instanceRef pdp_ram_0_3_4)) + (portRef WEB (instanceRef pdp_ram_0_3_4)) + (portRef ADB2 (instanceRef pdp_ram_0_3_4)) + (portRef ADA2 (instanceRef pdp_ram_0_3_4)) + (portRef ADB1 (instanceRef pdp_ram_0_3_4)) + (portRef ADA1 (instanceRef pdp_ram_0_3_4)) + (portRef ADB0 (instanceRef pdp_ram_0_3_4)) + (portRef ADA0 (instanceRef pdp_ram_0_3_4)) + (portRef DIB17 (instanceRef pdp_ram_0_3_4)) + (portRef DIA17 (instanceRef pdp_ram_0_3_4)) + (portRef DIB16 (instanceRef pdp_ram_0_3_4)) + (portRef DIA16 (instanceRef pdp_ram_0_3_4)) + (portRef DIB15 (instanceRef pdp_ram_0_3_4)) + (portRef DIA15 (instanceRef pdp_ram_0_3_4)) + (portRef DIB14 (instanceRef pdp_ram_0_3_4)) + (portRef DIA14 (instanceRef pdp_ram_0_3_4)) + (portRef DIB13 (instanceRef pdp_ram_0_3_4)) + (portRef DIA13 (instanceRef pdp_ram_0_3_4)) + (portRef DIB12 (instanceRef pdp_ram_0_3_4)) + (portRef DIA12 (instanceRef pdp_ram_0_3_4)) + (portRef DIB11 (instanceRef pdp_ram_0_3_4)) + (portRef DIA11 (instanceRef pdp_ram_0_3_4)) + (portRef DIB10 (instanceRef pdp_ram_0_3_4)) + (portRef DIA10 (instanceRef pdp_ram_0_3_4)) + (portRef DIB9 (instanceRef pdp_ram_0_3_4)) + (portRef DIA9 (instanceRef pdp_ram_0_3_4)) + (portRef DIB8 (instanceRef pdp_ram_0_3_4)) + (portRef DIB7 (instanceRef pdp_ram_0_3_4)) + (portRef DIB6 (instanceRef pdp_ram_0_3_4)) + (portRef DIB5 (instanceRef pdp_ram_0_3_4)) + (portRef DIB4 (instanceRef pdp_ram_0_3_4)) + (portRef DIB3 (instanceRef pdp_ram_0_3_4)) + (portRef DIB2 (instanceRef pdp_ram_0_3_4)) + (portRef DIB1 (instanceRef pdp_ram_0_3_4)) + (portRef DIB0 (instanceRef pdp_ram_0_3_4)) + (portRef CSB2 (instanceRef pdp_ram_1_0_3)) + (portRef CSA2 (instanceRef pdp_ram_1_0_3)) + (portRef CSB1 (instanceRef pdp_ram_1_0_3)) + (portRef CSA1 (instanceRef pdp_ram_1_0_3)) + (portRef WEB (instanceRef pdp_ram_1_0_3)) + (portRef ADB2 (instanceRef pdp_ram_1_0_3)) + (portRef ADA2 (instanceRef pdp_ram_1_0_3)) + (portRef ADB1 (instanceRef pdp_ram_1_0_3)) + (portRef ADA1 (instanceRef pdp_ram_1_0_3)) + (portRef ADB0 (instanceRef pdp_ram_1_0_3)) + (portRef ADA0 (instanceRef pdp_ram_1_0_3)) + (portRef DIB17 (instanceRef pdp_ram_1_0_3)) + (portRef DIA17 (instanceRef pdp_ram_1_0_3)) + (portRef DIB16 (instanceRef pdp_ram_1_0_3)) + (portRef DIA16 (instanceRef pdp_ram_1_0_3)) + (portRef DIB15 (instanceRef pdp_ram_1_0_3)) + (portRef DIA15 (instanceRef pdp_ram_1_0_3)) + (portRef DIB14 (instanceRef pdp_ram_1_0_3)) + (portRef DIA14 (instanceRef pdp_ram_1_0_3)) + (portRef DIB13 (instanceRef pdp_ram_1_0_3)) + (portRef DIA13 (instanceRef pdp_ram_1_0_3)) + (portRef DIB12 (instanceRef pdp_ram_1_0_3)) + (portRef DIA12 (instanceRef pdp_ram_1_0_3)) + (portRef DIB11 (instanceRef pdp_ram_1_0_3)) + (portRef DIA11 (instanceRef pdp_ram_1_0_3)) + (portRef DIB10 (instanceRef pdp_ram_1_0_3)) + (portRef DIA10 (instanceRef pdp_ram_1_0_3)) + (portRef DIB9 (instanceRef pdp_ram_1_0_3)) + (portRef DIA9 (instanceRef pdp_ram_1_0_3)) + (portRef DIB8 (instanceRef pdp_ram_1_0_3)) + (portRef DIB7 (instanceRef pdp_ram_1_0_3)) + (portRef DIB6 (instanceRef pdp_ram_1_0_3)) + (portRef DIB5 (instanceRef pdp_ram_1_0_3)) + (portRef DIB4 (instanceRef pdp_ram_1_0_3)) + (portRef DIB3 (instanceRef pdp_ram_1_0_3)) + (portRef DIB2 (instanceRef pdp_ram_1_0_3)) + (portRef DIB1 (instanceRef pdp_ram_1_0_3)) + (portRef DIB0 (instanceRef pdp_ram_1_0_3)) + (portRef CSB2 (instanceRef pdp_ram_1_1_2)) + (portRef CSA2 (instanceRef pdp_ram_1_1_2)) + (portRef CSB1 (instanceRef pdp_ram_1_1_2)) + (portRef CSA1 (instanceRef pdp_ram_1_1_2)) + (portRef WEB (instanceRef pdp_ram_1_1_2)) + (portRef ADB2 (instanceRef pdp_ram_1_1_2)) + (portRef ADA2 (instanceRef pdp_ram_1_1_2)) + (portRef ADB1 (instanceRef pdp_ram_1_1_2)) + (portRef ADA1 (instanceRef pdp_ram_1_1_2)) + (portRef ADB0 (instanceRef pdp_ram_1_1_2)) + (portRef ADA0 (instanceRef pdp_ram_1_1_2)) + (portRef DIB17 (instanceRef pdp_ram_1_1_2)) + (portRef DIA17 (instanceRef pdp_ram_1_1_2)) + (portRef DIB16 (instanceRef pdp_ram_1_1_2)) + (portRef DIA16 (instanceRef pdp_ram_1_1_2)) + (portRef DIB15 (instanceRef pdp_ram_1_1_2)) + (portRef DIA15 (instanceRef pdp_ram_1_1_2)) + (portRef DIB14 (instanceRef pdp_ram_1_1_2)) + (portRef DIA14 (instanceRef pdp_ram_1_1_2)) + (portRef DIB13 (instanceRef pdp_ram_1_1_2)) + (portRef DIA13 (instanceRef pdp_ram_1_1_2)) + (portRef DIB12 (instanceRef pdp_ram_1_1_2)) + (portRef DIA12 (instanceRef pdp_ram_1_1_2)) + (portRef DIB11 (instanceRef pdp_ram_1_1_2)) + (portRef DIA11 (instanceRef pdp_ram_1_1_2)) + (portRef DIB10 (instanceRef pdp_ram_1_1_2)) + (portRef DIA10 (instanceRef pdp_ram_1_1_2)) + (portRef DIB9 (instanceRef pdp_ram_1_1_2)) + (portRef DIA9 (instanceRef pdp_ram_1_1_2)) + (portRef DIB8 (instanceRef pdp_ram_1_1_2)) + (portRef DIB7 (instanceRef pdp_ram_1_1_2)) + (portRef DIB6 (instanceRef pdp_ram_1_1_2)) + (portRef DIB5 (instanceRef pdp_ram_1_1_2)) + (portRef DIB4 (instanceRef pdp_ram_1_1_2)) + (portRef DIB3 (instanceRef pdp_ram_1_1_2)) + (portRef DIB2 (instanceRef pdp_ram_1_1_2)) + (portRef DIB1 (instanceRef pdp_ram_1_1_2)) + (portRef DIB0 (instanceRef pdp_ram_1_1_2)) + (portRef CSB2 (instanceRef pdp_ram_1_2_1)) + (portRef CSA2 (instanceRef pdp_ram_1_2_1)) + (portRef CSB1 (instanceRef pdp_ram_1_2_1)) + (portRef CSA1 (instanceRef pdp_ram_1_2_1)) + (portRef WEB (instanceRef pdp_ram_1_2_1)) + (portRef ADB2 (instanceRef pdp_ram_1_2_1)) + (portRef ADA2 (instanceRef pdp_ram_1_2_1)) + (portRef ADB1 (instanceRef pdp_ram_1_2_1)) + (portRef ADA1 (instanceRef pdp_ram_1_2_1)) + (portRef ADB0 (instanceRef pdp_ram_1_2_1)) + (portRef ADA0 (instanceRef pdp_ram_1_2_1)) + (portRef DIB17 (instanceRef pdp_ram_1_2_1)) + (portRef DIA17 (instanceRef pdp_ram_1_2_1)) + (portRef DIB16 (instanceRef pdp_ram_1_2_1)) + (portRef DIA16 (instanceRef pdp_ram_1_2_1)) + (portRef DIB15 (instanceRef pdp_ram_1_2_1)) + (portRef DIA15 (instanceRef pdp_ram_1_2_1)) + (portRef DIB14 (instanceRef pdp_ram_1_2_1)) + (portRef DIA14 (instanceRef pdp_ram_1_2_1)) + (portRef DIB13 (instanceRef pdp_ram_1_2_1)) + (portRef DIA13 (instanceRef pdp_ram_1_2_1)) + (portRef DIB12 (instanceRef pdp_ram_1_2_1)) + (portRef DIA12 (instanceRef pdp_ram_1_2_1)) + (portRef DIB11 (instanceRef pdp_ram_1_2_1)) + (portRef DIA11 (instanceRef pdp_ram_1_2_1)) + (portRef DIB10 (instanceRef pdp_ram_1_2_1)) + (portRef DIA10 (instanceRef pdp_ram_1_2_1)) + (portRef DIB9 (instanceRef pdp_ram_1_2_1)) + (portRef DIA9 (instanceRef pdp_ram_1_2_1)) + (portRef DIB8 (instanceRef pdp_ram_1_2_1)) + (portRef DIB7 (instanceRef pdp_ram_1_2_1)) + (portRef DIB6 (instanceRef pdp_ram_1_2_1)) + (portRef DIB5 (instanceRef pdp_ram_1_2_1)) + (portRef DIB4 (instanceRef pdp_ram_1_2_1)) + (portRef DIB3 (instanceRef pdp_ram_1_2_1)) + (portRef DIB2 (instanceRef pdp_ram_1_2_1)) + (portRef DIB1 (instanceRef pdp_ram_1_2_1)) + (portRef DIB0 (instanceRef pdp_ram_1_2_1)) + (portRef CSB2 (instanceRef pdp_ram_1_3_0)) + (portRef CSA2 (instanceRef pdp_ram_1_3_0)) + (portRef CSB1 (instanceRef pdp_ram_1_3_0)) + (portRef CSA1 (instanceRef pdp_ram_1_3_0)) + (portRef WEB (instanceRef pdp_ram_1_3_0)) + (portRef ADB2 (instanceRef pdp_ram_1_3_0)) + (portRef ADA2 (instanceRef pdp_ram_1_3_0)) + (portRef ADB1 (instanceRef pdp_ram_1_3_0)) + (portRef ADA1 (instanceRef pdp_ram_1_3_0)) + (portRef ADB0 (instanceRef pdp_ram_1_3_0)) + (portRef ADA0 (instanceRef pdp_ram_1_3_0)) + (portRef DIB17 (instanceRef pdp_ram_1_3_0)) + (portRef DIA17 (instanceRef pdp_ram_1_3_0)) + (portRef DIB16 (instanceRef pdp_ram_1_3_0)) + (portRef DIA16 (instanceRef pdp_ram_1_3_0)) + (portRef DIB15 (instanceRef pdp_ram_1_3_0)) + (portRef DIA15 (instanceRef pdp_ram_1_3_0)) + (portRef DIB14 (instanceRef pdp_ram_1_3_0)) + (portRef DIA14 (instanceRef pdp_ram_1_3_0)) + (portRef DIB13 (instanceRef pdp_ram_1_3_0)) + (portRef DIA13 (instanceRef pdp_ram_1_3_0)) + (portRef DIB12 (instanceRef pdp_ram_1_3_0)) + (portRef DIA12 (instanceRef pdp_ram_1_3_0)) + (portRef DIB11 (instanceRef pdp_ram_1_3_0)) + (portRef DIA11 (instanceRef pdp_ram_1_3_0)) + (portRef DIB10 (instanceRef pdp_ram_1_3_0)) + (portRef DIA10 (instanceRef pdp_ram_1_3_0)) + (portRef DIB9 (instanceRef pdp_ram_1_3_0)) + (portRef DIA9 (instanceRef pdp_ram_1_3_0)) + (portRef DIB8 (instanceRef pdp_ram_1_3_0)) + (portRef DIB7 (instanceRef pdp_ram_1_3_0)) + (portRef DIB6 (instanceRef pdp_ram_1_3_0)) + (portRef DIB5 (instanceRef pdp_ram_1_3_0)) + (portRef DIB4 (instanceRef pdp_ram_1_3_0)) + (portRef DIB3 (instanceRef pdp_ram_1_3_0)) + (portRef DIB2 (instanceRef pdp_ram_1_3_0)) + (portRef DIB1 (instanceRef pdp_ram_1_3_0)) + (portRef DIB0 (instanceRef pdp_ram_1_3_0)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_14)) + (portRef B0 (instanceRef bdcnt_bctr_cia)) + (portRef A0 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_6)) + (portRef A1 (instanceRef e_cmp_0)) + (portRef A0 (instanceRef e_cmp_1)) + (portRef A1 (instanceRef e_cmp_1)) + (portRef A0 (instanceRef e_cmp_2)) + (portRef A1 (instanceRef e_cmp_2)) + (portRef A0 (instanceRef e_cmp_3)) + (portRef A1 (instanceRef e_cmp_3)) + (portRef A0 (instanceRef e_cmp_4)) + (portRef A1 (instanceRef e_cmp_4)) + (portRef A0 (instanceRef e_cmp_5)) + (portRef A1 (instanceRef e_cmp_5)) + (portRef A0 (instanceRef e_cmp_6)) + (portRef A1 (instanceRef e_cmp_6)) + (portRef B1 (instanceRef e_cmp_6)) + (portRef B1 (instanceRef a0)) + (portRef B0 (instanceRef a0)) + (portRef A1 (instanceRef a0)) + (portRef A0 (instanceRef a0)) + (portRef B1 (instanceRef g_cmp_6)) + (portRef A1 (instanceRef g_cmp_6)) + (portRef B1 (instanceRef a1)) + (portRef B0 (instanceRef a1)) + (portRef A1 (instanceRef a1)) + (portRef A0 (instanceRef a1)) + (portRef B0 (instanceRef w_ctr_cia)) + (portRef A0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_0)) + (portRef B0 (instanceRef w_ctr_0)) + (portRef B1 (instanceRef w_ctr_1)) + (portRef B0 (instanceRef w_ctr_1)) + (portRef B1 (instanceRef w_ctr_2)) + (portRef B0 (instanceRef w_ctr_2)) + (portRef B1 (instanceRef w_ctr_3)) + (portRef B0 (instanceRef w_ctr_3)) + (portRef B1 (instanceRef w_ctr_4)) + (portRef B0 (instanceRef w_ctr_4)) + (portRef B1 (instanceRef w_ctr_5)) + (portRef B0 (instanceRef w_ctr_5)) + (portRef B1 (instanceRef w_ctr_6)) + (portRef B0 (instanceRef w_ctr_6)) + (portRef A1 (instanceRef w_ctr_6)) + (portRef B0 (instanceRef r_ctr_cia)) + (portRef A0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_0)) + (portRef B0 (instanceRef r_ctr_0)) + (portRef B1 (instanceRef r_ctr_1)) + (portRef B0 (instanceRef r_ctr_1)) + (portRef B1 (instanceRef r_ctr_2)) + (portRef B0 (instanceRef r_ctr_2)) + (portRef B1 (instanceRef r_ctr_3)) + (portRef B0 (instanceRef r_ctr_3)) + (portRef B1 (instanceRef r_ctr_4)) + (portRef B0 (instanceRef r_ctr_4)) + (portRef B1 (instanceRef r_ctr_5)) + (portRef B0 (instanceRef r_ctr_5)) + (portRef B1 (instanceRef r_ctr_6)) + (portRef B0 (instanceRef r_ctr_6)) + (portRef A1 (instanceRef r_ctr_6)) + (portRef B1 (instanceRef wcnt_6)) + (portRef B1 (instanceRef wcntd)) + (portRef B0 (instanceRef wcntd)) + (portRef A1 (instanceRef wcntd)) + (portRef A0 (instanceRef wcntd)) + (portRef B0 (instanceRef af_set_cmp_ci_a)) + (portRef A0 (instanceRef af_set_cmp_ci_a)) + (portRef B0 (instanceRef af_set_cmp_6)) + (portRef B1 (instanceRef af_set_cmp_6)) + (portRef A1 (instanceRef af_set_cmp_6)) + (portRef B1 (instanceRef a2)) + (portRef B0 (instanceRef a2)) + (portRef A1 (instanceRef a2)) + (portRef A0 (instanceRef a2)))) + (net af_set_c + (joined + (portRef CIN (instanceRef a2)) + (portRef COUT (instanceRef af_set_cmp_6)))) + (net partial_full + (joined + (portRef AlmostFull) + (portRef Q (instanceRef FF_0)))) + (net Full + (joined + (portRef Full) + (portRef Q (instanceRef FF_68)) + (portRef A (instanceRef INV_5)) + (portRef AD0 (instanceRef LUT4_0)))) + (net Empty + (joined + (portRef Empty) + (portRef Q (instanceRef FF_69)) + (portRef A (instanceRef INV_4)) + (portRef AD0 (instanceRef LUT4_1)))) + (net WCNT12 + (joined + (portRef (member WCNT 0)) + (portRef A0 (instanceRef g_cmp_6)) + (portRef Q (instanceRef FF_70)) + (portRef A0 (instanceRef bdcnt_bctr_6)) + (portRef B0 (instanceRef e_cmp_6)))) + (net WCNT11 + (joined + (portRef (member WCNT 1)) + (portRef A1 (instanceRef g_cmp_5)) + (portRef Q (instanceRef FF_71)) + (portRef A1 (instanceRef bdcnt_bctr_5)) + (portRef B1 (instanceRef e_cmp_5)))) + (net WCNT10 + (joined + (portRef (member WCNT 2)) + (portRef A0 (instanceRef g_cmp_5)) + (portRef Q (instanceRef FF_72)) + (portRef A0 (instanceRef bdcnt_bctr_5)) + (portRef B0 (instanceRef e_cmp_5)))) + (net WCNT9 + (joined + (portRef (member WCNT 3)) + (portRef A1 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_73)) + (portRef A1 (instanceRef bdcnt_bctr_4)) + (portRef B1 (instanceRef e_cmp_4)))) + (net WCNT8 + (joined + (portRef (member WCNT 4)) + (portRef A0 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_74)) + (portRef A0 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef e_cmp_4)))) + (net WCNT7 + (joined + (portRef (member WCNT 5)) + (portRef A1 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_75)) + (portRef A1 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef e_cmp_3)))) + (net WCNT6 + (joined + (portRef (member WCNT 6)) + (portRef A0 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_76)) + (portRef A0 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef e_cmp_3)))) + (net WCNT5 + (joined + (portRef (member WCNT 7)) + (portRef A1 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_77)) + (portRef A1 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef e_cmp_2)))) + (net WCNT4 + (joined + (portRef (member WCNT 8)) + (portRef A0 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_78)) + (portRef A0 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef e_cmp_2)))) + (net WCNT3 + (joined + (portRef (member WCNT 9)) + (portRef A1 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_79)) + (portRef A1 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef e_cmp_1)))) + (net WCNT2 + (joined + (portRef (member WCNT 10)) + (portRef A0 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_80)) + (portRef A0 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef e_cmp_1)))) + (net WCNT1 + (joined + (portRef (member WCNT 11)) + (portRef A1 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_81)) + (portRef A1 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef e_cmp_0)))) + (net WCNT0 + (joined + (portRef (member WCNT 12)) + (portRef A0 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_82)) + (portRef A0 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef e_cmp_0)))) + (net dataout35 + (joined + (portRef (member Q 0)) + (portRef Z (instanceRef mux_0)))) + (net dataout34 + (joined + (portRef (member Q 1)) + (portRef Z (instanceRef mux_1)))) + (net dataout33 + (joined + (portRef (member Q 2)) + (portRef Z (instanceRef mux_2)))) + (net dataout32 + (joined + (portRef (member Q 3)) + (portRef Z (instanceRef mux_3)))) + (net dataout31 + (joined + (portRef (member Q 4)) + (portRef Z (instanceRef mux_4)))) + (net dataout30 + (joined + (portRef (member Q 5)) + (portRef Z (instanceRef mux_5)))) + (net dataout29 + (joined + (portRef (member Q 6)) + (portRef Z (instanceRef mux_6)))) + (net dataout28 + (joined + (portRef (member Q 7)) + (portRef Z (instanceRef mux_7)))) + (net dataout27 + (joined + (portRef (member Q 8)) + (portRef Z (instanceRef mux_8)))) + (net dataout26 + (joined + (portRef (member Q 9)) + (portRef Z (instanceRef mux_9)))) + (net dataout25 + (joined + (portRef (member Q 10)) + (portRef Z (instanceRef mux_10)))) + (net dataout24 + (joined + (portRef (member Q 11)) + (portRef Z (instanceRef mux_11)))) + (net dataout23 + (joined + (portRef (member Q 12)) + (portRef Z (instanceRef mux_12)))) + (net dataout22 + (joined + (portRef (member Q 13)) + (portRef Z (instanceRef mux_13)))) + (net dataout21 + (joined + (portRef (member Q 14)) + (portRef Z (instanceRef mux_14)))) + (net dataout20 + (joined + (portRef (member Q 15)) + (portRef Z (instanceRef mux_15)))) + (net dataout19 + (joined + (portRef (member Q 16)) + (portRef Z (instanceRef mux_16)))) + (net dataout18 + (joined + (portRef (member Q 17)) + (portRef Z (instanceRef mux_17)))) + (net dataout17 + (joined + (portRef (member Q 18)) + (portRef Z (instanceRef mux_18)))) + (net dataout16 + (joined + (portRef (member Q 19)) + (portRef Z (instanceRef mux_19)))) + (net dataout15 + (joined + (portRef (member Q 20)) + (portRef Z (instanceRef mux_20)))) + (net dataout14 + (joined + (portRef (member Q 21)) + (portRef Z (instanceRef mux_21)))) + (net dataout13 + (joined + (portRef (member Q 22)) + (portRef Z (instanceRef mux_22)))) + (net dataout12 + (joined + (portRef (member Q 23)) + (portRef Z (instanceRef mux_23)))) + (net dataout11 + (joined + (portRef (member Q 24)) + (portRef Z (instanceRef mux_24)))) + (net dataout10 + (joined + (portRef (member Q 25)) + (portRef Z (instanceRef mux_25)))) + (net dataout9 + (joined + (portRef (member Q 26)) + (portRef Z (instanceRef mux_26)))) + (net dataout8 + (joined + (portRef (member Q 27)) + (portRef Z (instanceRef mux_27)))) + (net dataout7 + (joined + (portRef (member Q 28)) + (portRef Z (instanceRef mux_28)))) + (net dataout6 + (joined + (portRef (member Q 29)) + (portRef Z (instanceRef mux_29)))) + (net dataout5 + (joined + (portRef (member Q 30)) + (portRef Z (instanceRef mux_30)))) + (net dataout4 + (joined + (portRef (member Q 31)) + (portRef Z (instanceRef mux_31)))) + (net dataout3 + (joined + (portRef (member Q 32)) + (portRef Z (instanceRef mux_32)))) + (net dataout2 + (joined + (portRef (member Q 33)) + (portRef Z (instanceRef mux_33)))) + (net dataout1 + (joined + (portRef (member Q 34)) + (portRef Z (instanceRef mux_34)))) + (net dataout0 + (joined + (portRef (member Q 35)) + (portRef Z (instanceRef mux_35)))) + (net AmFullThresh11 + (joined + (portRef (member AmFullThresh 0)) + (portRef B1 (instanceRef af_set_cmp_5)))) + (net AmFullThresh10 + (joined + (portRef (member AmFullThresh 1)) + (portRef B0 (instanceRef af_set_cmp_5)))) + (net AmFullThresh9 + (joined + (portRef (member AmFullThresh 2)) + (portRef B1 (instanceRef af_set_cmp_4)))) + (net AmFullThresh8 + (joined + (portRef (member AmFullThresh 3)) + (portRef B0 (instanceRef af_set_cmp_4)))) + (net AmFullThresh7 + (joined + (portRef (member AmFullThresh 4)) + (portRef B1 (instanceRef af_set_cmp_3)))) + (net AmFullThresh6 + (joined + (portRef (member AmFullThresh 5)) + (portRef B0 (instanceRef af_set_cmp_3)))) + (net AmFullThresh5 + (joined + (portRef (member AmFullThresh 6)) + (portRef B1 (instanceRef af_set_cmp_2)))) + (net AmFullThresh4 + (joined + (portRef (member AmFullThresh 7)) + (portRef B0 (instanceRef af_set_cmp_2)))) + (net AmFullThresh3 + (joined + (portRef (member AmFullThresh 8)) + (portRef B1 (instanceRef af_set_cmp_1)))) + (net AmFullThresh2 + (joined + (portRef (member AmFullThresh 9)) + (portRef B0 (instanceRef af_set_cmp_1)))) + (net AmFullThresh1 + (joined + (portRef (member AmFullThresh 10)) + (portRef B1 (instanceRef af_set_cmp_0)))) + (net AmFullThresh0 + (joined + (portRef (member AmFullThresh 11)) + (portRef B0 (instanceRef af_set_cmp_0)))) + (net reset + (joined + (portRef Reset) + (portRef RSTB (instanceRef pdp_ram_0_0_7)) + (portRef RSTA (instanceRef pdp_ram_0_0_7)) + (portRef RSTB (instanceRef pdp_ram_0_1_6)) + (portRef RSTA (instanceRef pdp_ram_0_1_6)) + (portRef RSTB (instanceRef pdp_ram_0_2_5)) + (portRef RSTA (instanceRef pdp_ram_0_2_5)) + (portRef RSTB (instanceRef pdp_ram_0_3_4)) + (portRef RSTA (instanceRef pdp_ram_0_3_4)) + (portRef RSTB (instanceRef pdp_ram_1_0_3)) + (portRef RSTA (instanceRef pdp_ram_1_0_3)) + (portRef RSTB (instanceRef pdp_ram_1_1_2)) + (portRef RSTA (instanceRef pdp_ram_1_1_2)) + (portRef RSTB (instanceRef pdp_ram_1_2_1)) + (portRef RSTA (instanceRef pdp_ram_1_2_1)) + (portRef RSTB (instanceRef pdp_ram_1_3_0)) + (portRef RSTA (instanceRef pdp_ram_1_3_0)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_77)) + (portRef CD (instanceRef FF_76)) + (portRef CD (instanceRef FF_75)) + (portRef CD (instanceRef FF_74)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_70)) + (portRef PD (instanceRef FF_69)) + (portRef CD (instanceRef FF_68)) + (portRef PD (instanceRef FF_67)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_55)) + (portRef PD (instanceRef FF_54)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_0)))) + (net rden + (joined + (portRef RdEn) + (portRef A (instanceRef AND2_t4)))) + (net wren + (joined + (portRef WrEn) + (portRef A (instanceRef AND2_t5)))) + (net clk + (joined + (portRef Clock) + (portRef CLKB (instanceRef pdp_ram_0_0_7)) + (portRef CLKA (instanceRef pdp_ram_0_0_7)) + (portRef CLKB (instanceRef pdp_ram_0_1_6)) + (portRef CLKA (instanceRef pdp_ram_0_1_6)) + (portRef CLKB (instanceRef pdp_ram_0_2_5)) + (portRef CLKA (instanceRef pdp_ram_0_2_5)) + (portRef CLKB (instanceRef pdp_ram_0_3_4)) + (portRef CLKA (instanceRef pdp_ram_0_3_4)) + (portRef CLKB (instanceRef pdp_ram_1_0_3)) + (portRef CLKA (instanceRef pdp_ram_1_0_3)) + (portRef CLKB (instanceRef pdp_ram_1_1_2)) + (portRef CLKA (instanceRef pdp_ram_1_1_2)) + (portRef CLKB (instanceRef pdp_ram_1_2_1)) + (portRef CLKA (instanceRef pdp_ram_1_2_1)) + (portRef CLKB (instanceRef pdp_ram_1_3_0)) + (portRef CLKA (instanceRef pdp_ram_1_3_0)) + (portRef CK (instanceRef FF_82)) + (portRef CK (instanceRef FF_81)) + (portRef CK (instanceRef FF_80)) + (portRef CK (instanceRef FF_79)) + (portRef CK (instanceRef FF_78)) + (portRef CK (instanceRef FF_77)) + (portRef CK (instanceRef FF_76)) + (portRef CK (instanceRef FF_75)) + (portRef CK (instanceRef FF_74)) + (portRef CK (instanceRef FF_73)) + (portRef CK (instanceRef FF_72)) + (portRef CK (instanceRef FF_71)) + (portRef CK (instanceRef FF_70)) + (portRef CK (instanceRef FF_69)) + (portRef CK (instanceRef FF_68)) + (portRef CK (instanceRef FF_67)) + (portRef CK (instanceRef FF_66)) + (portRef CK (instanceRef FF_65)) + (portRef CK (instanceRef FF_64)) + (portRef CK (instanceRef FF_63)) + (portRef CK (instanceRef FF_62)) + (portRef CK (instanceRef FF_61)) + (portRef CK (instanceRef FF_60)) + (portRef CK (instanceRef FF_59)) + (portRef CK (instanceRef FF_58)) + (portRef CK (instanceRef FF_57)) + (portRef CK (instanceRef FF_56)) + (portRef CK (instanceRef FF_55)) + (portRef CK (instanceRef FF_54)) + (portRef CK (instanceRef FF_53)) + (portRef CK (instanceRef FF_52)) + (portRef CK (instanceRef FF_51)) + (portRef CK (instanceRef FF_50)) + (portRef CK (instanceRef FF_49)) + (portRef CK (instanceRef FF_48)) + (portRef CK (instanceRef FF_47)) + (portRef CK (instanceRef FF_46)) + (portRef CK (instanceRef FF_45)) + (portRef CK (instanceRef FF_44)) + (portRef CK (instanceRef FF_43)) + (portRef CK (instanceRef FF_42)) + (portRef CK (instanceRef FF_41)) + (portRef CK (instanceRef FF_40)) + (portRef CK (instanceRef FF_39)) + (portRef CK (instanceRef FF_38)) + (portRef CK (instanceRef FF_37)) + (portRef CK (instanceRef FF_36)) + (portRef CK (instanceRef FF_35)) + (portRef CK (instanceRef FF_34)) + (portRef CK (instanceRef FF_33)) + (portRef CK (instanceRef FF_32)) + (portRef CK (instanceRef FF_31)) + (portRef CK (instanceRef FF_30)) + (portRef CK (instanceRef FF_29)) + (portRef CK (instanceRef FF_28)) + (portRef CK (instanceRef FF_27)) + (portRef CK (instanceRef FF_26)) + (portRef CK (instanceRef FF_25)) + (portRef CK (instanceRef FF_24)) + (portRef CK (instanceRef FF_23)) + (portRef CK (instanceRef FF_22)) + (portRef CK (instanceRef FF_21)) + (portRef CK (instanceRef FF_20)) + (portRef CK (instanceRef FF_19)) + (portRef CK (instanceRef FF_18)) + (portRef CK (instanceRef FF_17)) + (portRef CK (instanceRef FF_16)) + (portRef CK (instanceRef FF_15)) + (portRef CK (instanceRef FF_14)) + (portRef CK (instanceRef FF_13)) + (portRef CK (instanceRef FF_12)) + (portRef CK (instanceRef FF_11)) + (portRef CK (instanceRef FF_10)) + (portRef CK (instanceRef FF_9)) + (portRef CK (instanceRef FF_8)) + (portRef CK (instanceRef FF_7)) + (portRef CK (instanceRef FF_6)) + (portRef CK (instanceRef FF_5)) + (portRef CK (instanceRef FF_4)) + (portRef CK (instanceRef FF_3)) + (portRef CK (instanceRef FF_2)) + (portRef CK (instanceRef FF_1)) + (portRef CK (instanceRef FF_0)))) + (net datain35 + (joined + (portRef (member Data 0)) + (portRef DIA8 (instanceRef pdp_ram_0_3_4)) + (portRef DIA8 (instanceRef pdp_ram_1_3_0)))) + (net datain34 + (joined + (portRef (member Data 1)) + (portRef DIA7 (instanceRef pdp_ram_0_3_4)) + (portRef DIA7 (instanceRef pdp_ram_1_3_0)))) + (net datain33 + (joined + (portRef (member Data 2)) + (portRef DIA6 (instanceRef pdp_ram_0_3_4)) + (portRef DIA6 (instanceRef pdp_ram_1_3_0)))) + (net datain32 + (joined + (portRef (member Data 3)) + (portRef DIA5 (instanceRef pdp_ram_0_3_4)) + (portRef DIA5 (instanceRef pdp_ram_1_3_0)))) + (net datain31 + (joined + (portRef (member Data 4)) + (portRef DIA4 (instanceRef pdp_ram_0_3_4)) + (portRef DIA4 (instanceRef pdp_ram_1_3_0)))) + (net datain30 + (joined + (portRef (member Data 5)) + (portRef DIA3 (instanceRef pdp_ram_0_3_4)) + (portRef DIA3 (instanceRef pdp_ram_1_3_0)))) + (net datain29 + (joined + (portRef (member Data 6)) + (portRef DIA2 (instanceRef pdp_ram_0_3_4)) + (portRef DIA2 (instanceRef pdp_ram_1_3_0)))) + (net datain28 + (joined + (portRef (member Data 7)) + (portRef DIA1 (instanceRef pdp_ram_0_3_4)) + (portRef DIA1 (instanceRef pdp_ram_1_3_0)))) + (net datain27 + (joined + (portRef (member Data 8)) + (portRef DIA0 (instanceRef pdp_ram_0_3_4)) + (portRef DIA0 (instanceRef pdp_ram_1_3_0)))) + (net datain26 + (joined + (portRef (member Data 9)) + (portRef DIA8 (instanceRef pdp_ram_0_2_5)) + (portRef DIA8 (instanceRef pdp_ram_1_2_1)))) + (net datain25 + (joined + (portRef (member Data 10)) + (portRef DIA7 (instanceRef pdp_ram_0_2_5)) + (portRef DIA7 (instanceRef pdp_ram_1_2_1)))) + (net datain24 + (joined + (portRef (member Data 11)) + (portRef DIA6 (instanceRef pdp_ram_0_2_5)) + (portRef DIA6 (instanceRef pdp_ram_1_2_1)))) + (net datain23 + (joined + (portRef (member Data 12)) + (portRef DIA5 (instanceRef pdp_ram_0_2_5)) + (portRef DIA5 (instanceRef pdp_ram_1_2_1)))) + (net datain22 + (joined + (portRef (member Data 13)) + (portRef DIA4 (instanceRef pdp_ram_0_2_5)) + (portRef DIA4 (instanceRef pdp_ram_1_2_1)))) + (net datain21 + (joined + (portRef (member Data 14)) + (portRef DIA3 (instanceRef pdp_ram_0_2_5)) + (portRef DIA3 (instanceRef pdp_ram_1_2_1)))) + (net datain20 + (joined + (portRef (member Data 15)) + (portRef DIA2 (instanceRef pdp_ram_0_2_5)) + (portRef DIA2 (instanceRef pdp_ram_1_2_1)))) + (net datain19 + (joined + (portRef (member Data 16)) + (portRef DIA1 (instanceRef pdp_ram_0_2_5)) + (portRef DIA1 (instanceRef pdp_ram_1_2_1)))) + (net datain18 + (joined + (portRef (member Data 17)) + (portRef DIA0 (instanceRef pdp_ram_0_2_5)) + (portRef DIA0 (instanceRef pdp_ram_1_2_1)))) + (net datain17 + (joined + (portRef (member Data 18)) + (portRef DIA8 (instanceRef pdp_ram_0_1_6)) + (portRef DIA8 (instanceRef pdp_ram_1_1_2)))) + (net datain16 + (joined + (portRef (member Data 19)) + (portRef DIA7 (instanceRef pdp_ram_0_1_6)) + (portRef DIA7 (instanceRef pdp_ram_1_1_2)))) + (net datain15 + (joined + (portRef (member Data 20)) + (portRef DIA6 (instanceRef pdp_ram_0_1_6)) + (portRef DIA6 (instanceRef pdp_ram_1_1_2)))) + (net datain14 + (joined + (portRef (member Data 21)) + (portRef DIA5 (instanceRef pdp_ram_0_1_6)) + (portRef DIA5 (instanceRef pdp_ram_1_1_2)))) + (net datain13 + (joined + (portRef (member Data 22)) + (portRef DIA4 (instanceRef pdp_ram_0_1_6)) + (portRef DIA4 (instanceRef pdp_ram_1_1_2)))) + (net datain12 + (joined + (portRef (member Data 23)) + (portRef DIA3 (instanceRef pdp_ram_0_1_6)) + (portRef DIA3 (instanceRef pdp_ram_1_1_2)))) + (net datain11 + (joined + (portRef (member Data 24)) + (portRef DIA2 (instanceRef pdp_ram_0_1_6)) + (portRef DIA2 (instanceRef pdp_ram_1_1_2)))) + (net datain10 + (joined + (portRef (member Data 25)) + (portRef DIA1 (instanceRef pdp_ram_0_1_6)) + (portRef DIA1 (instanceRef pdp_ram_1_1_2)))) + (net datain9 + (joined + (portRef (member Data 26)) + (portRef DIA0 (instanceRef pdp_ram_0_1_6)) + (portRef DIA0 (instanceRef pdp_ram_1_1_2)))) + (net datain8 + (joined + (portRef (member Data 27)) + (portRef DIA8 (instanceRef pdp_ram_0_0_7)) + (portRef DIA8 (instanceRef pdp_ram_1_0_3)))) + (net datain7 + (joined + (portRef (member Data 28)) + (portRef DIA7 (instanceRef pdp_ram_0_0_7)) + (portRef DIA7 (instanceRef pdp_ram_1_0_3)))) + (net datain6 + (joined + (portRef (member Data 29)) + (portRef DIA6 (instanceRef pdp_ram_0_0_7)) + (portRef DIA6 (instanceRef pdp_ram_1_0_3)))) + (net datain5 + (joined + (portRef (member Data 30)) + (portRef DIA5 (instanceRef pdp_ram_0_0_7)) + (portRef DIA5 (instanceRef pdp_ram_1_0_3)))) + (net datain4 + (joined + (portRef (member Data 31)) + (portRef DIA4 (instanceRef pdp_ram_0_0_7)) + (portRef DIA4 (instanceRef pdp_ram_1_0_3)))) + (net datain3 + (joined + (portRef (member Data 32)) + (portRef DIA3 (instanceRef pdp_ram_0_0_7)) + (portRef DIA3 (instanceRef pdp_ram_1_0_3)))) + (net datain2 + (joined + (portRef (member Data 33)) + (portRef DIA2 (instanceRef pdp_ram_0_0_7)) + (portRef DIA2 (instanceRef pdp_ram_1_0_3)))) + (net datain1 + (joined + (portRef (member Data 34)) + (portRef DIA1 (instanceRef pdp_ram_0_0_7)) + (portRef DIA1 (instanceRef pdp_ram_1_0_3)))) + (net datain0 + (joined + (portRef (member Data 35)) + (portRef DIA0 (instanceRef pdp_ram_0_0_7)) + (portRef DIA0 (instanceRef pdp_ram_1_0_3)))))))) + (design fifo_36x4k_oreg + (cellRef fifo_36x4k_oreg + (libraryRef ORCLIB))) +) diff --git a/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.fdc b/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.fdc new file mode 100644 index 0000000..6fbcac9 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.fdc @@ -0,0 +1,2 @@ +###==== Start Configuration + diff --git a/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.lpc b/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.lpc new file mode 100644 index 0000000..86d40cd --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.lpc @@ -0,0 +1,50 @@ +[Device] +Family=ecp5um +PartType=LFE5UM-85F +PartName=LFE5UM-85F-8MG285C +SpeedGrade=8 +Package=CSFBGA285 +OperatingCondition=COM +Status=C + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=FIFO +CoreRevision=5.0 +ModuleName=fifo_36x4k_oreg +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=03/18/2015 +Time=14:41:29 + +[Parameters] +Verilog=0 +VHDL=1 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +FIFOImp=EBR Based +Depth=4096 +Width=36 +regout=1 +CtrlByRdEn=0 +EmpFlg=0 +PeMode=Static - Dual Threshold +PeAssert=10 +PeDeassert=12 +FullFlg=1 +PfMode=Dynamic - Single Threshold +PfAssert=508 +PfDeassert=506 +Reset=Async +Reset1=Sync +RDataCount=1 +EnECC=0 +EnFWFT=0 + +[Command] +cmd_line= -w -n fifo_36x4k_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 4096 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill diff --git a/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.ngd b/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.ngd new file mode 100644 index 0000000000000000000000000000000000000000..0d6ed61f839cc4457671eade95037019ac29e205 GIT binary patch literal 193125 zcmeFa378W_*ESpqMBF3dhKg}RK*h`qn|p>C5R@zvGH$4o85RK*A|mp*VB8QCO`kJ8o?(55 zTt8s&AW!*#>T3rN>f>qEq1|aU1BSNtl(cQv*4ws|*CooExXG#CfPRCkN;(X0cXid^ zA$_j`zE6_y9$mY%uk@eRseM<1JPdX394-xCit^rEUwM~{x|el9v2lvbW#KNRkuFt{ z?*1-4Bc=Wj3={HX=v>*oM|oAGG<<#+{8QEq3BSbw3`4=e|E-2!)Fs@rdoTd@dmLX6 zQ|2#6c(h>e22_3JKJoh+QkoQCWMU9P=a3IFv8 z%Cl?Nst)Z?T~{tu^eSn8ruT|gXS4=X%+SSUfk>~SVnRCsEx$HIZr9#0yb9Y!ly}Zj zaY&!OgQ^Cgcor9L>^*2`RWXXEFqwsZA#q z%q7GwSc}-Km8pR(3v>#0j5PNid;=t6hn6}*$)2nOBuXn8Li$isPu2lyP%GVqn$%in zP@~%D0Oi?QccF%ysxv6nQ*}lWwdFJmg*d0_9HN|J0E341L8+}-s-o>rxu0&tK=TQ@ z_WBy)SwU*S=~h?L!E0qv!`l>CRBTsZV85=SW_b$?s*jQ`DXHJn|*D2KBPUX=Jb1uKbuZlOr=&MA;7)Opfc z)f;|ru+^tyg1IqGnKYD~Evs{a5*EMs^G9j*9)aekpp4J_k0 zY^J`^wP2+Vb$-E0U9M}PNR91QAd@!T3Rc<{-3wMys(Zmo4ZomZEh?(&*N-5LK@fRe zsL@D`3v~|4J*2(w^?gZ{9tA6r{nE&17vrdZsbugLr}&*EMe6}!$uJJFlxj#vsNDe_ zAk~60O5qS2lnm5Nblpmthjf5+tvM(VWFJgMEPpoQ4^|e*r zs*);lx=3>(Rxf5@2Sufn^JQ$04e8r^00s%@{3V^JXI^FrR)knM6g(Au0n^4;eb3`kJbKH(YZKz$!}|Z&eXXS0$-t z+l%?Id!-Ha@`1gYBTyyOx2`lx)KhBA5~Wka{=}rs)7!L>uDwlL2^Fs>6scW(O>PNw zuf7E<$=I)8MX8TjDyCLnRVY$^m8NSkrPQxbqVg%eYfNJi<=DSaq@=DjxkZ#znu~p^hgJ{1VQ3NM^&s;H z+^8J{V$!D%QLs%Zp-N_v`jpS$LW%MjR47u!Yot4IsilJoMfNQeDe!gDSJhQaIbSC! z3Zs}qtTbxq5Hk?Px!y`3O|Q3xq2>>@vZ%75Ru*m78>|rbnqgK??q|bnJo3HK#v=u8 zuwj(%jW%DBX1JBdJ#e^<2im`EG!o-qR$39Y>=qjj&`maovb)LZ%02sL8;`WR*~X*I za*GY4R@`dyr5dU?T zJ{yl(cE62B%H40{Q8UKacqIB5E02fl2`i7U&Bxj>I(&?^`W90=##woMa2{vlftIjB zyf==wx^j0PZ{v~j6Kp(c#snLWBzVBaqkcD;dFohBqC8+hs96(jegrY;TGi=_sHKyv zG=?m(Xwyt)lB$n3>J$q??TJ|sYRwc&9BRvh7KB>zkj0C~d`;ZgHALMBsRitORaNh6 zV>~pNEnWM`6B?!P2r~u@DGV{EOOAn%P!C%;B-B)is1qY4WkpDnX)=&OBIIF-7@Y*t$VkRC`rFeo1XHLrOSCez<|&O&t$9kbQ}#77hh|!NMVVS6VpK(3KVrY4M_kLydUR!l49L=^Q>S z)#@A`Z)J22RbHzDeBR1foM_vvvLKYsmyGltObvR=_)g4}$s91Km|F63h=x4W4+ack zrPC&W10A`)qyv0*ddUnz*C>?aY72*2zuLl~)~~T}0K9Bc1`MLyUNH#+22lrmMYB^E zepRzme|%N5Q&ZMzcG6(2X6K#abq(N+zeWQ}sI9MQA-He8rZcEruj>qI)*BkY9sCX5 z1%x+s0=4r^jljL~EuBG`uh#&+Ow4L7+y~y)7{!$N+ZuyM!RvGeWxh^lQ0DJw4DNgH z=nP6jEA#d1ySfK$f_HTWWxj!d-h(?-mC&*BJ>7xQ%<2I3oDDiaX}-r1WQXLl$oo12 zg!eT9h5Uf+R3*jxfUTSWN*|gwYVc;GMAdv^d~e57LmLe*YQjf`r9E3dQWl;@`Pj74 zhWyyHQO%o78^zyb+NkDFj8Z#}k~5g?c*L~Xu*pfPjmieEoasgJKUEfECq|1;A@Q9d(ml;!89jiPKbY(?Di4~-IeeXhQajB*co!)EYbUuwoevmF0^x_mxyu9=Ra7|wcNCw<`52m@< z?7Q9de}Qo zM|evMK-$414W*6uOUg#2{b2`()|fcf`dcP!Oj7P=drV~JKiSTtHvP;otNT@9HeDMi z`A>G+!eR&ax8?{M8L9ePGgAYRs=tjLq*Pnk-I1!ljU8kWvsC*f!EkZaP)fa(JseW^ zpK1pw#Z$C!#L-QNg4%cOXw|^;ad@XYjSb8d!&m$Sl*?oGhsv& z7Z2%zj^y_eK~R=dAd8VQ;@mzxO=V(M#j0@xUehe9fVQF1a(J9h9!)OpLUD^JlvYyR%OB+o$c!a!A~7Z$+HBi*wtK$`j*vX7SV+DU(vuNC| z_adnObhip>pzR24XGc3QYLC#7(Drt2dq(Ztq9TGj*vZ9=+Q}t^cC>SgnB37$F5-rC zs>7xjXP78;-ZM;BjC1^Fnl_Ab{AZdroM8QDnKtUmXPGwY?Pr@d>d|MLHi-Q68EGY_ zwl&V6t#Pi&quzKVTrX4Ir#W3A+xmkghNC_-6 zi@Xj_?P0lnuatwO11xtm@;F%9!7}Ucn1iJVmek!&(I~fxm*#9f*c#(HM{OYW<03Ek zOP4s-L6to6UBbbOobEUub675W9v@to3}C1RUU|hY#@5Y^07V}i0-hYP09wM zS4`}Yvw`RwBhU9(LF{qJbo?=C8_dF10>lx;+^>7l7Qb(Y$BI^=gCv^gY#=tVxU-eL z1=O|1H><-%6VCBk1N5REyE>h(gUVys>WnQka+8*ck*Ljwy3foGF&j$9r`4-cbxZzzOa~Mi;SvwOld`j^)8ES{8NJgKajh%8 zcD7mViwV2QYh?6bAF5UlMpdkSBUA^KTKIh&>Y{GlaRl?R??pX=E-|{q3y0ag%RrjLU;G{^Gu)lktvb*_O&D1tP*w1qHqF~jb z?t!Y#l|8$cU*ZzAlhc42RJ5WW@3pR?Yx2c+MsI zLy@3`SIo(UtQh~y@d69R;X;;h#oUMr3$2)WK`W0_uCQV(Q`m~J0%2=BPPwO*$Ib0& zj1M7IqifH)z** zZfe#J(`oGSdvkV}&fj-Vs}q|tnKwFZXVV$xj@i*VFpmxPuS=)sONZ2nUP5tFx5w(l zp`-S=gX_kjGsE=eZabffogDr2SKMa8h&p=y)BR@?X?6S_db2Ccd4ngOdysi|WKLqD?;HmOAnf z{h@Dj_Ao^x&x7}c>fn_A9m5lKa7uH*oVwMLJgHaHb@TPz6FO9kOvkjaWJ-7CpS!>s3qsuYS5$YT`Q}F}<2nS=6E|59n(4l6x+PPZ z4exzTRQIEw5Zv*&l?5RtZLmTJA5X%su)EXmqaKn2b3?9P)VuHZr8O2Ga##Q0(V;Vp zk?D*T2@R%Mzq|gGvmlV?j+-nbAosP+Y9x7$^J83x)Md<=Y)XguYUQV#6_TUAJMXtJ z=^(axM_gyBOPAHZSOTakl%<1XI-5^d6Nh>&7=k{q5r`>aFFH!B-s!VKGNaYMC3Uz& zU0=LuNfh-+`rPw87CJ}Hx^0*d`fY2jD)!WjgSSgVh`I z7FhMj`ISivPA8Am?}u!dk=X|+3*OP#63hL7wJK?!Sa`M1f^q-a=(b@-+>c@wTy5bo z$EGbfoiV1}5Vc^u)j!VKFeC1SkOfy;eag4i`gqIbau%+s+ov82t}Z{v-vzkP<|1nI zldnfD7;T~CTh`pD=d9iw*LfIl$b4_Fu;AQD{z&RnRr~5KISZ_|=E7pP1*g7y`(r)} z##{JvJ7y@hHEH3RTe!lC^Va;*8pAwXY_oFJ*1Ts?!V;UBx3HCEOBC@)@C%D#MZAT- zhO4HwnhROIJ!-+#7M^ou&Vut6{w8h1j5dE4wctu)e=pMVMB_k@1KDyEwH$a$=F>Jz z#=NI0r{hfh3rN9TZF7HwRM}a9i^iIm(Sve(t1v$Bc zl@Y#|sPut#2&!q@(R&Q;w5m=vMvs>s;H-^E|mBUDz^wR;a_ z-RELp92A6VA~fhx8EHqSyWXRGDE9AhQJFv3lYYADuTGeDoauVslSZ*;kDg)N^P%Sy z8U>O{@BTGrT=egW$rXqrgTYm})IDKtpg zI$~_-AE0a<$?sZa!zj)_$TU%Duvwx$bFEpzu+2YMxpkz?88b_i*L7xzQi+*OeJIXO<|HA*LS+Lsg*zRX5ZmQVVV{ZIoC-*@~$0Ta~RHRWL-^+EbKas?>p)H<%@o z_Il;lfs($_EK$M-hS)$70W{J|g-SneW z?olQB&5Zv}Rid9D`EM~xq)J?s+ELQCCsbyX^c`l23W`|5A(g3w61&T^QPOvrHcInm zvqWj$Z89m%QD%v%yT@cwDx=I23L_PfE{Xi3m5Hvb{3Fd0rE;&yq}JVQ+9So~t70;# zLF3I5r82=}B9+YeC~cr}{Gd#qZJ~2!`QofH8IN!Cgxh2a)~idPv~!OxG;uzW!ilbU zhP9;gB`7~Y_JdIFSwfS@{s+iT&x513-Uo$H=-HLd3KNJ&IBkH0B#{#bnMlS`4oX4h zOhD1qpbP(q6VpNP7)K1j2(D?*^%U%Mj9nfx%km)WpTadzJB`NBY-H8d;58`eQr^95 zRgW&El-4AQ%X%0*p52^m_vZP{DRwT;W=^%EB|Lfgpglwh&!tYX!;DU~qdX`2V4cVe zKV&D%$?J#euz7Oy;W})ZAdNqtRPEOKK?x1r{B!Mrct&-a9pzR|w?~&V+K<#B^JMUh zI&4;ZMjbZGJ-rUhdOuPp2&KN9(Y;Igi$1bK7Uy;UbPbs}9V~nQf0P zXUAvNfmxH;b%Jm!AFIRWR?e&gbApf63Bt{pQ-{sF^qe|u)?{8CHabe?kCa14q05BK z7AFf`@MJy?Irz{8S|%TJu+Rlg<}0^@g)XTwJF*TIx&)jloGc}j+_dXG4o*C6vZ|3& zO>{A|ph48hiA43z|+?8}PafTy&PA1mrK3M7oq!wrTC9S4j#gsyyqwGS^ zjmOODsFh4(YxzTn%tA*Kw{%9*$&32rs%Eg%NsRNF>T|MicYVy!iIsCafb#Wo=E<~E zKo<2y$AGLHUsUL4W{eIp8|>n+40O8AyyjR7_pRnphXmMZbKYjbpd+)xZ38h%$$Xcx zff$2icG*E}s#Og`HYUa(nRo3>ny1g)nY2-9sy=gh&W=)6K|7b89iQ*=*|_xlVE-j` zP~T;IvT7fDzLeO2q>W1R^_kv2J4#z>Sk8`8H;w_c^g6l;kh#@o zM@h2jNgGPD@R>x^hSJtO7rxY1yMUn4W13qQW*_g zGpV#4rKY_UwWB2DN`Te~)Zn#gJBmgO`aW(&F)pL-zMZ7hb`SpX;wq2NiqhzE)saaX zNQ!+FvV)*zKCx|fp6*{0Ojx-<<*+id)oruTv1Lt#w+<@#Yr^(OYLYLrGiLMQR{oH+ zp>$YV(=%TOHR4x>Y!)>ExT>)|J{@FMx!g8BojcZCl&XUo@h`E*SCf8gPLA4q%zFiy z9$CAIj!FX;9e7Hs$bJKUKcj1xHM%msrl~Ar8&EWPCIK(cYtNAOw?!h;2u~MuL~Qs z7w7CIvkyL$w3+z+bcS!l>APAnpP;U{vx~XQUW*u3mAKEg^VNlojM^vdCe>>*H`Vdt z&d?DK);iRr^qNjdyUFYfW1@BwpYQJhY7Iu)bpjv>KIro3iFujgF2g*yU2xhGJeHuRB?H%P8@0R z&r85^VxjH0Twh7yo0NAirJL{m`BZY3hl)B}NV7GMOJlH2RO`TqH;fWd-)p`y{G);(QPz4%& z_!pZ*in!RcQOJ~Oqk?I|nce@SX`+x%nl_x@{ZAVW~-^W-T>M6mpqqqn0dF zHYz+U3*9?&K;ktj50YWIGEhTSkOAGKGi}u6sz4d7P&8`%3(7*WtW*|?_M$5ABxYLi zD7myUk+8MO!n$OXi61U`(X>&nwWf_KK3r8)gZGcZqm*nYrIjvKkWM8K|Ns)JqLMav2Q{n6~zEAhAbm?&+ z?yL4WHVeb>GP<$4f$*JWbOUt*(VLX@xDZuTy+V}UD1hE2itoeAa9_{=3DFyrUQ0La zBK?Q-y}mznk@uu0J$luLr+a#pb?HIBEPtQqP2^49_vm}FjD+Ij`tY){%3}QDyieae z3};gbruD>HQby^&pWv?$WY9dKw4|S>e@I}X&OL%<7xK@q16`>V8_CO(R@7n$cVKd#T0 zr!#Z)S$UrFllrVYUHNH!^~xVIe_F3IdvC7eO$Ua}^*Qk@>n8GPQd-VOsHr!_?3%Tr zt<{=;b@k|$yt7r#W6|*Dj=TSkLIb(wGdzD2gsv3)TPP*VhT|v0wj}H@9UVWbL#D&z zXLY!ARQ$XSmky8e@>kz+sJDM!CjuS1zNiy{4hmn?;qo!?%Q|HA^BL(nWGOL=NMqWE zfBQRy=*nmYpKmP+X)$Qbx_D;HE|$ii5$EkM$Nz>wgU=WyQm)^({TWe~wbUm)-bm82~lJ!u~@9(Th)ng=o8m-#?H0&i^y!Y~(4W2fWdGthB@3 zN0>EU)vv;tk-JP;ELAAP}i3J zt<=Q*>+b*fE&4EbecpVb*&toNS=N8wdQUwR4nW}@^-~wD(bmLhQrfe#@$028DOr^9 zFkTfuorZsL!@Vc{#A_Rc6Q$-B#_jv(z_Nav68zRzaoz8n-sR9iLz9AQ~(D3kE zRiNP8Re>`3R{7BB^gC6cI=@piI!*pgg+`?`viARCuuA!K{k zFijNiN7F_Te>7}-ZU2)pk>w|4p;qKofm-piLE~%SpOuALu|rv?6~8D8Uzz=?Oq9c~ zrin^BsDu_$?B5iPQrM{q)TW)L51D=^6MV@3H?rV6vGRm3;j4ot& z*;@afWE9@vCB^h4Nx((LuHNBioKcDjMm!%wu^}1~aJli#>mA;q0~rDhh}XnhPv!h0df_8;a|g;3qSN)`#M$KKm;0kjOz9mES;!%JtiW5A<72{)YoTeQkLiv zNA#(Qi^!izdC@5PGz`fSZ9CCtR#YSG|}g= zB$#n|eJA?NN#hg3W4s(?ZVpVZe5hCSeht2B5f6#GRJuVVh?FHLyOAIa=_rgF!r0QJ z!Z=CBX8RPzO$OdZa1>u^cr~Vce|Xb9vEc zZjLa4E?Ev9e@6rP|G?f=7zB|Rz<(uNdl?&jB5m2Lv6Ut#V6m6NDhz=e@Rj*HZ7y3WLI=317G=DgB8T=#Q(X$< z+5%fWR3rUCA^9vPm*hV1QjO6leVC+dG&Lr;1p-P?SL6P;!b~+z8hDkD)j%!E$n{X4L|qEw z+Je0kVg%m;u3wGo3Th<&wr^Ap>fHp<_Y#3)&^!4^(@1U30}~>GJ|KI;u%fuu8-|^p z)_Oyr1$v6h$Xs=;z{r#c9GFn4n{7RK=#B5nw(@N&>JR+ed*1Shs~luom-z&u6ISn* zpHOJ)1An{aBWq^ug+Pr(;5cj=OIt@gh%HZt7NiLsj`C>RI&gwZYirf*wB-dBOjUd53F>IK)!Z;Ll%)m)mg|(&d!PjyWlrY{+s}rt9vH^JI0lr*?Ja8_h zA7`2^dFaZoW8m*20;gsRdngT{L=_#ATv0h#5LI!si;h<`j1bYsunixH$$^N7;0Gb4iAc55!NMST45p)bgRSM%o0z*8MjKa8*z&#-moy+m4 zt+Y77A;!j2dJ_nSIrOHCh5{pWzBj}_fwi0(USHAhCA8rH5jewZNKH+G zNJHf`H;KdugK~=8j=f4E*?246b+OkRc&|C|XxiHzk52SfkNHSt0Rdi0#vNGz>m ztI0QXP*lfWW(@xre{^JeiGAHk9eahbgX0#AeVcl8>AT0Xz1a1NJ^+)9|nSqg<|Zz&0e%UunAkjEM(b zK!;o1p@bctPw3b>#;hIt9VZN4A}}tb`<^c=8}0onw`3HZvvgyvLy#9Kh)sc72kb=$ z-&GD+#=&=$1D0{{UEzQ&cko@|fGv0MeZc{H*ugjLggN-WKQ*U zZ>-mXG4JlIj{V5k$e50O#n`PD9$o&6;E0sY`-XYL@UQ%%dcT#Jg||IHzJo$K?>puV z%Iny-%A+jZ*d+Wb|45)Rwa4t;zh%z8$2^O``X5~ZJ?DTuV}_J$<~d-GJNPbj;4O9F zEpxygcko@}z=YT!!;QNdN_LPI~vkq8cyB2g==D=IzP{(2i>70ZNG?Ah0Rb{ z@h-Upg9tnfKV(q8jdPg}=J=c_pUtHiU``0hMIS`q5ilpD z@dj*;lFW<9170w>G!uRgB;|q;A}|ZgiEjCJY!R3Z=ES%tpU2EOU{1`-#T`UoZcIcb zMMe2Wu5TXvCS}3o(gH9i`{c{!MPMPAXk+N0#D*1a%v8`a1BqTMdZPdd}p-?EODWf7UiFE4bQ;uA+LPvwg@~6 z=0i#OPG%8!9?XZ`qWpViE(P=9xO{=L2rP@El*b#OxrXKNn--Ptm==K-z?_zqZ?qPH zm0(WyiSo~w`68IpQ}PYnB2eoRkr^KOK5!Apz;8xEt^_LrtH6B3CEx2T=*o{SANifm zC?!$K$z@DLU`pK`AX)k`#eg!F<#!mueA#wO~G)6uh{L2)qvFOt*ZC zwFtZsMJX(cDh95 z85d%5l;7a@OiV6!BLcsJ`Akl(t0Mw`fcb0)dpNh@PcX5E@Y<3hfO+u9b6&ZyqzJm; z_gqr0Q6qu^%;()uiE0Qo1oQd0d~?1CHUjhcyj=QG1RJ|VWN8#7sv)>H{FY|1RoJfy zn9F?fJ^doMFPO_x@-6!!xF49yJ=jLf+&_*|0{xn!900!+F7!yY9R%iznBXZ9 zik#r(ltl1gFkcACCD%mo5En{mQ9h2NH1mnbN-tJ-gsz+9Dsjs052MdYOr+RnC<;rCKn z@VZeV*c!~$UQr%rW*ab9Cq?-fE}a7A8n-CFolB>=P>N%}Ww1oBB#u%F^|4<&_`T{8yi}eDp6Nm<2S2u*1;5uqa!ooBJR8i{((vP$=YsjV7dB>|2j=TZQ9hP! zzL1E#;g;*$iC}30r8sQNEOVojmuuvSU^$p?M$sqOuM3!OX60IWBG@%6B5(Q7C)m~v zes87ZT74qe9ZVcGd37@pya3E>LaxOpf<3@|+a*^>6G49nrI=jLPXq(-dpjprN)y2l znCn9D;~FZ!T$h#$zlmTN%y+zUB}NhKnMEmy)!DcWk(h|AcSAGwtIVSmm#evn;6?CT zpO>o^ir~fYdp9aqbrZo$;P-A;u6#%XPZ8PR!)kFH^D_8tNXZotMX(qA-t&m^QEa;$ ze(xpZ3XC+c6_NK{a+Ns|yfTDR41SzT75v`M$))y0Fbcm9LZW;a+p6LBL0T?=CxSKb z`_Lr05>5VweY7M1IAi{SNOewLL> za*NhFVk|hWSUkGk!@bN2)hX02ET1dx!}48#^Lvs zTa<5QzuV#WRa~xaE`oQuQOe7O%|-AoFu#ss^vikP4d&NbxmvRbj*N-OcAs1bUIg!f z-}aPT<6Q(tg+$~Vk6f8V1n-64Hwn4Ux(MC}=C>}aZ_Y9A2lLyQ;02gPa7+TF95mz1 z#(G8MyO3PsT?EI$@4K{IXI=yo@XLGU+A1PA9)9_xT;^N^CuBwBd$(LcT?8k>@B27v z;QA(k`F&n4@GgRry(02MR4y5h68wJ1;_SrCsqp*JCl|F5!3RSqrQ`}TBKQ!PKY4I% z!OUr3{*=I&PnJ?5^0N!$-4Gr5rsq+L$u-SI@DVRcIjmF8%p{mQLg-6edKAnZX)JZl zrCDJ9;uXABya>*Yp_D|QVB2Hx`_(O%P8Y$sVE!7H%dLyxJTQOFW7{%wKA1bBa@}v z?RzdurPp$FdrU5ZCW5cWMdjX6l-TyB3#F_mf09c$YgIP!$)(UlFbigr6iOkA@^)HO z?&HCdY|MNIe)}Xu`3f%KEK<3zORkS5g71R4Z%i)UCW7yQxo=MJ3TGntzE4!{7ea|+ zegMDy(sHdc5!?vo{$9CWnh1Ub=Ke{!>X`_B4CVoDSD*X;-bM&muY}*AU#-RLMfe8Ky=27TbpE2_< zA4=$1pAj?Ug5S~TS)X%BfO&KrH4rn@5X__V^5@hd)CkODqVgx#BD7Z;r7UV^zrEqt z!iT$vTxtSl3$(9Ch@#-OGW|%SJeZ}@z6q4jzT>#GUlupD(Y~LUi5@^d?%;*k=!O|e zIl1B-N{Dh?2rI6!-$7B7(sKPZ5pugkYa(<=R8*cAmFusGP%{@wSa5?1GJ%M}v8COfIA*LdSr4at=$JaV{;uY!$)^Y0Nw}jZ#{! zs3t%wbyw>?cgXcK4F*=&2tC4ifwDuzV)*2?fBzv!x%WFjK3b&|Tp2Ul;U8*j;^ZK(D7wj);S9(S5 z3u%5Gt>J|?WM8_W-Akf29TK%K<^;XH)-d5^;Jp^@LaAD5W&ttl^Fe7!Py3%1uw z34A<)-bkzhwn*LEYS-POc1;Q&AHPc0FyW=z{b#&(xTt+4i%*o_JZqTn+U(9vZ@v@T zD?=J@rmh6yjr9(%zVzlhp5eWLb_tXNoV)`b^$A2H{#Z$xbtyTe;~vAn|65O2yp zH@_JDeO(+Mx1cxQ8UgWM?KdY3>o028!@S-rQeR}132)(^yXcBJqIN?XpAf(4)$qcz z{AK-`b`iDj=kSRMdRePsnzX_Fn(McxMJFyTG$&5IA(E^0rGirO5F0nLqqch4`}e#2%_yCsf~ zTa3x3R9$#8{C>LzVqg9|iH}#%yRubXBK5K# z@rm=BuMN}q!{X7i=Y1|}sT2I36!e~K!-RLVZ%BSSO4MRxTl+_vU+HX^@VfKOAAQ$N zWLzOp`xiE4MO=B|MdA~O9{0M)h!{S2!9HF%Yk1-H;WNJMy|>6TO5o!W^q_)a!V@Y} z+jX5RGL2LC`1oh~h6#`Qq!(>`SY(=H@req0=EN}JIh{*Byl;`n?3>3YF6f~U!-QvW zHUz%zA~FZKMP~mbKgVL2@N`hG_4oG?nS;C{gL5}M=wX=fh)vBk!3@a)akU(NH2O!KJ79GT~5C=4$=?K6DNrU4?;A|x`$ zIb-DXCq%3f!N7SyxhFy!nQCB)mWlHcVPRO`)coB_X1d46+@5fU$z zy1&!aXNt@@$noqPzhuNP;ax$0#XJzW)F(2&EWeh-FyZAwV;ax6L}beI_{0UhO~f$a zT|#$0d(v4V(;Z&jvLe&NR1q&K`s~|sXdgsUfwai<^eHcT*U*M@UKN>2cvMCOz3;;a zh?gE^o4(f)FF%Um;}Y~@4`u4@YklA;Uy4i(ylUXpFKL6_04P5^d^zH1$Etmx^TQu zraP0@lz%=AFKvR?9q_uRP}gYJ_dh)Ln#kOXy6#0?c+-aRN<{DMaZ`oJ;545Z7Z>z` z3}u?)d*+9OMvBa2cuj`aLxsAgCqEzkOmDmc33W|FU5^&LX1l!YhD;EdS@4<#uepV~ z7DRh4d-`jUnUA{Wqb|IZLe-T@PkFP`e7vP7Br=P0B7;|DDARMvUjtJg6Pah>^(?&b zJ_%)7=^8fVm1>cB0d>8Ax@rqvFGUBheFW@P@LGlczg(zmZThEf?^NP_MyTu6oXEWC zF$2DvYO`xH`r*4ik$F2QG9S22(?_9qyf0-%W+OZ{#`sl4Dvizg6Pw+9naFI8I_qo6 zEcGeoLr%=&%Ch%=CYj}V#hmI?yXPR^pw}g{yH7D6a$+8PLW|AUNoLTim=8PE-lAmM z`6ZHBnN`dgPBD+UV(q?PO6KJ;lj#)m==bhlnUTyIGv;)sm`AN|c|b}s2Y6M?N1T|= zXEi?j8Og+X34f&gBTls+xpU2Au9c2DikWm`9^rfQ;Zhm%7WSZ+)18=yFUx*(i)7wu z#(dO?d01lD1b$404pC<935OnX=s`PHMr6!!dBuFxiP@~?r;iSo%*kfV*-o_|QZxJa zagsUR%yX7g%%(?(IX6fq?D(U$&vs%SJZi|vWs*7H%yX7g?e0T;3nxfsDx_jQ=EOW` zpC#QkN#?U=%$ZKLANa#dSH&gs1vAe%PB9PYxn^xxGFO=~A9ISif6LA%Z?vo|k-kEive@O8+P3WGCi6(Ieu0I~t*>L}s(Z3{fAD_YWPfIv3#Y_2#4@zd!tYXe{s=dLe&L0*@ z=8;~-oa+?R z^H%e`vEK>q;_sz*87V=|p;Z*k&| zlFMYwrxS`9a$+9Sc;8h^CG(k-$#kmy=qAbU_LI!#vWgjWih0z`7K;~4=F+@kRyf6M z-swcwkCM5z`PBZje=~c|I6Z41_&%S$=jF}E8W`$Fphd;6Q^D8AY6I0Bd zPB9Og`C+!PWWJP8%&=3;LoXI%UzN-?DaGvR#BBCwzt(dk^OdY(UgX3)T*cK7i2e@jZ{y0~Iq?8H3i;$_p{ zl+5)>#jJFy{lL?HyJEFuZb&QUrB2KPsz-HrSTf(wDdr_kweQ~}+tAQ+BN6ElIsc_j z%>A}raM#bw!2FeBUgA{yzKy0XI8-t}@hN6krBV*?7kGKc1A#U(CML!-=`qlb-24C39z9#k|ld&qgO- zk~eztF1KP{;KXcr<+BUrwGrmc6tlAvQ!J04a2_)-ji#8FIWZd?)1%#`k||;)(`}wR z3ddyEF`I6X`z9vY6tiQ2d5(FU-+x`p3qNEAZciv?`$Fvn=6>s5FENf_*Lf7PqZ4!A zJBA)Rof)`!p_nC3%zZjW`llsxLR>M6otRB#PdMv4$()!}%yv%9y_>FIy+|^rn0mHz zn<^Dr)cB8cCsau0gQlMCotS$~oH*+n$$Z$<^K>U>qb2i7;*vQ%#s9}1*TE^zhL6tZ zE63fqOJXveVv6j#SALN(AI&RfCnsiuizb|YuVmsX3xBk~w{@!BHT1)#%_MVoFv$b4+U@%Pd`30=%7l~QaJUGiQnqJQ11I8!n=5NP zqZ*xqA4uTmC-NE9P|C$Wc=qo=G9t7HKf3q}vm4JN4H3db7JiUVX;`081xou`?NcTt)dL~7W#9awHJfF~mtYget**i`cyy|^Mb;TVY?6f~|SL+bu zMG9hTS*-*1qJ!@$2Q1^@yUGE}IQXt`z?M7su5iGXJNUlffYGVX-rlqm=HUC11Gdt^ zcN6o*z#{*sxBS4ov0e+tyt}hH_9J5>V>}s0tOHg!uoZM!=D=IzP{(2i>zEw$k-qYecyiF! z#;0SyP`o{ZKJ0wfQ{BHk=zDS0vN85kSPAc$g<~Uag#VI3U+)boUbdgY_6+*uN(uHY zxo6NP_?@NnRF%Hx|Mw62HvM|FeUJIa27S>b4eqh;F?$Am#)>DZTj2jR@Yplx!vK%Y zi@~#eN}9r;uTxsbiIp}L^@s)$VQ__k^zH-pl+QO5A zzLxOkR}T7G#C2@Xpbs5gNXO)$?}Dt3Ekq;{>}K(mgT5}X$Umw>4*KxJ z5Dk-qK3_=308(1u)aw8Xi;%`{D0A)??8{*5_AZbgT4dPIwl8w z2Ss&E4*JY(tquCzNu4JLeFw)a7~JToYaNq=zNTp%lY_oPqB^!`(Dz?7==<*Z4{oxb z!v3*AU)$dvKg)g!+cW6fGwAz|8uUFq^yYKzd(1yJ)Ax1p6Z_itm_38OJ%hf#AN2J# zPsoKqUrk7zKM9kAzGzIxjRMKm5} z`mXpl2Ym_kn?CyTf9E%Se{PuYHClU&sJO)azi806=Qn-ynrGFK=`{2cx+}d1TF1V3 z6E-@fV?Q%*G%WIue1B%%y;O%t4{!3>1E-YRdwV%TN zu|c1I_~JUJuswsmJ%hggs6pS&Eg!nbzQ_DygTA>#H-5Bd(5H@$^8Yl**z=pdznkeh zKCKih4Ej6@lDBR7d~!@&fy9%8zUFBilQVrs_;gGT`VO`51G3dMefBvBF;Z5&E(T*0PBA)zTH0ay&o4)@i2Ys8o4Nte9!v3*AU$({ko9(Bt zJ%heIgTDW$L0@gNU02!nn15{0w=Fq-fBPP@XQpq@ppOPn_21q-Q;oBD@U?&kcpc*k zBwsnx*DkGNa?n@o(=j>dJKe&QgTB)gjq8wuzBX|MQXO*8ce2G-4*E{=6?io0`!|2n z_unwnck{62-y-K@Ma6vY|Dr+Pp5OHSKRM`|JLGDw{S@|(4f-ba-*Aon6t-v3w`b7z zA2sMZd|nfJfvfC&{t~lm4m+Xd^%4K`p!-lFjPFy?b-`BYR|(vWhT;q?rOY+ zWisB3Q+0LBCHh>EYbeV1@rdpR=6>E9x*eV#QSo-#7<%m`{BKc?(b;KU4%Oe;jZz3J z7|_D7{taAunidL)3IBUks(1&BNgV6Ji(bgDVm(TYt*wfH5)?9I;BK5J z!GDfP?y5EHd=fKH4+BJZ%H5@{f}N?4V=yoY!Dm}*3ygHnw-D@~0D@&W-V zQEE90%xWx-D$GV|V+;trtz97q1;JD&EJv z(-A&=SAC=6JvT3aD^ss{C#$RR0oq^a8y_ZhHD1J$GJ7QvN$(qzQvv}csHuUl3NzK{ z``MB0r5Yc^m9e14he?G=HD2+E=!+bRATlry#euAjj=&`Hup_dl`mTE+? zvPEG}srU%(FR1ZxT32IDh?ba=Ih%XRl)R|;&;T_x5LPwLRO9GAXW{90>?x>484GHB zoK~1rV@*;-*MtbGSB*ittHw8pkN<^0CyI(qKD!zphj__jLyeV5U5!uB{(>60oUXx6<;LnYHUsMBHxA@>n+EP zZD@Z%jjw#V8e4GOXlQf;Q;kQ^H@2oIX{#FRqY5+C=u~`FQ1*>&9%U@3@s&?uQjOZA zh;9iHR=*m%yQhpD`^ItvY9%VZO54@gmgQy5r5Yo!a)fpi-H!Gb)c7Wm1Xm{eoCPZMry^>afsep zRD6@OtFb-L%eqT7LeE(Cl<&~~f*Sd_uEtL>S_)C-Y^pH}ePerGHN-q_AgsbnHBKJh z_7JJYcTr_5sF9B=OscUmC!#;a2&=bmT(`Swc-xGrLZCLH;(M1}jl7!|vN!gWjY++4 z{DAhGYDE9==xY3llJHP|THlz1zL9rJQ0p7fjY)->YMkHgVSe09RQwQEMpF&cr7)?+ z3rP|Eld*c$i0$ri2(oEs*bTlH8_T}&b5a>iHBgtrq#7%t0yPp=zZ$#SH%eO` zkU^kRMa3^Zn;I26LLxHRr_}f(rK|BP+Hdxaik&%K4R;i3aDH0fSb)BKjpZIu?T!*wuNwUeedB?@y=qG@YuyWhP7@WsMGZ9? z85dd=J7Xep5BdhWuuD`o&g$*|-O~OelC<{_phZfp8(fxN7BDL-w?ugM7nl%Nrk-k6H83PWIY3S6N! z8@|dfxBOooW#oG33KexJOm6x9F;RV7ny~uS*xmgg->k<11Ug-WT_O8^@F#kHGOg73 zE32syZlL#ru*mCbw1FC1jE0*>1zb8+{F$Pp&HaGY_$#Y0Q;jy$M$Be4P>V8}eFJqV zOsWyfit09L!s=DyhTT=8d7pRa#m`<5ZWyzv5f*U~c{FLLQGHxUSECWyZypt@OJcej z?a+(1#3?_mZ>-G;1eBoGHxO1~rW!{#d+j)>MkBN3rW&YAIY>1ICq#8S#_Cn$dTmen z+oQtO6U)XTdXWe>PT16l;z}bj)2;f(u7s{e6St;DcptB>#yMbqK`HBMyzUVQC_zn) zid_kXnQH88_i$RO(ZsEcrW&YAVN#9Z=o{y7kb2d)VRzNYZui}a=*1$uPs*l7xCwgv z%)IIw?OeJV`=R}2-w5v?($(k+HMSa!H20J@lL7%%LwIaOdrEaXf_U#S)#$KwW{p&1 zKeOcpHTDlF2dT!5AyM7+@71_|clVUpZ4PLO=p`b&f7Y(Xe&`#saK_-&tIzT1Y8;66 z7t}Z?rmGQz8eh3(&ZZh!j|lIVQw=GoagIk}rW)1#KMzYa4m4X{P~)JOa*%4ov8M$8 zUX2@fSB>Uh{xTEM+lesFAl8|!@ImMevwcd9t`;>8M*9nDG)?GgT#^!zZAqE4sm40= zje`i|eo#=Ot4Cp`8WaEAI7_N=u-Wp08cn(7bSRc;+=jk!Ns5BhyQd7>-95##>#|u0 z)Lw)Saog2siuTV=DK&x?HJYLQ1vL&$>1tGGMdTX~<)NX*TnQC-syX!@%#^GkmO*K%L za*%!F9=E8znz4G-7{0q|95pZc8lra;;UhyfHL5Rx8gtMir5e>}qdEEtH_vKngpbPW zY7C8wNZu=RHr03!qpwSR64d)fbyQ)d8aLN;I8dt5JgbbR8mLQQQjG-q#?Uxn^{cVF zdrC=m%5VhgB*I6>Y-)s$LaXNFlo|u`x*Erz{bt_?AM4iDxD|fir71scPx&w^5Kw}; z8UxM7nQAm>`w0JHPK1v!jRiH1b*ms!jdAE3w{qZm)flq7YHV!$TOUL}Lxhh_*wtu} z6p^_hrN&UVuEud_e?g7oy}BAB;rByU=4|#2T<(WkBqgY;G1P3Fsm56?7yc;w#&M>x zpvLiD6-25rIV-A1a^QN^xM_FylrwWfXrAv(5k5X;SA(uM=6RJGw_4OV5$!LiaZ*TE zV;ubE$7Rl@8k^8J z>6M6nwg|V%+tjEY=@pU3J*sbvLmLZfw25hIgilH6ePd=!M4pJroXx)Rr4Jq2s~W-w zW>TYioY^>2jT=_Ja=BEajSY2=|SB;x@SB)hu@A-&+jtHOX zwy6<5#RE0WGwf7L-#88JH~U7oZAw>TVFGI8WX`4<+u~@5M}k`4sGe#NwfT*+&P~g? zobYL8%gw%lx>OLU#y60ee!TfNdrJS^RpYO&*9}6Tb4B=cuU(C{J`q`D9z|!m^uFOm z`wMCmWpy={K#j#o%1_%yx0a291Q}7{u%wFAQvs8`PNX346_!7t|=qDhH_s z=3lFq{Jk2t?(T78-I<-uExtg5qXBv)BDEHsG?n5f?D4| zScREtJT_#ZocjuQFk5b_fx47~RAVQO8!t0fuNt@Qt{Ocip6S-q2%qKE)yPJnMoi{x_Kh9Xp*<4R)Tn;Jr!Z5Ei+%T8FZ;%sZe=vp zKwS!xYW(IC)!8Uv^{O#qclVU=oW~|1P-hW7D`it7d}bQ0$|*J0WOX&pLHo_V5k5Dh ztMNfxM4mHpHr4nY$Bi@7l(aeC;B(5Ftint+`o-V=P^xi`+46!K=Z2JnRO2tm{6U~Gox9{$8iIf^YrS)sr9%z4IPx0q9HEQ;b;SQXUv#ADd0wEwJZ5~B= z?(3(t!b~+TaJ_h~RHKL4@`4)vymDYQ@JbTS1kwJ28WnC`4L8&n<)i$xJq0&`!v44fjgYLyA324YY7AU<)b~=2pxN?* z8WnEkAk`R^5H;?UH7u1M&m4j5{UazP*^6%BSWp~xM zYV1CrBl-m*97);L=$WRwM1~sSj+VZ05!!F6QFFXYSK|cecCSa~Y^s5qK;fQg32J=< zVHIYo@zdB9#w_tgX3I@AP?vI$YK(@YCoop;o^sdjs?qMH=v@ePp$K1`wW(3#Mys|a zRNpufrNX@Er6Em?@MSSwjZ-~PBO!A()wnT)4$VnhjvF;cnwY7^(uqqO$-Z%ENJi1G z=r4;Y%upjGYEJbKR<9a&CU)~WrE{kdbQRe{gfGk6)CgaO_J5_u8#Tu#bTuwV`^~-) zz9ONk(cUK_qbWW8xPhBM2q;0VZy>C~Of|kQk93e~TyD19>>H>{IY>3`gUs!Hgw?CY zUAwEsi2PSx1oDgUm2SHlS6~}&ORBzcN=jFw3hghb5l!i8oEwE2Mmx;Dftx_#D?F66 zwQrn~Qkbbm-{E;0qdl7d7WbDM-C)+_}4ZN^<1*#}OzX!qr~88c}TH zZ#+tkc3EAG8nnNlM(?by#`&a1j`GvaDWjl9)F(l`Z?wxQ%v7V@%yElk->5NLUQnaA z=^)j(KOt()k5Z6&)wp|i)j05@5p=IDD8hY0b~SpVRohcW>f!U_x*C1aep8JaI^P}( z-8jFRP+mmt3sHWW8uz$GxOY^7ni>eJFjI|S^G)YSHTs$@H`PF0%0a3zCMHlLVfCtU z^X~2`gAQA|0fDgLu8P^zs5u9%%6nDc=!#O|DB2(GH`NFabn9wd=7t(+%1=`RH-QjP zf|?pNT}{kXo&Er#SBUWcA?-}S zq^7bqTwEPRL??pcu0cf|a5U~CZc!O^RJucTqk>zyL2yCHh~kDAP!VSs4U4FVSg3%w z#tl)lD(!;1Mny$M&7k83YDQUfM&UpAo}AoM^-s^!J@oU;NWMO~`Of#=n@XyxtIi8* z(kPx=lCKg`8cx;R7zX|8G%m1s8iOp+IMG7S`FZ0;m>cJos1bd=;rOKGX}C*1Qy*I= zio^2Gbs86BBhxDgH-w^jkkVRBoh!vr!mZdG)mZHp2oBonu}26b7MeO$nH~0M=w>MLo13S^3HV{oG3o!hBW?Wpl3=$z6ewt zkx(OgZZt=v<#Xevqkq~BSIUKX=Q@p%9_^5&k&5Pv|9cwOw>6C=myRC~qc@7;$h0<% zkp*~_$j;Izo?`NQ*o&e6JdNfn6Q0HxNO7r)p7S)mfHX!*O+Uh_SC>vPNz2nXY2Yd6 zU>X-V~o;Tof~i|*tTbhz4;Hn1EcpB#Y>EuG@8$cuI{79l;(?I zOW)C78n85qmxVly2?e;nG0?M4BN8wmidn!i4H%ZRJdNYG+#*{kmj?99JPkM&X_&@v zNMnMOT7OI#-_|sa88do540N(6UT)Q-QM}BSubI(G8RPLZu7LjYxltS)^E4)zqH$SC z`qPgobt?)221JpkF(${Kr%_r_JOk&(6?x}6jnOd;lBMB@=1Hd1TAdqzZR<+;_rO%% zMV=yxS30$6jCSQ~W|YQt0Z(HL^sm#nD&=WRhZMh1{#Y9Dl2~!H3x}#+Da~-c&$K)Z zYx=o=#x%y{o$EBNN@)j7V^k=br`u9%HI2Wtb#BaC+VdqC=u}bkz1lRc^5tu0ltvKp zG)BR@d2|}%U@O1+Dho*CAEETe(twx5idXq4^0^UYh3qk9?zA4+wdg39cF<{z%e5>G zL%TBY?GF!vFR5d&BQe5Zimf{ z;zW;jz%<5tqP)d_Ph)&r(-^w+RB7jQQJk38rZKS~Uo)dLI#;D}1N5)cxG~^qbb~aO z$LKkKgoT&HiW8-#CVswB%AIY}@-+6}Xvq{z$q>0#-zM+oyKIJJK zOQU&Kfv=RQ(0`sr*|d2Y-66&A5^OS016~pUO{Njk+}Iwr^jY-gn59v?CFN=C z4r%-|mHza3qaIE>Fd&L7jq>)nmZx$0jNR_UG;WS*hdd297HOEqO_0X!rqo(bqpd6D z-^C5(UjewHc&k^FM)4M3zGg;gm@xl!8q=Zwd~Ousr2uxl(F@Y}n=k#bG~gvM7!XCC zhMD8f(>TF-?jcNLdfvHCV}?P4s5I&(d7|9Qlv=B4+}PGMI^WqK-v!qsiZg=RG;S+N z#*{{nsx)Ro|2mC3EYY~m$X0UMl4)dpzoTt8vNfc-1 zovSq9djUl84vPlCG$tDYjwrQO(}2?tokjoq-|+qN;8i!nK+SNJFiV5}hOam?5{(~0 z8UU>z$~{Bg|168ohCZHXT$M;i`fvE^&kmuT2t{^nHKzBv#fm(PNWawNXI1xF4>_0n zc(ShbUN!mu-mAOfFWq6FL85r4U(;)OA7K3ntOM0+@um{*^=^;%dVDMzS3BsO{sgf8 zd|0mg&PsT%bE_T!`ob(nsn_uZ-s?P|tnZ-u1<-2= zUFN-x1g%XNIEj=gfINhero6{Y+`Is@HSJFYAcChCcmL_xgB98uof`D#~Xn zt<_#HY3m#=uD!hzjDD^t&ZkFUIyaTiwBdDHddw-pGa>9TcR|%09%P8df7$3V@AYZ} zY-S?kdeXT12e8+NO1K9<4=*rC!(Q*VM0t?XTJ3dATe=`D{sVJ=VyEHUKcvF1NQnLa2@JN zt<_$~wUuk*Cp}lfKqAGhh3dJaDml7_v8z*Rog^j~_N(AHiL8TO@oes`ECRtmMfHkY7RkGL+3 zc&|~)xsG&2<1Z?Gc5a&Jh;nmD+N@fyyUu@3V6O`!`laqQN=d_B9|=Wyq${;nx&E!K zy-A6wLTrY{F)@rX)+PYp3ermxlFwk&Oe7;oM>+k@2^{Lkum-o6T z;9N(WqVZSdi1j+v5ar>4v{}V<+cC?I#9mum`laT&DBl6O&IhieOvFA;1a$0jJ%9REDa5s1Ib!Ka24%d%Y3E@FPU=MK4RBv*`P)0Y@xf z5#n9X2jEH+BOkXGtKVH^i^jDj(Kl9GJ|rNwQnfX<0PkT8Mc)r`E5WTLfgE#Ogq5$T z-(6i&TZ8}T%HLh%!+iyOROHUF+`86;m%3L}W$gaG3 z0?R07R_f1%0LMy6QBaMjo2bmuq~|WLxqF8nM-X3)mcL*O{cfEBCFt$&!Jf28DYVZGs*U^q?C0gl=a| zg0VbNeBG&qevKhNNK^DxS(V2*2oItAup~6}OW=1o^x}&J`H_T9g zrlITSEH!i=BFB9-^uQxcL%$>+PeY%SYYMsoH`$_n)m)YzOIn_iN)1*u&geR5wLCQ@ z4WZu((BV|3>Lm1Fy`aBw;XiMOq5dq2?^w0ar}**{G70)5Sfg_NB6OHL8hUY&$)K0V zdh)X*3HmjFOYVozrvpkkJ#eMNvrBR-gMJ&J1k4$9SQw3y5`unvfS>Y-&}Vv3Y03;3 z^p{}yPw`PC=!;+?jP*#vl`f%Aib&JYuTkO4^Rk8>$TBryH1z2zd|8)6hXANfL!Yk4 zgJDFS1b16ZbqIYLc)1;Z%c0+#it^mbw4ZcZXRlHu%kGiG%pJA*EMezfp z7W(a`{OC)9ejA)_HS}9c40`!00FO+&xUB~3%0nrj;R zttG0{(C<>=S3!pWs7^z_J0J~Lx&$}dBMqTX_XM;iHNDbr3q|=JgEWL*N=12&gBmoq z`}(c)8|K^~Un?0Yil3yl(C0+*Gc5`F>;i{=m(8KeS5-9h>2QYFz$550v;7eI1AtOi zBJ>9VrH1|xpajer^oLUTL_MFNhcSLGCqjQXl%Go}Ghoo4O(2*|B}SrzV1i zK3hsk)6i$;nudOtO?4Xj11kJ#==xP44gEm{Q`RAL3GN{UQ)&nuPFdwJmdDW0;px`$ z!y#!1{cgE$iW;o+)y{gY^gr)(-=AQti$oEg0K;2Px-u$Q z^t(;@v5o|NZnhsnpARTC^d|tNhQ0t$0_F_*lL35iU`)`T^6&#U5&A+0Dzp5^ZhZu+ zI&@_)GSn1)E$YiK5$-lg)6gF*k*1-~%{2}E{)Fl@^!X}$d5l;cLtgM*ZBdznBL+BBp)X-Z1rH1}2pajer^ye(mcrzSb zrBi<10L&?DLFc0Ud@4UlQf9zb`ZKVqpOPAb{bP4WRhctvf2cSQ1NKHeZ>&XU5L+JOzzRy{x$(6o-kLi0Zd0+vI zHA)oIpceXbCHe7^1idBU&|z6AcriGJYYYIZp+Di`&7I1#3^(@dLhcnnsiD6LC^hs~ z0VQD0puZNwhhoCiLFliC_z{^1{f$7B-!Nqc4EjP7f+?X$D_!2kJ>in3p|>QYY3Ta- z0u4PfsZK+GMTK7teTGeS8v3gc4NgFWF2TK;l7`UlwM6;#P-+BS{k!}|KpH~7&lBa> zV$=Zo4sC92Y5;xy`aPz9{!Q{FqMopM-6jp8!|W};6O)F};eNjSaYCAg-XbLhNkji6 zB26`~pue1J8v3Uts#^@MM4bee_@rs*%WSDtuk_DM(hzzm+jmg|=#Q))^pn5pD8su< zGzhB}dSb9=$Dx1a;$1sJ|Jug=5c)SJhrYa^FlW%0 zC$NszLx0sL zO+)|MCQU4dG=%=J5Bnyl0rbb(c6x8^8M&`E zeED@4>vGZ1(Wr&~4Xkwe<`Z1$U%^V>Frp`C7Ka@A$ADQ*0fe5U9QqHi(l-QL2>r*1 zLtk0q&{z2ia|V62D;jT=n1Q1xuYtr?$F$OaGC>Jt1~t&X2~gzFlaw@sF7M~Rib+H0 z@_zn@gftC(adwc*zFO5kMpUPvf9#Q_p|32FhR`4OMR}D^nqKK^Y^ha4|H&i`p+6Eq z`z~s7rLQ0KA>LlYVXP}e!)9qM^i>9j{v)h(dDo6B{ZorW{}M23=*vA0{a0A&@~$1B zueCY!btZ#efzBmngkC8mumzJ$L9cX(M5TkA0s&N2L<#<9$qZ_suQE{N(3j^x5W2jd z|Iwj3gf8#re|1UI&_B%%!lAFVsZK-xG9^tzUuTkr&;cB@NLmgZT9aB8bZC$?g#H*b zT}V)qD}DV=@5LE2d%;+vMMKxP7W%q?L;n?4y1Z*g=wF8%`VW9vLtm9L=#`COrOUf^ z1-;TSV$ds_lsNQGzQUYA-_#Y2(=CGD*%p;eV}ib!DJu9j?;7aq0vU|FvQ7;MQl0Ww zJIx4WZAEVBZ8a34Q%e@BVosZicbOh=!emTIgE_9C{a6>GG}}p|1@Y^vcG7SwruX za_FXkTL|5R-^ogqO{IIxq3;qZ%o+4u1JQV!PtbSsL}j-ELGR(fmdc<8`j&wVrfQ{E zI^{qRI^54!y2Mn6(BXowVj84r=xamL^h!7L@p9-80M%*e-DA=ax&*gNKAwiYo7%S; zdJjkLD>a1vq%A7D2B?Af`=CwF$hCH#-g`wyAI7>$H1zOlq3;5#GQW_km_BZ)L@JwD z9Qqb1hrX4^q4xxMaukH#3x21e@8xjlzqb_T4Eo-NsQkV}(DzQ^cQ6V*rtcGr%08~l zpa%LbHi~?uZ>2(&69J(sz&_P!=si`avMz@XZBm_v-YXwZL*F8$It_gI{%fiC3! z5CGcQQ0d(k)TU$SCce^E;V@_gJ%SUF0}(ysMXNB zhE%7aADEJ+q3>KGO+!B@CT(#5vB)Q9pheR3Nbuf2!<-smsj|1v-b7J365y>2 zXrOFfQ6%W_qzl*~ z4WZ940C27$bg3PwLam10-KBjsblW3MH7{@DAOO-d^rIZo6!ba??r4iN4gHu@YSqyD z#H1ngg%PxGpa#(4g}%1DU8=SF^q%pA7htS$qTyhp7Wz>UdlN;)E^z3*5)S=<5^f>% zKbY)I6qOSIo`!xx%AubabLc093Uda%Zva~{L9g>fr7mCT^$u($G6M!3o^+`k6`@Gb z;YpXuA579TbX!WWbM~rGy@Y;(3bh(~uY~F}^b-^$S*M{NP@*~w{X_*$jTZpaItlKi zkm@w_I!|iV(CZ!25c)H=sPu(VWF0`ifBm4({N%RpVW_J`!(nMH^pjwvZy03{g_RS0 z4*ejPLqF2w(2p+R(=m8@Zv=Q6dVh~YKiT2XPq7r{4Em{tXuRDf=%=Qla;i@&eLyTK z16-K_gAPx+R8F!{Byypp&#T@ord0DL8^v+q)Bxe`pF8M zhAzRKVo{xjerhVUYUl%E(hz#thxQHBB=q$=y&tgonkQhaYed7*aV_+dVWn@75cK{9 z4&6>T^goq2^uDmt<YVH8;h(C=Npm45mSr+*1UT`L-n4{M<}!Ajo%f>v2o z&hk0*6I~9y(d5uift4=cQ5>P43-C1b^E?jye1}6HW+}`W^a~8pIMXEP7r;utz$fU# zVWkguWd;m-WJ0JmiUeIg>2gYmG!6YMpESMF&sCvTLqE}_It~3i1xeOv=#3`TY3S!G zaB4glS=32z!z`-P&@V`(RtOWqVWz$6R+M~mqS0-Mm5Y4RaHY$-Ng9$N zCycx*cU`K}&?kqaY3S#gq-p3=0@4t=1UJbD%(9cUa^pSvB-hHRw6{aNMl9+L&&&ak^ zYL(k94t=J~iRnvMq!@HNoE@ z^f@tZA@sQbPd_j(<}Wx zOKR27?>9)pmHskJ7`S>{TxqJ=1GmMbT5XLWRs?$&&@Tx(jQi#Rzsg+(!Lt{BMOqN)6j2As7^zFRDo0D z1pu{9f_pTjI$Y_C5>a_Plo~-7b@KU!`LG9S2>o?eR33{_1L#Ms-%1~Q%aIqrSbr4_ z=cl#M;bo!5&meX@y+7)6=nqF6`t2p$Lg;f`{FHHA>GKi}eIcOKEB$Fe30v7pe+Ezj z<_vn-gKs;H33|oBZwW@|kp-28%z!~30ju?K8%0{_mjd)TE@>M2qdsXG`n-fRz0x0! zNYl_4s_?6!-(I3R4gKkmw8ephF2Owm|H4U$&|d-Q6-R0s`fC6^vPeVdZ^6E04>f>( zu+TS4EiMw1e2gh(0!N) zkCaGLjmX#7p&8ON^!d4_p)Yi)PD6h|gJpdYUppO@T;Ih092=;znPMTD_w$%W6}`% z+W`ITKx!KLJBg^gJ{Y3Og1s7^y)qQb9+eve6Y8oE3hP8ftP z!F{M;>XrT;KwoOfIt~4Ofc~*T8bbdV_Wj5~O+sJ4)4Mry_H!`SjiTXduNL}85q>_o zbPs+D9QqQQLw^jwYUpqJ_(|Rf{he$-JiRXilp6YHfKo&M98d!04EpCOd;+#X(EkzR zH}N9$FGBfEv@!z*{YHTPQG_BvpB9VCn?7l(5p{b1P)e|KcD1RrcXCZbUt&|8hQ2I2 zFu#y{%%wUF{WFU+gf78-Zjgp6{XlZm8u8@51YP~Rk~*Xz^iQFW z?*h~S`qAqLeg63E?uM}@iG~}kTIk3*C!{Ip>OOrj(WVzyDwuYqDs#$*6_C#=#HON9e0y<;nYP zl}Ke70Foi}MUpB@#mN|jC2!DY}RVJpnI(xVMb_@HuH z648bQI18j1^k~CGewnn)Ktr$l!W5M?4vHD{x-TMWhcpd+m5R6e^uDe{bsGAzh_oC! zv_P7MzC71*=+GS1Dd=?oE)q6rxY9o>2xv|I&`K9|a7u*+Nki!0L;?aq4WOUAevj$% zC%=Cqj5S3xOiyc|M;id<2fXa`9(9Nr^r&EP=-&b^eR}@_wjN06$(f%l4!x6!l?Z*) z0*Ah7qA+LBJ4f(&>{fOjj5aIbw`8l89&PT+Z;6%}Fz72FzzuSkYW~%MvC0=V7G{me zGXgY2nm)b%WRaFbhc-#m&^wu=Y3SeDq-p4zN=cm&5V{1nX+j!8|H>B7n$$G(uYCax zl7`U#33Z(#)BrlX+}@UV&b0P4Y~Ss=?h0c~6%D1h7W!s@>mgXpYJo(XdK~&DA&34V zAjzReg%m$9Uga5WnC+)hi@E|zS&7iM#QpH}9&H6E0doeun+sp#8xZuZZT$Lcguaa_ zzy4Wfz@YyCVQrR!L+C$70_mhrhsBH;INhVJ-A-F@C;1 zLf^{Z(7QSu`Z|k4?-bxC!6Wp|v;7eIj(}1_-w9B{Rt9}%Kna*L=w<=FpEn}tW`f^q zjnKPC@_U_S1`PVo5LUMsMLNCz%N9|mfVBMd9&KfirlD`1YZ`i2hw3!+9bM8i^mP_# z8v0H)X$W0{+u0-yp|1jeW+F8WeYG#5?h$DSeXXpM64c3+zJ8DCryW}eV5n)L;r^f& zy6NEu*CX_uLJob0ltW()m3pObY2in_BlNAa{Sf*dfKo%>15j${djd+poI(Fx3BGt& zK8gbi5%u(8BEdrjLht3uFKm_>FzD+bEYm}gF60W4h_4`&NU5vhm`6x z^gX1cPTLy#YL7GxeUF4Rgf7AD8Igw2{|)|n`cl)-e=UfpmrI(0UcVvK{jP)>KtE;u zppX9HCHdU@&7$EEuNHbw13!@-q3`K%=(`6DdL#g>hQ4ErpWTkoyJ!0$bPG^w==%an z4ShdA379kJ``hrD=O#fvz{GE&M(76?h`x$lhD`i^uFt4qZ5pEi)dKj)I#4MP%eZ=RfN88%As2phu#^$YUq1-Sc%YkX8R%Z z-hfg=KNL`E=!XGHz??xpEP*eT4hj0<5q`xqLO-G;q9ZJs0fXKd!rDI%KQ$mUbSp=@P9;qz;i)95e&^R!17 zRJ}vkDu}*+G~npb?<~>yVM+AeTW#$ei2mDp@^~Ezw0r$;WdoU*4N|`uG|)T5!fdGe zL4h2OG&NxTPf)jWAT{;)G^q6kHko{f+;_TYh~nA?_KQX1GY&PdRSFHj*&iD?G~f;N zfp>jvD-XvT*aA%K7o$iG7(QHSrKG8$R0D@9v-1898(0H%eIi+>8aSi%2I}Kk$3od{ zqTx9@k5YuuA<#hCq6YRgpq+>sIL_w{9Pf($+hiVS_xdeu0YgX=(il#)t_2b8YmlZJ zIL;>x8(0nd9`8y`HE_1m>J-{&zkiBBPeTabUS(Py>fM&`yaOIML({oK%1Y zOnE#ug|-H>$K__RfvqA~0}dAQDRg4CGZ9z?`<^7ruz|s?6L_+D>FZE-yJ&bRtZm?g z5E@9Sf#Xux@~MG3ILYWWP+t=L>CrzYu&pnm6GCZ16WGRvrc%;0fx3t^B=95bTVIkI z5@@}FzsDbTfU=pQ;Z>)$fjY<>Rz`MLbYcKoE;Ueec>@hLG+@i)F#`ANMQs2EQsjjL~5#mp{+Nt^65jihO&}q zh=bY&`a|Z=2Gl??hAopC7*OI34D_J^S00Zw&>d3jpQnutm|(1!b;=tUP{N&Q4SXMo zXrM1O)xeO}r_hQMZaEyvW{HM(tl9C0^j)}I@6RI5@@}F8!o@|V<@{*G`t(vHgGy*{=7pC z3^bsDgc@)Y-au0X4fyhSjKJ<-;`BUiY@mk?$r@P58*mfSuz_z2B5I1HrW)wqdIKX~ z`)C@J-6a~9c(o01U^&#C?Mh8GaBk}j%rD%143yn18a^^=8yF0k!=pnsg_;~_r$h~$WAX;hEr|YG zmgMmmfnH!@aGo|c05@|{lY@nvz&R#q*uXbXcdnGO2AozO$lfrwI1b8Yi-wQG+6IO~ z=8IBlU~mdsJ~eQD#2Xk^f(9&kJl4S8wupx2X=4MwFF;c%7V-wpk4VD?zJ`5=m87N! zoY#5-cfWmN7bu$}8WN|r0r{r}FUHisIRR|B)W8UrH{jW#f7eJJk2SC_nALCS#|HL^ zM08Gog}i|gE@{}nSFo>VOHDO!PU}EM)$=K@E&?pq&ymaIMK3 z7+( z2x}X-1~P|h)a)!YHia#p8ki9A2Cgqb1HL>SYv33|MAzhLBY~sf{&#HFDJL)?!kuXi zd;+AdFG)=i7~XmVx1RdTW>EH^XjtXcHZTD)eavHZ=fGZm>JDAQAmLm*nvnf#ZR|U-PuFfn#Gx*1|$gK=q`W!UmSYR0|SWry3a1 zI)MXsdu{=gg`(kKL2Uy!LgsLnkWHb9F>IOCz?2eiV5$!dWWI6&CxF=-l{1+(Ht?rF zL=$6ateU`-5^31LN3aH_`clIw)H;FP8=t!a${rRCzgo2oOo7bb@~DBpgDr4^j{`T` zyn$Ox(SOrO9*+^|>xgJd))h5yk^#wjSjY+7Y?Fo!d;nAE7E@|Sp!EiZOr0j{9uW=e z;@SqLLFR8e)WB2&8c3*t=?QP(wg?(<DX^oroHk>GKBea7F)4i98-_pa^EC z=V{{<>gR~)<`4@xftfyO*ueWRh3;^rrW$}N@Yb${dN*ItAIcsRjlVH!8<+{1FE*%w z+Z<@8L=D_&@&@iIKm(cAd<`^uBAS_}jSV!!kgOw(Rj+|NP13M|cOwzqB_(X&%+?$D z{nAelhO);+R1E&CiJF`MQh32`qGd+;K15@Z;TWZ)q>jdV`?0PknJs}!9r?m~- z1DU@UQUh}=*s`gC2MWA_2NGz&l*eNY3824a{(5BpM64Gd|$flK$hX&{t6DH^+2wGD)jIXqX9O`!)o*eXy1 zkJ-F|$4zL!md9faoau-t%+tmO;Hi!10S^m#1CM1p(-eY-{OEC0)~N>0Z+#8S+i=Y8 zQ1+B)+%m3h;8Do@1BV)T*nkESYT${4H?SZQ{Ws2n&Cf#ctW5N1o;Egc77%#YkjAPP z@e>JYNZ<`v0}CRlsRsJDPGG-|ul)*T3q|ABUTp(UK;|D>)WBmQv=dPS3w_?e(=IgN z%HuHt%|PG@55;Vd`X(UoSV)?FAY15@h7G(1Q|M_|YN~;OZF*f%(0hf1QT;O1;bZQ* z7KVCSG;U{PP12V`L<@n-+7i942(LS;t;GLt7A*#Nhe z1ad!kI+MMw_`IXGvbT-AV6nG?MvDyi;$V|rS6q~eNIvm{uPeR?uwPUeu-6qA1OhC} zVB`!?R^;0>qn3~~d@)&S3mnpvNmchO7{q;5i%P4cq$&GKd(I^3S zUTn0r!ElLMg-Nd*`sO+#<7Nz>5Za7ok94*)bco`(LW zP1<7kErN?p(lqq95~+y{x{%-L9lafqhR`oGpnWOfNR*=qViiQ-B9iV= zL+F>ozTY{h!Ad`L{Z{(m@0LCRV^u_BudsHde+Rg}q^zQE3-AY=CPDuQAjng{>N|SY z8o*+Y^AR;6y^;2niT%<(s_!e&4pt zfI+_$!ul>kkyiR84y@pmG=x4Xgz%-rR{AIi^=%cZoE#eZM;6s-=&SNN)x3iKtVwkm z`s#e&#etlF65JYt>Ja+KKtw;qQq#~cibeEuNE$*P3)-3#HF)oxFG~jMX9<5B6%Hw}6kvZ_Vti*ix|J4>)atzSif^UjVQg z`l<;3)Dxlq4ErsQGw3ZH0i~=|*|cl|C}Asu-U(0w<_!9#7X0BSRH}4ZIvX${Qi2Y5 zm+(#9G6M#Ef(%eNLXlSbjR1X}OBzDI!4l96 zX$bvq5UR+v96Gc~bsBmX6@K+fe>0>y4ZW*D8bX)gx~8Nd^uHRSWh=F>hCa~|(4ef7 z8bZGX>b8tg1L!^15Bfj5owf+ZdQLPRW7R_6%ErI9Md)39_+D*TYbueJEg}y6TL3H9 zZ)$+kmQ4zi0U--?N#{I(7#QnPD9@z zBn_cUa61O1A@s@Md1psz8v0bIGcD2(`gEw<$wLjG_i7t-x=%0E+^65aY^Rkl*7KtA zPev{DodBi8rLt<-(PZ!cZQ0)C(ANN14ZTZ=z5lnRTehD{t)&N`)X;Yalp6XTfD$lg z(D#Ji_kf9nr}sTmm=GasL29DqcaXvFT$urbF2U`Tph(cA)}=(6YDB@8qXL+_Sr z8v6Dw)oJKGRQT1<*Z5SYq3`aHhR`LrJuK1?`mK&=*)x?IL0A8V21%39QQOl%4WPrd zH8S$;}To; z0eBj^6?5qOhV03imi+?Q@(B9=o@m*>K+q3>toBc21~t%kk5DA&GYnWsxu&7_kY%uQ zW>S6xa?3s{RHXl#sYq3;VQS0%L4_km}pcY?L15^33~ zz@cyHa_D>dqH(oD&<}w(jqhaB>Akndp&#mS=!aPfbGFhCH(->ApdSuhAMO+MBVy5V zgex;((C@GyR2xNtUJ79)`J^f6PDdXA=bBpS&c+b_Au7~r=sU?>)#T97dn-s)E4^h) zm+Cb1LlrnR9*ivNCAh;Zs?*R9Po-A9(&3}=G7X{6f_)D+P%DVOu;|;e(p!Um>1#a( z!&onh#(`li^g|=jxW*#ry$hoMjxj;+k#OjHmpJtOOxUkP(EAv$pG(mDq#XJmV-Ee; zP+`uX9~X$mpA3S2yeC?Yhj#Jw{wD{v5}5&mewQU$4vkPG=y$_z`Nuk`wLFcAi*P^(vZ?}X|!^Z^P|^-4dcM0Fba zKm|^(bP4XXkm@w_Gd!tPLqF3Y4WT~(`<@=41}XGhztj7O-B!E^W4$68NBFhSPqRhi zzfFQZ09N|;7D4}`%c0i+W(~bx3HA#J`XE^8+eHL@u*adF?QrNrEQL9PKGYD6zevo6 zJbMfUqC2r~ zKe|+>p%3;*(<{BsBuzs<+aV2Cx&$}GB27adno6x2`Z+Oa2>oFX+BZ;xOn$e1&_CGd z{<~qUS4HE+ZY}h)BZ%E2=!0RUZ|4y7`h-J26)b08Xjh2tUg3!&=^pow7MgpAXRSuLoq%sR2Q%d-5SA z8J5%#`jaI9?30EoU20cEr0K`>fi7tp`sfmAdZiCCNz>4;^hv{&F2Rj)Nz>4MQ)<=F z*cdAN5T`=H%A+YK{rKW@Y!hxF=$34_q}@bkqP|w zbus8wxJ2K;gnws0^fGGmYaU5?I)k4qaZM#LxY_5L>UuD~Iw18t?ZJ1sa$EjLO(i?{ zZd(bNrcxY)FA_^#)~Un>y=}^E`2!k0FF$y8Np8y@sqGv?SA*w7a$EkW#3bdxa}&8O ze<($08U@ru-xWsw_QT~t^;=3#E_`*KEEA*Pz7C(1R{M4k1&H^T0DcAEQBK2kkfnV; zQtH)dwYs+|c6n?{K!8Xzw^jimp`dZy%8Dh)=Z-;5mEr&hd5M!=| z3+ygYHVpjdH`$XTV{XVr8QszHfMaefQK8h{Q`-2HX@jnVy~LO?xiMMS2wQLb5tThPLWcTB+L&euF=fLaRp3 zp5<&l=Z&>c`HpbsCZcYyd@Qxdl##Ql77rRZ3mNZIa1e~Kh3H=w zRUL(O*eR$!3NDkeUbU(^WRF_Zp-#89L*Z<_0LJJdoY_Xzv2};_Puc(JDEio0hu`R< z)OL7Lw^RGU7+ppGdbjE*xpvX3?%G_<(mhl6U7PL>hmCv_#@I^qcdF;EPM6VQv$Rh4ouxb2$UCe( zO5Yie&4Z(K6V8LJ9p(RZh%@E6h&q%<`R^ytj-Q;cHB>Ja&b_{H!kmjff!6Kj({TBj z+?|s!4Nsts-dEPC6R7iGE=o<=bLOSAuX->W)OyfI?Dg|}sNDvFu0Db4pj(OHYIY16 zK^rgI;F0{ti@ul*$9z|~51XRjTM>EHmu>FdxhR`D+5k7sto(pdQ`bVyqcQC)9b5-{ ziGJ_cOv8(gev5OheHR@){vx)6(cTm80$=o7oY2VHs`HpnqPF^w%Qa=S-+NrktiJE_ zI(6CRK9RT7KC6%4x&O~F+WW$-M55mk8W{dd7sLJDH%QH`_IoEkT%Kyb4}4aaS^coY zG)&d4h(9=yAh1GM7S>kua8U`nOXhNA~Cn>KH+i=Q(anOT4wd*h-sPCMGk50 zvpRbi)E5dL2=@(J^!vo7QJB?_^P#oXa)}Ccs-H$o%d92|(=w~C2c)&n>VQ3Wk#|EM z3in-@tABTC6lOK?NYqweNVtZnF5_Be^>Zqd7g3qj#W9KPv-olD0CdUsl!OvRZ0TVf(CJ=3ObT!jSQ# z)fG0=GOORZOv|h;{~xX1H1T$M5A}&~SJ|T9w+W5Rtgc8&%&j_)n_NSy-@8o9tfoHG zGOH^+(%P5m;x0Em1bcoe+_kCb_fHxG{+pjyQ-jpps*CR}m%7x@>JL7vL#ytuCet#j zYjdrAR`>3dN*;-5>S&36EAvsbRri|&3e=Cbx{@SjbybN(sLN7a9Wf29Hf?N^);_D7 zjJaYC?D==mv;|mQlaHdU_FFA`Q9s)1uOZhk)t@4!WmbPqn3h>JT+-TS_3nRlITQ9= zCYrYOM8ALKqv+>D`u*(D&^hd;jbf%{w*H+kEwi;viL`duf~RR-c>1$FV9(D)(=LJN z_p3#t=meX7o06C(*zd>xZ?)e#lhvWsCevqHX0>}nT05-51z+bapWGMr{9H8k3PiEs z&?wq!zqKKW+UmNPYYKL;1J}@MQKY<1o$i}@Mx?dR>dUX~I}`T&hiE#`7R3!b8bwYEyTIYiM;tZYxW5|9n8XFIqhy*V<=w;YnAGfTMmPnvQTqaif47Xsd43<2YVM z_mfZA;E%L`Ry!I@%TnFMVp?YP@OlA1R)05Y)8D{oUx_9MtagrRWM*~KghXxiaFc78>gL>5X7#ro zufqvY|36wi_QP>k|^SPuW=-L5G{5wX=t5leU*l(Zpm$BR=3J+X{${!jn_V_mpnMP z3HDqrnub}T*v+C*SgKpuBx9(t+_3<+H{`F>u>^`pKI;2`rxsp)iBx$(R8sR zireN6wAH3lZGJeM08RPfqsNmE%q`=)K+gvxQ14L&uwK^_YQd-&ei+!y7pNeaZd;N z5b&dDdK|3oW6{XW>fSbq+UlJov1Ru4~TXl?b)lxt|!=C(4cM|r#stuFIP zYoFD@tKX8>t3QjT6`m*_l{;WokD`&;_38%(iF&Rc!);|&`vklWtu8N-);_DB3|T6l zp7@t&T3ryuKjsdY)jlQ-ou^vd)8-ncdMvkvR-0B@ybi6d%C+`cy>#uw2Vt~-i>7s^ zC?21WqOCS9Gx*_-hAq*wJTKI#{wZX2S*j<*Ov{$lT8FguS-o<`j9p;QUqo|9TNF>s zN6}V`C%80po@&#|h-;YYN!(UuwQtJnRI1Gzd!)6`YCLY?_ps-$qInCjT9=Q)toHS3 zXl?b^gllNEp4-Z-_A_`LS~c>6w9o34Q}2~`tZPN{c3`z%KqE7&PKm_aYV*b;OcJ%#ULn`e>RH@YX4S2#!?}8dOZ&FZ>eWknz_RMFfoMJ+tTtIR z3bX3kBxUIbx>6uT0PFCecNX>96cXi`RlNuXzmw@;$VkHVO9s_L+4hD z$N5w!HMDv*w}n=lt4?6qTy;{~w|!QR>vJwR>F^uTJTMW(p&pH*tu`N?51m_WKDJ6j ztLJbnOZ7a129*0^ssnSaeOBwIK6nOL-AFXU`}d3I1vIj@T0FNzqPFVzTtlnFatlzG zS-rsGb!c@^uC>qViBJ7_G+5nOG+$tf;sqg%%&ZQJNYqvbl(>dgN8}c?RnOsdXmwbw zwa@D5PWUib?I@Z@fmJW2k(t#I35nWjbHp{YdSPxsTOH}~I<$ImUe`XW&nLZ~0IQpb zW_Txkab!v(Gpq8B7JqW9#ZyeKq1B7It!!CcneaNaIwsfJXZ3HV%8UFCoka75SQIZY zXk=}*`TTt7+-mbhRT^5oG@zYzs+WaK%a+vylG^!B=obffS_xJ+70r`UQM}yBhsj@0 zEna4msI89ixQ14*;I^`5H9F>XnCc{xwDzU?p}6@=u-aKP-)4y7l@5)fQ!S2mNz_)a z3%G_>$8cMj)vHoohgPTCq_xlLqR%fl4Xkb^$^z!9@6jmC>Qz38+G-GT4XuuHnU+}{ z$2FV)WnoiY`>Y&AUQ1FRaN+y$&&pF7Z2ixUzWI-daLjcu->)xUCEnbnCNuTyiiyv6@$wcn}F zOa-f3i1OB8bz({*GpiGGOWvSkhGA&DWcayaCS@p+#BrjLC5@q?;gU3@^vpU1z zb(m@|leG3(U2@oKhlAB_qHKZH83B#Ltlm~4QCsctAFR&gwlb@CSbSf!YMG?9&*~dL z9Pl@=y0s|xNks9E+<|^eO>t&KLrbeF!E(>M5VXwJtp8xEk4O8q&(`SgrYr_q+lca? zY*D<^r%|-6@;=-`_9%2yi3+u?yK@WDKBjeiOm*$EHKI?aW5L$8qTIJ6inARWMcXRg zoojho#aRi7+SXi~X_>9Q7HRFX_10qt-3zw16Xjw-6z?%<6m6?~QbeM*HJ&8-yi*2o zUWr6mhiU02vf5{BtKRp`2V2{V^2x3!K4{X&%+~QPiQ3lOkZGB%&|q3-tA9#b`)s`t zEZrGw?I6knBT)?VQJAg%J`JsH&54;f zX_>7-xz;{gpL(yn4Yqa`<)MivKIziP%+`Q}L~U!n$+XPYLWgOYE&X&z`)sA(Sg(LB zQ5jD3GKV3=~%9XNhoKr!;EP`X^K>aMc67Aws+xt1zzEJ^A` zi4RdrNR;C(3FL9dMO=GD)m>d;8vaFm`5K>VJI8Y0YhBXRU%#t;$JvRT*4miZ z1+QGNJtWpcly9;{@pXp=V2ND=$HO1l%?Ij8>RlF|Syu{jZmIB-{%@8 zHo3$#OzeS(Yna%>HrFt*2ztUFc??Xf#ba8Q*t2=pGDTdK&skKb6MNpM(gK;-^QqR_ zm{{Lmy6p*x^%CVb3!<39F!YmOT|8GJNhj8la19fSOs-*KGi$0nh zS1ewKiM?ttElcdxylb7o(Ugv5yn3VPc;|T*JgZEio-iEb+O9tMczIX*#iGc9o_Q`^?l@8xuR{ z^o_QL#P$~D?+no(EE<3%mKY@J#6EGjhKarEa}5)FzDmQy-t%}JCia!fHB9Vln`@ZZ zHzw1v#Fmr9=GgLtM4i}*s7edus{FR3wKgX9$}R)sW92@g{8JzrI_BZ9#J+*C@kb~2 zRm?R^Y;njnOzdNiYnWJ)at#yvA>kS(_G82~Ol)O|X<1^ce6HafTkVpj6I)|fX@N}a zCsS)}Ozfbfcs(R$iOL2r$2QBGVTr9WXjGlpj}F%`u}>|oVPaqA1L{?|+~aka*sm_v zFtN2Z*D$elCetu6_FM)W1J7fXLPB+UVwDb2l@>r^6;aY!8xsrPJM3dfY+q5?4CYwZ zm;!HI-PUW5hL;SY?wE)3U@m z`CL06YnWK4lxvun zX>bh_Gpp(_vF6Vuys{4@cA%*AhB?;Dp#fN8dqpJb#CnmWuDvj^t|5uC z4inqC#5GK8SCea)*ntMuFtGzuu3=&a#Z1c*JD49gJM;e`pgNt{AzqaR70|S!wKgVp z*|?^?AhCl)<&QAO_O)mLme|2Ii8`?ZeXe0*-CeF>Vm(c+VPbpxT*JhU1poLWkAaEV z9@j9jqw)@+E=%m_+_cnijvZsrzB;jE(kd;GiS>!K*2cs{Z~~k;I~*)3^)SZ{&JV;A zJ1U}4bz(M2Y>xFxNR)M$*a0Q3VPbzUxrT|IkRMp?i;10(@;XfH#Joe9j(YB*a#G0Z zvc&oZr0K-!yebVUplL^IZA|RKZT@&LB=!eU83=Riu#^U1iJfGVs1rNE=NitjgIum* zVn>=>!^Dm*aSan|1poM>6YKAB4HG*#?@%XpipA=(#7;Fx(}|s$R%wAuY(T8FHYT>> z^d}#J#10Xa!7#^;&Ie$LogC4qI`x`GVPbtvu3=(l8C=6Tc2>$Y zOw7#<>cpBtR+lB#9FV3H8{}1KPytOlT5Drsw{O#X03_C1R7SuYJ3gcVSYk~!i8`^f ze6HafJJIDDCe~C9Yv&m*)qO`(k1yV}syU(i9U?gbT&{`W48`OFKiy^TiMdb;Y zV?*)*SYq>NP&UV^->HU)O);rZCX0#PmT(OdE7@Gb#2$9IhKW65aSaoDG&iUddo*Qr zSz?dHr0K*S53965CN>}bAAj0B$G+{>^)pD!7L}+Z8qQB?0G8O}Hi>$UJ?e7}6MHz~ z8YXsoiEEhH9G7dD*t~>mnApOYYna&6A=9!s_DtTjOc7UQ*`qq0SjDN*U@vIe(pnqm zm{+`a79@6*sJvo}hCjzN086Z#kf;-T#^f3%w$SC8N~}CP;Tk6PNQrBh*nE>~nAi&* z*D$d~4%4#4Ud+4JiM?b{-TyUq?SWE^?|;wJMG~!aAzfA%-O!dxE|o>-LT#Nn-Bd;w z5^4+OQkjZ~XeE(SqnqxQ(uH(p_NGE6B`Gl?mr(n4sigFKo_FS*_dT2a?am*3_H&-+ z{e0##?`(Va%*-ni+ng!GMJcf@mf#9Z?DG4s?~IAv2&Fr0C>|5z14xN&);K8=OB*uh zB$nb7JA0iu7PC1?y-s2qLo(+iR%*zclh~(znR62RG$V0tVwShpBC+k>j)=rQi}GH^ z;Vazfh~NrL>}2H|n_*%%LFqmXipP0_N{M|I;G>GfELG;5#J1@&=OnfyAahP)DO={8 z#I~q1=Onf}CUZ_=UwQ^99q0GTJ>Fi6#P)`HuSo2xU>Oca!kzXDuE4~`R@q4ZR(62W z9|KT4DZ&Sk65DHVQY5yAQ_?5c-62j=os-xaRpy+;KGkH-No)tF*mzE2`@@{1@tnlI z3rd`u*!SLEi^RUq@H&y$0jmrbrNn-S39i7zs`UPA8YXr#lx7Slo)YE*NQoU#IVlqR zPM0}nj(uy(oRiolPO&7M#J&u0lE!lq`%0HNC$V2LGUp`rt0i%6V!wHNEfPBv<#i&l z!;vx^R^U#D1y^8Vb>BPZXH4uCC_QdL@w6ZxKuYXTfRiGzUsaiN65C_ToRir0kjy!W zeXq%!lh|JYnR605!YP)vlh_fPla!8gRvtBE&iS|Un9ezo*xy9w1#V`B$08(N{z2hJf`wR7)jW{Q<{f5jriT$FkLMNAQUh5c3MiTro~64bezO$_+^frmBx8tnR6056_hzA zvC2`Ia}qnJ%y>>>byc~}NvvK-;@rgQ2V~Ak%%hw$5Jv|iVDmb0R$gQXuE50NJ$0uer{NUUB==A6XpWMs}s>`0kjC$Z{5xz0(fR+;gf#G3Fj@y>5F zu{lX+AbaOGni?|aB-TvloS0+HwK80kQf#3LuE4~q?wXFD0E%t{@T0C$Y;z zoD+#%5h%ltAKFW;rNJ@hgL)fG04$Emd`$os@o+uri%lwo`2ZPt;GxE$M zu~uj#3`R!_iW3puCmFfM5+pa$3T2!PMV(cDj9WE{bB%OVIhW5!s{H#0&`5VMZo@;9 zmd3|*^G-x*uWpq{Zlqn93kV}!0utvMxs6lotor{B&Ed!5RnbTfFv5N)-W=nNQX-ut zk{h`-BMNyUT@8tIjdasFmoJfj&$;gzG*S#kaSVz-l6KTfq^m@7BVD3gKqS&bl{nW( zafoyIjEtXK`5rW)fYB=q#h*w!>KW-Sk=#hPAQuoudUA^WljBU*o;D}*8M&+GoZe`p z1dP5JD7K^>^^BBABsbE-;sU}*A6??yMEYu+%V*?*u>L+8=?TVwC=~CIcGNS{Myi!9rX^)V2R{L?h11OacG7HB+fN*FQ@Xpk4&o=IDtm`g7JVKiuXx7>KVC5BDs;l z8Ll9Vl>Gy$bJpCi^SXSAT)S)TS7_u8Fd{K1wxu2QjFkC1va_3!ruo0IvBEWhR3ifE)i z7-KR}d_dY!&&Vi=Y$N9U_2j#;=iOF^^80tk=)2rQ7#~iOjRY$HS&Cj zbNP&%y}8#YG%^^B7sF6|RN7I`$a50OjZ6u00b#`RCcTeDZC;np$m)H}3kV}G=@REAGDG8BJ|o92+k*W?cZ2b26pBv; zxqL>h@A}6TXk;iD^DIz6+EH(gye5&{$SW}}AP&u3P2yZ5^Hk2|GjjCbZ3-H>7mNiF zP%20}>KQ3>y@>m-@9Z!a5Ju()B+fOmfKz$jN2&)~??NNPzKR!gk=)4QAQuou@UySGA?F%dX>%^0ktLZ>3pDZo7;k4l zsVeQLXGDB)NCM9L$Wn_72qQ6F;#?zdYn;nxq}-f^cc78sV7wazrJA&(o{^YDaw97v zTtFCE6OuUB$h!f~@#C>-5ypKkIJ0$WuN@@ zk^ARrBN>+J+%Nl5L7A&>k(acebK=Xsbfyd!rMeHX+Sv+DYm>G-U#@c!JFLr`li0sydYw6T%984w#7tjI=Ga+j7DYMdC1#3`pI%~S zxv;1!FtKir-SGh?HX6*cRZ!YQ_y8g?6X&bDd5M|6kjy!W{j15GlUPcZIVZ8d44HEh z`#U6aEHSf^x7Vc05;M>A3?kfb2!_mPMAJ7UuE3a7g!WK+y z44AciptK9~L8Zjb(m5#-JJXgqmY7*7AahP)pT=a)N$iv=b1X3vY?*TslRsSX#Ln~f zS|oN}M(TCWN>h9u5{cFDyofngGs=4lPONL@l4Y3KBVYz}P;LtH0i?uggg7Y@J5Q52 zC$V!4nR62R&6YWqn0Y3r*uS$*VpTLwl7^Gmg_g`YbF7ZH*CMgH-d+>uoR#$=yjLVv zKU{{xF5Ky$;0in|`_~!N026x@%;q6bI{EnkQeyRNPKw0p24v1j>_S!MoWzc5GUp^# zO_w<*v6=yya}sMDkvS)^i^CG#!g)-=O=#T;v939i7z?$ow+#l#*1 z^9lo$uHK+hViy~HRFN2dQ|fNSIf)eoWR4|f)(Od+lURL2=A6V@dV5IYIf=FO%n;`! z7WDQIIXAIZF=;$^jQd6g%6T#Hy>Dq+Tbnrkcz-iCu&KosH_8#IB9VoRipf-X4m?+6JXM zH?ekp&WXg@Wy)|-O02ylxB?T~pWg8=CN>t#PB_Q<#`pkIV%Hg*6p3BSDe;-#Y;1Fq z>YT(b(`C*{taU)4FtKr9DmcefPe@AamJlD6_QsiG9WfA}C$X-c zut=<1M6PoZ3wwJg66+q6>fFS7_&FyM>yatLMJcgjOK=4y_DJ6)k7Huv!5n~d?CuC3 zKuRoZa8e}JjZ@MbyVm9;)j5gXq|2O>*sTGXa}w+0mpSLG?30l>C$YZX9*V^7h)H#B zV*R3=6N&YYl;N-fcRDP%0uy_x=e|am*b`vhi*sy*#s`oRyCcL&kyszEkT_?K-K@%W zPGVgHGUp`LU6(m0u|eJ*(s)i{gS|Z@ΠDw};5N@BDWMrSaUv?(uU@Bz8}x3>T%u zhFXFvFtIj6->Qp=X<$BxbL?T24GkG@gW=rV9+cB|Cgwzf^sSYgPPj(>Y^B! z<1PF-%`La2>qw2tT+%5t$7QIPIQsQtQk!UjR_?WKAuE%>oUCPAsjESWPvuq`s$h=u zyQ8qBhixF`fAcq68JfSTxF zRyIgMvhqHra#6@7T`LdhkZ7V4M^-khi4l~yX4(|G{ywC%RZhD4B) zu9fK;BwDH5%9|au0#j+fbIdTbG6iKa*;d-1m2y6ArA-FR89V@P#Rx#6O_r4zI&v9q zW!k0w0;hZYr;YA<gU#pYJ?m?Jab#s;`9OhIiYBkU z4##;O%sIYnE8Syg#o$(YM8SMLL<-UY!siGly2o77weorl5X z@58}g0CR32+e&YIi>naiR{8|MoTs{~eA=dQuJjJMq-zEL`k&|%AdalWo+@;%yfi@h z0j*2}Qx9cZ8IVCMI=6DC1?C$XDM+bo#sf4UdmcyzabaB z2^q7~*hEd@HQ`ztk%*VlJfVup%1N4M} zRxEBs)4^O}WLeqfgTxb@bgit!1ElFxNU2O*s1|Bv^et`B%FAG`JnaBY4nhg8t?no! zp7w*e$|eOVl}|&En9LGD(zWtd7_gWM$;z~I3q3%;?cYilu+IeZEhBrbOx4gzj9YnL z1@mnZkgZsFfTn6L=~{U^0*U8U;>b#DLZK(~MF%U;$@~h+*x6R_-|CVx1Ki3>7MQC8 zq#&iT-GIdOj7z#!R!1T6l0_U@Sr{nvjsNP=s)2*Q3g#MLwv|~bT8VNiuZ6&TH$)1O zl^r-&W~naeT6s4HiPu8Jk(IY56*^bOT@~4hgU(kXR7h5q_9`@$t@C&E#=&0$bA2e=%HjZ8iSSh3^ntlSBL&II=Xih? z2VBxkWkUuMZxZELxx-iB8T8zdN|SK#*W?NYiMJqP9vN8hZ`#LE|R=&Ukv?A(~ zu9b~`NUV$yN2$DAC03x7j(wjWkAu$vbEB4RWwj4V&I)rYt8FkpFkDssZo4}K3H+Fj zn>aw5g2>s#k(F7+{sOIR+BD<~9Orc~H|g0{Hbl^h!L7U(2D6kYNU3~@b7ccdKv*%t zka#c5t*ok6=(Mfgw+DWmUNjd>!^pN$YCuVqAh%-ZV8%&6K0tf%0F@dp=^mhX1QLc$ z9HsK&vs!_vytk=yPaJ$6%GlXf(m}MMb1NVE!Au57K}zK-JV5E7OS)E)QAm8~CyuPl z*lrYPW$D2$`{Ura$0=X7m5+5OIor>ze4>Gw4!Np)uI$4D^s(-eu9b8Q5}#9 z7iy(jbk%ccmM@AYsS2m08b)3ruBh`+4-I zz=dFLi)C9mf+uq|AGdN8=gOxncPW+q1|*I|Tz{?=ONGQyja!*FwcrEv$kYaCWf7Q` zm2Krj2CYQ6m6H~jpZQ%?p33(=NSxsQTq~bxkT_{^E3qwwPTPCeP8y6>7Nbli%Sy6b z2(8$zm1OwQ8P2v+GYTcugWO832$*}= z&Pvnv=MW@oa?(u&|1n6`VxH*$&8edmXl4KSxyR7TGBEc>vaQsy(TdKk)HT5TD&_{j z570pkl67pCbgk?QK(eku99bDQzR;6-UFYVz(aLf#_eHa#Y4L`TiCIE8m15*(k`Zthh2*U@Di49$g8otN`)o3iV9j&Yc^II$1N-&64GTcflKbYV7v#cD_AQ@x{ z2rJ(q*NPR=0b2K}RiKs47k3$sR#u@*CfmwY8kE#jxs^65m7ov(ZW^ z#OsH$tt<#a{3456S%?Ryu9an_0Vc2@=#s9L3I-$>M!A(WuNQiNu1deL6|La=YQtc* zm8E!q8hdBZGCV-_LRnTW#xrOslNAT(3?C$yWw@0|17igqpj``B(p)hi-XxH1c3VA%5d&?<-&70qWqLLHqCkU1Yeb+)9TGB){VRTq{-a zpzVuMAz9JZ7kUQ$)3nV!Xk`n;Z$53V?8gJt(M#ofJU|VCSynn>D*KtNI6!_MB)|7_ zEAh^S{u|WmcxQYMD%uM1&ZoVv{OpH#SMLluh##FA=vh{}1tIw}la;LKkUXe*R>Fm* z^5J8152KZjARa#LedVwQ@e-B4uVgGJX~O<7JF8P6*^ZI4R3K3TKN>>!?Nc}>dXMd zM+Dphl)^t~mNc?lRc>WO6tK)C-MLcT2UzS`8R;u9mF+OL23oNoJ~EJkeH78A#;j4ybu%y;oKY7|*X<$HniZ@pp`O!)=%gPj- zD-8^nbW^z?1gS=T;+U1^3Y{w_hBv~IigrRAf91+brCAW-(=A&yyjwa~e8`F?vKTG<8hS5KQOS7snS$D1o{LTJS%1)LV#%Ii2+u4J-e zuGGZ?)FwoQ%*xzC=gQE&XDr9TzkvAM)8G`MT)EM5N!Ln!15zCV z#4#(&3!N+1S1YBD*d4-qBa4CPj0VMuifk*qjC7vWs#93_rf zdD|#(+OD)J(#PyQ5P#dwp0?eh5MS%161LHbP72ZiT8pW4W3s|Z13W-sn+lngf0_o2j2_v^{361o<4|w;LVj@VJK$cXjKmXOD*X+#qLG4RC4s5*i@2m~r7<3${swW(N~+Mga%qp32H@cP zAf7sHt_-vxzQvm>gM(-V6D(t8E6$aHwoAHJE)GFzaF94=<)cF9%5`%-i{s#5L;R!D z<_dloiEr2Wv>m2FNek6g<xi+ z_1+6S$C2l32lb7e{h;(rDC0eUuyR-#!} z{?Z{eCFGKBDwp{n^(-r7R*qN&rZVz}i$6sx-$VRJCOehsID`K2Qkj7#^JOF|Pumli z%5>W$T`Mg$NX-Zm$E@J*A%*=Ov}xC(EjaiAh@U)duFS$Kh4Al8jza1+9j!!MRd=o= zz=qVUa9Jxs1F)DlvXUrY=v+A?*rYXD`2kx_n=A8lNL2Dt(eY%y%q9hKGAGW&ROaa} z>88@!2Pr*69J7MIhZL5|%7=#INJT$FqVj2TWw9R;)l{C!n|Ly}@REO zaR(^&=?bH4ZdM5*k`d%t)LQvvee!x?ykEy)F4u&|HufhYg zCd91_nr8UQId|9*bRIWFPnjCjO#P$!)PX2|5E2anbhjb(I2-@O>fT96q$l9sNk|;+ z>;EUiK2M?Vk(O>|zEPryI*yCc|n2olYMbQd4* zlLQ+3IVl918Jx=}@Gaa)dvF*Mmqh8_Jl-b>H1T#z2(++yVLpM!zPkGW3gBdI9Rs}M zj`v9d!T;EURw^&dCotbyz8MAn2Z?L&vXAK*-X{q-_sG!?#2&Qq3iAo9soZl3cK!~D z>n*xF?mq6{b-?KRHj6Zo=04@lgi(LHaxPuhbHVNMExn}eLoCopdGD}STF zpOCm6XVEO1_ela>JWdF7@e1<^e6;b&r6}+hB#LdiKTT}>M04*wqaO$X=iW2o@(Gk) z@+j_Y(Gf`WjnG|Z)Z=WPK<^Nzgg~DF=kf{s(XrEh6gUcry8?7C8Sj%K8j#_n5V+Ig zTt0yzYcDEBfn$)k2WQcImG?;kLv&6Gfx9)%72_aQ2Xm|uRwv5ka$L=`>A-J zBrw@?D+HeQ^TK=re>VE(TNL;g5--H)E-Bt82~5>EDFmKZIhRi$G;;)&6rF;^%Xl8V zZSy`!K)l5YftM^^m``9}`n#bx7=BEh9i=;(#O9sJvs6wBf!9Ku%O_BKYt8K_Py~tj zKDtkd_epy&&vPpT^cXM9CvdI*kKbr8NZ^J2c=r+SlLQtAI4K0)Pc; zNUSpGo+7W&``F^%FGN2O0xKiDFy9_L_d_KO1-5}j5#QUT@SnY8_V71N3d;)EQ zU8><=6(I4xPWS)tK1sm2w}*Zp1l|kt!h8Y~Vl5xT!74(+%+OsuyiXD+^++LL=)5qW zz>GhCyaxw60}`86x>twyNdoC0CxyU=e$M3+2!C9w9}ZRt65A}g14nG$dGN8$Ng?ow z#<_d~q1OLSM1eCQf#3h&eKovK+JhaQTOqI`!wd5XEW5S^mO^Dn?2XdhGQ3X`_)_Jh z5ZL1t<`d{};<4{=u(KfXKaA+(C?87__{QR-5U^vM%O`MM@XozBSQSVdLxF8xpCoW3 z!Uq!qM>Wpn6X;j7WeE;;HY833=zbO6CkdR$a8d}I^a}F{+_7=aDje(_NLGl@T_}7k zA>iI&LO<{X+&fH&%O^0m_oj(hRTYwF*>rCS^*9@!rtWI(*2Rj#%_=`K<2jVq)@22iO9`pktP%FX<^F_4M zU#%7jRD)!FKi%EI`=mXnV{=jn)HOJlPhjM#tMQjWI1iGIHM(bm_elZ`z1JJ8>J9vuJgit0#8<&(-8-&0m;@X-Cx1`Bmw8k3;KZ& zXyxaH`2_a$KK~>RRuhs}$LOvJ-X{rMrEyXSv{5;iPhirj%NpZgwIF$YfbNCheUd<1 zPgMxC^L90#K(KFOKMr<2ByWz=9S;8?aFfbOA3nAIt zpnD9wMsX(hjB-*4^onpU-yUoq|MoN#r~}D6@vkaAgZClleqZF?6+k}_0{v}Xm`|Y3 z&`Ynw!RkVCh)(wd@IFbvxz?Y4AOr@7dEx&r@KUI2+oJ{!Ru3=A&(Kx=V)ORkUMz4n zA#k6cbNK{**+|QzKz&G#Qt8@#-Y4xrB*;l2FjC`OK7rVN6W`kbFEV-r|5|Zoi1$eX zqjgRSfiW4*8C13H%$xSt{?71e|N==?6k!yjPe{U`NyAO>wXWkbEji zSI^Tp&gLD1Nvg|80#C*`mrr2kPrn%`&=8VSeRNGc@00exx!#?AAok$7058lZu%u#b z{6Y#EL2`P8u5{;pl0Y=XNg?oJlymt6o~`uMoj6!yNWO{(xt7ZNB!QXUU_#)Pf)DcL znX}j7U>8GjUXZRz{||vV-e5w&x#FCP^XXaPSk)Ag%Q2#cLF#cfZx$_$a!Lp+^Y$vAK>M$au_(|ClJ8(dO#*x@PCv#B_rz|BA0L?65^fn*9Jy4*7+3B)s8RR|=}m9ym&2v40sAAT=|*02fGX}dd|>Q)c+yiTp>+A5CYr%yfB}@z|p_XLV=c$ z+>H^n*La__2cKs+DFnXooa7TY+4ms57X=~tHAZw}kdGw^I9D^%4}`$J7%$8x(0Qsg z2?uM1mmOn7H`}~V64>tzCIr6s^TK=r2Wo#i8V73)$zSjvoX%0+Ckg!Q4JHH*s=P3t zz@{6YzX%7r9FqTw(KW}sPZBt+aZ(6mEY9TX-M4J#eq+ zr5|_#?iIbn};NQpHEuX;R);lJkz}1jC z*PttOd7rcgRim5~0)Crw`2>DC|5!O3>>5a&AEfJU#pdlnO^*`-wZfdtCvea1&laP= zwUELq3Gr%L-Y4yWbEPc(KnT>;d0{?*3ze0daIov}lGY4eBg^|Ffd(Ea1RD8yVLpMH zbMCWou(ptDq0*JFyiXEvt{J2s2!ZArFU%)!!~Pz{I9NMKwX*1XR^BHGwA48%1e|MC ziO(mnr0K#wSk)d;_16iO_YTd@MEvTc z0zExW2=oebGM~WM8PzM{U>zVeK&LA;d7mWUT!l$L5CZ)TUYJkdmDp#m;9xgHYKWh% zzvO+Az(AXmLSS%^bNK}JoO21CXtzM>K9#Pj7?BZiCdzI8Bda_*jy_beoexU`CL0 z`2_0je{((#b~~hIYjnLJ?~?>(g*hn%Ueh_3PvD=${XWIPx?CXeUiXDZ!jUC zXLw;gf!i;BmOj3BgVd4`UE9a|B!R_#P6~lHRnFxT_@QIsQXCAgwq6yZEB1JwB(Or` zq!3tXaW0?0soveM#=*Kn>fHcchsXOQfp@$(gut2*FT}rfLux(igC3CDfN_uaeppn= zR|I#i#{uvO`Q9+#!#lkp^`4)`fMP`9TuozxR70EF^nQfBP$2bwELYQDn`&rtn>JeP zMG2%n@aJlJK&Kko+@?)T8hS!%QzTc@$N=jQO{GyHpckY{tz1n}m1<~nm5dB~(Hl}` zFjv!bjcRCfo8n>iq7S6v(OgY4bgH4vZA!%0i@uP;dkJzJr&rj(Qd7#$UfcnxR5(}D ztO(W6<|?Hb0sSDAj^%2a9d%l~rVlMPLVrkY_UCGPJ(kt9CCHivKx#`QSChCT!nL=R zNyD9x+G^!$dLxsi^bsRKh1AEvTulr8SxujWnZ3Ip^+_~W)8b%O)3z9E8VIRvnOsdv zGFeTQpEV7Floig^w4BwjI_D(Z9$_yALuz|0SJTR1meOYyYZ?M6e0*h&Y4lb&t7#`2 z1@4B_&PcANwU zxti8lSxsNEQD7LPzRcum+K|a=+UsXZ_d#lJI9Jm~R>SI?eflcGUfd6SI?!W>gSwF zJ6R2@bL{;>*au@Eh2PfYICFOytcdEIre9Se;1Njus^@CjZBq?xZqsiDd+{iw4*7C5 z?WGd7xlM;vdIgU`>Tph_eF3VW&27pU?8W1d`d?0^Z$eZ?)X7{*afnb2ZLZQO=fzWyI+atYe3TV=O=+J>1Wbms zPtRqqVvK5ND`E#XU1ZP;cpB39IrzULk5{s&hBmjUT!3D{GmtK)=4z^(p&HuUrt${8 zfGLo!kW=YwKh@CYHdPGJ3wRd4AI_;%HApqIxlLzi^a7rP^chAjd(|RTLz~-F$)*=D z71C!0ay8Y=ZmJw&P0vHRvX-l|LClo}YT~ndy-BhjKMFS6LDM$5lF)5ilLn=ju6{@YzsV zP3N)K@DilY%c*oZ@8L?-9i7y&aO z-6W?{yD&A-<~B7o=moq2>1H{VZU|8gZElkjC%g*j<~fx*WT)4{pb=meq%X;-)G0(Y zw7E)`2IvL62I))HTtRfpPVX{}HO+?fWk#;15{qhRbCp`!^aAEUIvB{+)GtCcw7E^K zGt!>sc7t)vKRFc0fq~G1sSAwN}XPS4(TiH zTuq~cR70EF)P}u)Hz18qmB{f9J2rctuGXmw=0p1GoJy0jm9BM^7C`#ioJv!otO)<( z+Ph9A0v1C0Iz5-YX&I`a&24IH&2|(cO*6BV+6P$EVo0}Fb2Yu5y-(L0tm#ci zhjJ<{$X2=`z?zmo`i7iJOSAXsMvXNsh4hU^E_<;s)zIb!ag$9iU>T%41adX4%~rZO z#F~~v`erRx(+Ao6bc@ZJRzUjJoJxsorH+o$N=SFiskAkFpE~JGX%(b9*}3d}&IYDB zH;68T@u8HE?h?w?wAW@u_#d~a8{0{ULAsljtI7Fwi5lIe?m>D1Z$r9!Bv;cBKd65a#OIz&?+M`<0T`{Y!rW@RL@|cW0%b~op(==?44nVonj1HO8QA`I3?WHS^1#rXoncM&3kaScFuDacSmL^?x_K$+oZs4*gq zp^Fh^7-|B_%PK=n5m^j117&82q2`EU47C8|l>kGRAc`_{DJb}dBib%Q6k(_(BAcNg zD6_&0wL)Yt)Ebo6f(%`bNN4B@P-goXx)PDb&{c>s47CAej>^#0h%AP#0p;}&L)RjT zF?1a$a{~;uMHFSI9Vqh{@U=%2VeooHHbc0ewjO5a21Eu!H-hp;kfECp=?rxMWxk)G zn-OUY-GV5?(5;{>P#Nlo$YQ7yC<{Xjbw(6ps0%2I0u0@TD9X_7pe$y<*A-EO!ET6b zhQgq{8D^+EB7>nGpezY8RE$VxNC9Q3pP>>&8bdu1WfA`IS%$Yu!FI9?TI=q^MCLjys1E6C6wL^?x*L5cYp z8Uo7d7(;i1vL?XLJ&2+V4F%<027LD-iZD0~kZgo|0P*BBa!D8tYwP&TLxJ&4F+=pj(v3o-OCq8LM?L3uyG&=^Efh8_WBBLlui z5k(k$43W*y5C& zf?|dkdJ0jDp~;}c0}MTlD9X??pd=XZO+gf4@L5DQL(hSd3^Ozpk-^aOprnEfy?{t( zXc{PKKSNPO8bdE4$}lt?ln+&gUP5FsGy{~)A%OZ7M?x5LpZ@ z1m)8ZLyHi_7+MU96=3L1L{Wy8fU=zd-%>;o2A3hS8Cnj?XJLj`ATk(Q3CfNjL#q(! z47~-)PCr92L>fbHBg!!J4k(|i46R0FF|-DhT_J|vMHFLbEht|E7+QxY%FudHb~E7H zfGEP?dx&g?-UsE&Fhd&=84P^@%AO!Yn-J*?m4dR@&yaygW5`65VJHsDS1LmZL>5Cy zQ1*owN+F6dlm_MN07D-liZZkrly4aDZ2@J!&fr#1zVkEm5h9JDj}c`U`UI5kRfe`9 zvKaallmj7#EJQJewuACRfT7P2MH$)w%8v~Ab|Q)}_&Fk*pfb1A<8hc50qb2hQ3B*G4u^6zlIpH5ycq#7L?xt4DCl0W#~Il z4l&^S9#MqB1Bh&fegNfgn4upL84UddN+!tA&xmw}4ubMOKSRGD(ir*`QHG)4K>1x| z=nx`{p~Im35n?EVD8|tLK>0Jk(C>(%4E+JhUkv#EL=<80FGMy&M?g6eX6Ps)gP~)f z91Sw`HzJ*(odV@}h)|IaQH-G?Q2q%p1c;&x zl>_Aj0bfyhL=lE6AhH>%2+GMYLuVi|7^(!yzd?r1M5HrR8I)6ghR#ByF;oRnhM}`@ zJ$jX)b7*CHhN{xS@C^BBU3P}frDf3>sz$4lGjtv;3eHed;!e4ArHja2cvcE73AkpB92;2%j2ODaO!6 zve+OiN%gbTO?!$xsto0Ft4mwEiMP&1m^UhMLprgABEx z#s3((gx20;=u%qxj-ktFb~P&-=chN1Sf(hNh_ z(?Tx{;e(nkuo=3+TiE1AZ(Wg_yk$K)c&lpM>@8|>i?^o4t=^Ij9laG5I(Z8kboSO0 z=;A%j|2FSw`nP)zv+s&eO(!e9qHc&Be_=#6iz z8PdJHM>zNPo}k>vdw_9Y@A<)Zc#rSx=RG~QzxVLk0p7D?@AMwsijV(l;`qDEdvNJM z@42CayvKG9_MVzK#CvGv-QF`J@9`elIMjP$;=SGj3x|2n3%t*JT;KiP)AAnh9#%Kp zdsf^C?@?_L?@4JRy$6+z@}3j+p!b-raWHssnC1Kg4BE=(OSvYn=_!l2a!p~=!7sAsap@F;M66c^em??38ht>x=*3Brc-A@X)UL2FO)VS1HN)!A+j0z0ZK2`8TtuI zTdEBG0j1Xv@Rj=$k^dcbRCpF&YYHS3#DWIjJp*|Up5%(2&FT1hI&BhJe45@ zO5X@DbSIQ9GZ<2#bh*yZ8Yn$%GgJzte>>wDh%&@gs18PZrdDA&7|y5H3M;_)P-Q<| z2gddYp^BBkY@#z%14jPw{VX9py@!DY8SjPxU5z8;e3ddi3r zq#p$nr;r%ri=pM`BK;VcZ)g(Tdg3kv>Bqrbpi6Ygu4aEDJr>MGhD3j%pK}k=4p{R2Qly_?OT`O%ueP@>(i&T~UC@oS&g+q$fY0~wNs_%5cASs& zM7Er{sBV45b?+fPi7hQI=)l^QosfQ#E%PntE3ZxVMfxeWq@Q8SLW}AR{g(cS^b~v^i|l*J&R@Pp`dPMIvZ&UU{JR|K z=h)K4g08W)1$}n4)%%cso-OGs=nmF>?<4&JTV7YtuTBnhM|v7t>Q>OV z9NR>@6J^WJ3i`fEb6-dLMYaU2pertUy*<*?*>bFc-qW**iu6lBOQQ<9^W>^8Aw7dF zQ!40R-WxRl>6h7(p@M#S&dAwF&t%JY3VP$

    >ePRqgGJGPMBh5BMn9yN&>~$D{q5SNbdoFuTrf+bmsFZp3F&3Dc$Gv4 zCQd(z^m1BAN}}(*zYD#YuAoJoBsw0SN15R2rdu_MuKG^3JxH&j#f~Jp&iof=ApI6C zJS5Sd9KX63(s(<-)$-W2>s?Ie{M)o3k5qlxz^$}9?*J~2BhgzzSKvXcxSAHKk?0|@ zuO}moD>inNt3Pc&DuXnxp4e4(5)0A|A{B8Z!xB{*WF{P?X^*Q4V!mQR{P_Er4M^h( ze*@)eec`kdNaJdIL*=n+8fFuuapk)a0cns1$Fxh4##QJZmaD7$dtf8dxFXzGdF=Y@ z>)@MfMO>Y2qFjA!X4^ZE#+A~h$YZbSke-e-u39!tlO!K{XY&xG4O+xNqJRB$0Zyli zxSH1-L!ze-UrPq#^l*QP{^I;&^^s1{gZ3qQ#ygAYSSIPA_Y!@dzr%M(E=Oq65-9>7l*<2RY!NbpQYW literal 0 HcmV?d00001 diff --git a/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.ngo b/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg.ngo new file mode 100644 index 0000000000000000000000000000000000000000..6df561d024e1f055d0e9013c4f1eadc407f517fc GIT binary patch literal 85455 zcmeFa2bdI9);`_`kfdl!5K+_;0mH6t!w6zn?14c92`x#2GKB;|2@Rs67|O6_w9NrS zn_U!b6Kndg3~OKpr6fa_1Nw^|C;bYDAYDio0bY6bE{`BidWBG zP(3R|^*3m2>YVD?%a>J0LS!G86#VQZXDwb{Jz!vn(iOTu;!+_!G+$y_I?G{)gebdQ z6VhdK7gsNUHHtcH^@7D`LnC1@v;sv>O9~+yJ~BkPFB5`AQOKrfCD~xGGx8<&2(Y7* zCH8QzF}=hN0$Y_Yu@zt!Inn{BVfsw1BpxsT{EEE8bB&I4$wQR+CMm=+A@G*%5p@9w zE<3=j!AUqV@6tfkvV)>702RpY>DEBZnePpk2BOZq7j*#$t1)g$0L{Wq+k!*8%?5ks zS*KRRM&m`6g66=$AM=uv4T%!tqHX}0$j%4AHlzx2ky#gWA*`~WE`g#Oj{O)QF3()Q zIe8DX=*&|A_AxvlCuS$F9_o>KvlO(b2M6&^%2N=P&wP~hK%Ahz86JpRW~%OixOKBL zqFxNvvc)c}a>uIjgxEMMUShc$4v&>sWU;YFzQn?GV?QTdXrB5@!a^!M&0c^5 zlD(VMFCtKRGU}+nd;P|w!^3_3dnt#9|N8X_herVQN$F7-WS8GsEMMlhOurfx$Q*gl zO0N_Jmho{(mA})ZB2=>EqH-d`VPkVGY@`;*DhYL;7T>$6!0Px(~7M~NMhOGr= z7M-=C8lr|!_qs{GOrgLHP~9{vo)ZaJv=2May$&=Y`ojw6h({GAHWHK19@`hj8!n3O|lZR zXy)3HhPy}vMSyNR$@HQF_WtKU4&SnlC8y4r4ZBFx9QN>j-dqq;Q138a|uGk9h8RwfN z771&(44jOz0!eQ8I$mPIU9Tx_AZp)mO|-;vyf>O9mScJ*uh;>s{E(*Df$a9~WQpZu z-w95-9mpZyXOvhD>TzAM1Gol{nu;CBF@9Id6YHiRla>Z>P_OAFmi@e>l~~T=?~D@5 zA+I+}EJyK9zQl6KZ$?WjJKAWJSVUp>NHV*iIKqK1`#G7rIZMu3KA;+%41IPsM@Nek z#96d!3my!P?GL>rQIE!uEQ$7JXCFsAWsnp*D;1P!RL6ebYlfZGd$o{*%voMr-0T^n z;IUtU`ev^67Uiy$c`)wPV|$nT$|4mRd73NLn>$`+iMK5GP5Z5g*B;asq&(0-BqOt@ z*9G^l%ov|Jc6*Xnj}LvBQ+yZ_m6;In`rtNr)rUbXn)0e~Esl$OG0xOcK0VIpz6FmS z_svXSuO9d9Ob4&M0i5M-5pP)zxr+}&)$A_|UOkSFQeKQZ=65~}G28#}mE~UcQrue> z=0?R_P^5Yw7u^u{VCa)k~y24kM+g11K4dhrBCOq~;mtE#9%e_4_&!@-T zcyicVmfL@Z5964}`|NSnzp*@JdHkL^!iTZnUwbh=h-QW-JZ1S9ZNCxrVo(dmJP__h zP-bthIk7kH@58ve@8LB!fK$~j;kC!j);Z?Ixc6-J>2be{K+QSga#vaJD+%a}u-6#( z>*u}37&=gM+v3i7s0ZP`xv$~Y;eOaR>PAo>dvD#1aO5YO0$C=w zm0fAM5w7fls2f3L(_IS!S$sCmc|88I?~c1wxJTO4@@|Ngek)5ABjoEKd#vtO;$n9u zJP1cU+HgZa(tGIwk^WICt!XZRH7=GK^JH@{a${bo6cMh)YSWFNrs)TDH-h}6A2Hkr zvYNgp=|+(G^ev_vK@QU?&5dw6(pN{_5bB;@-W?g zo~zeSgfNfqfAICw#xTn}`fgDkwlF>$x)FoR@>sYUca`Mb%r-t%s2!SQwYiJp%g<%K zT3PR^S<7e5s2Frq1pwhYFd6yX; zE9Xx1Ae_1}o>Cn0DBWF(>p9+|!@gv%R*lZ#+~`gYM|_4mJ|2eUbhlw1z~!d94fF6V zw<_#*4q&e30{E1do9$NNlVxsx!mYy*pY1_7oTZ*pT=T^-cPXybnU)*jT3zo!aOeN< zlu}u+m>Z_)z=8`vEt?FN2EuJRFYYLy&Q0gU93C}px**~3s7%up5r=1cmxUc3Rcq>N zIXrjEro&tXcyDX^g{uUQJVzW_c&vzM^;qLd@#!*A1cH^320iaQ#76loe;Z~$uxa3@sxZ5O$nQ&VGe^bFJfdcm9q5vC2%vo7nn1`$~s+_@6T3+VQUIM-JGZ1hX zs8;%=u%r>M{Y1V<^DV0E8A-)Kmfa9l9O|Clswock?BOxRp_1tj!Lao~eE-Kz7G;ME zo0APGJ6zlHN|nv&zp*4``_q_ zWBUX1ievllgW;GfM9dc_BsWml>}@EHZ9bV-9NYY|Bx9S0K*UxC@;$C}lcqS1nN6|` zt(Lw$FKN!tk|I7p_zG!7iOA0*B^mqrXHv=7*Z#WV*jF~HIJQ}xlw5>uX8zYu9LIl; zt~j>&kCKdS?pfm4X1b)wHrr_-jvr4LPn%mkhimjvULgzTa@sO4$fm#`VD=J>KQhlm zgo5PdP2%+5On0Gb3`Oh?KwOp?OMjYF7{*4hoxR0y0f;rdUUvW-eZPqbcHtQqq|~IC zo;rTQ!0P2tZM-he{H%G^xRdRAM!KoL&bVvX0_9CrrVm64H=UaIftX;9n;BEOqD6nr4M#-^SzABf5~t_9|)0E6!)d?0K#ewy-u@ZR{H=>rjc;~$bf z5cO<)#qfd1Nd1|>;GJ8+lBCEa)bB3%)KP=Ye~-FBRi2 z9Ut|fgBgv*eCQF3j*IxvL5xmH_|O3Z7(M~0CkX=?9TWDUJP5Cyob;gsgz`kg4~z7U z0_w5M{x*MGQsy&nu4_pNJto(=#PN8ny#`ja50AxmEpa@^>SZb!I#sSmUUBG*xqXs~ zLx0WfZz_%(ag+tF3%B_}+!GK{u1!=a@O9Vbt$K+?f6VnumRN8H8;XN!?TdMEwUegB z@G3>RqsZ@+G2=nEXD>A6_)+KuU80~n;{A(BqlWVp6F9Kb#(}As$IS4FG1!_B2T3Ou zuX#jXt5a@>8@%S*1fY%+CQd?UtC!u4=wfyp@-`F3j~y_0>Hze_ns4&}*cq6O;>xe%gb^cEU*FhA#xi2! zh)Ik==RjGYsKcFkmIV`~T5(^m)lD}fI_$}Y8xmb@Wy}MKe4N4#OU8I-t(}>4L!zHw z5O+frLhVAsg9*L!bq^-Io)`6CVh1@l;=x4MJ~Qve1`2y;19GApC@OYN*n^4suh2Z0 zNd5AH2NV1DQqzNp_?B57Ow?^j(u0YZ<0%g&vbQ+l!MGl8-Vg>B9yfl%*vc_z@OcK< zi6ca^(Fc)ddHLB+f2)^hC1fg*}+4 z%xRhj6Umti$Y}t1beocLW5V2YuQ~2T(<9L8d*VTSzk&JDTxwQq)VS(llP8X1HikW|<~z2~ar|&TYivBw1QQ-Vc@p*(mKjAE?+|sMLo{mK6du2A z?81&ZjvXL%dz-;ZaLS@{@s_Loh+uPCNnkKfWmdT`>*^mxiSl$i8DI zPvyJ1wP_a6n8}k4=Rr(uE?;8#2(>=KEW5*jvGxrvDL5Q7YuBg1wTBG!2f_;Lv5|TR zq#hqK|Ewtv7B}va0M~KUxKWd;t19`(V0{w>a?Gf4qel#%gePM_#E`Fqpp>;mmpY>+ zvHi_4aBbKI9~Mz(OB{4jV$>vP*FB$yoQ^xLdJuD?j3PG}CxyCSD((m*u&%vXlpTRn z#JgX_i`)>7d#Vnu&2i#c?8f~fU}lV*I04RHG(fX?l&K3vi_8%CdiPr}LNtWyVV3Mc zv6oR49f31dUAL&>a5k#z0!9=&fuq;vCiF6~{qm zb;Ysf*CiR};ggb#DR8R0u5)|Gixj6=CaR~+2cPECPpGlK6dqY;KPN5@qSm{>7vDs-P! zMtRg{Jrq?X*~h&l83+1=u4EkOXN4li#XgQI5j>0r9okKJ;B!V@ECId^_?Ync?iXCL zQt;xO@*Cl$%DK}mPP12WDRQCWqgIpT*! zd%&mF0&;b*{_%VbMC*ntqsbQu7@f=~y*>820|!ixNH^ zQR9o7`Qee<#Rcg0<(;QAm>ZcCwqgSYpTHxvAHsqiKMY5Rn(yO91_zIt@3kU>15nNP zW|6@`rsfB|$e=6M?i7(s#bW3>d(7io~uP)}(4BpI>Wt(Iq#GJ$E*Wj1YCoD~%@;Y2XxBuM;ed9FC_Of@ zo259|sF}u!dKE{yyRHOW!?3A1wik{ojt%Y}Rvee>3Pyy^#dc3A5l)1MBn5*DlIoL2 zRZTjcZ^msrRGM-?z5wegG>1f$o;T z#huI^nxt7}I^)L-M-0~2(&X^T1NnB;43h=it!rk)1<7&tkAiGBY|QxKCy49eamV2* zwDw68XwVMBr;-{S!ccnzU7KQOL3-lcvNl;pD$Y|+q{>Le3Fz@e8L2oo_bfo#v9fBI zI848&3*B*5xL=RXn0q4ZFUxzwZ=-&+cn}aL>fh>Rq~Zj(CSFD=&T~)Y%Sh$v`)Lj~ zC`sZjM((kgU(CDf#m&%Sw0wTd-O^0T@2BKmXa?hmmU|}QcYyso*SzVlSLfC>)8&M| z9QIql?web0`BB~_9*qFq#eJ+jh>x`#^{dT{bI`ZP{APH}o_nL2GSIhAv7p?slJBrq zPvD!A*p3-dIgxLzJQo&*7A{(H=JMi=fxd;3Iuv@u1y>~o9&c+xTXY&e7`@7tsZ#KK z9(XANz8&*m-C>J98;8HLF+zS1?|4ExQ8E$y&wbL34p=5ztgI_^46iVv{Ew zY7&cU)w}kw-GSQTB?+sgVfcd-ov7 zLLHeywHrH_;1CI99Q=Msuvln0HX8+rO>Ggt4?i~BsV(6#2A>Gp@|`@s(Fo!nMv>T} z69NLVRS+{a)W+E&)r`>{2LM95$h^_!QI_&VoXaqTK8(*Y%`lj4hH1dE4GFis>9Fp{ z_&nVVLn%MT=T$sAEV%7Wi?W`TQdk?rJhh}DQO2f6l!n5PVC?cF(}zRBnonozkhP(j zQ}W)_;A>j$T$FybWSCRK+IXYU!jD)Ot2P)rAXS7yZNT!avNqBU?kKb++{)w&|As@R zuZJ3p&-OLNP8b}-P|!XhqU^Bkd0_l8@F0S6Ta+5wQQp<2+}j>(p27AemSW|&_@+}a zF5KBD#kJ(-m-))D9o3wndGny+N?-JE#l-^~e-{yPWyA%lh*#tbgD91+;i*zw{Z2nE z3LONo)Ym=;Rpcvu7_uf8k%wdpao5s+ixNuzjO=mGBcUK z6L-%1B#z=cQ_YRO{-KJy4y7V1|66JhysJ?Aa@#jh9Eajt!`@`5Ly$c(R*YRVhz^F* z=X)?2rzH?Q<3*xZfgdyi z>76PP@(2c`5A5{iV@JO*KQ;q#V7^EklEejE49KBzCXT#$H@>~vg=+51d+WAbUUvE# zT3oU=!%!iqa!D_q27&|Cggt(1r zyMV(>l%@wOFm_npjqMs`Y@mWfS-v)>ra`&_*&biKQ_~Q`jq#;4H65LFV_m`Ey-L%K z@t}>;i^Co)$=G~I3o*<~==#yG?$3e5cBfb&dpJMgRtn{<3_Zsu>m! zz|7_t-=p)F?Vtx>spc4;Q2k{)#saWp09I&@b&58}_|BT&$1VZ!^<;b5VR3{c7VcMj zMj1OkQG~>P$(Li4?H~4FdB%PjabtWnO4&}>%7yLm)g!*$x7-+CUQ*^WY~{jwd|^qM zFCrc+#h5eNWj(&2z~}vzTd!w~v9+cLO9FG9ez+`OV>ZK#<{00I@}FS&jR}9h@6MQS zINF$RZm(-B01Jq(Yk_@KheO2$F^e~-{QHNviLI3IixI!Pd+o;(ELg&tqkV%6mo7JNO6H7Y+IqjdiWC{up- zMY!eym6soj@z@#^WEH3mpP)e{aq{LW;uMZmCozi@pX9M>BxY>lVE|Teqm!(8LJU-1 zPVU4*2~bH4GlZ2X7AT#>v`{=8!K%rrsCdAF72IjU%KR9pyqpAz2R)#YatbIuprST*^1BBgJQV};J`dDgrGvO6GKZ8CQkWOw2B zo+NYk#z5ueR~wYRKLW~>pGH#pp)jbV{4A2vk3#kcWNUOT_ZVbrAX^({ZfyapAwPx0 z*21dGuRpL&VU5b~9kGRM9b_+rxZDeny#U!uVdh?rfHLJ9_mqAm3@V8ehVbxe0hA%X z6`-^YSsJpekYypuLe`|Ql_m?TF2A(EHib1Rzw4m%2MMg2{Bi_a$UcPZqdb@U3uJ$R z>~AJ>AIGuc_tPYEpT$7s$zR_N@O zXU#BVVaU3g%yomT8-9BvncE`B5?D1k`4HLXhCo4crNuQm-@qCs`@$4+7XiPpK=#E6<}QhXvPeveMD}kqP$@ad z5!qKl^9pEQgJ!`tk2NkoC&$*r8k1i-k$poFYedeaME1=F*07vN30r912F*K#ztvGt zmi#W9?0Ym&DfvAX+4qG&CFJx-*ygdu<-q$V zSwOJOV~vxT5CK~gYfMg7f-PikLAD{xV=f))28zXW$46-c)Ygo>~LUw}= zsvxJgAlrrvM=CLk3)!0?!;wnNb3yidQBang&V}qhYM@ebJ`J+}6atkXF=Gtbe})W4 zDlxGJ*?)x$M=CK{47Pc!aS}6ZU~6KH$!R~xE+nx=>s0`EIH)}W!mVV3M6KDpv+EDP>^+qBTJc%8mJVBnI0&! zGY}l9#FQr3=CQ^}%rrrn-66w~N=$)*Eo3-SiJ2s@HL=D>%mzc5UP-JG60=cIrniAL zOk&y<%IpW(evs{NvG+cZ^?|H!in)F|sDhj*gEB)P8v@x;LUuG{M?v;$QEn(?Lm`U_ zStVpq$c87_N>vn;MPfP_%8b-NrAW+{LYdK!k4li3p@uSJAsYkP_!yUqVI2?Iga~sJ z@>t_?5)f=ntT8!@4P~Y#u}0)%AlO1S1F};JTy7?0Ga=J0=4KgK!<3nwVs4HOsvsvD zq0DJfP?nrkhX;nBAX}JV%|(#m7$YX;Aq*rzr6{v3#5>ZeViTUIBPB5qxiK&Qq*bNHYBO>ZYL!c6J-b8HkSmScmIKEiL z8j~LcVhh{ytYJBiBxN?D%sU0j zKm?hU!Wtzp6CSn}R$WfEi7m9=L)ky(Su>x&s>!b+Df3|jlqn~oq|9Gppz?AKO3Hi; z+20`hROfP^g+V3dOnih(cTkXhlVsTzl=~(xXZxhg50HItQZ}SPmJL~0bvdyowkfPp zIb$Ye+b6JUawDIn^g+r-eZ!O<|47FPAC1Fa|0w zKf0#uk_aeMej`oUr3I{poY$6b1Y*_Y9JZ9b0h$SD-XbEq72GZ0ZWrF~2!l$>IXEeM z7i6m;yGO|Gh3p>4?u&Aq_Z6@jaspCpEv!0a9|^JSkrdXboEH>Z$ksr%R>;;uwidD{ z^Q@UlVAbTLqLjs6lYIv0b4ix1i-F3^ube6S0%R`$eM!h(hU_KC)*Gz(N(7Wi*;jSu zUJHXt%1J}9Enqd|grt;x8?v_`+Yn{V4UlbsY@^29MhmMhKLX{)vRI>X+DpDwgjK`s zCf3A_D6G2t6qFz8Vm0J6eAq(vxh_8d;b%BlHTgC;;W-`FnEVWpHh&iZ1!OBnz4^y5 zsHFTL5ZeM)Lw<#bt%X&WUnpXm!Wt#Sl^9Rumx8MZn&^gm7rXe zCg%#F+{hTHyquVWa-+kbl5*k>Y*B6u%8l39`*?8U!JR0qU~kM#(Bxztl$#s_m6!9i zP;P1%R8r2sLAjYBP`aF)Q4(>6*Jr3?Ma8C%EPne)$@(nJ^J!N1G z%eTBJ_iPlDCEw$s-0#4x1NVZk@?r>7LcYy~t%)@z-{PX&D+boEd_{|Luc6$lD97($ zQSSHP-T?QOu<|yLw-R!uRmy!~U`4qvh2~e#{1Teq2+b|f{3ao15#fhnSi^D>6MhVa z71NRbA`B0B0ERV2VtNs5^H}3@DiOj%FHi|N8wx+}0#%Tci133htYJCF2tVS&8o^^N zVRNVnDkf(W!8VUIE@uv*rV&w47KsUlsOfkeR6$PqK}{zZSi^Eo5NaBi#2O(n{|B}v z)|i|?gqkL6pi*)w5Netl1!c+EMyP3q4yqvM_@Jhl2G+2g0)(1oC9y{2bRX0-Hv}pn z=L?~x`5LH{ocV*A&WM7tB1-|OU@lbO&9B+3UcNbY)z~&IWG*hd8~0cKMOUXUpJv&i+N6{={gNm zO3p7rO*ceAS#ov}YPvazH4?%?q4`w%a8x|oABCgz#Rc4x9G!yXfST^lHVT#EQ&I|1 z?L+e24zFgP4)goxrKWs#%9{8Fh2IzOYVLn=)zed?rhKBxnh%5nG_|Xvlj2hIFlif_ z_v1EkGn@N$>AreLk(#rTO7n(LfaY$u zesj=+Qgbf!RsW zbFr>8uQdZScmCZNqo34VYADTXQURKsck2JrsZw)!UTI#P4AAWK;mf0Uk(w*`N7VhQ zM1W?;Y4+i7NX?a+(!A0L(CjediSNTw^TMdoydoZ;*?zr#ezAXEtSimS^#IMCt~%)Z z-v|vHV3p=?VgZ`%=HFA(Q)*t3SDKea12o$X>$>t%sd)|mh`L`I3D9hF-RL8~mYUaT zO7mhXK$G@-_@U3F<_%G$c~K%jGc>gDWKwG0EPruaG6aQ4iqClYsMgP9EylizieF$; zMSdCk!cf>rCR2>PEq|f+bfM(=QGS*s&KoVkC!x`Gy`mQtO6u*e`iBy1QhZ|3~4wRZt8A@|)GC;Ha zy6)!`HJ^0GsU|`}C|oNzE7eNA!+0@c_-XJAZ$= zJa1q?s5F182WYnW=Y_ZQ7aABWmNcUQnl!I|#=cVXwW!j(I2NE8(vNxc&r?`vG?H?^jCA!$kjSgUnyx)X357^QZUzalF)wSp3VH=Q}lWH21%G`Tn(1v!bNA z(uvd2)b9D~><&_Ma6;KU&#Akkx!>)FA168{Uyv!yb0ej?JDU5NPc*HTHjfD_%@taJ z<~~z%*E}ILhnC_zCmf*J+aC8xu_srSG|xr~isKr```$Aeubm@pRwb18<%Iyvy-rGNv@o%m--px+}KpG^sf{tTdNd0h)V$^6{g^UN@!`=Tb93v*(J3-(MhYjxTA( zQvsTL{Ce3R#c2Q+q6y`FNismQ$B~!c{gkvhIi@rhCjvCPUpX^;kkph%E$&N;i~!AU z*A6-3D5*JC(A<4h`+KIc245H}&C_E6n&C^=^*dT> z&NGzef@px|ZXf==s@U$3W8oUjj|6D$8nS==4r}nmHZQDsniinh#p;?V9vPODH0Ol_ zGzJTXn)|_1k(CmC);m0P{;EQ|yWzAFb z0h*oeXk1m)JdYKH<}52fv*V)&brmX7 z3!J4H@c_*>k;9kWC^c7^{L7lt!vUH!@x^C8k(voZX-)~Md+4@zhx}G*-V{}ulbyPc zvefx~mv6@1{*chb?K`D8$*H@ex%1h}-kv2je+(C$`GlFf0E0L`5;qZ7p=?H&o`eXJIs*-roJouSg^UU8*4CLEyIc5vShtEA?>F{ODz zC_uB#@asP9DK-0)Y>o=jq%SrcyqDDMU$VKc6<{-T-+2efYYyBFRoBuws3wPu;u{)@wCD7hlvyO(<9waS?EB}nuZyi(*bnJ$RkiKBFVI#Y4iNWLl2lWH4$ zwtwo=8N4i|Y;zS>MG>wqhE>o|`y=bJ-c^W*U-naFMfN@@xUzT3hR%H6-;-+dMsW6g zZsd-kSss%kjh1|Sz zP(|MUU|e8H{Nipg^SlU*o4t5TLt(o{8M`9SJTI)bYl5+BB%rW7W7la4WWC)}jLBOM zj5F4ie{n~dd0rUn9mDUA4^^-mjwDrQ5_By7wlU*K2*W+rA$nzO5G$ z!}}c{suVv|!CRtgL9WF7X8cyES_EdIui-6JHzqz*!CR{yOlLjIi=jREtys6-Rxtb) ztqYSMs^G0#wQ#$U#(FPA9SqZ1oMdkA@mgd5F5JoXqKyON-f`#u)8n<7hRQRar@O?E zd%RWP!NBpm1!@s`1;*xUZcL8X&WL)j1nVudayiWlQlOc$7@rgZcL8XX6SBg z$9QeWc#ZpMXA6DEKMvCZuy_DwHph01*W`ShJ0^lb-`H_~O&xN@uegVT{m8ut%yc92 zarcgiU`S4n?@A5lDim=6AO&VL!+v5Sm{}!llr4_eW|+!?z`*g>zHUs8*J27{zv52? z_-kUd2rR{AtDxQBr<_pg_?(x$Oyp(9#CRInvNaBwP1X(CAGgMr-><=l%&Q*|zMUL0bbYsQw+M=ieQC2<=#SAVEhKZK@w`jb!Ehbuy zzPq{-A?-u&(*LFL+Dc93*%`0xczS!&08T&CBfF%O3d^7AnAFpAaW@WWBUc^r#~--qH}nvMgHZ|vA1)g0S#e{IM8 zHSz2-*}QM;xWBgJ{u;(ZtuS7jiQXj_XS_Dmi<#(u`0om-C7-X#@!D9;gXyd{(u?tN zEmH}JT-b+v9(uGJD?ShH=fOzhvd#@GF<$#3uBI+=|Ht%hU#*`M3WYR!_w%s#o>%EV zrY{LYK`hv(ivNAxKjOv~B;n8Zj_D$kvA2~P-#@CEXD}wG9Abfp>s$QFiC^4to%*l$ zc-Eu+>D{lBW#YQ5z&3bkow($rNo!Hzy-u)q8Ca$YnIW9TvQz@?Y zW>8#vwt4t0s2o6b?X)s+t+Ln#FRha=IdK)+6Zz_b-{$Rj<+1~ZL!&R%buQPAHzn8x zFRc@ooO+AxiMTLu3W%#m^syVE(U0oF<=XMqINRW*b>fnfhp{~oS1%(du1?P$|2{PO zQ(ez;?RZx!$JI5(G4Uee+RqG%>z{9&W`H?}9@>K_e? zYvP+5CP3pLsym=u|9U9QHh5{Bxa1UcY){1XOCu<*{=*LZ6dDIp-67@L@zDs|;H7oq zl9TAMJrUPHGbpZXn|F?f#vxP}Dc6o`3v7dz)`?3_1IYG7T$o@jV1GO|xoHD5enEAE z%e5n>6D}^T5*PlXMA;K@9UBdbOW)I23bkKS-7)zx{eE35$0es?S-DsX; zYrhC>>=R$qd9S}h<4~#_8TZE3j%pUf(02F-P`1Gf=c`5MXxGGfB8Hfp!w}P+)x{E} z7*785hf84nFsd8td%sDI9q>PkB7kBH^Z3^{XHrmH3s^5`?2!4LGADC0C8=`b9y_eP zf8n2@_baNK6!qq&6jztHGF0kIKO?TPV;cNVm9i)Db#gu^u9xiaZBUC)-E^Z&T-{nZ zuJ;O_xMu4?aUK8tzAK?Nfa-L!Ok8`!l%3Ye*AEe8Pvi@oAmEs=OMm@Gs12mL)5^7D z@36AdI&p0&)lJN7LoJFOE}kCHtR*ZG0{D|*NcU%~Alsyi=Krhgr1wQgL4ym4I;*p3H;2YwE> zgQ?Ca*S`*J<+ujMR6Rw$t_p0&EoYx?L+uEvyS!XGMpDX7>$Ibs#G7r3xULUu#~+66 z_dGO)P~EC>?Kn8zx^aywD0?EVTarQj{+`#;BcOI9)!|Q`JnwCkj`v5#l%3Ye*Zh>S zC*oS24~pw=q4(!N?I^0d!zdHiv8@}IEhmlV*hE|pYe8{6vg@c`&^Vgv9w^tp#)a7i zFQt6>=5h-p^kNZ0x=_)Q+LLHRbx(^n|k0I&sa8D0?DbPbY)o zniG2Ib*TNC>Qd$U*C}yjr*-0*SF$JKdOjZ%*Y0=i{vg~ArMh+H`qzR&>&CS#q3nsc zUI}c+gC5<;@9ZB-b^eK&OZ($OOWA3ie4VSz$$tO)z;^6&)Kbn@lt;jQ6LDn&``2sVPUrFcaH_LIW#YQdRCZb?U$>R)iMZYmY{zTDZTrJ*71h00 zu79nLwr*Sxi$%&9q(ZUv9wOU9?^>C|DX#zI`qA~Cpor`1 z!2Z>~^Xo4luH&ihOCz}7yRJi9CobomMiJN6z;^7I{Kw9SYZTReSEhgAU!7InTPLn# z6UrXPWwi@z$NTqv@=2(TCaX=kc66>oTPLm&-ncpkw&Re#p)U~E31oFF*N)D0XzRq~ zd^axgwYwJ7?_b|=7azaIkhN=>c642bwoY6phCS`rGq8WXye@qj)W(w4BNW{4@sHce zPV2-q#ZvY}zV-=h$F&>&JQHf;$lAM1|H40WD?6W%A=z;-;T&2{e}t{7Pdm1{@mI<$4-njiDzE0PTA_gm*3{4mr`B)Om()03}Jf$g{=`)v|x zlgS!crX5|^$E_2WU8<)zPQ-Btg`p-o$KRPi7UICw|^}NY{!pAU-l*9noibf<=WA?K5m`3I>kNhxFi|W@7vwJ zrU%q!khQ2>J380LtrOQTz5VN~d{A8b@AYa7ah*)onMRp@f3uOROH7xFl>&n1( zyyw#&o`PC6S(le<$44|}r*-10_O|2oT2TLbO1pa^-0EaqTdp0S&bMk@>GNaCp6Fk< z=s|HEIJLmz!dYb96bkNNzWJf&LEHb|B4zJKd*2t9@1UhGig@C}t1HFlkM3veGuJ)# zA=FMK>+YyGF7=E(vnH?Xa66WbA?R6l_6~2GJroU!;U(jMW8q>pSr0_Y#PF8!@5gYL zHxEyg+Q*%Tea0L<4KC)8^;@k>3>y>wehl{&R9VqSo(*h^ecnH-J=Ers^>n$m_)ARL zX?a^bXsNOyh8K;Xwy5uaLJn&4$nyWMVW~fS98q>!9>W@M3})$lO?O+=*H-X7jnl|l zuLrk9wr%R)k6~@8tjNQgf&Jl<<@?0SlMZLTda$zvLc51zZm7SKy@OG)Jh~eYF{_yOh6$V@^ChM=|`ooM;U0NQ)MoYyn zV)!Z*+DX1|YkeJQOV&40tOXGNFp9($oaw(afNT}SefP}eSL~LM^?BaA+wMX&C+j$+ z`c9Q6qtfL1J5UaJhS^+iMm#`I1LpmUyPD~!fFGNd55N-5vFQe5H$+0kg=3i(Rh;~7 z18i!cIW`@oD1C*cB%HoY9ST1qeVaOQW|2M+R{h&w!$O=kwbg^u=X$f9!xQPtId7Gh z(&tZU9P#)u4OnZZ&y@m|K1yE_cG4o#N9oH7ZcL^xk#aDkuV<`<>6>!nudafHrL?K5 zStfmbkO;Xr>DxEv#zgP)rwXP1JLz*$;H2;Bf{IV|K1#2$+?Y(?9SH|R`ugiFOyBZc z*Y2>ej5h6Wl}VrT-n)~&JxXpF+rH^@QsAV|dH3H*->q>cRa7I>cYnmekiJ3j7N&2) z+czEv3un@%NSR|_&Up>qNuToy`u0zslL9AwcX?99$39BqTBf*gN@e;U3p*InS7o*^ zea9_~KMD)WY16TVGQH1vE8a<;^G5piPoI+lCw&jO(xQ%ik4BtSsq{UQaxkQCqSeCm zb@=6;H^ahNv}t^_O#0dsl#5dOTra3^|MWR2aMI^|DB$e8DNEJE>3uJ|Qi}AQnr~tH zUcO}W7Fa!-HqG>nFG~X#=bd>ceV+g8-Ok58Ck0OW)_GD@e&F&#%1J5GxAf->T-@Wn zF;zpqfrWEu)1op17vDfb3|xGeICc23c=JKZ46Qjf9ToKtX8wEQzE{GY^j#ckVei{= z>!o+V!V21Semr>R^*uon>GKVxMf!Z>Losajj}Ny+`qEAcRPR$M;a|1$`Z0Oxu)XO^ zv~=9}$n*Cc49n-zrfZDg^!ZX%K7HQtp-kVtF%>pfZJYGIw3C9Cr!QMj@rkRBds{m0 zd*#X(SHZ%0wCT<=#tDAVT~A8wQMIVos)`rc1@()T|`3y*zc-v8zdSU8_HJzr+r_p{UI z9Usc{`NoIaBz;Z_TAscS5}x$cCR&(2{aLyK7A~MoZ-@TFW1n|?DAMO2A8wQMIVos) z`abmbzNVIr`+o6pn@LFDg|sOX{?F34**iXz>GO>bw@Lb(6tp~jAIDL7v4~U0$1NTA zofi9kJ&IpMn?5Qt?)$kruTSs4mp&&2Dt(mxi)*JWf4{GoIVXMJ{+w~2d)Qnv`hWQ8 zpFx|xEHm!&4Tr_R#fSaBJ8b?erc%hguf9{bg~z^M9v|%w3l~#;yE5ZG-*8x@&p#YC zc|fw(uXfmTlScIt9|1quy7^SFOHN+pEp%y z)3?2b&8`%v^pV}p)vJE~u(=+Ohg+7u69%r=k-n>_{(>^&K3`fy`htedK0P_^Ye=Zp z6+Keh2B(hyF-<39IQ$JiB5^3HoT)n8j?+~@oDP^8cI-JiJX2uhzH z+ZO3_rNHTZon2`uK54VN#8p$49u?WWA`XUAM>5jF-nX*-t4#DNf2t5{GfdkCz0Z{bCw<*KshV1VU)!0I$4OtGuqS;_Mq8M^N0v9e2MgCy z{hCzpu`jbFrd)7%a_8-paAVuI_cR2L^c|9NF!a9lKWE(M-g#HQ z-=M?7Dyo0M3{Ic#Lq(asK?UV@`}aO41y1_D%~o#(nPe zy&q}&D_FRW>fb3d?#m90DHl%fn^1DQ{nO{9z)9bso>a-5HHEZN z;j!=4?%$sVs|l+AOPO(>@2f_!^ZHK{X259zf&F(*9Zm|I^d0L-756^(;-s&#BoPCb zZ><)l?{CQ)c7f#^sQ#;H@UhRADtYYljpxjORB`(J<3p`EHr;BD{ny?yuw$O|HFR$2 zxNqgzFZ~_qyOA1piUp_7_wA}kpYOZT?R(~RQsDHy6C$XTSh%OjW8b*28=DRdvh|pQ zg{T3;z?NNgJhJQz_H+|9bT2dRvuCq{SU5bHzIkyswtds*q`*nv^n{AfN#7}@n4O(> zNy^bf`VMO8xbK$v_Ci>=nHml#Gw!pOl|pgScdqg(W(nKA>2p%xq;Ek%1?Z%2q2N1M={s>vn|om4R%)oU${hP{Hk1pe_uXc?vF)2aCk0OWuF0$Tob+8+irGou zeM(K-E^V0H(sAFHAI0!eTj(}wh~>+q&-)py?0w!(P`7XToD?|eTOCz-ang5>=EmgC zn^KTW-<+0?`);|ia&MTpof`CV<38_Ku_ArG!OZqepOXS7eQS~`FDiYC=U=Dytu++R zsLOSs@70|kyptNv^tDRK^((5hIQvW!u3y#^$l;WCoiLQ1aJ?e$a(%&+ z+g3xmni|gb9ga$_-!N5ir`E6L-B@|ot4d{s>+?;Q>$xBH8U!nMQNww0@2*^Oy`fax zas5^vg~amHwZ2BF$z8?JU9M06wrUTE@1}+eV`W@hhAQv4#zlT{`DxczDmCf)vXIMl z?>R^B1@S%9aB-oGYuixe9oG%I8!Mmi-$a$3Nca^=m+Q|Gf8asPz0~lVL>brbm5MvA zH|xsePuKbqL+J_E*F;^ek1#S7kS3|&sz@2ve^RAgJ^YWF0)N`|MW)gdt}!EkvtKX1 z?CC9#-bW4BT4h}SRh4$R{*elh%X3qPw3O5~(t-KQmQ%C*dFG z-B|gy=BL(j5w*Tmce&p8po6Z4>;Y=HIabE?7iO`vtJa028!PYnoT$ws}p5h{}^?5o&lKT*mdzrQ(k3j+z30+BIJ+$wk!qQPbu6(bMmaL-r^&Je(@ydUsXY>1M8f z_55kq3!r6*kf&TzRNdHm}BkUmZg&&A8Q9%88Cj_ZE98!PX6rc#qV{3XrhdeidR{Nevv zYIq@B#`RD`m3Lept-G=EuBV2To~ZSD)8*QZzS17jC#d1&R2kP*QB~Y=U8%dV@~$Vx zl%8nVNKCBh>t9vM@5!gZtRa@~E!>ti8(mKy3)Wn7;YRmC0Gb96UW-gQ+%=?T}_q|0@o z`eLQB~Y=eY)<(%Db+#l%8;n!L2haJmjtmAB1!rHRK{?T%V~*yLxzB zQy_;^-t|zg>p$jQuJ@YLz=xFIQNtgsGOl@?E*GcPXXV{kdDq8;m7b{eVz=ww-D~!T z^m%IdAYR7xg{CU*xL%oeW941DA64P{`s=u>)@R&2{5n{9ff~Nl%ecPGaJ!K^*TqRU zR^IhsZ#VnSeQCet@YN=)yhsgOLSUJYtuhQLEdDjt->&EuR&$t`e*uj+Ze1I7I(fy~w)63LI1#f25*T%kw zQR04(51V0znm@FP2Vh!rY&t67f8aGOAAlvAW77@BZis}6i|DUW#mV2FydRW#BdN4` zS842#{~76XPm}WCAzk{z!v9d??y=zX`R4Bs>GQqRB+{3`D6hD1HD+-7TobMeObbZC zf0{m53VtemM{9Ug6A@7wFIU*8X_ zuTbN!Qe}GI4-w^-!;|UT5_4m+_kH2flfCb=ut!hZ2I+I9z)9a2W ziButRl2v0fb<#I1zU|ZJq`*nvF)8KON#C&vH#QxKqwK<%gCTu!vxVu~ zWzD-k!ous+ct*K_i}zhGnZCJ}aw}40awyed4;BaJf8eEUi{9s?z)9b zPVZal!jQg;te=rS_v>?m5BvTVSbc*US4M+(USA4C`h1w^eSYk}mOdv1PWqNa+^OO> zaNLVZpBF>=uKPLTK6m=|epIi4mETk2)n&$gzO=~Rx7<>$OTEvhC(gY7&&afG(fj^` zVRQCYSDKN&y9+;~_qor!D^DG~D>CsWHQrHX+~=Avm{TRwcUQ@6DSf%$M?EQxBUQQA zyqLBv(&wZ=^*$8`|EitWkI7@-y=ZEF0TQ6_!P z?8Hv`)|T8dCe!z#ibUKY5<741cToijJ#Aa0&q+bc)0ax9_(b~NO|&q5P3^y(0}Jm^ ztb$9rtjIP2SX{!J!N<>ZCj+zNkPlg_d=;|B7J{IwlIB1_kZjQ z)NlheZVs18-^-p<$z$Iu5l>o7PSq3G%0=`vkv_g_@4~cgkv=B{El=NTVHKZ9->0b- zrthfyGJe8aLydpc%B1g&f^y;XzPC$mMXF3r-yKTLwe#NU#k6gaJ|_h&Pv3@8-9-An zGh3Lxag+YY>8qv2uOnsBx6x8LD5cLoNHLKXKNb(bwn_S&6tp;foB!@kpQt9KyN4w~ z>AjMIYD4LL3_-P}w5AKH9iHtbVm=siBNTpc8Be6l0{#ZcxtMv4zc|jgQ>3^7l z8baxRO6*8Ve<`t}DE&=RNROuUcZQ&jq4ZW=P`}297$*wd6 zIEm~kDV<8k4I+N^&rF1#jYa#+Wi|n;wL7h$ZlL^EY9x{&O*Bz6(m8zg3sy)h!B7n5y; z1$7D8^#ws)O13Sr%gD}3>^EdLSwea_+3%+Wbp_cUBm{LO*&j;mDzg6~v8&1cI4-2u zko{RqP}h=OoH1t=*~Q6Ut|R-ah>%`S_Li`q5@df@5Y!E1Z?yz~6~R zk=Q+y>01!ednq$SVoAyzC9(S`GgM;tQzk002PiY#5+xp_%yB6}Jw%yN2|+zfnK2T3 zgfinL_9$g0#D(;?l$jh8)Edf6jR@*7%FK}1}kp@NeSsQlv$P#)U%X1D=w(#D6>Lh>nO8QV!xxzg)t$0o-!9l1oZ-C zE(;6lMaoJ`d7C@GUNk0b>4DjqS#1@#&p ze8mLyI%S@b*c+5tC-r`h>F*;#`X*)Gkkngv<`Ndz+mv~yAgFgJV?_nE0Z)f?LDf*^ zJws5nl*wy?+DMuIH3ju9Wj@Las*W;$lbA)BPm==MM45j|te!I8PyPro)p+ulwB>cuPJ+v#J-{IeTI;3 zq3naYpuVN-BT+$pN7*$J`<}9ECH4blQ<{)&rR+0;2>nRe=kiQq1etw7U__LCNn&j% zyWV6FFNx{9old_esv zH!3Nx11UE~7t}$N8!xGYDK|kA*ddgglo!-5D0fm)P`{+ybX`z~Qckx7br|Jl%l!R{ za`VChi%@R9ln$WW83}<6q}*ajRZtF}fMB7+DYrZ}pxiT-ppK*5I!TSB+zSbT9Z$KJV}cq*xmUu18cn%Z z|G$>%U=^Y;4C8qJJ2*F?l+salN-1SAj57?w7{f3O!*B+rl(JA(>MUHyxd>%@*5|p2 zucR#1^V$7+pXc4Z^~PR<`ZH>s`m1odLH!+jP3oVRZc_ioG($5nZK2uJ8(U~Dv$oNE zZne=uVeO#BVC|y#CF!80)U!RboLT#5CAYe0wXzP-TCjSkkywYQnOc3+%B&;QF0EtK zsjLC&2I~a%6YCTWQfr8Yg>{BTrFD+Rl{G?>U|pbTVqKz#+!~|D!n#6FrFD&-D{F#Y ef^~ylGwT+;WrEn, B=>invout_2, Z=>wren_i); + + INV_5: INV + port map (A=>full_i, Z=>invout_2); + + AND2_t4: AND2 + port map (A=>RdEn, B=>invout_1, Z=>rden_i); + + INV_4: INV + port map (A=>empty_i, Z=>invout_1); + + AND2_t3: AND2 + port map (A=>wren_i, B=>rden_i_inv, Z=>cnt_con); + + XOR2_t2: XOR2 + port map (A=>wren_i, B=>rden_i, Z=>fcnt_en); + + INV_3: INV + port map (A=>rden_i, Z=>rden_i_inv); + + INV_2: INV + port map (A=>wren_i, Z=>wren_i_inv); + + LUT4_1: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_le_1, AD1=>wren_i, + AD0=>empty_i, DO0=>empty_d); + + LUT4_0: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_ge_d1, AD1=>rden_i, + AD0=>full_i, DO0=>full_d); + + AND2_t1: AND2 + port map (A=>rden_i, B=>invout_0, Z=>r_nw); + + INV_1: INV + port map (A=>wren_i, Z=>invout_0); + + XOR2_t0: XOR2 + port map (A=>wcount_12, B=>rptr_12, Z=>wcnt_sub_msb); + + INV_0: INV + port map (A=>cnt_con, Z=>cnt_con_inv); + + pdp_ram_0_0_7: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>rptr_11, RSTB=>Reset, + DOA17=>open, DOA16=>open, DOA15=>open, DOA14=>open, + DOA13=>open, DOA12=>open, DOA11=>open, DOA10=>open, + DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, + DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, + DOB17=>open, DOB16=>open, DOB15=>open, DOB14=>open, + DOB13=>open, DOB12=>open, DOB11=>open, DOB10=>open, + DOB9=>open, DOB8=>mdout1_0_8, DOB7=>mdout1_0_7, + DOB6=>mdout1_0_6, DOB5=>mdout1_0_5, DOB4=>mdout1_0_4, + DOB3=>mdout1_0_3, DOB2=>mdout1_0_2, DOB1=>mdout1_0_1, + DOB0=>mdout1_0_0); + + pdp_ram_0_1_6: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>rptr_11, RSTB=>Reset, + DOA17=>open, DOA16=>open, DOA15=>open, DOA14=>open, + DOA13=>open, DOA12=>open, DOA11=>open, DOA10=>open, + DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, + DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, + DOB17=>open, DOB16=>open, DOB15=>open, DOB14=>open, + DOB13=>open, DOB12=>open, DOB11=>open, DOB10=>open, + DOB9=>open, DOB8=>mdout1_0_17, DOB7=>mdout1_0_16, + DOB6=>mdout1_0_15, DOB5=>mdout1_0_14, DOB4=>mdout1_0_13, + DOB3=>mdout1_0_12, DOB2=>mdout1_0_11, DOB1=>mdout1_0_10, + DOB0=>mdout1_0_9); + + pdp_ram_0_2_5: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>rptr_11, RSTB=>Reset, + DOA17=>open, DOA16=>open, DOA15=>open, DOA14=>open, + DOA13=>open, DOA12=>open, DOA11=>open, DOA10=>open, + DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, + DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, + DOB17=>open, DOB16=>open, DOB15=>open, DOB14=>open, + DOB13=>open, DOB12=>open, DOB11=>open, DOB10=>open, + DOB9=>open, DOB8=>mdout1_0_26, DOB7=>mdout1_0_25, + DOB6=>mdout1_0_24, DOB5=>mdout1_0_23, DOB4=>mdout1_0_22, + DOB3=>mdout1_0_21, DOB2=>mdout1_0_20, DOB1=>mdout1_0_19, + DOB0=>mdout1_0_18); + + pdp_ram_0_3_4: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>rptr_11, RSTB=>Reset, + DOA17=>open, DOA16=>open, DOA15=>open, DOA14=>open, + DOA13=>open, DOA12=>open, DOA11=>open, DOA10=>open, + DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, + DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, + DOB17=>open, DOB16=>open, DOB15=>open, DOB14=>open, + DOB13=>open, DOB12=>open, DOB11=>open, DOB10=>open, + DOB9=>open, DOB8=>mdout1_0_35, DOB7=>mdout1_0_34, + DOB6=>mdout1_0_33, DOB5=>mdout1_0_32, DOB4=>mdout1_0_31, + DOB3=>mdout1_0_30, DOB2=>mdout1_0_29, DOB1=>mdout1_0_28, + DOB0=>mdout1_0_27); + + pdp_ram_1_0_3: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>rptr_11, RSTB=>Reset, + DOA17=>open, DOA16=>open, DOA15=>open, DOA14=>open, + DOA13=>open, DOA12=>open, DOA11=>open, DOA10=>open, + DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, + DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, + DOB17=>open, DOB16=>open, DOB15=>open, DOB14=>open, + DOB13=>open, DOB12=>open, DOB11=>open, DOB10=>open, + DOB9=>open, DOB8=>mdout1_1_8, DOB7=>mdout1_1_7, + DOB6=>mdout1_1_6, DOB5=>mdout1_1_5, DOB4=>mdout1_1_4, + DOB3=>mdout1_1_3, DOB2=>mdout1_1_2, DOB1=>mdout1_1_1, + DOB0=>mdout1_1_0); + + pdp_ram_1_1_2: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>rptr_11, RSTB=>Reset, + DOA17=>open, DOA16=>open, DOA15=>open, DOA14=>open, + DOA13=>open, DOA12=>open, DOA11=>open, DOA10=>open, + DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, + DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, + DOB17=>open, DOB16=>open, DOB15=>open, DOB14=>open, + DOB13=>open, DOB12=>open, DOB11=>open, DOB10=>open, + DOB9=>open, DOB8=>mdout1_1_17, DOB7=>mdout1_1_16, + DOB6=>mdout1_1_15, DOB5=>mdout1_1_14, DOB4=>mdout1_1_13, + DOB3=>mdout1_1_12, DOB2=>mdout1_1_11, DOB1=>mdout1_1_10, + DOB0=>mdout1_1_9); + + pdp_ram_1_2_1: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>rptr_11, RSTB=>Reset, + DOA17=>open, DOA16=>open, DOA15=>open, DOA14=>open, + DOA13=>open, DOA12=>open, DOA11=>open, DOA10=>open, + DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, + DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, + DOB17=>open, DOB16=>open, DOB15=>open, DOB14=>open, + DOB13=>open, DOB12=>open, DOB11=>open, DOB10=>open, + DOB9=>open, DOB8=>mdout1_1_26, DOB7=>mdout1_1_25, + DOB6=>mdout1_1_24, DOB5=>mdout1_1_23, DOB4=>mdout1_1_22, + DOB3=>mdout1_1_21, DOB2=>mdout1_1_20, DOB1=>mdout1_1_19, + DOB0=>mdout1_1_18); + + pdp_ram_1_3_0: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, + CSA1=>scuba_vlo, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>scuba_vlo, CSB0=>rptr_11, RSTB=>Reset, + DOA17=>open, DOA16=>open, DOA15=>open, DOA14=>open, + DOA13=>open, DOA12=>open, DOA11=>open, DOA10=>open, + DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, + DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, + DOB17=>open, DOB16=>open, DOB15=>open, DOB14=>open, + DOB13=>open, DOB12=>open, DOB11=>open, DOB10=>open, + DOB9=>open, DOB8=>mdout1_1_35, DOB7=>mdout1_1_34, + DOB6=>mdout1_1_33, DOB5=>mdout1_1_32, DOB4=>mdout1_1_31, + DOB3=>mdout1_1_30, DOB2=>mdout1_1_29, DOB1=>mdout1_1_28, + DOB0=>mdout1_1_27); + + FF_82: FD1P3DX + port map (D=>ifcount_0, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_0); + + FF_81: FD1P3DX + port map (D=>ifcount_1, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_1); + + FF_80: FD1P3DX + port map (D=>ifcount_2, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_2); + + FF_79: FD1P3DX + port map (D=>ifcount_3, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_3); + + FF_78: FD1P3DX + port map (D=>ifcount_4, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_4); + + FF_77: FD1P3DX + port map (D=>ifcount_5, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_5); + + FF_76: FD1P3DX + port map (D=>ifcount_6, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_6); + + FF_75: FD1P3DX + port map (D=>ifcount_7, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_7); + + FF_74: FD1P3DX + port map (D=>ifcount_8, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_8); + + FF_73: FD1P3DX + port map (D=>ifcount_9, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_9); + + FF_72: FD1P3DX + port map (D=>ifcount_10, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_10); + + FF_71: FD1P3DX + port map (D=>ifcount_11, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_11); + + FF_70: FD1P3DX + port map (D=>ifcount_12, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_12); + + FF_69: FD1S3BX + port map (D=>empty_d, CK=>Clock, PD=>Reset, Q=>empty_i); + + FF_68: FD1S3DX + port map (D=>full_d, CK=>Clock, CD=>Reset, Q=>full_i); + + FF_67: FD1P3BX + port map (D=>iwcount_0, SP=>wren_i, CK=>Clock, PD=>Reset, + Q=>wcount_0); + + FF_66: FD1P3DX + port map (D=>iwcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_1); + + FF_65: FD1P3DX + port map (D=>iwcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_2); + + FF_64: FD1P3DX + port map (D=>iwcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_3); + + FF_63: FD1P3DX + port map (D=>iwcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_4); + + FF_62: FD1P3DX + port map (D=>iwcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_5); + + FF_61: FD1P3DX + port map (D=>iwcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_6); + + FF_60: FD1P3DX + port map (D=>iwcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_7); + + FF_59: FD1P3DX + port map (D=>iwcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_8); + + FF_58: FD1P3DX + port map (D=>iwcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_9); + + FF_57: FD1P3DX + port map (D=>iwcount_10, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_10); + + FF_56: FD1P3DX + port map (D=>iwcount_11, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_11); + + FF_55: FD1P3DX + port map (D=>iwcount_12, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_12); + + FF_54: FD1P3BX + port map (D=>ircount_0, SP=>rden_i, CK=>Clock, PD=>Reset, + Q=>rcount_0); + + FF_53: FD1P3DX + port map (D=>ircount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_1); + + FF_52: FD1P3DX + port map (D=>ircount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_2); + + FF_51: FD1P3DX + port map (D=>ircount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_3); + + FF_50: FD1P3DX + port map (D=>ircount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_4); + + FF_49: FD1P3DX + port map (D=>ircount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_5); + + FF_48: FD1P3DX + port map (D=>ircount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_6); + + FF_47: FD1P3DX + port map (D=>ircount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_7); + + FF_46: FD1P3DX + port map (D=>ircount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_8); + + FF_45: FD1P3DX + port map (D=>ircount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_9); + + FF_44: FD1P3DX + port map (D=>ircount_10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_10); + + FF_43: FD1P3DX + port map (D=>ircount_11, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_11); + + FF_42: FD1P3DX + port map (D=>ircount_12, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_12); + + FF_41: FD1P3DX + port map (D=>wcount_0, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_0); + + FF_40: FD1P3DX + port map (D=>wcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_1); + + FF_39: FD1P3DX + port map (D=>wcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_2); + + FF_38: FD1P3DX + port map (D=>wcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_3); + + FF_37: FD1P3DX + port map (D=>wcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_4); + + FF_36: FD1P3DX + port map (D=>wcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_5); + + FF_35: FD1P3DX + port map (D=>wcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_6); + + FF_34: FD1P3DX + port map (D=>wcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_7); + + FF_33: FD1P3DX + port map (D=>wcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_8); + + FF_32: FD1P3DX + port map (D=>wcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_9); + + FF_31: FD1P3DX + port map (D=>wcount_10, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_10); + + FF_30: FD1P3DX + port map (D=>wcount_11, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_11); + + FF_29: FD1P3DX + port map (D=>wcount_12, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_12); + + FF_28: FD1P3DX + port map (D=>rcount_0, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_0); + + FF_27: FD1P3DX + port map (D=>rcount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_1); + + FF_26: FD1P3DX + port map (D=>rcount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_2); + + FF_25: FD1P3DX + port map (D=>rcount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_3); + + FF_24: FD1P3DX + port map (D=>rcount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_4); + + FF_23: FD1P3DX + port map (D=>rcount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_5); + + FF_22: FD1P3DX + port map (D=>rcount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_6); + + FF_21: FD1P3DX + port map (D=>rcount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_7); + + FF_20: FD1P3DX + port map (D=>rcount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_8); + + FF_19: FD1P3DX + port map (D=>rcount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_9); + + FF_18: FD1P3DX + port map (D=>rcount_10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_10); + + FF_17: FD1P3DX + port map (D=>rcount_11, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_11); + + FF_16: FD1P3DX + port map (D=>rcount_12, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_12); + + FF_15: FD1P3DX + port map (D=>rptr_11, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_11_ff); + + FF_14: FD1P3DX + port map (D=>rptr_11_ff, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_11_ff2); + + FF_13: FD1S3DX + port map (D=>wcnt_sub_0, CK=>Clock, CD=>Reset, Q=>wcnt_reg_0); + + FF_12: FD1S3DX + port map (D=>wcnt_sub_1, CK=>Clock, CD=>Reset, Q=>wcnt_reg_1); + + FF_11: FD1S3DX + port map (D=>wcnt_sub_2, CK=>Clock, CD=>Reset, Q=>wcnt_reg_2); + + FF_10: FD1S3DX + port map (D=>wcnt_sub_3, CK=>Clock, CD=>Reset, Q=>wcnt_reg_3); + + FF_9: FD1S3DX + port map (D=>wcnt_sub_4, CK=>Clock, CD=>Reset, Q=>wcnt_reg_4); + + FF_8: FD1S3DX + port map (D=>wcnt_sub_5, CK=>Clock, CD=>Reset, Q=>wcnt_reg_5); + + FF_7: FD1S3DX + port map (D=>wcnt_sub_6, CK=>Clock, CD=>Reset, Q=>wcnt_reg_6); + + FF_6: FD1S3DX + port map (D=>wcnt_sub_7, CK=>Clock, CD=>Reset, Q=>wcnt_reg_7); + + FF_5: FD1S3DX + port map (D=>wcnt_sub_8, CK=>Clock, CD=>Reset, Q=>wcnt_reg_8); + + FF_4: FD1S3DX + port map (D=>wcnt_sub_9, CK=>Clock, CD=>Reset, Q=>wcnt_reg_9); + + FF_3: FD1S3DX + port map (D=>wcnt_sub_10, CK=>Clock, CD=>Reset, Q=>wcnt_reg_10); + + FF_2: FD1S3DX + port map (D=>wcnt_sub_11, CK=>Clock, CD=>Reset, Q=>wcnt_reg_11); + + FF_1: FD1S3DX + port map (D=>wcnt_sub_12, CK=>Clock, CD=>Reset, Q=>wcnt_reg_12); + + FF_0: FD1S3DX + port map (D=>af_set, CK=>Clock, CD=>Reset, Q=>AlmostFull); + + bdcnt_bctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>cnt_con, B0=>scuba_vlo, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>bdcnt_bctr_ci); + + bdcnt_bctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>bdcnt_bctr_ci, S0=>ifcount_0, S1=>ifcount_1, COUT=>co0); + + bdcnt_bctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0, S0=>ifcount_2, S1=>ifcount_3, COUT=>co1); + + bdcnt_bctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1, S0=>ifcount_4, S1=>ifcount_5, COUT=>co2); + + bdcnt_bctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2, S0=>ifcount_6, S1=>ifcount_7, COUT=>co3); + + bdcnt_bctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3, S0=>ifcount_8, S1=>ifcount_9, COUT=>co4); + + bdcnt_bctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_10, A1=>fcount_11, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4, S0=>ifcount_10, S1=>ifcount_11, COUT=>co5); + + bdcnt_bctr_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_12, A1=>scuba_vlo, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co5, S0=>ifcount_12, S1=>open, COUT=>co6); + + e_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci); + + e_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>rden_i, A1=>scuba_vlo, B0=>fcount_0, B1=>fcount_1, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci, S0=>open, S1=>open, COUT=>co0_1); + + e_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_2, + B1=>fcount_3, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_1, S0=>open, S1=>open, COUT=>co1_1); + + e_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_4, + B1=>fcount_5, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_1, S0=>open, S1=>open, COUT=>co2_1); + + e_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_6, + B1=>fcount_7, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_1, S0=>open, S1=>open, COUT=>co3_1); + + e_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_8, + B1=>fcount_9, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_1, S0=>open, S1=>open, COUT=>co4_1); + + e_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_10, + B1=>fcount_11, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_1, S0=>open, S1=>open, COUT=>co5_1); + + e_cmp_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_12, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_1, S0=>open, S1=>open, + COUT=>cmp_le_1_c); + + a0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_le_1_c, S0=>cmp_le_1, S1=>open, + COUT=>open); + + g_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_1); + + g_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci_1, S0=>open, S1=>open, COUT=>co0_2); + + g_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_2, S0=>open, S1=>open, COUT=>co1_2); + + g_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_2, S0=>open, S1=>open, COUT=>co2_2); + + g_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_2, S0=>open, S1=>open, COUT=>co3_2); + + g_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_2, S0=>open, S1=>open, COUT=>co4_2); + + g_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_10, A1=>fcount_11, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4_2, S0=>open, S1=>open, COUT=>co5_2); + + g_cmp_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_12, A1=>scuba_vlo, B0=>wren_i_inv, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_2, S0=>open, S1=>open, + COUT=>cmp_ge_d1_c); + + a1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_ge_d1_c, S0=>cmp_ge_d1, S1=>open, + COUT=>open); + + w_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>w_ctr_ci); + + w_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_0, A1=>wcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>w_ctr_ci, S0=>iwcount_0, S1=>iwcount_1, + COUT=>co0_3); + + w_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_2, A1=>wcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_3, S0=>iwcount_2, S1=>iwcount_3, + COUT=>co1_3); + + w_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_4, A1=>wcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_3, S0=>iwcount_4, S1=>iwcount_5, + COUT=>co2_3); + + w_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_6, A1=>wcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_3, S0=>iwcount_6, S1=>iwcount_7, + COUT=>co3_3); + + w_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_8, A1=>wcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_3, S0=>iwcount_8, S1=>iwcount_9, + COUT=>co4_3); + + w_ctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_10, A1=>wcount_11, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_3, S0=>iwcount_10, S1=>iwcount_11, + COUT=>co5_3); + + w_ctr_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_12, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_3, S0=>iwcount_12, S1=>open, + COUT=>co6_1); + + r_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>r_ctr_ci); + + r_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_0, A1=>rcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>r_ctr_ci, S0=>ircount_0, S1=>ircount_1, + COUT=>co0_4); + + r_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_2, A1=>rcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_4, S0=>ircount_2, S1=>ircount_3, + COUT=>co1_4); + + r_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_4, A1=>rcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_4, S0=>ircount_4, S1=>ircount_5, + COUT=>co2_4); + + r_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_6, A1=>rcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_4, S0=>ircount_6, S1=>ircount_7, + COUT=>co3_4); + + r_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_8, A1=>rcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_4, S0=>ircount_8, S1=>ircount_9, + COUT=>co4_4); + + r_ctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_10, A1=>rcount_11, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_4, S0=>ircount_10, S1=>ircount_11, + COUT=>co5_4); + + r_ctr_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_12, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_4, S0=>ircount_12, S1=>open, + COUT=>co6_2); + + mux_35: MUX21 + port map (D0=>mdout1_0_0, D1=>mdout1_1_0, SD=>rptr_11_ff2, + Z=>Q(0)); + + mux_34: MUX21 + port map (D0=>mdout1_0_1, D1=>mdout1_1_1, SD=>rptr_11_ff2, + Z=>Q(1)); + + mux_33: MUX21 + port map (D0=>mdout1_0_2, D1=>mdout1_1_2, SD=>rptr_11_ff2, + Z=>Q(2)); + + mux_32: MUX21 + port map (D0=>mdout1_0_3, D1=>mdout1_1_3, SD=>rptr_11_ff2, + Z=>Q(3)); + + mux_31: MUX21 + port map (D0=>mdout1_0_4, D1=>mdout1_1_4, SD=>rptr_11_ff2, + Z=>Q(4)); + + mux_30: MUX21 + port map (D0=>mdout1_0_5, D1=>mdout1_1_5, SD=>rptr_11_ff2, + Z=>Q(5)); + + mux_29: MUX21 + port map (D0=>mdout1_0_6, D1=>mdout1_1_6, SD=>rptr_11_ff2, + Z=>Q(6)); + + mux_28: MUX21 + port map (D0=>mdout1_0_7, D1=>mdout1_1_7, SD=>rptr_11_ff2, + Z=>Q(7)); + + mux_27: MUX21 + port map (D0=>mdout1_0_8, D1=>mdout1_1_8, SD=>rptr_11_ff2, + Z=>Q(8)); + + mux_26: MUX21 + port map (D0=>mdout1_0_9, D1=>mdout1_1_9, SD=>rptr_11_ff2, + Z=>Q(9)); + + mux_25: MUX21 + port map (D0=>mdout1_0_10, D1=>mdout1_1_10, SD=>rptr_11_ff2, + Z=>Q(10)); + + mux_24: MUX21 + port map (D0=>mdout1_0_11, D1=>mdout1_1_11, SD=>rptr_11_ff2, + Z=>Q(11)); + + mux_23: MUX21 + port map (D0=>mdout1_0_12, D1=>mdout1_1_12, SD=>rptr_11_ff2, + Z=>Q(12)); + + mux_22: MUX21 + port map (D0=>mdout1_0_13, D1=>mdout1_1_13, SD=>rptr_11_ff2, + Z=>Q(13)); + + mux_21: MUX21 + port map (D0=>mdout1_0_14, D1=>mdout1_1_14, SD=>rptr_11_ff2, + Z=>Q(14)); + + mux_20: MUX21 + port map (D0=>mdout1_0_15, D1=>mdout1_1_15, SD=>rptr_11_ff2, + Z=>Q(15)); + + mux_19: MUX21 + port map (D0=>mdout1_0_16, D1=>mdout1_1_16, SD=>rptr_11_ff2, + Z=>Q(16)); + + mux_18: MUX21 + port map (D0=>mdout1_0_17, D1=>mdout1_1_17, SD=>rptr_11_ff2, + Z=>Q(17)); + + mux_17: MUX21 + port map (D0=>mdout1_0_18, D1=>mdout1_1_18, SD=>rptr_11_ff2, + Z=>Q(18)); + + mux_16: MUX21 + port map (D0=>mdout1_0_19, D1=>mdout1_1_19, SD=>rptr_11_ff2, + Z=>Q(19)); + + mux_15: MUX21 + port map (D0=>mdout1_0_20, D1=>mdout1_1_20, SD=>rptr_11_ff2, + Z=>Q(20)); + + mux_14: MUX21 + port map (D0=>mdout1_0_21, D1=>mdout1_1_21, SD=>rptr_11_ff2, + Z=>Q(21)); + + mux_13: MUX21 + port map (D0=>mdout1_0_22, D1=>mdout1_1_22, SD=>rptr_11_ff2, + Z=>Q(22)); + + mux_12: MUX21 + port map (D0=>mdout1_0_23, D1=>mdout1_1_23, SD=>rptr_11_ff2, + Z=>Q(23)); + + mux_11: MUX21 + port map (D0=>mdout1_0_24, D1=>mdout1_1_24, SD=>rptr_11_ff2, + Z=>Q(24)); + + mux_10: MUX21 + port map (D0=>mdout1_0_25, D1=>mdout1_1_25, SD=>rptr_11_ff2, + Z=>Q(25)); + + mux_9: MUX21 + port map (D0=>mdout1_0_26, D1=>mdout1_1_26, SD=>rptr_11_ff2, + Z=>Q(26)); + + mux_8: MUX21 + port map (D0=>mdout1_0_27, D1=>mdout1_1_27, SD=>rptr_11_ff2, + Z=>Q(27)); + + mux_7: MUX21 + port map (D0=>mdout1_0_28, D1=>mdout1_1_28, SD=>rptr_11_ff2, + Z=>Q(28)); + + mux_6: MUX21 + port map (D0=>mdout1_0_29, D1=>mdout1_1_29, SD=>rptr_11_ff2, + Z=>Q(29)); + + mux_5: MUX21 + port map (D0=>mdout1_0_30, D1=>mdout1_1_30, SD=>rptr_11_ff2, + Z=>Q(30)); + + mux_4: MUX21 + port map (D0=>mdout1_0_31, D1=>mdout1_1_31, SD=>rptr_11_ff2, + Z=>Q(31)); + + mux_3: MUX21 + port map (D0=>mdout1_0_32, D1=>mdout1_1_32, SD=>rptr_11_ff2, + Z=>Q(32)); + + mux_2: MUX21 + port map (D0=>mdout1_0_33, D1=>mdout1_1_33, SD=>rptr_11_ff2, + Z=>Q(33)); + + mux_1: MUX21 + port map (D0=>mdout1_0_34, D1=>mdout1_1_34, SD=>rptr_11_ff2, + Z=>Q(34)); + + mux_0: MUX21 + port map (D0=>mdout1_0_35, D1=>mdout1_1_35, SD=>rptr_11_ff2, + Z=>Q(35)); + + precin_inst389: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"0000", + INIT0=> X"0000") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>precin); + + wcnt_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>cnt_con, A1=>wcount_0, B0=>cnt_con_inv, B1=>rptr_0, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>precin, S0=>open, S1=>wcnt_sub_0, COUT=>co0_5); + + wcnt_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_1, A1=>wcount_2, B0=>rptr_1, B1=>rptr_2, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_5, S0=>wcnt_sub_1, S1=>wcnt_sub_2, COUT=>co1_5); + + wcnt_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_3, A1=>wcount_4, B0=>rptr_3, B1=>rptr_4, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_5, S0=>wcnt_sub_3, S1=>wcnt_sub_4, COUT=>co2_5); + + wcnt_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_5, A1=>wcount_6, B0=>rptr_5, B1=>rptr_6, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_5, S0=>wcnt_sub_5, S1=>wcnt_sub_6, COUT=>co3_5); + + wcnt_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_7, A1=>wcount_8, B0=>rptr_7, B1=>rptr_8, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_5, S0=>wcnt_sub_7, S1=>wcnt_sub_8, COUT=>co4_5); + + wcnt_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_9, A1=>wcount_10, B0=>rptr_9, B1=>rptr_10, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4_5, S0=>wcnt_sub_9, S1=>wcnt_sub_10, COUT=>co5_5); + + wcnt_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_11, A1=>wcnt_sub_msb, B0=>rptr_11, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_5, S0=>wcnt_sub_11, S1=>wcnt_sub_12, + COUT=>co6_3); + + wcntd: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co6_3, S0=>co6_3d, S1=>open, COUT=>open); + + af_set_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>wren_i, B0=>scuba_vlo, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_2); + + af_set_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_0, A1=>wcnt_reg_1, B0=>AmFullThresh(0), + B1=>AmFullThresh(1), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>cmp_ci_2, S0=>open, + S1=>open, COUT=>co0_6); + + af_set_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_2, A1=>wcnt_reg_3, B0=>AmFullThresh(2), + B1=>AmFullThresh(3), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co0_6, S0=>open, S1=>open, + COUT=>co1_6); + + af_set_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_4, A1=>wcnt_reg_5, B0=>AmFullThresh(4), + B1=>AmFullThresh(5), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co1_6, S0=>open, S1=>open, + COUT=>co2_6); + + af_set_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_6, A1=>wcnt_reg_7, B0=>AmFullThresh(6), + B1=>AmFullThresh(7), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co2_6, S0=>open, S1=>open, + COUT=>co3_6); + + af_set_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_8, A1=>wcnt_reg_9, B0=>AmFullThresh(8), + B1=>AmFullThresh(9), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co3_6, S0=>open, S1=>open, + COUT=>co4_6); + + af_set_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_10, A1=>wcnt_reg_11, B0=>AmFullThresh(10), + B1=>AmFullThresh(11), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co4_6, S0=>open, S1=>open, + COUT=>co5_6); + + af_set_cmp_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_12, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_6, S0=>open, S1=>open, + COUT=>af_set_c); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + a2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>af_set_c, S0=>af_set, S1=>open, + COUT=>open); + + WCNT(0) <= fcount_0; + WCNT(1) <= fcount_1; + WCNT(2) <= fcount_2; + WCNT(3) <= fcount_3; + WCNT(4) <= fcount_4; + WCNT(5) <= fcount_5; + WCNT(6) <= fcount_6; + WCNT(7) <= fcount_7; + WCNT(8) <= fcount_8; + WCNT(9) <= fcount_9; + WCNT(10) <= fcount_10; + WCNT(11) <= fcount_11; + WCNT(12) <= fcount_12; + Empty <= empty_i; + Full <= full_i; +end Structure; diff --git a/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg_ngd.asd b/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg_ngd.asd new file mode 100644 index 0000000..c265c78 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x4k_oreg/fifo_36x4k_oreg_ngd.asd @@ -0,0 +1 @@ +[ActiveSupport NGD] diff --git a/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.cst b/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.cst new file mode 100644 index 0000000..5adc24e --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.cst @@ -0,0 +1,3 @@ +Date=03/18/2015 +Time=14:40:02 + diff --git a/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.edn b/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.edn new file mode 100644 index 0000000..f005697 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.edn @@ -0,0 +1,2868 @@ +(edif fifo_36x512_oreg + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timestamp 2015 3 18 14 40 4) + (program "SCUBA" (version "Diamond (64-bit) 3.4.0.80")))) + (comment "/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n fifo_36x512_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 512 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill -fdc /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.fdc ") + (library ORCLIB + (edifLevel 0) + (technology + (numberDefinition)) + (cell CCU2C + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A0 + (direction INPUT)) + (port A1 + (direction INPUT)) + (port B0 + (direction INPUT)) + (port B1 + (direction INPUT)) + (port C0 + (direction INPUT)) + (port C1 + (direction INPUT)) + (port D0 + (direction INPUT)) + (port D1 + (direction INPUT)) + (port CIN + (direction INPUT)) + (port S0 + (direction OUTPUT)) + (port S1 + (direction OUTPUT)) + (port COUT + (direction OUTPUT))))) + (cell AND2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell FD1P3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1P3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell INV + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell ROM16X1A + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port AD3 + (direction INPUT)) + (port AD2 + (direction INPUT)) + (port AD1 + (direction INPUT)) + (port AD0 + (direction INPUT)) + (port DO0 + (direction OUTPUT))))) + (cell VHI + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell VLO + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell XOR2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell PDPW16KD + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port DI35 + (direction INPUT)) + (port DI34 + (direction INPUT)) + (port DI33 + (direction INPUT)) + (port DI32 + (direction INPUT)) + (port DI31 + (direction INPUT)) + (port DI30 + (direction INPUT)) + (port DI29 + (direction INPUT)) + (port DI28 + (direction INPUT)) + (port DI27 + (direction INPUT)) + (port DI26 + (direction INPUT)) + (port DI25 + (direction INPUT)) + (port DI24 + (direction INPUT)) + (port DI23 + (direction INPUT)) + (port DI22 + (direction INPUT)) + (port DI21 + (direction INPUT)) + (port DI20 + (direction INPUT)) + (port DI19 + (direction INPUT)) + (port DI18 + (direction INPUT)) + (port DI17 + (direction INPUT)) + (port DI16 + (direction INPUT)) + (port DI15 + (direction INPUT)) + (port DI14 + (direction INPUT)) + (port DI13 + (direction INPUT)) + (port DI12 + (direction INPUT)) + (port DI11 + (direction INPUT)) + (port DI10 + (direction INPUT)) + (port DI9 + (direction INPUT)) + (port DI8 + (direction INPUT)) + (port DI7 + (direction INPUT)) + (port DI6 + (direction INPUT)) + (port DI5 + (direction INPUT)) + (port DI4 + (direction INPUT)) + (port DI3 + (direction INPUT)) + (port DI2 + (direction INPUT)) + (port DI1 + (direction INPUT)) + (port DI0 + (direction INPUT)) + (port ADW8 + (direction INPUT)) + (port ADW7 + (direction INPUT)) + (port ADW6 + (direction INPUT)) + (port ADW5 + (direction INPUT)) + (port ADW4 + (direction INPUT)) + (port ADW3 + (direction INPUT)) + (port ADW2 + (direction INPUT)) + (port ADW1 + (direction INPUT)) + (port ADW0 + (direction INPUT)) + (port BE3 + (direction INPUT)) + (port BE2 + (direction INPUT)) + (port BE1 + (direction INPUT)) + (port BE0 + (direction INPUT)) + (port CEW + (direction INPUT)) + (port CLKW + (direction INPUT)) + (port CSW2 + (direction INPUT)) + (port CSW1 + (direction INPUT)) + (port CSW0 + (direction INPUT)) + (port ADR13 + (direction INPUT)) + (port ADR12 + (direction INPUT)) + (port ADR11 + (direction INPUT)) + (port ADR10 + (direction INPUT)) + (port ADR9 + (direction INPUT)) + (port ADR8 + (direction INPUT)) + (port ADR7 + (direction INPUT)) + (port ADR6 + (direction INPUT)) + (port ADR5 + (direction INPUT)) + (port ADR4 + (direction INPUT)) + (port ADR3 + (direction INPUT)) + (port ADR2 + (direction INPUT)) + (port ADR1 + (direction INPUT)) + (port ADR0 + (direction INPUT)) + (port CER + (direction INPUT)) + (port OCER + (direction INPUT)) + (port CLKR + (direction INPUT)) + (port CSR2 + (direction INPUT)) + (port CSR1 + (direction INPUT)) + (port CSR0 + (direction INPUT)) + (port RST + (direction INPUT)) + (port DO35 + (direction OUTPUT)) + (port DO34 + (direction OUTPUT)) + (port DO33 + (direction OUTPUT)) + (port DO32 + (direction OUTPUT)) + (port DO31 + (direction OUTPUT)) + (port DO30 + (direction OUTPUT)) + (port DO29 + (direction OUTPUT)) + (port DO28 + (direction OUTPUT)) + (port DO27 + (direction OUTPUT)) + (port DO26 + (direction OUTPUT)) + (port DO25 + (direction OUTPUT)) + (port DO24 + (direction OUTPUT)) + (port DO23 + (direction OUTPUT)) + (port DO22 + (direction OUTPUT)) + (port DO21 + (direction OUTPUT)) + (port DO20 + (direction OUTPUT)) + (port DO19 + (direction OUTPUT)) + (port DO18 + (direction OUTPUT)) + (port DO17 + (direction OUTPUT)) + (port DO16 + (direction OUTPUT)) + (port DO15 + (direction OUTPUT)) + (port DO14 + (direction OUTPUT)) + (port DO13 + (direction OUTPUT)) + (port DO12 + (direction OUTPUT)) + (port DO11 + (direction OUTPUT)) + (port DO10 + (direction OUTPUT)) + (port DO9 + (direction OUTPUT)) + (port DO8 + (direction OUTPUT)) + (port DO7 + (direction OUTPUT)) + (port DO6 + (direction OUTPUT)) + (port DO5 + (direction OUTPUT)) + (port DO4 + (direction OUTPUT)) + (port DO3 + (direction OUTPUT)) + (port DO2 + (direction OUTPUT)) + (port DO1 + (direction OUTPUT)) + (port DO0 + (direction OUTPUT))))) + (cell fifo_36x512_oreg + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port (array (rename Data "Data(35:0)") 36) + (direction INPUT)) + (port Clock + (direction INPUT)) + (port WrEn + (direction INPUT)) + (port RdEn + (direction INPUT)) + (port Reset + (direction INPUT)) + (port (array (rename AmFullThresh "AmFullThresh(8:0)") 9) + (direction INPUT)) + (port (array (rename Q "Q(35:0)") 36) + (direction OUTPUT)) + (port (array (rename WCNT "WCNT(9:0)") 10) + (direction OUTPUT)) + (port Empty + (direction OUTPUT)) + (port Full + (direction OUTPUT)) + (port AlmostFull + (direction OUTPUT))) + (property NGD_DRC_MASK (integer 1)) + (contents + (instance AND2_t5 + (viewRef view1 + (cellRef AND2))) + (instance INV_5 + (viewRef view1 + (cellRef INV))) + (instance AND2_t4 + (viewRef view1 + (cellRef AND2))) + (instance INV_4 + (viewRef view1 + (cellRef INV))) + (instance AND2_t3 + (viewRef view1 + (cellRef AND2))) + (instance XOR2_t2 + (viewRef view1 + (cellRef XOR2))) + (instance INV_3 + (viewRef view1 + (cellRef INV))) + (instance INV_2 + (viewRef view1 + (cellRef INV))) + (instance LUT4_1 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance LUT4_0 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance AND2_t1 + (viewRef view1 + (cellRef AND2))) + (instance INV_1 + (viewRef view1 + (cellRef INV))) + (instance XOR2_t0 + (viewRef view1 + (cellRef XOR2))) + (instance INV_0 + (viewRef view1 + (cellRef INV))) + (instance pdp_ram_0_0_0 + (viewRef view1 + (cellRef PDPW16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x512_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_R + (string "0b001")) + (property CSDECODE_W + (string "0b001")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE + (string "OUTREG")) + (property DATA_WIDTH_R + (string "36")) + (property DATA_WIDTH_W + (string "36"))) + (instance FF_62 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_61 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_60 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_59 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_58 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_57 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_56 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_55 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_54 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_53 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_52 + (viewRef view1 + (cellRef FD1S3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_51 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_50 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_49 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_48 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_47 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_46 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_45 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_44 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_43 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_42 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_41 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_40 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_39 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_38 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_37 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_36 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_35 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_34 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_33 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_32 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_31 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_30 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_29 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_28 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_27 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_26 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_25 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_24 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_23 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_22 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_21 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_20 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_19 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_18 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_17 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_16 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_15 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_14 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_13 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_12 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_11 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_10 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_9 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_8 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_7 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_6 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_5 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_4 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_3 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_2 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_1 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_0 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance bdcnt_bctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance bdcnt_bctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance e_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance precin_inst272 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x0000")) + (property INIT0 + (string "0x0000"))) + (instance wcnt_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance af_set_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance scuba_vhi_inst + (viewRef view1 + (cellRef VHI))) + (instance scuba_vlo_inst + (viewRef view1 + (cellRef VLO))) + (instance a2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (net invout_2 + (joined + (portRef Z (instanceRef INV_5)) + (portRef B (instanceRef AND2_t5)))) + (net invout_1 + (joined + (portRef Z (instanceRef INV_4)) + (portRef B (instanceRef AND2_t4)))) + (net rden_i_inv + (joined + (portRef Z (instanceRef INV_3)) + (portRef B (instanceRef AND2_t3)))) + (net invout_0 + (joined + (portRef Z (instanceRef INV_1)) + (portRef B (instanceRef AND2_t1)))) + (net r_nw + (joined + (portRef Z (instanceRef AND2_t1)))) + (net fcnt_en + (joined + (portRef SP (instanceRef FF_53)) + (portRef Z (instanceRef XOR2_t2)) + (portRef SP (instanceRef FF_62)) + (portRef SP (instanceRef FF_61)) + (portRef SP (instanceRef FF_60)) + (portRef SP (instanceRef FF_59)) + (portRef SP (instanceRef FF_58)) + (portRef SP (instanceRef FF_57)) + (portRef SP (instanceRef FF_56)) + (portRef SP (instanceRef FF_55)) + (portRef SP (instanceRef FF_54)))) + (net empty_d + (joined + (portRef D (instanceRef FF_52)) + (portRef DO0 (instanceRef LUT4_1)))) + (net full_d + (joined + (portRef D (instanceRef FF_51)) + (portRef DO0 (instanceRef LUT4_0)))) + (net wptr_0 + (joined + (portRef Q (instanceRef FF_30)) + (portRef ADW0 (instanceRef pdp_ram_0_0_0)))) + (net wptr_1 + (joined + (portRef Q (instanceRef FF_29)) + (portRef ADW1 (instanceRef pdp_ram_0_0_0)))) + (net wptr_2 + (joined + (portRef Q (instanceRef FF_28)) + (portRef ADW2 (instanceRef pdp_ram_0_0_0)))) + (net wptr_3 + (joined + (portRef Q (instanceRef FF_27)) + (portRef ADW3 (instanceRef pdp_ram_0_0_0)))) + (net wptr_4 + (joined + (portRef Q (instanceRef FF_26)) + (portRef ADW4 (instanceRef pdp_ram_0_0_0)))) + (net wptr_5 + (joined + (portRef Q (instanceRef FF_25)) + (portRef ADW5 (instanceRef pdp_ram_0_0_0)))) + (net wptr_6 + (joined + (portRef Q (instanceRef FF_24)) + (portRef ADW6 (instanceRef pdp_ram_0_0_0)))) + (net wptr_7 + (joined + (portRef Q (instanceRef FF_23)) + (portRef ADW7 (instanceRef pdp_ram_0_0_0)))) + (net wptr_8 + (joined + (portRef Q (instanceRef FF_22)) + (portRef ADW8 (instanceRef pdp_ram_0_0_0)))) + (net wptr_9 + (joined + (portRef Q (instanceRef FF_21)))) + (net rptr_9 + (joined + (portRef Q (instanceRef FF_11)) + (portRef B (instanceRef XOR2_t0)))) + (net ifcount_0 + (joined + (portRef S0 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_62)))) + (net ifcount_1 + (joined + (portRef S1 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_61)))) + (net bdcnt_bctr_ci + (joined + (portRef CIN (instanceRef bdcnt_bctr_0)) + (portRef COUT (instanceRef bdcnt_bctr_cia)))) + (net ifcount_2 + (joined + (portRef S0 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_60)))) + (net ifcount_3 + (joined + (portRef S1 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_59)))) + (net co0 + (joined + (portRef CIN (instanceRef bdcnt_bctr_1)) + (portRef COUT (instanceRef bdcnt_bctr_0)))) + (net ifcount_4 + (joined + (portRef S0 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_58)))) + (net ifcount_5 + (joined + (portRef S1 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_57)))) + (net co1 + (joined + (portRef CIN (instanceRef bdcnt_bctr_2)) + (portRef COUT (instanceRef bdcnt_bctr_1)))) + (net ifcount_6 + (joined + (portRef S0 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_56)))) + (net ifcount_7 + (joined + (portRef S1 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_55)))) + (net co2 + (joined + (portRef CIN (instanceRef bdcnt_bctr_3)) + (portRef COUT (instanceRef bdcnt_bctr_2)))) + (net ifcount_8 + (joined + (portRef S0 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_54)))) + (net ifcount_9 + (joined + (portRef S1 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_53)))) + (net co4 + (joined + (portRef COUT (instanceRef bdcnt_bctr_4)))) + (net co3 + (joined + (portRef CIN (instanceRef bdcnt_bctr_4)) + (portRef COUT (instanceRef bdcnt_bctr_3)))) + (net cmp_ci + (joined + (portRef CIN (instanceRef e_cmp_0)) + (portRef COUT (instanceRef e_cmp_ci_a)))) + (net rden_i + (joined + (portRef A0 (instanceRef e_cmp_0)) + (portRef Z (instanceRef AND2_t4)) + (portRef B (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_3)) + (portRef AD1 (instanceRef LUT4_0)) + (portRef A (instanceRef AND2_t1)) + (portRef CSR0 (instanceRef pdp_ram_0_0_0)) + (portRef SP (instanceRef FF_40)) + (portRef SP (instanceRef FF_39)) + (portRef SP (instanceRef FF_38)) + (portRef SP (instanceRef FF_37)) + (portRef SP (instanceRef FF_36)) + (portRef SP (instanceRef FF_35)) + (portRef SP (instanceRef FF_34)) + (portRef SP (instanceRef FF_33)) + (portRef SP (instanceRef FF_32)) + (portRef SP (instanceRef FF_31)) + (portRef SP (instanceRef FF_20)) + (portRef SP (instanceRef FF_19)) + (portRef SP (instanceRef FF_18)) + (portRef SP (instanceRef FF_17)) + (portRef SP (instanceRef FF_16)) + (portRef SP (instanceRef FF_15)) + (portRef SP (instanceRef FF_14)) + (portRef SP (instanceRef FF_13)) + (portRef SP (instanceRef FF_12)) + (portRef SP (instanceRef FF_11)))) + (net co0_1 + (joined + (portRef CIN (instanceRef e_cmp_1)) + (portRef COUT (instanceRef e_cmp_0)))) + (net co1_1 + (joined + (portRef CIN (instanceRef e_cmp_2)) + (portRef COUT (instanceRef e_cmp_1)))) + (net co2_1 + (joined + (portRef CIN (instanceRef e_cmp_3)) + (portRef COUT (instanceRef e_cmp_2)))) + (net co3_1 + (joined + (portRef CIN (instanceRef e_cmp_4)) + (portRef COUT (instanceRef e_cmp_3)))) + (net cmp_le_1 + (joined + (portRef S0 (instanceRef a0)) + (portRef AD2 (instanceRef LUT4_1)))) + (net cmp_le_1_c + (joined + (portRef CIN (instanceRef a0)) + (portRef COUT (instanceRef e_cmp_4)))) + (net cmp_ci_1 + (joined + (portRef CIN (instanceRef g_cmp_0)) + (portRef COUT (instanceRef g_cmp_ci_a)))) + (net co0_2 + (joined + (portRef CIN (instanceRef g_cmp_1)) + (portRef COUT (instanceRef g_cmp_0)))) + (net co1_2 + (joined + (portRef CIN (instanceRef g_cmp_2)) + (portRef COUT (instanceRef g_cmp_1)))) + (net co2_2 + (joined + (portRef CIN (instanceRef g_cmp_3)) + (portRef COUT (instanceRef g_cmp_2)))) + (net co3_2 + (joined + (portRef CIN (instanceRef g_cmp_4)) + (portRef COUT (instanceRef g_cmp_3)))) + (net wren_i_inv + (joined + (portRef B1 (instanceRef g_cmp_4)) + (portRef Z (instanceRef INV_2)))) + (net cmp_ge_d1 + (joined + (portRef S0 (instanceRef a1)) + (portRef AD2 (instanceRef LUT4_0)))) + (net cmp_ge_d1_c + (joined + (portRef CIN (instanceRef a1)) + (portRef COUT (instanceRef g_cmp_4)))) + (net iwcount_0 + (joined + (portRef S0 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_50)))) + (net iwcount_1 + (joined + (portRef S1 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_49)))) + (net w_ctr_ci + (joined + (portRef CIN (instanceRef w_ctr_0)) + (portRef COUT (instanceRef w_ctr_cia)))) + (net iwcount_2 + (joined + (portRef S0 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_48)))) + (net iwcount_3 + (joined + (portRef S1 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_47)))) + (net co0_3 + (joined + (portRef CIN (instanceRef w_ctr_1)) + (portRef COUT (instanceRef w_ctr_0)))) + (net iwcount_4 + (joined + (portRef S0 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_46)))) + (net iwcount_5 + (joined + (portRef S1 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_45)))) + (net co1_3 + (joined + (portRef CIN (instanceRef w_ctr_2)) + (portRef COUT (instanceRef w_ctr_1)))) + (net iwcount_6 + (joined + (portRef S0 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_44)))) + (net iwcount_7 + (joined + (portRef S1 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_43)))) + (net co2_3 + (joined + (portRef CIN (instanceRef w_ctr_3)) + (portRef COUT (instanceRef w_ctr_2)))) + (net iwcount_8 + (joined + (portRef S0 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_42)))) + (net iwcount_9 + (joined + (portRef S1 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_41)))) + (net co4_1 + (joined + (portRef COUT (instanceRef w_ctr_4)))) + (net co3_3 + (joined + (portRef CIN (instanceRef w_ctr_4)) + (portRef COUT (instanceRef w_ctr_3)))) + (net wcount_9 + (joined + (portRef A1 (instanceRef w_ctr_4)) + (portRef A (instanceRef XOR2_t0)) + (portRef Q (instanceRef FF_41)) + (portRef D (instanceRef FF_21)))) + (net ircount_0 + (joined + (portRef S0 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_40)))) + (net ircount_1 + (joined + (portRef S1 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_39)))) + (net r_ctr_ci + (joined + (portRef CIN (instanceRef r_ctr_0)) + (portRef COUT (instanceRef r_ctr_cia)))) + (net rcount_0 + (joined + (portRef A0 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_40)) + (portRef D (instanceRef FF_20)))) + (net rcount_1 + (joined + (portRef A1 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_39)) + (portRef D (instanceRef FF_19)))) + (net ircount_2 + (joined + (portRef S0 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_38)))) + (net ircount_3 + (joined + (portRef S1 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_37)))) + (net co0_4 + (joined + (portRef CIN (instanceRef r_ctr_1)) + (portRef COUT (instanceRef r_ctr_0)))) + (net rcount_2 + (joined + (portRef A0 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_38)) + (portRef D (instanceRef FF_18)))) + (net rcount_3 + (joined + (portRef A1 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_37)) + (portRef D (instanceRef FF_17)))) + (net ircount_4 + (joined + (portRef S0 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_36)))) + (net ircount_5 + (joined + (portRef S1 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_35)))) + (net co1_4 + (joined + (portRef CIN (instanceRef r_ctr_2)) + (portRef COUT (instanceRef r_ctr_1)))) + (net rcount_4 + (joined + (portRef A0 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_36)) + (portRef D (instanceRef FF_16)))) + (net rcount_5 + (joined + (portRef A1 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_35)) + (portRef D (instanceRef FF_15)))) + (net ircount_6 + (joined + (portRef S0 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_34)))) + (net ircount_7 + (joined + (portRef S1 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_33)))) + (net co2_4 + (joined + (portRef CIN (instanceRef r_ctr_3)) + (portRef COUT (instanceRef r_ctr_2)))) + (net rcount_6 + (joined + (portRef A0 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_34)) + (portRef D (instanceRef FF_14)))) + (net rcount_7 + (joined + (portRef A1 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_33)) + (portRef D (instanceRef FF_13)))) + (net ircount_8 + (joined + (portRef S0 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_32)))) + (net ircount_9 + (joined + (portRef S1 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_31)))) + (net co4_2 + (joined + (portRef COUT (instanceRef r_ctr_4)))) + (net co3_4 + (joined + (portRef CIN (instanceRef r_ctr_4)) + (portRef COUT (instanceRef r_ctr_3)))) + (net rcount_8 + (joined + (portRef A0 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_32)) + (portRef D (instanceRef FF_12)))) + (net rcount_9 + (joined + (portRef A1 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_31)) + (portRef D (instanceRef FF_11)))) + (net wcnt_sub_0 + (joined + (portRef S1 (instanceRef wcnt_0)) + (portRef D (instanceRef FF_10)))) + (net rptr_0 + (joined + (portRef B1 (instanceRef wcnt_0)) + (portRef ADR5 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_20)))) + (net cnt_con_inv + (joined + (portRef B0 (instanceRef wcnt_0)) + (portRef Z (instanceRef INV_0)))) + (net wcount_0 + (joined + (portRef A1 (instanceRef wcnt_0)) + (portRef Q (instanceRef FF_50)) + (portRef D (instanceRef FF_30)) + (portRef A0 (instanceRef w_ctr_0)))) + (net cnt_con + (joined + (portRef A0 (instanceRef wcnt_0)) + (portRef Z (instanceRef AND2_t3)) + (portRef A (instanceRef INV_0)) + (portRef B1 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_cia)) + (portRef B1 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef bdcnt_bctr_4)))) + (net precin + (joined + (portRef CIN (instanceRef wcnt_0)) + (portRef COUT (instanceRef precin_inst272)))) + (net wcnt_sub_1 + (joined + (portRef S0 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_9)))) + (net wcnt_sub_2 + (joined + (portRef S1 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_8)))) + (net rptr_2 + (joined + (portRef B1 (instanceRef wcnt_1)) + (portRef ADR7 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_18)))) + (net rptr_1 + (joined + (portRef B0 (instanceRef wcnt_1)) + (portRef ADR6 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_19)))) + (net wcount_2 + (joined + (portRef A1 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_48)) + (portRef D (instanceRef FF_28)) + (portRef A0 (instanceRef w_ctr_1)))) + (net wcount_1 + (joined + (portRef A0 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_49)) + (portRef D (instanceRef FF_29)) + (portRef A1 (instanceRef w_ctr_0)))) + (net co0_5 + (joined + (portRef CIN (instanceRef wcnt_1)) + (portRef COUT (instanceRef wcnt_0)))) + (net wcnt_sub_3 + (joined + (portRef S0 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_7)))) + (net wcnt_sub_4 + (joined + (portRef S1 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_6)))) + (net rptr_4 + (joined + (portRef B1 (instanceRef wcnt_2)) + (portRef ADR9 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_16)))) + (net rptr_3 + (joined + (portRef B0 (instanceRef wcnt_2)) + (portRef ADR8 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_17)))) + (net wcount_4 + (joined + (portRef A1 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_46)) + (portRef D (instanceRef FF_26)) + (portRef A0 (instanceRef w_ctr_2)))) + (net wcount_3 + (joined + (portRef A0 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_47)) + (portRef D (instanceRef FF_27)) + (portRef A1 (instanceRef w_ctr_1)))) + (net co1_5 + (joined + (portRef CIN (instanceRef wcnt_2)) + (portRef COUT (instanceRef wcnt_1)))) + (net wcnt_sub_5 + (joined + (portRef S0 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_5)))) + (net wcnt_sub_6 + (joined + (portRef S1 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_4)))) + (net rptr_6 + (joined + (portRef B1 (instanceRef wcnt_3)) + (portRef ADR11 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_14)))) + (net rptr_5 + (joined + (portRef B0 (instanceRef wcnt_3)) + (portRef ADR10 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_15)))) + (net wcount_6 + (joined + (portRef A1 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_44)) + (portRef D (instanceRef FF_24)) + (portRef A0 (instanceRef w_ctr_3)))) + (net wcount_5 + (joined + (portRef A0 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_45)) + (portRef D (instanceRef FF_25)) + (portRef A1 (instanceRef w_ctr_2)))) + (net co2_5 + (joined + (portRef CIN (instanceRef wcnt_3)) + (portRef COUT (instanceRef wcnt_2)))) + (net wcnt_sub_7 + (joined + (portRef S0 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_3)))) + (net wcnt_sub_8 + (joined + (portRef S1 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_2)))) + (net rptr_8 + (joined + (portRef B1 (instanceRef wcnt_4)) + (portRef ADR13 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_12)))) + (net rptr_7 + (joined + (portRef B0 (instanceRef wcnt_4)) + (portRef ADR12 (instanceRef pdp_ram_0_0_0)) + (portRef Q (instanceRef FF_13)))) + (net wcount_8 + (joined + (portRef A1 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_42)) + (portRef D (instanceRef FF_22)) + (portRef A0 (instanceRef w_ctr_4)))) + (net wcount_7 + (joined + (portRef A0 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_43)) + (portRef D (instanceRef FF_23)) + (portRef A1 (instanceRef w_ctr_3)))) + (net co3_5 + (joined + (portRef CIN (instanceRef wcnt_4)) + (portRef COUT (instanceRef wcnt_3)))) + (net wcnt_sub_9 + (joined + (portRef S0 (instanceRef wcnt_5)) + (portRef D (instanceRef FF_1)))) + (net wcnt_sub_msb + (joined + (portRef A0 (instanceRef wcnt_5)) + (portRef Z (instanceRef XOR2_t0)))) + (net co4_3 + (joined + (portRef CIN (instanceRef wcnt_5)) + (portRef COUT (instanceRef wcnt_4)))) + (net wren_i + (joined + (portRef A1 (instanceRef af_set_cmp_ci_a)) + (portRef Z (instanceRef AND2_t5)) + (portRef A (instanceRef AND2_t3)) + (portRef A (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_2)) + (portRef AD1 (instanceRef LUT4_1)) + (portRef A (instanceRef INV_1)) + (portRef CEW (instanceRef pdp_ram_0_0_0)) + (portRef SP (instanceRef FF_50)) + (portRef SP (instanceRef FF_49)) + (portRef SP (instanceRef FF_48)) + (portRef SP (instanceRef FF_47)) + (portRef SP (instanceRef FF_46)) + (portRef SP (instanceRef FF_45)) + (portRef SP (instanceRef FF_44)) + (portRef SP (instanceRef FF_43)) + (portRef SP (instanceRef FF_42)) + (portRef SP (instanceRef FF_41)) + (portRef SP (instanceRef FF_30)) + (portRef SP (instanceRef FF_29)) + (portRef SP (instanceRef FF_28)) + (portRef SP (instanceRef FF_27)) + (portRef SP (instanceRef FF_26)) + (portRef SP (instanceRef FF_25)) + (portRef SP (instanceRef FF_24)) + (portRef SP (instanceRef FF_23)) + (portRef SP (instanceRef FF_22)) + (portRef SP (instanceRef FF_21)) + (portRef B0 (instanceRef g_cmp_0)) + (portRef B1 (instanceRef g_cmp_0)) + (portRef B0 (instanceRef g_cmp_1)) + (portRef B1 (instanceRef g_cmp_1)) + (portRef B0 (instanceRef g_cmp_2)) + (portRef B1 (instanceRef g_cmp_2)) + (portRef B0 (instanceRef g_cmp_3)) + (portRef B1 (instanceRef g_cmp_3)) + (portRef B0 (instanceRef g_cmp_4)) + (portRef B1 (instanceRef af_set_cmp_ci_a)))) + (net x + (joined + (portRef CIN (instanceRef af_set_cmp_ci_a)) + (portRef CIN (instanceRef bdcnt_bctr_cia)) + (portRef CIN (instanceRef e_cmp_ci_a)) + (portRef CIN (instanceRef g_cmp_ci_a)) + (portRef CIN (instanceRef w_ctr_cia)) + (portRef CIN (instanceRef r_ctr_cia)) + (portRef CIN (instanceRef precin_inst272)))) + (net cmp_ci_2 + (joined + (portRef CIN (instanceRef af_set_cmp_0)) + (portRef COUT (instanceRef af_set_cmp_ci_a)))) + (net wcnt_reg_0 + (joined + (portRef A0 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_10)))) + (net wcnt_reg_1 + (joined + (portRef A1 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_9)))) + (net co0_6 + (joined + (portRef CIN (instanceRef af_set_cmp_1)) + (portRef COUT (instanceRef af_set_cmp_0)))) + (net wcnt_reg_2 + (joined + (portRef A0 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_8)))) + (net wcnt_reg_3 + (joined + (portRef A1 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_7)))) + (net co1_6 + (joined + (portRef CIN (instanceRef af_set_cmp_2)) + (portRef COUT (instanceRef af_set_cmp_1)))) + (net wcnt_reg_4 + (joined + (portRef A0 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_6)))) + (net wcnt_reg_5 + (joined + (portRef A1 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_5)))) + (net co2_6 + (joined + (portRef CIN (instanceRef af_set_cmp_3)) + (portRef COUT (instanceRef af_set_cmp_2)))) + (net wcnt_reg_6 + (joined + (portRef A0 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_4)))) + (net wcnt_reg_7 + (joined + (portRef A1 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_3)))) + (net co3_6 + (joined + (portRef CIN (instanceRef af_set_cmp_4)) + (portRef COUT (instanceRef af_set_cmp_3)))) + (net wcnt_reg_8 + (joined + (portRef A0 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_2)))) + (net wcnt_reg_9 + (joined + (portRef A1 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_1)))) + (net af_set + (joined + (portRef S0 (instanceRef a2)) + (portRef D (instanceRef FF_0)))) + (net scuba_vhi + (joined + (portRef Z (instanceRef scuba_vhi_inst)) + (portRef CSW0 (instanceRef pdp_ram_0_0_0)) + (portRef BE3 (instanceRef pdp_ram_0_0_0)) + (portRef BE2 (instanceRef pdp_ram_0_0_0)) + (portRef BE1 (instanceRef pdp_ram_0_0_0)) + (portRef BE0 (instanceRef pdp_ram_0_0_0)) + (portRef OCER (instanceRef pdp_ram_0_0_0)) + (portRef CER (instanceRef pdp_ram_0_0_0)) + (portRef C1 (instanceRef bdcnt_bctr_cia)) + (portRef C0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_cia)) + (portRef D0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_0)) + (portRef D0 (instanceRef bdcnt_bctr_0)) + (portRef C1 (instanceRef bdcnt_bctr_0)) + (portRef C0 (instanceRef bdcnt_bctr_0)) + (portRef D1 (instanceRef bdcnt_bctr_1)) + (portRef D0 (instanceRef bdcnt_bctr_1)) + (portRef C1 (instanceRef bdcnt_bctr_1)) + (portRef C0 (instanceRef bdcnt_bctr_1)) + (portRef D1 (instanceRef bdcnt_bctr_2)) + (portRef D0 (instanceRef bdcnt_bctr_2)) + (portRef C1 (instanceRef bdcnt_bctr_2)) + (portRef C0 (instanceRef bdcnt_bctr_2)) + (portRef D1 (instanceRef bdcnt_bctr_3)) + (portRef D0 (instanceRef bdcnt_bctr_3)) + (portRef C1 (instanceRef bdcnt_bctr_3)) + (portRef C0 (instanceRef bdcnt_bctr_3)) + (portRef D1 (instanceRef bdcnt_bctr_4)) + (portRef D0 (instanceRef bdcnt_bctr_4)) + (portRef C1 (instanceRef bdcnt_bctr_4)) + (portRef C0 (instanceRef bdcnt_bctr_4)) + (portRef C1 (instanceRef e_cmp_ci_a)) + (portRef C0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_ci_a)) + (portRef D0 (instanceRef e_cmp_ci_a)) + (portRef B1 (instanceRef e_cmp_ci_a)) + (portRef B0 (instanceRef e_cmp_ci_a)) + (portRef A1 (instanceRef e_cmp_ci_a)) + (portRef A0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_0)) + (portRef D0 (instanceRef e_cmp_0)) + (portRef C1 (instanceRef e_cmp_0)) + (portRef C0 (instanceRef e_cmp_0)) + (portRef D1 (instanceRef e_cmp_1)) + (portRef D0 (instanceRef e_cmp_1)) + (portRef C1 (instanceRef e_cmp_1)) + (portRef C0 (instanceRef e_cmp_1)) + (portRef D1 (instanceRef e_cmp_2)) + (portRef D0 (instanceRef e_cmp_2)) + (portRef C1 (instanceRef e_cmp_2)) + (portRef C0 (instanceRef e_cmp_2)) + (portRef D1 (instanceRef e_cmp_3)) + (portRef D0 (instanceRef e_cmp_3)) + (portRef C1 (instanceRef e_cmp_3)) + (portRef C0 (instanceRef e_cmp_3)) + (portRef D1 (instanceRef e_cmp_4)) + (portRef D0 (instanceRef e_cmp_4)) + (portRef C1 (instanceRef e_cmp_4)) + (portRef C0 (instanceRef e_cmp_4)) + (portRef C1 (instanceRef a0)) + (portRef C0 (instanceRef a0)) + (portRef D1 (instanceRef a0)) + (portRef D0 (instanceRef a0)) + (portRef C1 (instanceRef g_cmp_ci_a)) + (portRef C0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_ci_a)) + (portRef D0 (instanceRef g_cmp_ci_a)) + (portRef B1 (instanceRef g_cmp_ci_a)) + (portRef B0 (instanceRef g_cmp_ci_a)) + (portRef A1 (instanceRef g_cmp_ci_a)) + (portRef A0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_0)) + (portRef D0 (instanceRef g_cmp_0)) + (portRef C1 (instanceRef g_cmp_0)) + (portRef C0 (instanceRef g_cmp_0)) + (portRef D1 (instanceRef g_cmp_1)) + (portRef D0 (instanceRef g_cmp_1)) + (portRef C1 (instanceRef g_cmp_1)) + (portRef C0 (instanceRef g_cmp_1)) + (portRef D1 (instanceRef g_cmp_2)) + (portRef D0 (instanceRef g_cmp_2)) + (portRef C1 (instanceRef g_cmp_2)) + (portRef C0 (instanceRef g_cmp_2)) + (portRef D1 (instanceRef g_cmp_3)) + (portRef D0 (instanceRef g_cmp_3)) + (portRef C1 (instanceRef g_cmp_3)) + (portRef C0 (instanceRef g_cmp_3)) + (portRef D1 (instanceRef g_cmp_4)) + (portRef D0 (instanceRef g_cmp_4)) + (portRef C1 (instanceRef g_cmp_4)) + (portRef C0 (instanceRef g_cmp_4)) + (portRef C1 (instanceRef a1)) + (portRef C0 (instanceRef a1)) + (portRef D1 (instanceRef a1)) + (portRef D0 (instanceRef a1)) + (portRef C1 (instanceRef w_ctr_cia)) + (portRef C0 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_cia)) + (portRef D0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_cia)) + (portRef A1 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_0)) + (portRef D0 (instanceRef w_ctr_0)) + (portRef C1 (instanceRef w_ctr_0)) + (portRef C0 (instanceRef w_ctr_0)) + (portRef D1 (instanceRef w_ctr_1)) + (portRef D0 (instanceRef w_ctr_1)) + (portRef C1 (instanceRef w_ctr_1)) + (portRef C0 (instanceRef w_ctr_1)) + (portRef D1 (instanceRef w_ctr_2)) + (portRef D0 (instanceRef w_ctr_2)) + (portRef C1 (instanceRef w_ctr_2)) + (portRef C0 (instanceRef w_ctr_2)) + (portRef D1 (instanceRef w_ctr_3)) + (portRef D0 (instanceRef w_ctr_3)) + (portRef C1 (instanceRef w_ctr_3)) + (portRef C0 (instanceRef w_ctr_3)) + (portRef D1 (instanceRef w_ctr_4)) + (portRef D0 (instanceRef w_ctr_4)) + (portRef C1 (instanceRef w_ctr_4)) + (portRef C0 (instanceRef w_ctr_4)) + (portRef C1 (instanceRef r_ctr_cia)) + (portRef C0 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_cia)) + (portRef D0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_cia)) + (portRef A1 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_0)) + (portRef D0 (instanceRef r_ctr_0)) + (portRef C1 (instanceRef r_ctr_0)) + (portRef C0 (instanceRef r_ctr_0)) + (portRef D1 (instanceRef r_ctr_1)) + (portRef D0 (instanceRef r_ctr_1)) + (portRef C1 (instanceRef r_ctr_1)) + (portRef C0 (instanceRef r_ctr_1)) + (portRef D1 (instanceRef r_ctr_2)) + (portRef D0 (instanceRef r_ctr_2)) + (portRef C1 (instanceRef r_ctr_2)) + (portRef C0 (instanceRef r_ctr_2)) + (portRef D1 (instanceRef r_ctr_3)) + (portRef D0 (instanceRef r_ctr_3)) + (portRef C1 (instanceRef r_ctr_3)) + (portRef C0 (instanceRef r_ctr_3)) + (portRef D1 (instanceRef r_ctr_4)) + (portRef D0 (instanceRef r_ctr_4)) + (portRef C1 (instanceRef r_ctr_4)) + (portRef C0 (instanceRef r_ctr_4)) + (portRef C1 (instanceRef precin_inst272)) + (portRef C0 (instanceRef precin_inst272)) + (portRef D1 (instanceRef precin_inst272)) + (portRef D0 (instanceRef precin_inst272)) + (portRef B1 (instanceRef precin_inst272)) + (portRef B0 (instanceRef precin_inst272)) + (portRef A1 (instanceRef precin_inst272)) + (portRef A0 (instanceRef precin_inst272)) + (portRef C1 (instanceRef wcnt_0)) + (portRef C0 (instanceRef wcnt_0)) + (portRef D1 (instanceRef wcnt_0)) + (portRef D0 (instanceRef wcnt_0)) + (portRef C1 (instanceRef wcnt_1)) + (portRef C0 (instanceRef wcnt_1)) + (portRef D1 (instanceRef wcnt_1)) + (portRef D0 (instanceRef wcnt_1)) + (portRef C1 (instanceRef wcnt_2)) + (portRef C0 (instanceRef wcnt_2)) + (portRef D1 (instanceRef wcnt_2)) + (portRef D0 (instanceRef wcnt_2)) + (portRef C1 (instanceRef wcnt_3)) + (portRef C0 (instanceRef wcnt_3)) + (portRef D1 (instanceRef wcnt_3)) + (portRef D0 (instanceRef wcnt_3)) + (portRef C1 (instanceRef wcnt_4)) + (portRef C0 (instanceRef wcnt_4)) + (portRef D1 (instanceRef wcnt_4)) + (portRef D0 (instanceRef wcnt_4)) + (portRef C1 (instanceRef wcnt_5)) + (portRef C0 (instanceRef wcnt_5)) + (portRef D1 (instanceRef wcnt_5)) + (portRef D0 (instanceRef wcnt_5)) + (portRef C1 (instanceRef af_set_cmp_ci_a)) + (portRef C0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_ci_a)) + (portRef D0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_0)) + (portRef D0 (instanceRef af_set_cmp_0)) + (portRef C1 (instanceRef af_set_cmp_0)) + (portRef C0 (instanceRef af_set_cmp_0)) + (portRef D1 (instanceRef af_set_cmp_1)) + (portRef D0 (instanceRef af_set_cmp_1)) + (portRef C1 (instanceRef af_set_cmp_1)) + (portRef C0 (instanceRef af_set_cmp_1)) + (portRef D1 (instanceRef af_set_cmp_2)) + (portRef D0 (instanceRef af_set_cmp_2)) + (portRef C1 (instanceRef af_set_cmp_2)) + (portRef C0 (instanceRef af_set_cmp_2)) + (portRef D1 (instanceRef af_set_cmp_3)) + (portRef D0 (instanceRef af_set_cmp_3)) + (portRef C1 (instanceRef af_set_cmp_3)) + (portRef C0 (instanceRef af_set_cmp_3)) + (portRef D1 (instanceRef af_set_cmp_4)) + (portRef D0 (instanceRef af_set_cmp_4)) + (portRef C1 (instanceRef af_set_cmp_4)) + (portRef C0 (instanceRef af_set_cmp_4)) + (portRef C1 (instanceRef a2)) + (portRef C0 (instanceRef a2)) + (portRef D1 (instanceRef a2)) + (portRef D0 (instanceRef a2)))) + (net scuba_vlo + (joined + (portRef Z (instanceRef scuba_vlo_inst)) + (portRef AD3 (instanceRef LUT4_1)) + (portRef AD3 (instanceRef LUT4_0)) + (portRef CSR2 (instanceRef pdp_ram_0_0_0)) + (portRef CSW2 (instanceRef pdp_ram_0_0_0)) + (portRef CSR1 (instanceRef pdp_ram_0_0_0)) + (portRef CSW1 (instanceRef pdp_ram_0_0_0)) + (portRef ADR4 (instanceRef pdp_ram_0_0_0)) + (portRef ADR3 (instanceRef pdp_ram_0_0_0)) + (portRef ADR2 (instanceRef pdp_ram_0_0_0)) + (portRef ADR1 (instanceRef pdp_ram_0_0_0)) + (portRef ADR0 (instanceRef pdp_ram_0_0_0)) + (portRef B0 (instanceRef bdcnt_bctr_cia)) + (portRef A0 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef e_cmp_0)) + (portRef A0 (instanceRef e_cmp_1)) + (portRef A1 (instanceRef e_cmp_1)) + (portRef A0 (instanceRef e_cmp_2)) + (portRef A1 (instanceRef e_cmp_2)) + (portRef A0 (instanceRef e_cmp_3)) + (portRef A1 (instanceRef e_cmp_3)) + (portRef A0 (instanceRef e_cmp_4)) + (portRef A1 (instanceRef e_cmp_4)) + (portRef B1 (instanceRef a0)) + (portRef B0 (instanceRef a0)) + (portRef A1 (instanceRef a0)) + (portRef A0 (instanceRef a0)) + (portRef B1 (instanceRef a1)) + (portRef B0 (instanceRef a1)) + (portRef A1 (instanceRef a1)) + (portRef A0 (instanceRef a1)) + (portRef B0 (instanceRef w_ctr_cia)) + (portRef A0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_0)) + (portRef B0 (instanceRef w_ctr_0)) + (portRef B1 (instanceRef w_ctr_1)) + (portRef B0 (instanceRef w_ctr_1)) + (portRef B1 (instanceRef w_ctr_2)) + (portRef B0 (instanceRef w_ctr_2)) + (portRef B1 (instanceRef w_ctr_3)) + (portRef B0 (instanceRef w_ctr_3)) + (portRef B1 (instanceRef w_ctr_4)) + (portRef B0 (instanceRef w_ctr_4)) + (portRef B0 (instanceRef r_ctr_cia)) + (portRef A0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_0)) + (portRef B0 (instanceRef r_ctr_0)) + (portRef B1 (instanceRef r_ctr_1)) + (portRef B0 (instanceRef r_ctr_1)) + (portRef B1 (instanceRef r_ctr_2)) + (portRef B0 (instanceRef r_ctr_2)) + (portRef B1 (instanceRef r_ctr_3)) + (portRef B0 (instanceRef r_ctr_3)) + (portRef B1 (instanceRef r_ctr_4)) + (portRef B0 (instanceRef r_ctr_4)) + (portRef B1 (instanceRef wcnt_5)) + (portRef B0 (instanceRef wcnt_5)) + (portRef A1 (instanceRef wcnt_5)) + (portRef B0 (instanceRef af_set_cmp_ci_a)) + (portRef A0 (instanceRef af_set_cmp_ci_a)) + (portRef B1 (instanceRef af_set_cmp_4)) + (portRef B1 (instanceRef a2)) + (portRef B0 (instanceRef a2)) + (portRef A1 (instanceRef a2)) + (portRef A0 (instanceRef a2)))) + (net af_set_c + (joined + (portRef CIN (instanceRef a2)) + (portRef COUT (instanceRef af_set_cmp_4)))) + (net partial_full + (joined + (portRef AlmostFull) + (portRef Q (instanceRef FF_0)))) + (net Full + (joined + (portRef Full) + (portRef Q (instanceRef FF_51)) + (portRef A (instanceRef INV_5)) + (portRef AD0 (instanceRef LUT4_0)))) + (net Empty + (joined + (portRef Empty) + (portRef Q (instanceRef FF_52)) + (portRef A (instanceRef INV_4)) + (portRef AD0 (instanceRef LUT4_1)))) + (net WCNT9 + (joined + (portRef (member WCNT 0)) + (portRef A1 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_53)) + (portRef A1 (instanceRef bdcnt_bctr_4)) + (portRef B1 (instanceRef e_cmp_4)))) + (net WCNT8 + (joined + (portRef (member WCNT 1)) + (portRef A0 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_54)) + (portRef A0 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef e_cmp_4)))) + (net WCNT7 + (joined + (portRef (member WCNT 2)) + (portRef A1 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_55)) + (portRef A1 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef e_cmp_3)))) + (net WCNT6 + (joined + (portRef (member WCNT 3)) + (portRef A0 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_56)) + (portRef A0 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef e_cmp_3)))) + (net WCNT5 + (joined + (portRef (member WCNT 4)) + (portRef A1 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_57)) + (portRef A1 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef e_cmp_2)))) + (net WCNT4 + (joined + (portRef (member WCNT 5)) + (portRef A0 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_58)) + (portRef A0 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef e_cmp_2)))) + (net WCNT3 + (joined + (portRef (member WCNT 6)) + (portRef A1 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_59)) + (portRef A1 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef e_cmp_1)))) + (net WCNT2 + (joined + (portRef (member WCNT 7)) + (portRef A0 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_60)) + (portRef A0 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef e_cmp_1)))) + (net WCNT1 + (joined + (portRef (member WCNT 8)) + (portRef A1 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_61)) + (portRef A1 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef e_cmp_0)))) + (net WCNT0 + (joined + (portRef (member WCNT 9)) + (portRef A0 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_62)) + (portRef A0 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef e_cmp_0)))) + (net dataout35 + (joined + (portRef (member Q 0)) + (portRef DO17 (instanceRef pdp_ram_0_0_0)))) + (net dataout34 + (joined + (portRef (member Q 1)) + (portRef DO16 (instanceRef pdp_ram_0_0_0)))) + (net dataout33 + (joined + (portRef (member Q 2)) + (portRef DO15 (instanceRef pdp_ram_0_0_0)))) + (net dataout32 + (joined + (portRef (member Q 3)) + (portRef DO14 (instanceRef pdp_ram_0_0_0)))) + (net dataout31 + (joined + (portRef (member Q 4)) + (portRef DO13 (instanceRef pdp_ram_0_0_0)))) + (net dataout30 + (joined + (portRef (member Q 5)) + (portRef DO12 (instanceRef pdp_ram_0_0_0)))) + (net dataout29 + (joined + (portRef (member Q 6)) + (portRef DO11 (instanceRef pdp_ram_0_0_0)))) + (net dataout28 + (joined + (portRef (member Q 7)) + (portRef DO10 (instanceRef pdp_ram_0_0_0)))) + (net dataout27 + (joined + (portRef (member Q 8)) + (portRef DO9 (instanceRef pdp_ram_0_0_0)))) + (net dataout26 + (joined + (portRef (member Q 9)) + (portRef DO8 (instanceRef pdp_ram_0_0_0)))) + (net dataout25 + (joined + (portRef (member Q 10)) + (portRef DO7 (instanceRef pdp_ram_0_0_0)))) + (net dataout24 + (joined + (portRef (member Q 11)) + (portRef DO6 (instanceRef pdp_ram_0_0_0)))) + (net dataout23 + (joined + (portRef (member Q 12)) + (portRef DO5 (instanceRef pdp_ram_0_0_0)))) + (net dataout22 + (joined + (portRef (member Q 13)) + (portRef DO4 (instanceRef pdp_ram_0_0_0)))) + (net dataout21 + (joined + (portRef (member Q 14)) + (portRef DO3 (instanceRef pdp_ram_0_0_0)))) + (net dataout20 + (joined + (portRef (member Q 15)) + (portRef DO2 (instanceRef pdp_ram_0_0_0)))) + (net dataout19 + (joined + (portRef (member Q 16)) + (portRef DO1 (instanceRef pdp_ram_0_0_0)))) + (net dataout18 + (joined + (portRef (member Q 17)) + (portRef DO0 (instanceRef pdp_ram_0_0_0)))) + (net dataout17 + (joined + (portRef (member Q 18)) + (portRef DO35 (instanceRef pdp_ram_0_0_0)))) + (net dataout16 + (joined + (portRef (member Q 19)) + (portRef DO34 (instanceRef pdp_ram_0_0_0)))) + (net dataout15 + (joined + (portRef (member Q 20)) + (portRef DO33 (instanceRef pdp_ram_0_0_0)))) + (net dataout14 + (joined + (portRef (member Q 21)) + (portRef DO32 (instanceRef pdp_ram_0_0_0)))) + (net dataout13 + (joined + (portRef (member Q 22)) + (portRef DO31 (instanceRef pdp_ram_0_0_0)))) + (net dataout12 + (joined + (portRef (member Q 23)) + (portRef DO30 (instanceRef pdp_ram_0_0_0)))) + (net dataout11 + (joined + (portRef (member Q 24)) + (portRef DO29 (instanceRef pdp_ram_0_0_0)))) + (net dataout10 + (joined + (portRef (member Q 25)) + (portRef DO28 (instanceRef pdp_ram_0_0_0)))) + (net dataout9 + (joined + (portRef (member Q 26)) + (portRef DO27 (instanceRef pdp_ram_0_0_0)))) + (net dataout8 + (joined + (portRef (member Q 27)) + (portRef DO26 (instanceRef pdp_ram_0_0_0)))) + (net dataout7 + (joined + (portRef (member Q 28)) + (portRef DO25 (instanceRef pdp_ram_0_0_0)))) + (net dataout6 + (joined + (portRef (member Q 29)) + (portRef DO24 (instanceRef pdp_ram_0_0_0)))) + (net dataout5 + (joined + (portRef (member Q 30)) + (portRef DO23 (instanceRef pdp_ram_0_0_0)))) + (net dataout4 + (joined + (portRef (member Q 31)) + (portRef DO22 (instanceRef pdp_ram_0_0_0)))) + (net dataout3 + (joined + (portRef (member Q 32)) + (portRef DO21 (instanceRef pdp_ram_0_0_0)))) + (net dataout2 + (joined + (portRef (member Q 33)) + (portRef DO20 (instanceRef pdp_ram_0_0_0)))) + (net dataout1 + (joined + (portRef (member Q 34)) + (portRef DO19 (instanceRef pdp_ram_0_0_0)))) + (net dataout0 + (joined + (portRef (member Q 35)) + (portRef DO18 (instanceRef pdp_ram_0_0_0)))) + (net AmFullThresh8 + (joined + (portRef (member AmFullThresh 0)) + (portRef B0 (instanceRef af_set_cmp_4)))) + (net AmFullThresh7 + (joined + (portRef (member AmFullThresh 1)) + (portRef B1 (instanceRef af_set_cmp_3)))) + (net AmFullThresh6 + (joined + (portRef (member AmFullThresh 2)) + (portRef B0 (instanceRef af_set_cmp_3)))) + (net AmFullThresh5 + (joined + (portRef (member AmFullThresh 3)) + (portRef B1 (instanceRef af_set_cmp_2)))) + (net AmFullThresh4 + (joined + (portRef (member AmFullThresh 4)) + (portRef B0 (instanceRef af_set_cmp_2)))) + (net AmFullThresh3 + (joined + (portRef (member AmFullThresh 5)) + (portRef B1 (instanceRef af_set_cmp_1)))) + (net AmFullThresh2 + (joined + (portRef (member AmFullThresh 6)) + (portRef B0 (instanceRef af_set_cmp_1)))) + (net AmFullThresh1 + (joined + (portRef (member AmFullThresh 7)) + (portRef B1 (instanceRef af_set_cmp_0)))) + (net AmFullThresh0 + (joined + (portRef (member AmFullThresh 8)) + (portRef B0 (instanceRef af_set_cmp_0)))) + (net reset + (joined + (portRef Reset) + (portRef RST (instanceRef pdp_ram_0_0_0)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_61)) + (portRef CD (instanceRef FF_60)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_53)) + (portRef PD (instanceRef FF_52)) + (portRef CD (instanceRef FF_51)) + (portRef PD (instanceRef FF_50)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_41)) + (portRef PD (instanceRef FF_40)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_15)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_0)))) + (net rden + (joined + (portRef RdEn) + (portRef A (instanceRef AND2_t4)))) + (net wren + (joined + (portRef WrEn) + (portRef A (instanceRef AND2_t5)))) + (net clk + (joined + (portRef Clock) + (portRef CLKR (instanceRef pdp_ram_0_0_0)) + (portRef CLKW (instanceRef pdp_ram_0_0_0)) + (portRef CK (instanceRef FF_62)) + (portRef CK (instanceRef FF_61)) + (portRef CK (instanceRef FF_60)) + (portRef CK (instanceRef FF_59)) + (portRef CK (instanceRef FF_58)) + (portRef CK (instanceRef FF_57)) + (portRef CK (instanceRef FF_56)) + (portRef CK (instanceRef FF_55)) + (portRef CK (instanceRef FF_54)) + (portRef CK (instanceRef FF_53)) + (portRef CK (instanceRef FF_52)) + (portRef CK (instanceRef FF_51)) + (portRef CK (instanceRef FF_50)) + (portRef CK (instanceRef FF_49)) + (portRef CK (instanceRef FF_48)) + (portRef CK (instanceRef FF_47)) + (portRef CK (instanceRef FF_46)) + (portRef CK (instanceRef FF_45)) + (portRef CK (instanceRef FF_44)) + (portRef CK (instanceRef FF_43)) + (portRef CK (instanceRef FF_42)) + (portRef CK (instanceRef FF_41)) + (portRef CK (instanceRef FF_40)) + (portRef CK (instanceRef FF_39)) + (portRef CK (instanceRef FF_38)) + (portRef CK (instanceRef FF_37)) + (portRef CK (instanceRef FF_36)) + (portRef CK (instanceRef FF_35)) + (portRef CK (instanceRef FF_34)) + (portRef CK (instanceRef FF_33)) + (portRef CK (instanceRef FF_32)) + (portRef CK (instanceRef FF_31)) + (portRef CK (instanceRef FF_30)) + (portRef CK (instanceRef FF_29)) + (portRef CK (instanceRef FF_28)) + (portRef CK (instanceRef FF_27)) + (portRef CK (instanceRef FF_26)) + (portRef CK (instanceRef FF_25)) + (portRef CK (instanceRef FF_24)) + (portRef CK (instanceRef FF_23)) + (portRef CK (instanceRef FF_22)) + (portRef CK (instanceRef FF_21)) + (portRef CK (instanceRef FF_20)) + (portRef CK (instanceRef FF_19)) + (portRef CK (instanceRef FF_18)) + (portRef CK (instanceRef FF_17)) + (portRef CK (instanceRef FF_16)) + (portRef CK (instanceRef FF_15)) + (portRef CK (instanceRef FF_14)) + (portRef CK (instanceRef FF_13)) + (portRef CK (instanceRef FF_12)) + (portRef CK (instanceRef FF_11)) + (portRef CK (instanceRef FF_10)) + (portRef CK (instanceRef FF_9)) + (portRef CK (instanceRef FF_8)) + (portRef CK (instanceRef FF_7)) + (portRef CK (instanceRef FF_6)) + (portRef CK (instanceRef FF_5)) + (portRef CK (instanceRef FF_4)) + (portRef CK (instanceRef FF_3)) + (portRef CK (instanceRef FF_2)) + (portRef CK (instanceRef FF_1)) + (portRef CK (instanceRef FF_0)))) + (net datain35 + (joined + (portRef (member Data 0)) + (portRef DI35 (instanceRef pdp_ram_0_0_0)))) + (net datain34 + (joined + (portRef (member Data 1)) + (portRef DI34 (instanceRef pdp_ram_0_0_0)))) + (net datain33 + (joined + (portRef (member Data 2)) + (portRef DI33 (instanceRef pdp_ram_0_0_0)))) + (net datain32 + (joined + (portRef (member Data 3)) + (portRef DI32 (instanceRef pdp_ram_0_0_0)))) + (net datain31 + (joined + (portRef (member Data 4)) + (portRef DI31 (instanceRef pdp_ram_0_0_0)))) + (net datain30 + (joined + (portRef (member Data 5)) + (portRef DI30 (instanceRef pdp_ram_0_0_0)))) + (net datain29 + (joined + (portRef (member Data 6)) + (portRef DI29 (instanceRef pdp_ram_0_0_0)))) + (net datain28 + (joined + (portRef (member Data 7)) + (portRef DI28 (instanceRef pdp_ram_0_0_0)))) + (net datain27 + (joined + (portRef (member Data 8)) + (portRef DI27 (instanceRef pdp_ram_0_0_0)))) + (net datain26 + (joined + (portRef (member Data 9)) + (portRef DI26 (instanceRef pdp_ram_0_0_0)))) + (net datain25 + (joined + (portRef (member Data 10)) + (portRef DI25 (instanceRef pdp_ram_0_0_0)))) + (net datain24 + (joined + (portRef (member Data 11)) + (portRef DI24 (instanceRef pdp_ram_0_0_0)))) + (net datain23 + (joined + (portRef (member Data 12)) + (portRef DI23 (instanceRef pdp_ram_0_0_0)))) + (net datain22 + (joined + (portRef (member Data 13)) + (portRef DI22 (instanceRef pdp_ram_0_0_0)))) + (net datain21 + (joined + (portRef (member Data 14)) + (portRef DI21 (instanceRef pdp_ram_0_0_0)))) + (net datain20 + (joined + (portRef (member Data 15)) + (portRef DI20 (instanceRef pdp_ram_0_0_0)))) + (net datain19 + (joined + (portRef (member Data 16)) + (portRef DI19 (instanceRef pdp_ram_0_0_0)))) + (net datain18 + (joined + (portRef (member Data 17)) + (portRef DI18 (instanceRef pdp_ram_0_0_0)))) + (net datain17 + (joined + (portRef (member Data 18)) + (portRef DI17 (instanceRef pdp_ram_0_0_0)))) + (net datain16 + (joined + (portRef (member Data 19)) + (portRef DI16 (instanceRef pdp_ram_0_0_0)))) + (net datain15 + (joined + (portRef (member Data 20)) + (portRef DI15 (instanceRef pdp_ram_0_0_0)))) + (net datain14 + (joined + (portRef (member Data 21)) + (portRef DI14 (instanceRef pdp_ram_0_0_0)))) + (net datain13 + (joined + (portRef (member Data 22)) + (portRef DI13 (instanceRef pdp_ram_0_0_0)))) + (net datain12 + (joined + (portRef (member Data 23)) + (portRef DI12 (instanceRef pdp_ram_0_0_0)))) + (net datain11 + (joined + (portRef (member Data 24)) + (portRef DI11 (instanceRef pdp_ram_0_0_0)))) + (net datain10 + (joined + (portRef (member Data 25)) + (portRef DI10 (instanceRef pdp_ram_0_0_0)))) + (net datain9 + (joined + (portRef (member Data 26)) + (portRef DI9 (instanceRef pdp_ram_0_0_0)))) + (net datain8 + (joined + (portRef (member Data 27)) + (portRef DI8 (instanceRef pdp_ram_0_0_0)))) + (net datain7 + (joined + (portRef (member Data 28)) + (portRef DI7 (instanceRef pdp_ram_0_0_0)))) + (net datain6 + (joined + (portRef (member Data 29)) + (portRef DI6 (instanceRef pdp_ram_0_0_0)))) + (net datain5 + (joined + (portRef (member Data 30)) + (portRef DI5 (instanceRef pdp_ram_0_0_0)))) + (net datain4 + (joined + (portRef (member Data 31)) + (portRef DI4 (instanceRef pdp_ram_0_0_0)))) + (net datain3 + (joined + (portRef (member Data 32)) + (portRef DI3 (instanceRef pdp_ram_0_0_0)))) + (net datain2 + (joined + (portRef (member Data 33)) + (portRef DI2 (instanceRef pdp_ram_0_0_0)))) + (net datain1 + (joined + (portRef (member Data 34)) + (portRef DI1 (instanceRef pdp_ram_0_0_0)))) + (net datain0 + (joined + (portRef (member Data 35)) + (portRef DI0 (instanceRef pdp_ram_0_0_0)))))))) + (design fifo_36x512_oreg + (cellRef fifo_36x512_oreg + (libraryRef ORCLIB))) +) diff --git a/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.fdc b/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.fdc new file mode 100644 index 0000000..6fbcac9 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.fdc @@ -0,0 +1,2 @@ +###==== Start Configuration + diff --git a/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.lpc b/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.lpc new file mode 100644 index 0000000..c0b44aa --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.lpc @@ -0,0 +1,50 @@ +[Device] +Family=ecp5um +PartType=LFE5UM-85F +PartName=LFE5UM-85F-8MG285C +SpeedGrade=8 +Package=CSFBGA285 +OperatingCondition=COM +Status=C + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=FIFO +CoreRevision=5.0 +ModuleName=fifo_36x512_oreg +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=03/18/2015 +Time=14:40:02 + +[Parameters] +Verilog=0 +VHDL=1 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +FIFOImp=EBR Based +Depth=512 +Width=36 +regout=1 +CtrlByRdEn=0 +EmpFlg=0 +PeMode=Static - Dual Threshold +PeAssert=10 +PeDeassert=12 +FullFlg=1 +PfMode=Dynamic - Single Threshold +PfAssert=508 +PfDeassert=506 +Reset=Async +Reset1=Sync +RDataCount=1 +EnECC=0 +EnFWFT=0 + +[Command] +cmd_line= -w -n fifo_36x512_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 512 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill diff --git a/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.ngd b/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.ngd new file mode 100644 index 0000000000000000000000000000000000000000..22ea4f09e473c0332b4654d119216653e0324404 GIT binary patch literal 133300 zcmbq+34Bw<_J3w*Srj#_vJ;R^WJwpGAV^AEpe!X&_5w|smPJ`2iz1>%L{vnK`b6BL zqQ3WBULMcw`CQ_@V?>|(^TnULMT|5F6;c1^%$d1&?rrM7pU=ZjZ038;oSE}IGjr!o z?#zwF6Ulr>-YAFocOv`#2{(LW%U3O5S6wiA^QgT1>UHbuRyf#?&!Pt3;2#xqyVp2a zs)H95*RH6o*|chP?Kqd~yt?%pR;^p>DqZDYvuaqqR8!F z-~ZEtnP!wvno~Sy5vF4zJ-KYuyqUv`Mok9jabdT#Y<_u386+QzqejV`vf{aA)pN^d zmQ~Izp6P}1PZ4^P=9SMVt)5#vXG$6TuVg9+I_Ux}L$0ty8RnPGsVtvW0oqPoTbL=C zSqkL>4{aD0Kd)V=uoc!EDS$7Jd$HOK%OO!JH;!zy!YT=x(CEXvA1!k@az?ZIok?(@ zxV)l#F4TN3xKzIi5k9B3Y%P@oiKcvi{~c#GYu@mrBT-$;KHMEUuFwHvGR zLHu7c3Goup2b3eQZj;n`i+~_lEQBlvC<`WwFiFz&b!)3vLGo>p+>GByPY9}4tvwH* zxS4ezoP zGKMbwo8$ywMc>yousZMS8c50qx(3$pLmj|EKb8QHx?xj|VDeLGMM7x!6RQ>${+4bD zo%>K`69Ga8KC#+av!MpSkF6m3^{KQZ%R}D&V}-Eh|1~pWlR&MHObF|amH3YpLY6UVZFXI zA++)()6^tk|bif>3R0Y4yLX)0*{O)ZTkzSVLdx8G@L zEU{js*JJm90MRI$Fh<$$e-PpgflW33>ho4&6%Hk_-%DimIzYbHbylx~F1leOD(djc zRSNz=7%B%~OsBP2C@)L{Z@agdN>!H;GZbnr*gP=id;lwvfJrWEP_ z$&?~lKbcbG<_JmaHq@a%4U~eSF+rN59*|6t%rKCYBjkKS(2wI<9;D(Ip%JVNRwVZxNkh3x%e$pwtpJ1ja7rFw4B z0gCFG1p!j(xg{5PQQyjffV=g%luOnJXsT~zK}4M?m(;=1b1ewgupQ-EPIM#Envk{U zt=qJ*8mrUVk_oHRhE$|saJ{~bMF%U`)}n)U+L8_#Ml&Z6Aj?KhPoPYsf>ur>K&qgd z?JSwl%@ZvMx_PoC7usoWL9p=lmRuN!lPo&u)kzi|^uZ~R71eRL={VyyYeB(_axN30G8Kv*e+3rZ^HR*fC!UOJ@U zbO5^wu%;HLRyEi&(ab;r*7`U4A*^{wKqrCJtqE?tKos05hy(L-%!NZ#eMgcDSEXy6 zg-V@((6x?Q8eQw6rLl<4nhA8Zn@Gz_6kxTwXd0OAscT>n-E{zC+ark8lr}K@J#-Ch zL_Kv4Y%;xc0GoJs9VozJdg(?AP^Y&=2fgWS(LsOvSai_C{yLJ69`(_4EIL@h zz7`$y!KLe9QGInFAN_V&G9fknEC@Q$--4hMr&;Wv<Wjs7GiyM7J5CX%wLABXtcVZKSS&Zn!m#e5|Hh*MM|^u7GS6 zXbSo0NWKoBCxv3Tqje2PkI~Y3 zSkWHyY!teypHK2F!bnx83vz`8=bd#x|h#n?{9=?1V;XX*f?$7?w-!+0S_ zdl;_?LW(KOTPkJvy;w@}@y@J%f|Ot#&XSS>AvsG)sSvIStW-5#j%OMWTrkm21?2;s6?N6M0x?tsBC^dgv>+Q+ZI+>g zlj{1}LatrV1v;}Siqj9T$g3%1Gn#D&v6MM>1IWW1yCzn8z5xBptKm*YO?~R;*+Dr; zm@mv~%25b9*q4Jy~h@oIva)j@x)gV(ilD`14T7#7{?mtuBi zPRGXLbZm(Y93#M_RkH}er8aOBg3D~#M+?xVS$I0suaj5V90HTU)1i;?X@8AbF{pS| z(NZv5Ygj;%s*My5CcdD`gyXT#kkK474=dm|R0zx$piR?_V2z=PBXf+LfA;az& zFl5*`Y7H5-pE@Iz@8Bb6MpXsLQ}k*qdR1qbfPhVZCec)F)KUkpdLhzOFa`uO-prC? zGziB2HA{&FAjn&hMNkNWdDDDN%g6)4)Y|~3Mq*YzA9LItvoC&b(hcV-GX>h?#BlrMN^d>&z>5#<&wp%{_6KnARlJ=0#L0O{}93?@) zR$HMMw!zE1R&8t+^B-_pL5zI<&o<4`(r(e*xK(?U6citdSOwS|YM%m3uU?_lu6^2P z6%>#F`eYCUCm6gqc!#&$R#j|H!(Vb)L2OF3&&2E?kx?77Y3IpmES?at=Ddt^JmP0@5|vk+dp@aw+xu$Slz05m1T3Pi)WMJ)~e14x!lH^2B&q zDQwNILVW2E7;m94p*p8>u7kH0S6x15)=US_-RlMu_&sm*LL6Mzh^%wUD$C~1oK=dy zpsc2xWm80&^L-If3)FD%F8vaax_D066x0-XRk7kKu|{N8khsLGwP=Ye#9E7%xaLr= zR~g~r!iUcZW5K$&1<+bq{T55^JaHikTCjY9oom4g#8qH@P}r1A6$q-C6LaUx|<6;XY%w1%G@`M*# zt(fp)Yfuklp73Ie6%$@uWW~^ni>(k8%lmE$gSm;bD;&K1zaC*(>ts08_LF{UFkT=k zT1D;JcqQodpO_uSYujiVvn)*-;E5X}c5NK;EAMRr<7mC?L!Vu{0M+L;HJ*<(pH$OS z9|zFW?`=}`0vw+QKOJvkA1_Ppoyd{3KjI+2iTQkFvh1uT z=JS!WK_`M0Yq9xQ&EhY-O>m?qFO_J5BRym50Jhmdw+aTko8U;#h)zxIqq_rsiQDxN z9>2xc1QxTtbxFXVo(=Q2b6vUyEGO{ZgFHF}>nnWjRZbleV?0)8%2VzpIJ(>C+DH=| zqwWoOo8U-i;RDGgIMOqnu5WtN%tM;{?2k9mN7~N%tSOE>oJDt@$_`BPi+*cTB0A*0 zAfX$E>EMiFuZ{pep23!^Fpc!~aOyfh*ur)m9f4WkgpkilsC>a-y{6)3q&KaRNCw3% zLG8CLEu(QmFnpL^g18;1{Vt?ys+)n@?-M$#ZUq)}x0H_jP8jUbHL+XQ9ik1O z*Hp9pS*=|I`tDB16>nu2qbz={BEvh(7 z)xPerVX|sR05%$Il`3suA4?R)m96%Th(%T1L(Z?Z;&{=leG61Ivqqyi;IrVW)l9rD zrsH|yN@|T~KC(W(gSB4RyarsF)bonTLhaiYdw@xI-|<;=Rn!ar?Xln@ng?SxOxk$Q zWx-W6=YD9#MawxeXwk*+)c!kU!=&B!6Bb-Wc<^eU1sCD`Fl58XM()=h9mguvHu$WN zEbXI&1y<2KZIjo6Bg4}U0%S%r4==zK2dtQg`X?TXo)Ps=BNkjmy=aDoojfrzdhcO$#{jGJ2x!811hjs;FgvqsX7vnjN%iFN8Cc=V(E^(>Z*E~V zT=iOS^`ey-^GjyVs?5g~*2_#eT)$gi7zg>p8I|b47NJ`{t6Hq0u=-1qXsWzoKK!7; zTVIBnFP6`mG!LFNt-l;)tw9FguQ2p*&0&X;!nM>Zg31Q2rS33NxXOK{k;2uLt1va9 zykc5e$=p2g6UJ3Z9YLKd5rG<&&#f*ko?8qLp|*A-Qd*8bZ#TS&CivviJa54y4CoD* zW|JpZ7s4c@^;L05y)$`UMF}~`Pq-!oNM8A*nV@?$>O%45bFrYEMhZ!~!YlMDK(H$b zUp-R zHgIA5T9p`$o`;PTB(775QCL_wsp^5*{$$87&Nmtp)Z?QWG#{E&Z#5{X~B_Zc!Q{2n8P9PLw@`B=?+j1=0u*GOUE z_Zs=IV)q#`bb&55(BMGS@Ujx&a~2IRt8Dm-dBZD)3>a&8#gIYa4X+w0sOI;NM`ZiM zha(zZHS$5l8eTI}Sl;WHuRRO|l?6DmH@s%pL7|}v^=x=UrC_u?@o*IKO`MDa-2H^3 z2^>or-b{kXEyflw$;Wo_Vr5F|BW>zL1c!HaLEEW+i z5cpN-d7mkVQK6bv)`e6I3#{P)8upY`6i=E_hL<5f=17#4mQSvpQC5rsEjmu*QX-!6W;&Wq%OkGq}>RvH1`XIl?|;b zcoQ(@k!%vYjqomaX32w-{(1Qzu#7RdV2IQ9?%lFUaPmIr#%Q)YIRAIk{9PNeggLY~ z=N@miTxf8_KNHzRILV*2EnCgSUGcIhSq#mN%<{X>_SryKY^-|Dt_e3Z z{dT!+n)uX8Ma%}ml4Bs>X$7ka(Er7KBUV_fh>lp8w4wR1kXb@AIxQ}^vOM}}mrWO* zsD$Ezb`&fWz8A5hP_>C$?M@WHJf`1fP_?uwToa!*J8DOPnkG)btdHm2>b0rjI{TcP z?S9 z_T4EzB(1t)ow+X0Z9~$`-s~E3^s+l)6z(QPQs)9kp3ft63wSw3i_!PfH42whY)U3w!!( zD2_;VMfPIVvR2(#d$DR^tM0@s#Zp}t4++@{!KW8^-AUo5u=MWaCdO1bOS*e)x$>|* zjC9&_zwu?0j7$c&YFm@*t!l;Te0vX zfOM^s(=L)LaJiT(Y+5l4- zmz%o%-AB1O=0*!{H{GaQVIar3t$&(HI8U>jcvtS;oMqJ%?%xgFA-p zA~Apsb~c5ju^~abNJZVdG-(&1^QT?iqzr5f6K6MpMPnH1v>P!R!x=%lNDVKezwy~c zqA?7MH-)7iBiwe8Yz(X+83oY*bC`iy%(oG{HjX@XBg0K$vOj&e*CrAfCd8YdLZ3Cn zgF-S(tg#$+Qvz1v8h(n>cU(w1B5_dswB9;bW}=kHLamhN^nW8p;1Y&0zWGWy7IG< zVEvD&1X}w=sbM+4C<#{QxRPK+k1Gi*kvpUS@dkHLS z{H`S6bjqP5U^>N=1ZtLIN&(}FO>rr}@2xNcEb2qO zQmrHo)k@_^94eB^kvP_)jl?lVsWwtj04}E5DhaB!RT8Y>2~vWQNVQfH_})%s!K$Ai z1-QDJYNrxd{)tiz7obxoDhbxUD@sD}8&fJ*!pL^2z082kE!AFSz(P)v65L6aI!Q?| z&&f)Hj-9L|@ck4i!1dJBDN=yTsVS$DpkGcU!5Vi^66B(zO5k^;g{5>-0<26YCBbTT zmI?8lwBR?GYVj*Y^#V}nVh85{*a36xEJf2@@#|})n7^A)EvcLX^U&h?K@4}v>UDv0 z=waH_si?^X0t3kjVM9*iL8=SNSxMyrG<&LBCa}icqy)E7q`E5!7TH}%Fb`dxODapt zO5nNJYOH7vDVGpB)C-{zCE_=|R4+0%WBLLIZ__FXk|ibZ;Bl%q<(n}benR69g#a%u znE^lWwQ1*q@2%i_8T@dR5*O>IOQyruo@BNho=0qRdK{FNl#~P9&kbMMq%y2|AN;<# z1RGIb0po9s07p@!qztPt$qU~nmXyta=a*A1R9aF}GA|z-}XGFn?A@FXF{(@Q1ItE7#X;SUIE!o@&NB8kRakDC$BqHK++%1zDC3 z!PU0ZfM$faT9`Twg>B*I)6@VreA_t*YcmkPT53S%aJ4iwxEUd?u%-s1xhy$xaXOXT zOio;%Obuy9h>NSK)0+|E+GA=+GiBlmWa{)LLX5)DCibxV4{f50kBba6l!)&#|9 z4{Krp$BW@jbm8u{s#C&Fl?bzVcwJ0tw}+Znz?t>@k-_E_a2Y%`qDjFpWXxUk2ib7O zw{UTydAm4^o3$|7yaLX(D(8B$E5K^Oh>c$`~}eB%$S?>zZfy`MVSB0&y_IGb{LMpq?5zVfk?gHi&c(N;C za~!Zdo?R$z6u7fFp)9*qFuQ`fgB;M?n_WRn`*WHpTRp{-8svuDymB~U;&WcMH;5aM#QVw1dA(2NCae>53nOjTakecTfIfWehZ<{RDK}nV5r; zu|@(5Do`mbXiSt`o`WtIDGAoJNJ+2?V^snTj8ke@pK(fp^*K{X@b~-FnM#D4^HbxE z6xMHo(!@kQiXaAPd?E_qJ7$?Eanuq0c4sl#orEwizv*+7qX|&1N5KTo9qD7jJWiO!b7C^ES&d<)(>0Z~bM0QWz`V z`l}e_bAcPN+%-dY#WsM7+Gy~^tE)K#6ZY9qSqLp`& ztZ{yfHtO-#?|OObg9&7SIb?NNdWRRQOdvHIfkAZ?Hoy%Tgx(#79#)d{K0q}vNb_oz zzC3|$Dh#VHu+|^C6xP+izDy_#9izNk-3r6I0w^PfE=o+e1$rKI4Ka?cif`!dyFw2z zx=}$n5JGyOcx%#;OF@SRh=$6WMzY;DxvY68B%P792y(lyF>*W;?|A#+Y0`h zY+MAX9#O20#>QkYU+E=KXCs7=XkrE%&mO$9zhDDOQNliMP!eox4M|LJ&r;updB2MU z)*Ku7+?`|t9(4L6Hh$f^^g^%>?ZF4_OpG+Z3@&l7)NJs$3k(-fO&FcJ`n1;2y>rC$ zpQZds*0{(=wUkYZrT&{l69ft)6#476ymAZq+EwPD7AAZJyD|g$n!YlE))8yYSA4=t zMgH|ajQr$}+q4Bk&|GVsR$t-AV(=A)7U3&PE%TVMW~t>4(^t+ndSQSHVT~BlCaA(L z3?>NFe4Tyh#}m<4w5WtCu4q?c($_0|XdSWUe8s1Kb3~uVy}X3WvR2lArVrj=R~L-OVx#;@{kATJwR-T8aQ5cS!!jRH4gF6^_ZnrBx%IvAmgq6 zlz^s?z^VzS@sf?>C8`lfmu{9mC5DC+M#cOd#G(`?Dw4hu3akq&3=3hYL>LP~O!Vkq zl8#e^ulSTUe7O>?Wh>wdOz@yP1p(O($jONGaN{)-zeMSa91KBc4HP~SM6fd&pW6nV zE}%RKl{1QHXVf=>|jkf4W z`dNB&e z7T9|}9m6$bmj1VbMBa{WfkhOE_Bso__dPm>cPcFPHAv_m>J3D_)K_sG!@Co};Qyvx zq0sv>tYdiN!ct!(bqw!$0Q2e?-qWzu{{=0W(EB{DV|a(dQlG>B=^xgwUlcHyq**Z3 zvtj+S=$)2DuTK;x!=>GhXP4Z`((5dSd#TAwY50p%a9Gz%JylrS;ngv`nBy?1V>rpT z*ADN{SbBv=*TdTF;RP{x@D^4=G+U_$SHmyZ1`usEP5jEO)(w&U0{2CI@Tca-mD<3pZK|xrEiWX5HW|)yCtM!OklUV zG_2vUDC5?cjvW!$Z9X0QN#wm3I9;V9^YN0w8oR=~3EmT$ zpT{8;qx19evcnorb<^?rcwvH61kR%unqMSYV>c%q%+FF^h9MQAL;Ugb2dN~T;g1(c z*4W)g?+w69D5T=_Dgc)H#tZj`9y(4O@7?fDk{zCE%bzQO_Tvtg*M3&K+i{B;@NIqvMxZ>Ii7|anm`F zEY$#-eIj(OF-xUDv#*m*LT0Iq8&V-wf2t_qDCFy#q%)COsu46@J~~#JrH+B7D^4e- zveYl2+0R4gNwU=OD5Rov&LB(u3i z+$2Tm*ld>WkYJ6cyXc^8mhR+&RG7}jXX(zMIn+T%ZnJck2&95^v^Pt4g?vL3bWkKq zcLU8cymWv!OLqs&Gh%e)H%s>b&0%gj!;+oOQAsgv{RD_O`XK6QR7J`3Ah0Q$BEDX`P?ko+9#f^pFU#`ek=wXecd~~iT zOOJwlqvCY1JxjyVcH?LdomtP)MW8u43Wu(Xd}Bd#4EUETN*EV|RG7|5W$E#d4_3m( zY4|KX0W^!izm&*#7HAeF=wNh~_JHPCFCFC0(#0M~fq&zL<|N2B&P^w*vUDkEjsyRW z2w53uo(cY?MCp@36V{%@izrxniVIRndJO533*oaBbL5(q1^Kywn*?58BQ5U-Cl zmN?;+A0jmy@|8f%J`|}r9!MoweYwb23HeHW^kNK_o(Gzxae5I5OV0;Q@KL$vUC;Xn-Zkgc(C-67^D*Po)4B@ z2KlCX=~WRdT@9L3V^D&qna{-<%iZ)I6__AHzVZmY#Dt}5Am216L|S+h@IWdAHbtry zG^Zu$h9@aP;{3{owZvxHPA$aSF$ag+y&Q8)>L|A$=XwLD$ z7%5~Igdi2C_mHsk7RXoWp;wTw^j6TUjKcUSG%o_pxh}9NO1Ky_=Z5K(CM+FtutsPJ z;^bhKz7+D!3(`wbSb7_1!YZ_Q9|}uv2hI6jI)oLb@Sr(A23(60E>DOb3B+4H#99;n z3?a^E#Z`3tk$~R0z|vRva7B)bt=9}!mSBmC_FO_Lf-94B@F+`P<$+Y3&I)DeoiWx3 zZB3jb%F??a-(v7DAWFXmG^<>6d?`!s4nZnRCx^21wUBR#gH9D?>FYpqNs!JFW$Eid zb4h{@L1pP1Ky#^=4i9DN8y%2}(TVUZy$A9wbJL0OEPay;QV}`{9#W96+DRwHv-Dmc zq(XEiJWNR)kV?{t_c%dijXocp^Ul(@gdi2CBi&j0Ru^mZd+1bnNW~x(rNiA>`ZgbH ztZ~u7?ks(~15#l+Q65qW));Wmf$l7QM+j0uI`EyP?{q;bK}WkoD#jXXy>vD`OGkW= ziqQe?45pW?vCd5gyhADhsR*6ij<-mxak-O@gU6dANQLOcc1Xn_m828hS$bcDHLmc{ z+3hTSuLn|bdgTD560C8hhYnU}>H9*EiqgUBEPcO=HLh~e5&m#{09o7i_ zQ=I0{(tq(lDoC#bfK&of2|C!HrT-dYjpumj-2p89fDckJIzgYMA9S$B)owbR9#RoV zMd(O(mVU^?8rL}KRCq`wAQhr#cUb!27;8M&MbGyzxD$j_n4aKa>Ayq1^)Rn0S_c&IhS5$bx9)c?WB}z(FU*Ln;EPAj~*Lz86A}O3>Nx zxNON9w|MF3cu2({6{Az(S^6a(YrN1+hsLw?%MM6I=zw@gC0OHDC!OHW(yxRd6@p{k zMd`1)AeE$J;92_B7;C)9N2kQI^lLsy#p!%^SZwsL#*004{5vi^LMjULT~W_BLagx; z7oGFY(r>yT6^4{3;msIp3_0k?cb0xD0;wRKRL{}}JdjGz@$QgHu*OThbox6>za4^9 zjL!SVr9{@a%}s9uz(qtzMd<8*NJUuVWlo5LsLMeQq(XG=KT97>Kq^UZ1z_oSL#%PT zj}GH!>Gyn)iqir2xIoAnFZa;N@{o!^DoW?dv-JBO*0=-O=O8z%!`FNn;Wb}D3A?~Q zUUM+cYrcrFhBu&eUh_ zj^s73Cwa|lZgG6D6v2tZPwc#_2d`;Jz$Yk9%atNHdHTt}PRr#r87MCu7N_e<5gZr1 z@)349uW5Agnxj#UhvP~SoGv`O{gZd`nqyGkV?K@t^-2*OMw|@)SjKCPLp6?v#38p* z1m_A5ePqDXyykZ&ulX$^4#kxsIEMJC@e}&;0CV$zBgXMeTPd2cF1T`u<4qoD<>djM z;CR%U6u|+3t`U4F599{nLx*Qc5gb-`{UebnJkTZ#AD1|5ON!uVyJ{5uBmd_p!d0@xbXW9vBkkcm|iuHRD{@%Z>Y2^S~J%9vB)I zhdoIVoN)K->m4rUf#E(L7?u=gLrD>whqtTZrssHIWC%V^j>kJm5gdZ|{t3Mv}S5BffQ@Zalr zV62-5ieem(W|AT}H*e3h86WY$nO+_km*99ploY|aem&biaX$}C2*QU>UXmg>NbmF~ zYVvqsVi-Oyjz{K65gh0^%=z4zJWw2kkB8$Kc~S(YKi>TH?idf0#Nh+|Yj9kN;Lyj* zf*EKwWl8vi#3^_(7aT76(1Y7v=7FhB9+(p0cxIjy!NHLK8t_(t2d24spgbndxsxI| zA@c62+j{fB3@;B%PjEaHPl_f@PF!-JrZW#z1mOb*a>Ai-QUu2$-f&BM$mI>g$Hno4 zFe&OXF!=L(tM220IZ^m{I37(UMQ|kIkb^fJ=YfR|9#{|-k2y;bJTAJ9hMeuCszQi+^@<2@lK5mYW6-p62s`=9K_z@ncjlst&9_*7Mc*66Nr>bGx zSe}4SkmCb^QUnibR_|{$oWsiDFQJg_MSA1}wf zlu`tnoIiek$ao$&KLHU{~}FTNhu)19=hnxW&dsnG3d2@AMAt#simldEnv% z#~qAP1UsRZKfHYq4_peyE``TxZw@LEY@H52bL}=BxGW4Gm)NQ(bHQ%yUj4E1mq+2_ z;kd_8ieL{kkFW2*16Rc1;}g3vr3f}nPdPk&HxFEugilE98k8c~WxZ_Lr_j-NIeFmf z2*-VfQUn{N|F*EzFdo?L=7DQsVjrLqU6Z_Z^rrbd5ccxGwF!+`=bCum`(%#J)~E zus04LAID99QZ#;hX#ByRKk>jVN%(}sCOavD&D3vtby)C% zf7speFKWJ()=!)&2FdqUfo8TVXxBs zHk!resgERbej?55gG%$eY?@uy?l}G{Y5pm!G{4POdYA9M{pnfK+!Ixr-)FPgxo=`Y zE@|$KE6w_BHamU(*Rl98D=>dcQfYpZ&1T0L(~5hM=Iu_Uc_^FB4o?L;>?6%P-G*j1 zo6f4cTW=xFySz%XKAYw#m%X02ku>iKD$O6Vm40%M%!CYS-WxVFv)MeUY}$bw(!4*a zG~>xE(QIGUZP{<6`IorT{4rbUCswZixso&=NE({iN@En)|&<^X+V!Z8il@yO1;=2`bG4*-CG{c5n3}(tIqeG~dpq zncHRD?#-n6L{w_xIc!bGx}3+BWxgWKC*#WISJ5n*tzPZV|3aE?;U6(Beeceq$&2{* z8%XnQm(o0(%_i$S;|AG2-|;BTWHy_Qt54qB9W`*UmzuO2Q(zk+5~Ful3GCdE!d4~m z8|Gc-!@n>}i|HbM$0;NJ1q`?5NrqsPkGgKO-B@&=?7@p&N^^kWji%Y={geN>9W`)9 ztu%A9*=)VBZQc;lT;@}ngR|Mp?Y8X|**bh7r8y*<&77fI&%FyZaQdw@2WQi4^^Zf} zj3Uk2n9>}Qt#tl>{a1cYn#&VP6Ykxcvcwj~7s!~eLPf#nplmiByOz8nRB-ApHS5!0@7;U1Pa@3@F{Qa7o6SD8{fFO2nw=6#^W1DUd-q!W;S$p9 zihuACi~3F3Z1#HhuSd=y&2BD3Gn>tx*CnPrLYh51O7pyInmtat{;vVj?B!FM!EB{> zKgC^f3TgHUDb4e!%?q;G?6l|zJuZX`FG@3*&1T07jvS#D z4C^pT^TKSJ9bT*b?pvV&%QA*$w$h!?A3jIUR!)p7%`Mq9Pgy&3a7VIPm{giuvz31G z&HHxlAk8sOLo=JrlYU>jW)^9Vbt}y+*=)Aon!HYGp6OMZ7iF_~;-fhcIetzED$R?t z**xLQ{Vx_$=@Y|Bb4@mz?GFEGft>jkN0sL4Y&P3o;XUmmvRM*WnrpM!Y%}lEe|Sl= zENN(Fv)TIZhaZq5*i@&|T$fEV_nZgYtRb7z+)A@Dn`X`vXT205%^6e+7#TKZt7ohI zZ|3KbW<^l^1q$d1o6R;q_P_2)(rid5&1Km% zTj$63(ft;z)hkW78JE{Mc;}qD9_8krwU+KVVCi0IF3YBwvvRRZdUPzVG~sTysm)d| z7ybC4(13+~rRmRBPhR?9FBmb&$TUOV|eQWg;P3WJ5?DX2ZNP(=nNlBt zIvd`I#7s7BYP+VG*qEPCLX!;|&7r+WHm(oxRu2iiX4%-?(zY?}(2ikHVo#P?7_zgm z0NQ`Agsgg|GN!Y!82mSTN|j4z<55U036dX1+c*quV?kItCtj;59?S)^5;NKO?f6Ac z6B~HsI0Q%;G44X4`(8n}N|bjzHU38pldwBNv?y9@^%u9#IULZDZTwJx>xFK99^`uz_VMBgDpT z=qWD>tXVd?wzO>=*fkJ4Vjq_AC#-D19$P0pA5G)N+=R|X0OGIMV42#G&c<6|e0GfL zY_O3^qCw?|VuNMoCM0IEan0O4 z-IrzRAnw*tv=-S2Q6PbTht9@w@ZV%(MMP)gePBa%3WE)J*gR9)gbg%{|8Qt9uCQGP zw4F|DEDtH6$;OI^#3UQL9K6;0f6T_8TG~^_yI=Kybr;L5blKTh;if0zsi(Z<(%D!A z{+n!^9n;zPZxo+7q&gdHG{U&C!cCywQ{Hk(%w*$F`&Nx1HdaNH&}8H6n8YL-J7L`T zZxpd++XhV7S~mOIv+>s7!B9VzImcsX<7_YO2p~4zx3IAq{5RQHlhE1tHjd9@Qk@Mp zjzQZv+e@I%#``XbnQUCV`tTuQV|7dkO*YmfBqrIo3VO=7qO@k&xWQ~2f4rBx?CdV* zf%X0@v(_isQ2XXHu;mzcpI|kiHU8J5`@hcO|4(jwR+Vb0_LAe~6)+*IZu+`pM0gSU ziWZg7^mScAV$#a@UtK6!{G< zmElq#O`IYCWhsoV80BF2n82Ei{GOKfgI%@9=7aSCEVB_j)!AT~^--|{gf4cO4RM`~ zO^`DC!FfrIjT{cCk|fnx^#j~a0tS^Mf?&bMhPcGcwy|*EXB&u(O@`2H8|O*OYNk2I z!=Xep(kvS{X>H>V@5vrK@Ch0k$TH^#?QEPEqCGD(D*PPL+1Lyon`~@x>TI-!R7nWU zYHg!Sgk{c?pxHK{Sw%=uyJBn_c3~B4CvuxbZ(zfAP z$Z=g|5R?+OvvC3VKUB`Ufq&q}>?vEpW0Q@G+&UXwATpV%%Vvt;%zajf>pM2=$c3&^EdVtXVd0ZfV=N=F`DXg7v{Hb5YdJ#@3kF~r{r`7Zp^l^4LnwC@SH)QY0SHG z219C^lj>}+(F5AXB?;w-$wudx#7s5{N_VU!Hnu6x4K}bWWrWzM0X7B;tXVd0X(=0P zrv80DSU;U*;E83+D9T&?D=GH1$x$>I*4el`XtKdFJ3wZ#;RZI!BVbkUDZPDYkU+Di zuuL#4F_Vo=i}yc5Y+N2xLUR<|p)$yE!x!T@?gZ*J%f{Z8_LNtKymJ*88p<+PIIV1e zk1pERM{Q#;_;0q2E5U!&HdyAWsLsYX2kt<^`e~zRA7~ppBxte$VKp4?tyZ>5+Sj~6 zY+R{4H`)f4MV8eyM1Kj zJg3BkSo3Y8r9EZ(=1W(ApMZclij^*%%v^n90UXI~%7E8@rX~8XMOpl@VfNP=x0!@gmkN8@INUjU~(5KLLh@ zvrIT>XXDzC*pVu)DZLYPxDhmH2g_Us{+n#v;MCcu12*zS{WLZPLr=Ljgq1eh2A*Y> z(>ozClZ_2`FL{>OxK4Slv2lY_86h^{;qsh1QChQX+}=_)PAR&)2&|7_nH$1(Hm;A* zK3j1C$Xw>p*|-t>H`&>vsF8#Kw)v zbB&EXZe@hna6?a7E3jtSxUHq*#)C)RdK#>cWSKotI~zB~XrD3llu1#YjlJNp$;QoI zosIJ$RT!c=8*B`ZvCNGz0%cDTZDUeYVkR3a2HYm^Q1>d&H8yVcDkH>3UX16QFR*6W zxV@!p+}Zk;v%xytOy3;0v#}TYMj<@VO>9&_sz0M6H^$0i%M1$8!XE;iajbvD>2 zfbO+7L7>h?mBLImPFvi57PXCAmFF58w*{3EVgrse&Y>Auvus@7QZ{Dv+Kazm=dsLf zNjn?2IcWDdu~BPb;|}oOWaG}T&c;lVkR5U@=m41#vRIY zjg33Q$_TMh1mnh4La$jiA}t*^&OVDf!FoQ+M4Wau?sU zwo#dX$3d`Oz%uu^t!(fdoSBaatDbU|LuX@O)MSHY?v3kg+=grTKNW!IKWKe%ck*1LMZMJ_7Y|<9fqkV=lTUwKYO) z+^;-0+6I=Tj8IQ0gPwA)2eD?^xU;1_B{k!Oo50X0mibH2&c>fZbkYN{ajS)mzk>fJ z8xJ~lHXcScLRdeIjba!#{wzVAja!?t@zJtYaP-vEahCb3@?2x%K_^*OGxN#NQy%sp z)+`%$wX|(q8$bJeFf^KF9t_*rcpyUOP!JpY;`&_lVesE%<8N-AjmLd>IuF)QW1~F5 zG7m%ulx&EZ`M$Ws%$^dtZT$1Z#>2{Ujg7y#l@ZZ4;4C1X^SBSO=Gkaz+bAk*^E?TJY9csdc*Ph$gq;LkiPL7k2B5~QfDeSP%s z2WJu+e^;JsZ0z^S3^Z<}K9BR9SO~FZ*|@uS7nw6N@Hon+-cq*~+i1J)xNw2WrW!H!pn0Gh7fC(jeRZc zDf@0a|8_7mj%EJow6pPqOPu{8=c11#bvB*^{}mfN=M%Ti#;1_#=EVAGY%GGd@q~*& zosGwm5;NJ@lRO$HHl9?T8*E@%%7|nm$#XsxShH;0)6%xlb?w)`fb}z3<|((84W9EV z_&*JPSEsgd(5bWWbkt;nWuA%aY<%U#)5)mL#(bm3fd-W$Xi_|+m2=Q3F^!F_qyJ27 zJRMa+bH4FRTp1xYz6|r6ue^vg%f`NzvT-0hd_5Q%&oa+?t!#jg(ErOLij7ZVIvX+Y zU$qUEc`m85aX5&lvr(N5HheKONTA*}K8Z=pWaA%GpZ^cB5mTNUZ3D|vMu?5C+&t%S z5V2<2xTmE(rKs($`@zrzmU%vCXX82O|1({RjV}{A8!v$WCL1p~bvBNA@pL+@pVm{( zhMw|Vh(Mi=?X!Y`p|e=# zrLdii7bD`lCD~IBJ9IW)0sl=lUUh41^9Xd5d-Ec0T7K%I@l2;sZDZ~8pG^lt6ItffsGW^hVsug)jT;$D+jt%P zH`#c@tFzHAjHeS~{j|0*D8e$Y#0b>c$Rs3YveA0CGf8Z`t~}S+c*CoV5F6ikd2YKf zV$HH~UrX8eWbXIfz>tS!-iX`Tcpd!DOURy*3%+UhDQ|)QCL0HWIvX8e zVB_@!fszfpYXh?qGuimP=-e*E##_pBjg13AWkj$6r@Hanj(^MsEDW^l>5N_b94~?O zVwO3Ow6k#l{LlAFHgaN4osBs7Z?f?Y_;1|N=k|=ktj$SvHhRh#K==W~uvduAC*JV@ zW+i5_ac<|lNyJ86d9JbXj_@3f5F1`#qh}P2G}ks7UTG;Cn`_!)cqXw7TtO|rF0srz zE?nP~RnPn@q_goZ_;0fD9{6vvF#u$fB3M7IZMZ{dkU*0S24*E@vT=IH-R}?^?<&tV zHr^ASn{3RA@!SDIuUR%;PPVXZ{OEWVEtRm$zuk5=-t*x4rfeIz?SPy9VYL$YKKO64 z@j+Z?V>rkryU0nSZREvR<~9zO?!2FtPEz@?2x%gSaw6ZDS#v;4xh2 zHOs~;EoI{ezWF(@Udl2bdhKj{;1g#>(lsTwqlJx+z<-mCkCQqZqk)Yw>4(9_7zfLU z$3v_=1IeY)p*f$%|BHgN-5>H$Dz2N5ouIjG{d~5;NI2^yTp@ zsBL_zJlELx%&Ck}+wei#n20_!KW@C*(zfwX{HII7&}5eR40?g}*`EJI#0j9XZ49uq zjRg3w*x%DCL6=IKhTfZ_`WzbW;NMNNc zSJ>QgBmBm?Xs^+K8Axn=tvol{29~9aNH#n?w<>~IvuwO>vhl~yKMvn^%``An4y7a| z8^-gG-#EnCwHP!9@DFU5{(oojKLEUWMUAv~=}d8fQLH8UZ$|#(<@bJtzM@4XG$a3= z&DRZ3av*_v&G}k?jX5g(v9C8)w7LKcO=FqwV^&{*Pq#QzSNID31Uxr=JrvbreGd3K zTh?4X>j_8lq6w^~#n-~ew#(niK1Z1ln!X;2N=(k2HbPv_Ng&p|uPu%13vG{Kb*8h- z4{8LIafLDbOou!KYQFxv>t?xI?0c6In!Xy%79?K}Y)fgT>cWRQL4?h;pR|Yoz%)AFHXVZuZxWiN_Ap*z|*oa_IYgQc;OX zU$?|~?iEhNn)UUjmiqdSt&x9$p$eACzyM(Qj{>$T{stfkRk zmq0Z)gk{xDU*Fw!SvmQ7B&LLBT#qItCVkxsgkAf`zTVbSU*GM|+Jg02EYk?B)#~f+ zh&UZv`ubBs_w|@p^VRn)cs^IUW%#XXT;e*-b23rlu$y`*JECZNnbCH zaj=e9vvIwu(Ot*Q2f6x~~~0 zo+VB-H+)?kg60<@Q1kVtE6469Uw=cH124WtT*0oyq_5k7u#6M2=6tQ68Fc(FFJN_d zE?5EPE7{Q;r({6AfYq0d&<5=RP%D=C)kUeEkmA0dfq?~XcnM(?Q^$Y-Or0fU$CBbr z04bM{{Sv2CUy(WixQ)^?!qR2?mq#nfKxK)_y!(yP+~IZKSsH~U7Rpb zob$g0J-z>#e}k=g?C2?RThRR{Kq!|aWYGO>AG0-l*<(L^k00qGg}7T z_gg{_x)VZ)%|rBbe+LMq(bN4MA(Xx0eaWqW8Sd{Cq;~^R&^vo+&pZXaiyQZhBL`a0 zVZ%C9nLyRkVcWLv7oWlgxG_l3tHBwC89|4ND$EEvxT!ER=uSbt-qVo)rDp~mzhMP| zGWf<)7`TpMiOHb<0738UMa&HPVF-E`x5Q-78z66|AYl;n+5cP6d)EB+HrSfaj&^~7 ziVK_?-u;~*TuY#~$Q9Jrr3c*!fyLm_@cwgzQX=U7Hjt_ctDb(M%!jOTe-8+y8T6hI zN;BxaAe4O~D(JnP^qMCMdhaA{hNqzSiQ{H)_mqu6R3i|I|PGEL}6yo&4o@Q z=>8L3O3w_s)2A>q=sg7adeGrA5K($&(0h6nMnT8G^^%pQs}{Zyi~D;gQO^we5eRyp zxWr`8j{?@)Nf`F@7G2Yu9o|Fna0%F2z>fBWFj<4%JA^yLRnU9J^q}`}XhHYqCiI|p z@Q8Pm$l)FL37NzD00^ZS^Z^h`Gw1^$lzo#b=!2s4jxGxN;4tlSr=SlB;x2FGKnpr- zG57bDpb9!{wDx!KkfJuc`+LR|W(K{pftf+?foh_+nn52R$hQO?2vB-v&r-` zF5LR9f*khB1Ra0zzp9eI$gkUsMI% z?WNbWQPA_;w0)g|p6|r%+sJ_ybl5xYAM7Gf1s!%!`+Fo5X7==fK82Y!1`e0>Sf8N(}G*b`V$$B?Wyz zh*HQWG+LRDf<6X9X$HLrLTLtlEQHdSz5B-|VI|r{U4r|^#o^T4G1ZWf{qy8pHdH|pd&WKz|5cz_b5Fx=wk%=mY@RxO3w^>5fDXXh@j)_ z-9OeTF%fk9j;@Kn5KC;);yXAfF&XqC#OHyU(AjUhoD)9 z_o4{>06;+>ouIiq1-+9DB?WziOAmS;Jv6Aq%bq+ zgFFf|gYNYyjCwi-Zno0Xf?kPgwxCxgBqoE-pw4q#gket){!jPm4KF`>2(ejus{D zLHA;>G=e_MNppD$`j{96kAm(AQHp|I>Y#iS^hFRnoXbFbX=(@)x-j zhAvWo$HWwd)hBE*Lc*RIbWccOX3!TW6h=YEz*WU0rUiXz2r+9GIlwHs_LWk=77+k;-^rQjh~z)6_q^2iAHPx4UkDCiY#N>R{fM=2i#JpjR5 z992QD4Us1G^g17=RM3}$&C?Pp=qp_GHe3q&N+@%M(bHEZxPN63Ij{x2%1fXg^x08` zQP6RgzbLFQ3Odg60}+LpL7(JNm>KlikiyKMSGW~s2EERwFbX;bZn;NdTF_S_WDEMr zgv4afbD(}JT!i89{=Wsi)BLtqgRN!kXek87dQGp3Q}8J0wN9GLQ_yE6DR>n0g&1b& zzZCQ(ZpueNUkkxo1PuyV>ww}H)sxUL?>kF48g;T1O@$q5NT4-xA-unf_|X~!>oe7)y4f6 zM%D0sk%QiQO~d;|3GTlrh#c609`q8Z2YtB_5DGe8=hudn9t9n-%@Ku}L0>Ej(Sv?L zNa>k9J>XWD8T2hag;CHka2I+cCW4Ny^9Dx{vIYI3gv3P9#drT!7hw)~3v`Qmdb2^_ z^x}E=gNu(H^#<)h-x8+)QP3}NQi_6Jo1_#4eGP`$2>Q8hN>R`+b5V+dz8!*RUemWH zFr|Wic}%}$+YzBxm{U)`0@%62=;>GbAeBT8w4mc`drO=^6?DYTH3C9GN9+Qp(xadw zc9~0IX3%S8A$riUlh}LunyA9epl?qojDn80Y?sF*ruFnILWo&=`jtM3$)4WU!TmcT zgh5a5(4wF>d&@R$!_SV+_u7MgxmWwa#eZ3tb`wz0*99qf6!gt9%rv}j1k zje>p+1aB6kDCoOGdeE=+=|K;BFwCl_U+1DX)l<;neP90TjBEP!5TomZ$blAgycN6L zOP~rm-imDvD~y5;rqmz z#I&GakB}|s*C!+q?z;$spr7);1wGnr%Ozl|h8=|~vF)0EZJch>kSp%r?bL&Q zK~fL;wkV}2=sVnWlZJe9|6T~58T6YSdeCo9=s~|FhGAAgzcm7>kQ&}^3vvH#Mo+)p z2dN}-pamUo#jcGLsDh5SVmqMv)HBf$vBe9VQ5aT|u)QvYnL)oGsW5D_bWOh*At+e; zY1O|Cq=cD4zd4~W3OWYvmYBq}px+ik%-Yj$_eo3!{RHss)(Bw`bZ3jM>CN`^TlU}l z0oV$#qcu)@&~Ne5O&SG#Z&(ld_Mjg0Yaq<#@E(rpKe+6Nlo|BLAh71}{x}5I9NwP@ zVVG6W|LKENQU(1R4)=^-lyZOSl9@N8T7qjrDq0x zzoBOaeS1*pnL&RnrZBUoU*lGo8T7{^3ZtN7;GPIcObhyx9>lCc|CdW*GU)9c;J%MA z?CJko(0lI)F9%z-?C6T5J?Kw3^&edR;nIV?JE;f#CJ3w<^jqEf4=ynXo*DG#JbKWd z2b*TlUvOZURnT8Zu*ShY74#P&qAz+?&|ivh|4Wi*Bj|W5_Jk9OF@uh`Vz(LrF@ydO zm(nwX9`h;84EpY*!pxvQ=TVp$^qZmzGlTxTOJNjr4BQI{Vg0nAzZgTz8uXVU5|cqc z34D9u|B?13@JX)WW!O4DD>t3S8|p=$cAIo0%e!b*O4f3~Rp;DXnZ^?|lg zHI`WrsHQLURnr%FXfv7quC0~&7RdDXpx5svGd3NYnA7*t12# zr0GFO7&m=0k7bf;)YeMVZ_QDhG<`upm^6K!NtiT!p-&h$9gSP$2~08lJrl8V(?eZg z!t}Ha-M2XgrXO3E=~d6(XYT$feqNyIVu$oBnTGyR=iM*IS8 zovO7=4Ju9lIM3}utw58Izv8C9QsCxs)90hj23H!CFY;I1^sgfRiklvRc^Jt}|Juh_ zWcoKA97_T+{aYLE>?)3fEVI;PnQxPszDx(CfErYo{&AjzWIBEnTa*|gP5&^YIBq(| zeH9TVO@BocQP19^fa0X-^K*nr)4%o!ncmrk?%Ny# z(-+qDo?dmPZ#sYd&d}CrTFa#{8_U0u`z8&)pquoAOJspT5W`JhXmInm=}Uyo{P6xM zL=G>~N4Di)o}B3`OrFP0|4GMJWctb!+DxXejNk^g7R~fk0ly74|8i!vkGFwF4HVPi z9@Lp{(i|ky;ZDq%Pc6cv=~04l({ZLRw<%7VzR(~H$1I=yD@?+q=}U@)Nz;GQ3FD@t zaVrs0W_m~9yedG9OxNJH)GV{w7nm@8Ys`%}2B!b`*Jk>=yS0jmId#;Gj>E9H29;(JNYr#r6)7OEO()4Dqa%YQ7 zZ}H$hy*`0Ki1AjSbEOz)<% zOpC`cF#U(WX8MLvW2Qn|XJ{?g+m)ubfL;6N$@FG}o5xLG3&x^(-1Kf>mpr_$D8x5Y z5vH#edEE33V5KzuPp~pEJ(~h6ckzYkSxtu<@D_#XS?GUh=RlaAWf9)E88uK$hr32+ zS`y=M{Aug5fUQUjk)}6`WPUi}Kf$g}Fzh0q)oXLSh0KkhB7q6hb;#9pj-ly)?eP9kc1rAcXCbPoytd@`O{UT=i zpCK6J)8TzXnw!T>|FOtl@tMBPitmylOfQMN*!1j1U}a)@wmn!WO>YlY_A8R<8wXmc ze}PQz;PX3D$1^>|+@@EW-oc1(>cUOWw$FhswGQG16ukd_52a063H2JNjx#?YWywx&lpqPG|2Lc)#B-7z; z$C(X@A&KeG8H!6x2cm=}rb9OglcskJ2$QCNZ4f3+-@+%1n~uhH@&qPK?*Z7BCSua` zo<7TV(FG<<|69beoo$YR>7~DRc)$2xe~X~4@mhM^o-k-J5B|nY-xjQtrgsA?rRm#&mC4zAwtEWh6@%~MIcx=o ze|LIM&u$;E?DiIFpqLJ`HQPDlAejzl{|RUeo!gm9%9=E@xNe6w*nqvcGMvbAs8HB`tN_u z^rhEdY(rBMw3d6KO4GX);#>3x)4LYIAQ%F%>6sQ`Wo&wO3xiw9O@|fqcrzO@({}*# zFprzQL&PId8v!o zLXb?~*@T&tVAAxiMT+C5De7}+&pf2Cy10YePb)WON}snyFAb1ruPRcBDb3k_jwL!rVmW< z`=j&2`@jhA4~`lrGu`k&n8ZvRf7-eM0lXJlJdk%Je*ez)5y{GlTz0!W#U-XgHwlxb z_xA{srgth5CQUbN!no;Z+yGNx!t`B$^FV|Y)B6GEfsw$3>3cxjZaT+srvJ6~^tEqZ z`YyDU(^?(}L(4v=XLkd;_B6?KBjo0xA}rfK&CTPcrwaTPpXt4=`1Uix^c_VWH+?Wz zDNP>&R!Y-{fR%fMG}DI$aHA4D=7H`kJIsfHRHT`{w}&_WMhz6x_kyt9@*Jd@KFEZ_ ziA9*4=|)JHoas9zm^8hAn&PDCgLT5B>8S!?()1w+@goQPRa=L~4T%IMOdl9`4KX>> zcL&aUdjb=t4}-X&0mr~}IB)zbSFOG>_wESVI$LXb#;Y`am=WJCM;zXV&(}#nV()1Bvz`j8x9()57|CQTnypg3vzegR?9^j>+wr0K(b z!uU)_<3@M_6Q&Oa&ik8)Nz;b_=L2+s3DZYF?ns+sVEV6r?ePA`s1uKbwkB#VFWQx+ zj|}76;0V)4q`{!X^!+Su9yfhkh(s&7>4WolLu`6a-&^Ey(?@}o()2^YN@@CGVC9hH z@P2p-UKupg50Ch*%DL%QfVb*K4HVPiKH=GsAqUCy{Xx{hi6PST5owB(rth6#()9f- zij$^~vI&!>ZyOROO+VBmjGKksSzG!S>`f!84 zLOo$1i#$|~Wk-XR()7__r8NCmuu`7AkMZIDJ35(uoX4`qrRnf~ybZ64sDWZSo`D~p z;~<%i*l>d|ZaQLz0vSxE_jJVePcZBv|4e>Jk>Zd($3`O*=StK2rU{d#kB$iArsLWB zv4Oy1({Ud<;>G{sJ6T)}vEyxl3DXY=SayuhF);nRx@LOSMHD|hdy5NgP10K4(JM_q z*5X&Manp~ze6RO+V^|3s*r~=V~qQ!LTnsk;{Uy_`?Y|-7ezYLCN$}3~nAbeJI#0XZq+I|IVJ9 zetH4#qe-TpkylMW6C&kIA7`P>WcqjmzQNCt=@ZiNeYf~`@7akkAoep*1I2XsaV48g zOyXzn@O^xCbdCqo*}G-4tX(9Gn+^wGlJ(b)MF;B&sxhyw86) z;ijJnvul5yOg{r;N1M6nBZ{i&HW-B8*>ls~9Nr+4Oh4aNO`mM4re6SU9*}%apOS)a z@I5kpN)+FeOPGFPfVZte4HVPi$Cd1OpMx~hj{{NdSX4ZeV@I@gV|121Gom$tGdkbTsY)U0}lWV}Re32r+5;Xp?0x32?4HV;lsM>9)?YlM94#)8U4h*$EzD()9C+gh|tM<6jk`1u zm@pmgBnDkWOqvdN+{#{V3rv`PCgfh`a|~ws(!XZ0?tYI};(qgy|D(mc7p8 z7@Gdq-qS~6wtf;vd-qUXh;(L9G!~1NXWoHYWlQnYV0}uv^ zaPj#}$FEnXAnI7+FXZr$+P3PFGh+~j^OkJi|T{-i8e)T!f))cMfC%e-0oAcZ})QV+q zL}L@v$AJm*J^h+Af5lC|9`X*i=rb44C%!?Jhym`C5e`=0ud?M@qR`fAWj z|ChTmza*m-JItj&GfS(m`MpHO}{auIBq(|&4~z;rjH8`eQ)9(lKCK+^if57CC z-1G-^)%1r_Xfw_9hazajBhw#-UO#M+>5up<`-p)WD5jqSV)=>qe5Ow_VJ2BTklxe( z4RLc5jGO*Uz_Rz-6emr;HY7}%{(woCH2pGzFlqXOI$_*&H0~jUl9`VC(5)c;x6JfM ze1VC>`?;7KaSQ^Fu4|@O{m8ap@)cd6t*Kf|Nv|~h!93oGmrTDOY(6KT!~0DI)$}bLZ2~3&k&zOjnAKstU1tv_t060Hwa|}!${nt$2c6|YF9(a+~vLRJz`crAV zOD~!Jgr$`xMr8UOhHCnKU^5=<`QiPc9NzJkOoy*`OA~Ws`b(y2`pdd%dXPe!$@CzC zd&%l#`YWK=E6GfM)o0mP4b(uH=~GbDGzZD_sV2;j#1L-!6A<@=MRDBpc^=EYXcHz) zze5yJO@GOxIBEKQMZ%=%FYAPH)6uv9p^BOQN(`2p{;H30fCO@FG0x5FjV-vaZ_hF17Y ze>+f3f5%r%pYNf~WcmUdTFH^=3r&_?kj(T&I?FCBpazQR7Y8i+a-M@^x(8IBN(|wq zKMqa37*ZTJy#R4DI9iK36IvO|M6PPm77n+Ebo4!aF zm@s`Ba9&_@3}^aZGkxRZf886}xU~@Egk16x=gxEGRa`Z(wb&hJ)n6 zw#M4#u|f9nt_#+~zaDG51TywFF_~}T)R(oJY!gJ^Vz72|%P>@ewHsT8VXs-+rIDH& zAL83C3sel1WooNcGF`#qds(v{Q-BKJK+d)o z0wvaCVp?MSPTQ=t`wY7MC`Uy!Z2~}uuB_0qo9D69RdI6o21pn zmY7g*o6f$(#J$SbW`G>uT0sjG%&%G24f3WlHYw89x@l@9l@hdAk8A&nmbUg}lLARC zZS7csaE@`c*d&WUmSWn9t8z5{S6p5!|M~TlwMQ(0*mjIf_R7XTh%UxI++~+qQ%3r_ zgG@qUqywFfj-6^yMmoIaBfYIvbmpJG5kz0k8g9;OYtINMGafK}k~QTCL>KrJ%XkuF zTlCP;tBoF55dbS1#$br@egvL~pFE&FN+BZ7kcn!YXfX#RUhQ*?-0*W0J|)I-z{`7%`WWqd#=9-_eIT?YUz5*RwOwCm zqodY5Br2<|_R#-dRb*|^L!-KfTiw6WcF@Ka(8I8-iqb>ri`v7_i~pmxaySq@JX-d! za_s)t^QkwXjZRwgeuc8y%GmwiwWW@#x?kpqk*Iw|v8uXX%2Rj)_fpAu}|9>F@=CY@H0NK)0&Tlzwixn37VV18lDQZ<}qH< zG@UMM&Bx{mlvIxj6iiS(o*=M03*Ygxk^rq+CNK&>cLPYMZ?RG(Do z3#zs$<2Y5;@S55sF#ujqu+~xi`9ZxuRJe{cylZRCnTV<-RN+HInn0oo(Vm8_$Q#>siCcK(&b)fq%tEJFQuM1R$!c;q@d?QXT6ND97rl zzQl;?NB>8v``qR|4z0~(4UwlcpQcmG3e{5$0wq=cJr&}T>gm3WBUR<8Kj!j-)mH_I zYn`e+=8hf?nR(XmqpvleX;Lc+)iW#tCDo5~1rq~cTp%%`IzEyZQT-t#taVhcdUxYj zA@d)sVNFVFo?ufe$;Umdd3=sQQjj%#WlD@_spk?z>t}=FT0sjw9B;Bw6TWfZz#7&^ zTJzaSD~W=v;Rl zD6Vx>S5Eu{zlyk(H4Xu)SEi{IMb#^G0*NYX?4!^ks#htri0ah^HJ7V8grHWQMz_1v z?+B^4vBnW_!Z*W6nvw7KSDOS%s>c7IdW}MhsPeT2n2))f>TsRfYn`g6KDg;ikoiy6 zcoIyj>nv(TQT1AzKuL9QUctlwxIUB^QJq!`juKUURejWbNG`Sv2UtWdo@!6a4pe^8yP(4qlwN1l=~ms7nuiEACz8N0sw zA+&ZUYrL_jHT!95S)qD|PN1YZK2R{B>YWNLPL(y@P?pQ7-k4ymqxydF-cz9JzgXiO zIIiAh5QBs&Yy4kttXC&-KwnV3yFj2E0QZCvBUNwL32PnI@lzW1gUq{F!{v++8X@U?_SmjYm}NFD^M#6)kgyYCDrpH1rt;sS7;H{d6p6< zs0JQkt)u##eK{^HzK=D|2UX{V)QUp&@rXd8sx|-1Q!qjGNre_seJZEK399edgtd<9 zl}kVP05b1qjURxjd_@m$DfuSlDQY=BJHn5FtnrbgPe5N#eMX^0RG-aLGIo=X)x{oR zt)qJKi8D`y%m-NGf8ZS8-)U+^QI)Ub!#|L!tnuk01xl*VDYQ6M*7&)h#0jcjBv|XH zp0o7Lw$R#xtnoW|w|XIIC85e1-!7`DxEiRwoV1cq)tXEE;)JTJa)h;x>h{Mk=nR<;v&Iro zeKl!Cq56tPO-rgvBLx#wUsGri)i=^goS@ob5!O1Y%Z-crLgpi^jRsWT$Wto{)z^Ij zCDl~~Dc}0NrO+a(ZyOYdx$(4Wlgbg+I;wl!zGyjQKFZo`>}kz!7pN75>RSPUl4^6L zU_#aT3N50#z*6D_)%JP9T1WNwo7SHOnUAqHoouanK}fAAROd$oCRE!H5+4-=)kO*| zqWW%*BBiQZ{2!?fnRvyk&{~1D=?1F4Tcnm1s_!OPLbWkS69|}~8Y;Ai>iY>TNp;%- z<+e`McZO|}h0MoUn;k$^c??phzOPf$L{)2k-cv9^^#g?#QC*VIl2m&oajm1;Pv7%d zs5+0e*%eg%&>#j1)g>l@M3uE^uPd0K`jJA5sD2zOabm3YOX6Bbb@RKs^nuo%U~TpS zs-IZYvO@J^n?Ol*3thnk)lU^#MD??x5+|tc`G2H(P~j;2;pa)##`Lx3&vVqWLiIC` zKuL95Q^5q)FBDot^~C+{yJ>c){yxWYjZH1u6&uNRuroL@d=bvd+7=$ zs74AcPL;Je&{N_B)q@hObyP?G^wk__?P=ELsGQdPb%9z=sIoSDngmL!f43D(Q2o}B z7^%9{k{G=O9O)6(I;!V9y6An#e1^3-5vVQ=sg;DP*8FWmprm?GTEPU>?-W`@_4}L> zCsdU`+P04B6S>75ptWaN8@He}e_y1Ql^=e-PcWbw(_(FoRHzcIAO8m}C!*xm(K=~R zAN(rq->l8JP-|WuP%8;7*5(9*K%xbsf*^RmCt5!h2t=j%d;6IYVXdPzJH7s7$b62q znFO>}=BX8h)|sjbLalx#1xi}0(-I?ElL%_%*SU{g{LFYreV(PMXd|fa$?A@)G1J^wYDHJ zqIG4Ou-4Jq{iZ)Qhs+mQn|w-ZZl*@yUs~GQ=A1mCQmr+C#7M1{qQr>S%>N^;v9kx@ z2ZxuK{iCflmqKb;p>@4aprrM4Br&43-jbN0W&e;+ZJk;z=iP}1h?kkYGSHfT^QmQp z))fgTY4MwAW3V*wk31zq9G{8y%7kj`Xg#w$coJF*n7u~Vnm6RAWl78aDI!qPYVjmS zYW!dgK&!qB zEn3FC*a?zeWf=_^H6{(D=o({Yuk)!@WYi1lYR!M>Dz+_P%>EVr&o_+gQb25dTE+H> zE$ z;Hz=5Vwtvkp6rnRs#jE}M3Y&DLLu?>-m zamCUJ8L1eKG``_@+7TjHMt&|$##p9Pj^dfViIHO6 zl3q*2wo6l-RBXFq83wOdrh6!{Iu%>8*}uMpq&HcnZ=|(so2Ld8#kTVZl!|Q|s2EqQ zD?xCy700nmn}|TfxMCem72}GPuYur-^-8O8T(MrzPrk`9wnNfusaWp<#Yx5b^Nd*j@=4sn{Sx#?h=C zob*~MHn>P}Qn4Xn83qw7GqfPFIu(0#@uryb4$JKCYc2f@YCutJkWZjgY|lu=xMH0M zQfB3@I)RwW6&v8I7+1^${(O^)?Hj5XA7lF^J(Oc?cwWYdvy04#9AQ$ikyaU2M8)peQz6Cr~Q3udQNSvHp>Y@iErhR57mDU{A%kVu$%E z#>d#l6P8NsCzK92jFGlFlfKHHFlwRLo7Q7+37@qKa|F#v~15E?4YCLyhB#P0&@0 zD|Qw^${0HLh!|Jw_?#NY6>|&~$BeOkr%7`vdT zVqCFHvGI7rT&~y^hKg~;X6q`(6}y!nWsKb#5h%ylZGnn$#s29NCKbEgE5m>-blR3! zon!2@-mi{^q{S@rd>$W}P7NrE-DVLe6`P$`F|OG3oQiS9t}|4OE0!;)7*}jw8O9ZR zI#A>I7<%)KJr(1M{o5u?D)yXNh80n<=XHtIso1UyC!YaHAF#}uX?)%|HJ~W= zY??r+*b};namB7rk}-}ec1uBx=jeRxMHvB5+lW4BM8PKo%6pI z5hxXVJt)I~Ej+N?msp*OJ^kj%n6rdsLKtJ?Lux=#?A1JhQn4TjM2stTYfg>hip?`s zj4SqRQN_4oWotRa=gI|v8pjn|=u3vCJ|UV^afaKvC@DJb_ZN z4?`8>ioH@$F|OGBoQiS978O;DEA~~SVqCE(P%*C9*S^F^v2Q#T<74bwn=q-^QnL&L z7SL&3Vs$FE#gXSOhNO>KrU+x~QlA=76#FJkpj0ffRE#UO&`>e1*b?ByH#x>WEz9MK zEw|M;uGk7w#kgWW=@KKwRuZI)v6T^lQn6J*83xSgImU?BeRgrq;#Y7D&L{9Z+yXwJ z7?b&yob#_L>tQbgEB%zGFjRsowmeiZuGmKf72{*kU_|*`P3~ zSo{-93?s%B>*lL*T(K1e72}GnE^Ch~w!u^5xMF|W5)+Dvr{yA^yjNy59be;?C?=ku zn_$o@dV(&;>YSC`Cm-;4Ncaq%69^j#x|r?j4Spd z@ZuZha>dqJD#jHnm9-ZuCLTYFv6vexCLT4*F;c93gs*XviftUk0Q^rX*1<2sfEhi0 z7V)~rm@%pI6o~&E9%Guv=gML--x9?-7z8DXiD$ARj##Xic>XG3T(Pgqa=Bs~fGgiH zH&#qM&y{kyVjTk!rYN?BFELWAlc!?wtQ5~*MO-SjrAcwgtQ5~~<#9-+j++mf=7j4Y z;R}}44SXo8+!Dn)hXhK+I;B;NE7s9cF|OFkoQlPYi3g-2E*0CPtUa#Swzitf$5=O0 zVx-u1x{7hdx)Y=*);%InDz<$fu{sqyyYm_2An8Bw0L=nE(UcmH@0H>Sq4)=>Sl1#2 zA{Hwqp8QF1v0~yWpM-J6 zG7Ne^PYp%9?pb+vw+Sym{Ff}dyM>Pf#bmxEW2|qPI`MH#JW3M-6UD@1GYR90bt=o{ zifwH10_qssF0W!-vHqTlam5TQ-#jrMG1u z{wsKNV-cUFiOGCR6x*$=H(W6zq%f&i|Fnv6#ZmhhHhGAoA}1fn`z zu^~AXi)UqaP(j7GV!iSz#ue*dR57mDz_RwZV*3SZ99L|(FELVVgr{PBjE%GjlZx$c zmSMnx9`=ZM-DB*k^t2Mhf6cN-82A)NOy*lM#zuw&NySE_Rg5dPpQU14v2Dw8xnhI! zY8+Q=@3Qu|Vxw#|jw^PkDKS#)FkQvCVuusNXJ8K1IXogTRbT(Q1s72}ExH&l!(wtrcBT(Qv<79T6Q zVxuDh<*Yn5P%*C97@sgX#*XvKFknlM8AQD9F}6N??W+*~Ez34p`20Xj=36qxj^;hde-p*BWlHoiu#ye+_=YNS#ZH00NQ^5s)KW37 z*yx;!am7wAs2Er5jJ%3*#m-c6NwIO3jH7#mk2eUDicLtDVMXl6L|tNa&dRN3ZHZ%S z8Ou(E^$JWH^jJNhvij_D|RM9@$rc(Ho+qhbGc&E2vUw?(;@=paqQAS#kgXZ`GiTu zrh8==u%$<pFj4O7BFELWg_f(84cBf64 zRP0}78CFEa?$RYzr((z4d-ws6w47xhg)z3qqy`kl{IXv2Svl9DKsm;)4ONUQcA24K zT(Miqa=Bvn+iDzF>;Y57xMC0L5+lVPB1joy4@Cq@#U2jIup%n#40Y$L~^90HxP%*C9oScer#r&d*amD6ED#jIiB2Y1|*pt4* zNU^6p72{*K?8PNBw}qsiSoSp-V;d+R{!NbjPo)W!ialYe z7+35LL&dma_W>`yVJ=tfp`40w#pK5`jN^*EWU6sov6pp;kzxTs$`}hG0;OWFB!Mvo z%-|_azKp9=vDDF-HITHDWf$e~2}RU^qS(uM0;OUvhAPGtd!V3VT(NmM72}FMRa7yq z*jtf`amC&aRE#V3jxRA%Y`&*re2guy36qK~G|Mnx0iD(*R;OZrd^GA~NLt0RKj`>) zA8J5RY<`+Rso2|=igCrBG*pZ$_5$$Yn;c_7PQ|!li)|I-ihW?J7*}kmQiBv*mX>jJ z&c7_FD;4`Lq&PXozAs2D#fB{@rrPkQ<+M$~(;s%XLwGdB6pOW;YL!2`;rFArx(_l} zGrMGqySX#g_ByD*oF(w|qA?z8yQzn-KGfl%Jg|q~28s}E-IX?m36KY7m--lt7@Qii zLtxg`N5!5xy$OF z)TzqQ{ch4AX${M+E>x;@kzC{%6$gO-K@6jc69Gv`MSF{Es@ywe#Ve5XJ9FT!+x*c($$@``p_NWH zh|21SIc>0jN@bP_3K#+uRNCa2vpPZysq{NCU#BV`esT8^kn{(Xi7KhAw1A3FRMvn1 z?R2c5sB()A3RnUZRNCd4gQu08N*_2|tnZz9(CoznAZY`0HcC}eS)J2Lr=*F>TBzFI z#0n~v02IgxP*7=KV9r_{F;r#8d+MFc&zElP3`u`7XJfsR%FlVA;t`c*IIjE}Vg-fD zY@0bh=LIOJZ0<9s*+dMf7&GcMX!NXOX2*>?>2xKPUkX5_NK{HtwIg}K&#ts}x2Bl$ zOQD=f$ACE{o2cxUt#{bIckMqu1u7bIwlFHGtP8c0Z4;IC9&`5mfmnXjO78}Mr>mQ(4HV$SbAQ8^L5 ztgUa@e!YHw`1(m}U{04@C6)DQt&}l|%7%bBTNNs({8I-7(&bdP25dt>R7UPo?^qc> z>wy0Nl}6@lov)^|HdtCIs}mJ?xSg|Yv4YC&HYi|~Q|V?iw*jGe1`Svn)oIY+qwnhlRN6A9TUbHG zP33?}o~X3ZnbSQjs?xBXlL7^D0u+ZG#iniHl%;~O!jN4kZNl1v#+-UD(#u`H=~kD+YqQkM5Tkr zoL)Imm8jgIGq-IhKtZKf#M}-ZVn}7zPW2iz@v|vA0F{lIvx8MhWuu~2axJ2=sn49= zc~Lc?0t#$Y6riBeC&k=NeZ-K;z&=Ku2JN+Gn`3}V2j=w2RZ{7Y1}Xtj**suQ--4)0 zRD2s0NDEL<*->Zi<^f_zW!MzEPAW~`ZTcQi*@QVe<}0aeYG|bzgGkjjWxi*-_Y@!q+Y0F_OdvvZ-6%H|eO@rg?36mxbdimC~f2o$gc zD5&(anTzlCoQmbtJ65991#^MQX3XgqR#NGh(@JC0M5T+)oc?K1m8ks70|jyd6jb^H z)0o08<+yT}&fHFU0SYP|LgsF5 zB8F6Uf2dxA-rEyT616mQ2AY*rwk!aZB2npTGiP@XE2vZg=5ARKprEpQk-1%M#E{AX zFVs7O-p)N6LgO8ovxi+tWvfstooW-6ZXR>?^s$0Mon+saOXMD z_?A#6s-&V@TIqD1sO*qp&fj&cpr~@64hmQT6jc76XD+;%z=l+MTu`q;ZRUNx78>uu z95Yo(rDqPP6OupdCWP$#|kQyh`D`30SYPyrkUH%Lky|>eNw##{_&4)eg`z(l{p7`l~i^rYNazR zqSD`I&OrfIP^diUF?Xk;00otU4d(Xu5ko3R-BT}>*ZdD|hQ_yL&cS{qm40cU5)hRE z0do$Cu!2J6AsZA(3s6uQWifX^fEZHQ^A)d7gSMM6ZGULI8*@ell~np0T4|g?R0c-O zISdP^R31)&0)_wumBVt(9T*{oRK|3vcdSh8{Ko`nd^;!;RZ=%!rQ-30xHnsF}X@Ad%@R7#}$amFk35~tz(5m z73LhTGk33o0AniJx<>=%4zm$MRYv!(m&&Ef4}J_9?*XmmE2#_)nR7x&RQB1}aenm8=g6*a8%)JP|PW zz?dFVIiXX%2EG3JcQ*l*9hl>!E2)h1m?J-^9&Bi(bF2y~@Zr%--XjH-r%dJ^Y+xW# z+4X~ZhwXi9c0?+@nbTxcQaQk9&e$BOGRgufK?Rku5pxgl1t_RI?J;*$%o(ZlUr?_> z>xX=m1uA`*bE;KI<)DB$r{#&tVL7cdDekN?nNLqKH+hc~RGtZ#dsq(XA(bJgSapt- z?Yh1HJy7Y(oYQlaR1S%lb4G!vSb3mA0?=eWQ)h1S9x15&8|YbiqB5piP$!kEAD*!g zsO-p`GxL>H4ofj-Tu4-oENG>3(^x@KWxNRrAcmlz@*H4C77#;K4m&(uCzY?SIP_3x zXD8;2FH};obmmMb5|yJvpyFW#h00krD4+{aP@-msT?8scQI!lj+XDqm0SYQFz&rEkI1s7qJ33bHE*Uuf4!<@fA6@Z+x5k2#all~hK1 z%sJO0Dkm5~C9I%wZU72+0u)qU0(vJHh#{3D@2YnOP3dlo1uDBT=RBj5${3$H=jVvZ zNtRYR-xO8Jpz|Z*%K zE~*lhOJKH~7zvQ51kBCk5ko4yZ!XkH<=j~xJO@+;Fz1q>lFBJ5=1dcZ3+6fnpb}S5 zCiAo$D1cR!G1|x=4PClUCu`>JJw_b;Kc7vi(C6$cMoXd1lr76@(7hnPPxN;dx z+>9YS4jmvFFV%-L?xHgN*BhRRc6rj26N90%Bj3zGdGt*45=La zpL)m2F7Dm00hK|_x!$j&GCpF?%!sH=%mWo)R3%mN7IVi(0u-venPTq5JYq=Y*cO#X701 zNoS@*J42voR7qu`&YYWcqHZG#Cm%&}o z_)zBDoT{WU$z;wflc-EC0+nI~m01N)z$~Zow$9wiaUfD*i|akw4p`rQU!XFKIk)JQ zRL--RGutLA7pAq+MRo<1*&!%Epit%QfVmf@F)%jh5Tj02W^{eHD^S^+Ik%dXR3>}O zfnWOL3e3I804iYxmD`G-fG0pf4&Ohx+DpP#s-0l;V zODwH)u_>z3u$_};?i62ug35f8xtCaoA(i3zT%A-tW@9e{Dt~9r9Iukf)POm21EMl5 z2UH58Dp9$^VD8jFfJ7x??z9|YNadg-f;y?}zSF4NfQreSJN!y2aIWI`5mC7;uaz#* zMOC75r^VchBLNC33q0mtmPZV!*r%oHq%vgK!e4>PzRbBZsH8G2#hklRMCI}VP>Cxj zlliV3D1cR!;06;3rgB7%=zB5HX~3%*c9=EBoJnzYgsTXU@H;N-A)!;@oEvm8*+DC5IIhRqiW* z0;T{3l|?>tuP!2nR8Fz#9k!pmdhaS|d<1ju*DI;Oxr+0EO;oN;1C>ZrB~=~>K>=HU zg37xlbFWP!hExs->Q&|2B?Es4DkGWmpjk-;&Q+X;Jfd>Fp_QgtqAF2&s0a#p0wgLC zbFViLLn;Hh*>#Q;ZQO?CKxKdCJZx7|fpZn-5ud2!Eua#Jszl|{G;^=<1t_Sz=P@@Q zb4DsBPl@WJ^6-)U76X+7nDeMtNd?YToW}y9azjolT^e^*87l>Yxz`atK_%3gdqWQC zA(b9pzD_E4J^UDcWZ00ouzQ_Q_7j~G%p z_FAh>Dnnnm`9)~_Am+>qDyhJ^it}WOsLU#8B{*ec_qI3?scf@#s!mmo_%gZ> zsElIHzx7HgaIWG!XA_ks4jVM!OvejUUdO_wtog z;9SKCL!xq54yY7FRT?Yro6MaX2#~1w%)Kjz7*g5i1g}n2ws>@x{egqi0!84q%=sw9E1;+?$V_?=5-1Ds z)8Tpwytm84eW1Wma1jn%VznwZfVYUR^_ZN`dc+1j+&{e8OrKxG2((hXN-tXBB+etR;C$0e(FUHYf|M z4DdB>wF-=6XW`d8Co`uAN85%VPKy7R94b~A1j+)dBf@GGIP&3RABO^`z~v}8yuO9< zlpd_K2$TiZ5LB}Vw;MCWw#dQ-At8e+Oejw&z^@*`Kga^|ovBuVZC{wa7&0BW z$f1bWjr@-S>p}u$f%P6?wF;zu`V9_Tn#-I&(s(rpE|anm}1#LqJ%q0#}WhIRXldWiFm|Y@Me(rNHk7fk^>zRR-d<3T%{n z{QxL%DqKF1!|O4qEv3K)i$GbRfuNcNzVG?V+fd*%xF{lzS6L*9$@_u0f&%{_3$)QG zuvQN`8dv&|c{*I`P{3;^C{Ol4To-|VkOkVA6j-ak9^RdsL*^N90YivaL{Oemps_%p zEYRL2tX6>w)*m|$3Y-ax@{4$#1LY|N+J*$m0v$ZUY8BXiL(3OXU>tKdOXJlGl&2Kf zs7RnJu&Ga2tpbxbX~Gk)@ytydcufN3DFyiT2KWbAVDo?iYZW;4&H2wk<^<+$Vd0eq zl&2Kf)F4n6=ok@JtH2BM8t`y^7A*JA;q?QQrxe)SB2X6SOi;~v&~4t(929`h^Ih_I zRX~y`=RrpZ;F~PaMJKFQ56-#%$vdII*|0RffNT9JPw4?)$B%!I1-3RRuvUSqkDoaT zGAF`<`w&;~Q=U>_%L0M2Kv$cvS_KAAoPi&&&VfbbMO>Fpc}jt;65V8hZXN~JDsauN z58n(0CNX#WG_JO%Jf*-kiEgq$cb@`l71+Cbrz@erxyBgpj!mW0y_{? za~=#H^TP%xFc}t|=W!Lg+~~YWtWd{4$O3(I3ar(G2XCK%@5vXyQt|?>O{YAi2faW; zzR3bRnuOIVFk_Qmv!TEgSRfwaigU_S3iK`zC=2Xt6IQFhn=>Bl00l0DMbAZCM^1T4 zfxaPuvOqtNuv!JWP1*;0FqOGR8drx?o>E|^B7w3%f1j{g1vcMg`Y%5mu|fRlE0Y0|hRD<0 zf4>?ET+ZD6;e+7GNuE+*pY(qh7?~8URbbA=+Ko`)3Ro!YF<5y_Z7BtY+XTuU@Kwc# z*DA366(4;J1+IkUzdo)frnZyIz4)6(- z1x8tf)haNuFljCnxEdC!M!5Eu@{|Gx1q8|hhvf*XRbbU~n+$~lGnjis3Rm<}o>JhD zh(K9@uhvDpR)OvhcIXcUu3_#`IAol^Hgftj#e)yMU()Rt0Uv`3&UaDqWttpc6m zg~}TI$aYeIt6C{fDKN$-P!>4JBCJ+{e;9Mmg&zC^7FI^MR+aLU0>=jg$^xh42&+}# zwB-*&sCEN$vngDGN_k3w6C(m;flQvTS_Ph*x8rS4;6~=UI<70FJf*-X2__5hHKX{4 zS_K+beR%;CxQV%AOGODw`_70BLx z=VnmgPFT1W;aWh-QwmHC2$Th;~6go|B^Mp}@Vc(9Oe@bCjp_;7Xf7 zS>W0j%zR)M`|9d;2Ec#yd_ zr*K6YJg;pFmlFued_IR)M?5KJpP1cpR2SMYz_A+ENP44G5G4?n;={ zD)7;y37bQKdCa{xg)6A2Ev0}T5hx4XlQ3a$8ouktI)-RZF!w%Ftig(NG`KM&V|T+} z8QlLlhTwlJ)ee#d9g{{tdlHhuYDtU3@}yJV(Bq`1n0vonE$OAC7rfHZ{3EOOG$a+P zCB2m^uQcrQxE1Xg=04z6OPXISPwM-1{91dKxeunRCH)eWC++sDg@E>N<~~=bmefo+ zq#pmAuRX`y=c`Iy(kY7z;l2>R)}CkX3ssZWhZRXL+6ZVbF!x2j8ol2ugm7O9;-nXu z`*NyU(w}LJ!A;N$bbO(`#N5EHk_2~?#TeW~(kmXm&|YTlD?zoS1`A_w6G^Y>_(BVq z`&!jXZE_fcn@D=y!x!2s%zeFTrFMCY!A&H+5#bB%Rp!2_SEJXyfHAmWrjKNJLE%5P$_9k-| zRIStnL*h*&Es9@gZ!vd~S&iP-I!{R|y=x<&z0KTr{c1^FO^m@!RC+JK7uq|_4O7*U zy4e_mn@D=!#uwUr=DuIGQg;tya1%+31AL(^VD1N1E9pMQ;3krm==efg$lN7%HF`Y* zjKNJLedyr}Z4q-n45}sdiZBK@k@Qi7FSK`=`>|dv2|h51O(cEd;cM+Z=6+JO(vCXD z;3kqjjqrsA>#jemT4`q!V{j8mpPTqXd!M0wlJt#@ zfc6n{zwxWl8*Ef0eH+9{A2WAps#?-et0HNc9w&Xm++}vPq`h+$N#6x=(x=S*zG|hv z=PQza(Bq`fnEON3O8XWnl795!q|cfAV^EEr`a=(%N4U$QIOz-KuF$I`sXyMtNk5r! z(tnuylUFTC{Xr&9TFEPY$=p>{E2%%S#7V1prLUN~x@sl$hm$y|=*RRT<`$!B^wb|i z;-od0lhVFs?i#aNlKKNjob-zwCw;@*U;JuG>W>z2(mKpZY2Pw;UDZls;u!p&m>SJC zzR;F3x4CMi<8_R|O^Z(w-IgGJv5dK;R5f}hnizwdNcvTeUwp^hU+rp1C)*fs&+c+xIvsDlUN!7 zZ8>XVR<%lFBaFdKRBFh@FIKRohH7V)&gpT2lGGT+FMeW8ZPL{$ovBlnsMMBMTFIK) zR;@JNtVn9dE3INp8&$1zmR*t5-atTG&6?Whs?j^!t4P{7A14)A)5c-7q;vd=qz=V6 z>1WooiBT=-+@K<9Q(kEeYudDGrSqeTq|JDxUs%)TRVxkfE0WR{0@_;El+IV9C%<_V zK6Na_N$Xfs$6~dlX}O9@ozihqGi&N(RZEiJ5Q<8jd8HQC)VXRU`K_Nw>cT6PSW}m( zmE<>hB5A9bul6fz+Nw~Ep8R%BByAnWeOk|&wnHI7Xqj zs}U!)XHC22swF*Ctf|JqvNA%~{i)#cD~<#xWQt z`ZS1FO0%XxRVzIgR#Y0yD|KW|gR53rL^)JxXc`f=1#22=RipQwPFaH9uw0zfi8T!? zR7-l_tVr5B=F2*>rhU@Yl0LB2B)+ee#8(@dR_bKN7=yh+yw{+WI_vRXBkbkly*65D z%XlZ%7WQ)SZadhE;=PTuQWq=UYY%%tytlDd+RBLcI>4SE?`@)$wob=;o5G$K?`;Nq z#dvRXt+b6D@1Tbk)+rpk7?{(8k+o$8b?O@M~_qxMgG2Yu=E9rK;r^8+t z@Ac41JW@|rQ^N5Vb6>A_JO@(y!Uslw5uKOnXnhed;4mo{${+lAM6$4z2REP(Br)ku$Pbb zMrx%2@lI-g*vrMc2f$tw?;WU>cC+HW|JT&H2US_-ar}87*fMilw$+-pRC3xGot+$} zyrAO7lDepvh=!)1=Y3JU5uOmzyrOwQDm9`T?Qq7Z1f<@SsQjUWnuUbfs=fJi0s14j}yf<{?xuVm0GL}Acq z%k3Ql8bcHWrCDyDC{Q|4K4>gaGiaRU#`!=L-HodQ*_PWk9^?>(KpB?%hiK4vq5x=u zygGiZwC_VaS<%Cp>oF`(Cpf}k0eJ17b?lPDkb22nF;mgNrifo2oc zf#z6lQaorbQ3y28a)(5N<`V@#R0@6xAQlk$feVQmL2p{_&}7geqA+N&kg35SF611L& z96=j+M-lWM&lrM&ydsFVjXdH9+Qb`rp!a#g4z!sUr5*0afy34XBD2 zV?cX(um!Y__fkOnd9DO>fY(Am2YIXmRLxr%ppST}0(6L%B0z_Er~!0@cM?E<=9vOe zm{$Vuc9bLippUtc5Bh`?^`K*1hzA|#Ks)GD?xTZFaGo4=lI!508kOS~r&JAFe5PX5 zqE@w_#c7pd7H3omS$wWS#G+1hfW==_hF8?93a&V-BDA7GHDJYGRf1J~p$e$toC=VN z^Q!+TzEt^5aY5BD#YGjL6qi){QGBJ+i{i2>ABw-J@Stc^-9PbnmE99pRP|0=Rna-o zq?&KyYn5CR*HrOLTvx#{@r~+zi5n`nC2p$PmH1Z0ro?xu^(1bo)RMTZN=M=!Dl|m@ z<@Eo~kN93?cElZ3xe<3&WJdf`HD1IIDzPH&slti4uL2{&RecZfub=Z85%R%#_>|M0 z*aP*Aj51OBTEd`#(iTBbK-#^1pkitFi3gQQyI&({y|nv>LEEIA5&&(N_HaL_O4{jo zhRMA|&2V99PxS7NN_&!bS1aut@9s2_cXv+OvqN}~FQq-#yK9p6Qt$3-BJZwQ+Qk9f zeJ^eApWE`k(k}Dvek8(OL@Q|@tmC_ghooH{0=1R)v1Cv?X&;XPMN9jPclWfkKlko_ zFYWVw{OYsPzTn-(O8csJ*Gt+>-d(6GF1;E z-qkw0K4BJV8#B0Ccm63ghqQx9TCKaJ&FD`$L-IVV*0&q(mXIDVd8$?G!2<@Tlct|b z7hyyHaI?pAq%$#Ls;^rwT$4h2B6SdiaoyCa@gC_(nD*4y5Bf$OAUzo~n_5>qm)VE( z6ii-f-90pvANN$uPip<2g1fP#voHmzb@8clkCT2Cvy55~O{i=kosEe^t?y^GjU+t{ zbA?*}=49pzr2Uu<)H-!u+zHaJVa8AEL!tSXNatWuPwT2SJNYv|9rJctPtR@{K{^*x zb6VfKGI=!VJj}jn-F=BQp7iUOVAEQbKe3$j49uZvePwj(M@Y}aG?~^p1C|$&egiXM zTKf~X@)LO$CcCu0TtD?J>Didi(t2Hte*@_`n6lD3;pWX;(sMB@rS&sqy+@LshlwYx zf0`MaNqRo!mb4C*j<`=cAJa!#=XFh|zx+lnkUT@Abwhm@ex59p887+_-KsV*f%Kc0 z=h4@li&}P(UWBO}t#_A=ZAW@BW^1&z9<53wy#y07TF=;gHH-98%(-ZNB=yF3qzf>u zqIJ%{y9P-w!_0}+#ZAM`kS@gJ$j{nZoAWF`lDBvaM1MHe$5~(TAHcVHn8VPI7hHdc z^gBF~Vd|>KEYd|X!xv@fj@btWkzOt{oESq-aaXP<9pE7cL$?dOP)oX)M->cx_VCaHJu1zGpg2x67-Jx}_9i&%s_}|d|=5%aNdKE|b4Snm*p{GfgauDCpvaoz0 z>32C!Z|E&;@)nU^&7pWhU-+iy9nxz!+HU9*DS7mF>&UenP&f312bLWny^dq%hEA!T z6ChniKeZtY?f-O2HtF>o`8IS(AkdTa1`ci;y3>Il3rWAn@oPgr(-6y_h9HNi4c#~O zN(JeS9ECP?>bBz(NN?gmv!QF+=2nt^pJT~}Zi)J3G3m`5E;jUvx?2&XKj4V4p=8;gT97dlQb<%dMn3u4gK)t+#aO2ap>02Tlbvh7lG{@oi+50k~RlP@8AHeq0^e< zl1T657^|U|C7$LNfpQL`8hT6hlNU)>aHQ1G>9=e6%gingh8p^b@e4m8{UOIY4Q(As zJw!UhAx%S1@Q>oJKD#+;Y3Qdqb>%PAdpIy@=+nP_l0V8yjy)PW?lIrDq^mf*Xy{hm zeo;euFGmm!eSg44dOAh!lN2O0bY5Y^??~_GIG~~1?Q0)L`T&Re4E;rF3qO4hax~A- ZUG6>4U#qG)U}xw)rIq~R^%2M9{s%$l75BJcN(_i%Fs2Cujv-)l=Wb)8^WC}OB3xhWN>U%yc~TTqkJAo z8K>u&(bPO|N@KIGqgM~Y=WZJx)=N~wj$VR;-aD@R8Bjfws%=w@<<_<=TC=(Zy0f>1 zgb`vrtuy<}y}GL+uE=&&uzR-OUGP$*G7&P37c zL7*yX8m(0Bv7oRhioEt%C2s`Sj!1qP8+Q@dkbN`c zDgrCAzl*p8u%rE{s20HOEN~q-S^@U7(@&7Dd7aYiho`mY#mTQ0mB`O55dO49r=PH}<&5R4aBZHp2K8K;7g*&=%9aZv;5Et% z>&8HZh1WC37s(neCoMBtIv$bcRFuPiCzd@xNVXQtYAR-zZkMPi<^_J5;8!o^G@|E? z?4zLyfv!Cb!RL3#-mp~;KtLrVop}R2<>qoNH_Xiy@*l~igYo}``#tE<`oCRJhOOb`E*DPDOyye8xmoEpbG4F<9 zuw};--4cxJ?EZ1L1V&{K3A!b8dc8+Nha41kV`y_iV~o>SS1|9!z#Z8Q5WsAMf!W!3 z(Ji4p>+^028@nd#mcaR$Qv)JddB&>K*R;@N%;GM^G-t8pmaq}C@@@&unHzUYpeM6T zW3*zZ$vg}MEIv^W1=js%%Pm1WGiQ`Uq6yuWjz z(Tl>K)#gI=1!V%4tca~SOLQL}6kc@Gv>`fQcs6A`vVj+#rtWJwdF@_2DwX#ANKcPXz+43hKj$Ja4D{5s|T0d5;kgxM-5Fr+#?A= zGQv}ejTjbi>tS7^6K)9`aYV!=siT1_J$fKmm?jV76(!YlDP>thHW_2BZ1)UybW2lawx3n91(N`u%$EP0$^Bm z9_r%ZgU+E*2MTHO*1mJhgJ3MEK&fQ&rI+}5?Y#vBD|F z&^kT}WOes1T2&_%j0s~ zw1ECBZ|6||9hPvoV=Y>RTW40^`*{ZgZ=N3dgau<7-GY05`koj?u3Mdc)Y>*^BXMV@ z`T==cuyjewVoLUodnDA>F-kW?ub6gN?hz;Lsu`Zh+!3u3 za$%l+qgo2p>5r=|hkw$~N2lZ&^d*50r8XPi(<6k-d`0G)4(>3E3@utSX^d&&(1VU0}9xnAqqNqOP_V zwGifZEDkuz0Pk0JSg|4m%&RU8J)eoj1w~b-#DoN_HB$z;!?9@FyaB)v->GPcgb9Adi$ULts$ck%5(lv3&-2NIx;rUeKa^h$T&nbC@!zn!1@(M>#s26)q zA4tcX83S@V3J0TO0PFa?qL3HIdzCsd`zF`sy?X1Y_pB;mJ%ux)UZkl0q@oPdZpViq z8-iK6V^i7Ya24iymTV5)-SJhy=Fp!x{nSpA(R;Z*l{`2%r=Ja(7lIM57g6p9bB>8L zqsP7aHnxWa7PK$s?2IwiZ1yY1)RoMH`EqRAUhZ!C-39C{+PC~R}=iSL8a)l$ofn9ad2skmjhVQkkr+xFoh zwB*NO8;Pt-f;JGgr|yZ`94o&mZ*#1?J!o^RZi8iWBf#nT2MroV!+u&p(v*jz204_b z+#j&Z(UewvNE2A>sh|y{A0Exy91SZ)Z4T9?$J!j9p3-`miOTC)?nBE)vI%blZH`TN zrIN?`{+_q3SKi^;=E zCI@p$G88im5J?EcH{8=r)xebFsyS}$uKl+c+4;~dPKl5Z0N|cFjHvE$h^rQ z4U&~`+D?ur7=~4jj2I?_)nYLQrdQKU(8j^wHRWp=t4$m|>VRCTJMe zG^S`6wqDh3=Fs$>4qG4!SIBFPr6bo9ZhI{p`Nisv4 zsCPob$cZT%ni=|@+cbsS{mBVolM}*SYj8;zERtL1PidMnxn({PmPQaTC{hKO1wdn* z!p7P2p}h6RBA6p^%WF*%JERH4l8yKf1Z%uKAk6Yri%tR8RMc6sh-(TOhPcL(X68#y z%;cbsRR6MOnwlH&{N4f^QUekm5$tYFQ8a{Aw|3w?L zV$KnbM-mhT*`smVkW4w zU!Vm()ynNe@A`fH;+Ff^pThnn<^J@?pns;^T%RlYXYx*z+kiZ;LAXM5=Z34LXl!m> zyjqGYE0_2h7MEBq_cbZHC6_K%Ye0AATE8a6b(zb=tFasg&smUe>-N!LOn_|3waDwajY9?iD_#H8;#|#uYKJBv|I5B&S9V!})SbP&4xhn;bU` zVUt6K;oLR3WEfPNoKiFl>zooZ3=N(dFbv8jaedPIrdb@aQwxUSw0LC1FjPIwGK}0n zriBeBW|s}aTBjup!-}TI4I_8J=^>kA-i)Bl5m>SrV3IRph9h$kK2(pPBgSA>iOiid zrm{8DnvNr9NCTSJ`e+d(yeKqFgGME-j|DUh9j&_LmD7VMv5SNj2<&( z26g)ko#Yv1BI-hR{jLq?5E|2-)7+UMB$|s4NuT30u1V|@gP;J3yZc-9 zlKo>$oxNml23tlTZjW>C2*iCsngHSN?5hrO)_iaZdryVX4XY* znN)LsK=sts;4!(E;-*$AsLEfy^(VlfytAq+wlXV$?CglGhxN%Of0Ye#+s!?haN}-_ zfy>>%Pf1d?3^IFs$cX4B*=extstZ-@diV2D9Adpy>&*$TA1ZcrdUccA47+40;AdCn zCXZd*_pxmWkXFrbiAH-+rXVzdw9N;xN6;#?a19)wf?;9tr{a% zhG+K9N)uSM48`m%0guX_MY~zauOetxj}RxUi7#)x4Pe`-)`zQf!`pJts=C@AjRBtB zGh*vzeKIRo4Fj2xJN_1R%Wx!Lm zOxaf@w`^P(TxXAjM@Fu*cf=#JDBId28y})`YED{2)$N&Y4Wm z7K$tmE|U&>WYm;#RuII=dOUM=+>M(OBksphkE}@9<#D%cYJjp!^KRM12sqai#yaEy zw^~;II!+#Et8mQ);`S@zQxf&ble%|dpm!3yGr8V>sFszWrm7BkBIw{_{@%M=g&mLfMl+k1S8wjR}ve z46dqe@+?y=W2q{cJg`*DVqeF}Mn;vdX$UU zi>c%g3%Fc!9G{YK)6sjhxyfN8;{8r<;^xG&4z2_;hdmyXhzkY5EQOb_7MN+n|K-uvg86J@!TTrxxthYWw*}}L-mZxlS*dr@bw$v6$9Ycx8 zo$Qq*C|h2%g{)(!McJyjTQ-bbZgtorD^Yf4$SoTlq>O6}ov3ek40LWcTO_s&FH+W@ zpOT0h5dfLnGp;4_yrTEvbNhrnvI4l=ezr*T;h7z>1H7^bWrK>gkafr(BXS4DJu<-^ z686aQ;BtrABB|rhGG)>$BBwt28$)hb(FTevLEOl=TNWx(HYV(r$<}*roGsGr$b-#= z-7>u`o|{m#g{VVb^Y4QN(>$yK&%lC7h>Nh|5#qwUAtJ>d%Dg=R$?HXyYf8MaBQ$L9 zTK{}*&TeE@MpfqIqB$axIh9nz9IDE!$*atokU6lyXS>YWfYQf>_*9oUtE}{4gv>dX z%A6B3Cl2`Jky#J!;s9#RTwKKeh&gG=$v<;x$Q-5Q?ICk{z#O~e4J31A*_^uMEhcj{ z@~$aRODpoOL0*ck=4~pIDk**TlQ*(Vd%+z24yWVRIHG^I$?{9t@geF`0*v_b~E)WwBflc}3(s2L_+Wdk%Sjl)M*^_X6@>EHdw< z82;n?&yx2F@?JsSUnTEVypGhYQj<<0BHSycuVH?Ia~dj~)vuTL1|vVD-(2YK5D z$!%N0|EPJVH`})WDs0{b&SDvv-7#ohMb7Swyq%G^tHpBtkk=1+yBEpzkKsSQ2jt1& zAuBt;GOyKU_X&Y2nuAl>{g4+#-u`hS4?y1j$Qu+T_uT-fyg7!IJ*W&SuJpmJ>>(vk zQS%OQ_AulfhP?V9%he;V9(lvT;In}LVU-83Pp&Bf$}(rtvXerfisohUEEY)FDS7jvcXnDyWv4~Wv0^@FWoL%Xxh(F6 zWM^6COjdSY2vpIW*2^9f0F^ff3c1gbJq~#bimbgQhX43J0SrD1_#ZZ>zp_gbD!U}8 zvP+B1I|;~>@O`pH?iA#mg1nVMaw|*tA2lccva182@+!L~%)B*a{EwUW!?SCFTnpqn z$yb@F zz~Hlh|6y}Bl*_8@jY0G7IJXqCKgpZJ?^(+KnY>q@{A3;9m1ATIp3%u;3F?0BoOS|k71#>Vw`&1NE*&G7TK7(?8?!73evN;c){U8hq<;s%x5%S8&`=m_dr+NGjndA4_&n!?ebM`&^ zMOx4NE%!PNyc0g`lLVX=O z#PL61&fj;Qp=EOor1M(V>*C=^S$ZJC0 z#1fH{;`kphrzbk5MnRR8KAhe$Jq)U3&ZT!mkT(-~vl1*f8+o&kH#bIZUJz74>2vNK z$3Sv)!W=8G#WXTSq}5 zZ@UC6%VC7%Fhca(<~iJbayt~vxj!ynb2}%@=|e7Da~L7|ydRgWx&9Gz9*~RG+@1k* z@{h~Z+}_npd$W9%rQPLM{@@S%rU>*Az@G@r4RIR z37Q*%a&@Am9$X!`VWMSN5mdw+>*InmH!@%j@Nub`8(lJo0J+G_9gcEGh?X$8Bfw1% zEfb2MBIfWNACYp+0dqKy4@bF4C8dwy@v$g373HRjmKortgNulkND)-T9Q@-$P;Pd> z9K_?}Pi}5W>4SQF@X28e=8h9B$Adc#+yc?Epa?3W^pQ0|xn-i|WN^#CEf+1zi=ZOrm?9r!a;pO72w-k?7*xreSmeV?ZcW1c(KdHBxOFId zE*yl&QwKt;`AAU-PRa39b|3b|pK`y05o z^DOsH1eB$6?+S-2D)%n9e?*!0K>$?V93$k$WUd@lxsNU8eUiZcpgB><&B)w8Epup> z+mN|`f%`(Vd>H_hH)jL6^_crAYR&<2)3LKhQ0aq&+-~gbWhs3mkQ7#+%R_w$TsZS1aL$PxwOX)*^+)C`+72NKkrGEfaUg@Ka+&=6a z5H% z7B7YU+n&Da9YQcD68!3cr_@s32RSg_e=@EAzWd?c8_B%2Rr2BnF~EJ{|SZxlhOOdz_?^7^DXI+lUWx@9Qu4 zKITg#vztykp9=dR_j=&6YX@i~1~(FszX|yu_k8fysat6z2EIZ5I_QHOaMHa!F49O` z6bAWZzz4aVw>2->0A3StBvY803QyALRCzefr{jjl=|GkUtOmAp5R4q&cUN zvS5($`9R1A`JI8szhY@5CN3n72 z$_Kg433qH9p^>sck=pMm`yjVIKDN($8i|S0=)BwVLH7CYoX4KgNKB#zc~{8?xz)b+ z?YmwhM^{=@DEc6KKk=`-mun;@U!(KRf)BFSub$fJJdMP}Y>;>4eULpbpOJb*BQa?k z`@XTw)O8PkB3Lei4D#ie4|3}lZ~x;ejl>ekAYY35Ap0bz zPJ2ls6BXo(5g+7Md-i?cW{t$s%IN%)<4ecR-UmH!LY+op*=3L~gnV@NIwbJOSdDC} zApaQjLH2BW>&l`=V!4JyFFjZELH1Z5+oZ2xF6C@w-Uq3Ediw>K(vi5#L!!=G$`#~A zdE4{*KXkzF77=0ZoA(6rCzcO#;B}KP*jpoalP@A~F8Lt$`@u6O?5dIdi~J_?CZ|PC z?ZFN0eT~jN<2Lfff{)I9|NZ>3n}|?mKb|^oaN6$Z+-L9~QeSH1e)2`+^$8!Hd#?(g zhUW+*J|H0xd0pHGx!2qeCVe1~+@K=AiM%%EgWPlSFWw(R1Rkp5{30?R^+67}=7~kW zB|`C`3yH|ih!1j)8?0ZRPlWw90U~o@A7uZ>zbt*Mk@fOLWJkycx%&@((ek=R4lDAT z$ZXIDxm(NKy$;pLk#QTD3HTuU%^S1*0*xFKwvp{njop8)znnhW^`eh=YSGAX^2OTI zWgp}&FK--txJHI6$du)S+z%&Sc34xzlBl@AlWo=D3Y)E&3n>C*E=4 zAdQ?HwvpEqe2_aPe);1IH1bIKV(lC9KFA&R8YlqtrXGAmUY+nkZr`)CdMB+jQsg(0 zSH*pheJ6czQ&9gkeh&-p{gWT%&r%zr%1UA;<{37z~q7Sn7Q>!QUCPH!J4T;Eg z1s`OuS2yMoL|{WM&MzX*%KIRDp0MfOFNsjxutOqptsk<-AEM`*IRu+|201h8qf=Qc zcQNzQjzJrFp-|w=>y4~ueTlh9=Dj@1{*o67WUmVanY=J>zZLgJ;J}0T4nw$uN?HNe zA60S3x8I60i!^|^3@~rSt&EaqqAXJe;d)U;s(C9=dHL?G#9c+_O8!aLBvJYILPg~pfqz_i(^klY)o){4HX`GfV3*~3DXE3F<);?OGgT~iy@Dat zyzf-=R$}sAZ+-^wPAd6c*jr7-@^|#;@ThdE5tVR| zUN7>;Q}7mLj}YI9ef-z~7Xh`KN`6tL`+vR@+dE+EW)mJQf~&IOF(O>A8xvQgMVa*$ zJ|(fK{8qPUR5>HRM*?-qB5lCEi@Gew9(YP1AG(x!jE@7 z2fF*K)R2HzxBbR9-xM&3=3Xb}I-O0~O+4z4vu?#<;Hxz9}s(~)TDe3 ze?6F>4yJCg%iOA|Pwa}s{p?DA_2`K}?5$GMYmKjm3)I2XJ$C8E2K9+u^NN0U?H>L0 z1wibhQgdqc<8$3?mtHPa?D{_J`+7g#YxVvJkbPC^*joMgLO1uLUhq}yS`_xPYvsjX z{s$1e7ay(FkFRvIU3$q{v1?h}&#u3}^U<#W*-xdG25XG_S7X${)IH#ge(W2!JHo)up-JwLlXa)2M*mXe2 z*3%WcZe=N7yPmPt={~z8=x5h2VmsXc+<_`}VX=l?2UXhFJ$5}6wG9)0U6J>*>$vOs ztOIP2O8rRHuBE4s(X&vC9GO&HBWt}H>y2IrE<0Uacr!6?fT5J^+`Y8GDU-ympZfOW?A8CC4b=Bk>egE0t0`jZ=^ndwh}-(a zu6v?>*W)q!yuTW-LsaUnTKzaFWb5e`yIiN?#IA>Z?fS(7F`9pVU1Md+b_Qw)Kg>UhwV5 zeq*jY6RUrS?z=o;R+qK45qGapo9=oz3 zTc7v~OM9PZpIxVI;QDL0O8ui&KW-@4db-E1&X}!F?Aqkpk3ZTWy$4_;R0@wu{@0_k z?ycCh8SChp^Q!AGquBLj)X!gQM#--0NR|4$RzEuH-tMvM^0?by{82}retcnvpI->r zD3w;>8vb(Dz1?HikE0&Dw)O4DHQUq;25huS_o+3$-n48z-DB6)aa$kzkw0zeRzJQLw)J$6U9C}DpV-yUw;vBa=hSNf8>`a0)aplP-P=8OrNbV(_O$%` zb9E(7ccl|H0aKh7-Jdb-E1 z>nr-iuHnA@c;EZirciBIrR!_-BTqk>RI%$DtygbM*!sk-u~ENqKi66@4X_549$lj! zc@C>v?Rqk3>l3>ge8*S%oPD_0H9@712>XwFp7S!Pd;ImNW$P2WCj0i|s!vNl0IX4^ zn`@0PXFc9McKxO3v1^8JKVFx+Ur#ve@$Rt;3uBYSUvqr>aq+-IZvv`W zrDxUZM`u0WJ$8MX_trTt5UM{kYV(AFr||-3z-WtMrmuj@P^0Q&D-jD7L*pVuIcCdyGkJxJDZx&tiLzCBr^-(_?hBtkM#ekZo z(&tzGJ-XtD=ZgSH>bf5$#Z6H?9xnAA58wOh%6@=NSLsV?jfX$wZ9QGLVS3muD}C`} z-|;Z_!3Q|E%uwkoYK@2YqPCu{+YqUg6&qT8$HUz7`reExW~%gtTI1n^@c+FH^MZC+ zu>nh2pKJ4&k8g_t7E$T88snj(XBYrUUH1d`vvd+0uJs)cXMOxJmoG=Dbf@yaE_hbg zq^{f0Vi(n8@@C)h@SDYde+Rf(Dt%*(@xZgaCUxD0g_W}6hkIgO{B6mNADr?ZR6bj! z@36cs`d_~->3TJZCZ|JC;r-p6))Wn^!le?#EzRRo63eE-Agg4_h>wgVs&Qq?hFZ*G zqQ2IGrtMF-rS@-2@&_|a68Ak;*c|sgj-NG=pXPkLyz=1h?hFNURJvGorP=Oty)`4Q ziUZCwz9xw*1g6?mmX9m}S(OXEvHM&usJPGd=1|3b{G}0-C`;zS@K;0}nPR}U&G=`#vce(fUdF^yquRJ<^Q(-YnVe zp!*oYv1{)8S?@e|Wsg?rFUvJX--ni6h2y^WiXK@A+_yIOIWBPA_ln0=+I_FaY@3~n z_w|TNCIPTF!+ow_bsl^7W5b}~7}eHS)o|a^yj_LkzA72rSA9#cd}M4?H7@*h+5fvc z@7o2`E=fk;zE&4U-v`&szYoQaRc(7#tuO66Z`J-;Iu3Z%B1IN1Rd?u=1zgn~dW$Sv z_)AwNRYspJz&A&ljJ_(FzVrSoVvEInL&{xr-zAa#UxJ3?RNKMP8l!KcU4wJy^>yFY zQ5(o+X!m*V&|AiRjtjc(zAr+y&EmfC_=#%$>g50WNp{!5W$r8Yumg@)ZKGq~%zagN zXmMZF9eT^S&v8N5-S<_%X8-)yjsRSG-rlD$tczC z^WLGijQboHblH9UaXOP^^c^4UqWey{ed`raut2rVPkh7C=e7o;~&q%eJ!f(#QZmOpLdSb?yHg|P*r!|dHEBDCRNE9 zlf-?OCc1d>J`gyk9tsw!wu@@4`>GZs;=ZZ{iQaM9uV|Y>H>WIcRWFHbnTywPL1pyW zhTt1+sXhAm1Dhs^`_lO?x^J)ke6<0;GSqfWjdkDVZW&a&%7WTz*_If6E*Es&eLK=3 zN#een3te>IJ3}sOMDazc?fPJix$nPGU4d)IrD{R)?T$Xj1zmUF&fd}YV5p1kyKd+6 zpM!T6tG2sCHQcwETL#r5&4Su$*%ldnjtjc(zJA`(_nUAR-M8znuRR~_T%y{r)9U#{ zwf)d$&Xoh?dg|~lNNSEg*BMbZZOhDkjtjc(zI{S$7n5APFGe=UeXcw2hXb#A5QR@v zZO;{JxNoDV=LgNJ`OI6jlulVFP%Yacqt9_c#eLa5Bea(ZZmE6i&=4D^+TM-5-#1#gd^cdX18!Drn_^vc-}sdmpx{ZW z?IX+IeXi45mC?uF{x#`;yKkcn(+_f6Wb`>Ma7LeVVhwK5qmRGJZ4za&9+xO}R4X3E~eIqs8_nBo^QE^|@>!te6yU~W}3zem+M`VJsrFncx zqP|oZWRoQt>01+_=t(!5<*M%tEe-#5DR9^-Z2QC(g4ebwg&@1lh( zRr|EKzx%4*AJ(InAKdaWd@3M$pg^aW~IED)`>DwQDjtd<3ogcI9b4K5Vm3BDpYjev~ zJDRl_e`#|)^KN}?zY(ZuwQ9dq)tLLd?;h*X*I6;|+jpPi0>^!c(%0R$q2QM3i#M5Y z$y9rGbJl(BIRqi)3u+*aKJ%94&~Tb+PY3+nSACa_170l)eO;Ead}K7Xs_ZvjxO}5K z@BJlMBuVDJ+ryhP`dokKO>MKH0mav-cI>qJKeXM+MoW^B76)1V92}zTmVkipL0rnB z>_$)7Epz8}Tu>Q(wjua-F5c@3w%ECNpS4^v)&9_CEnHmgoBIC6hd{&Ws{OuV4fj=T z55xhlehv;%_GA#Bk{tIvY8jDsDV^Efqc7{YpyECTMKZ~8-y^n2T&geLcjC5CMjsyU zH)HN|x$mnl&wUsg&QR@-*IKw#Z4aZ*UUe_t3iu=4_X}65~TrQ}%ucK!e7D=kO&vgobGVQ*7@&-mXtM<=IU3A~9 z9qv981Tei|)H(PI3$soTD*~61nEKK2&~UEG92T$PKIbr<HU>+YK! zw(S%5HFkB~x7z{R90?8Qsm%Bq>pn(LG|6$Fb0*GlU!v^Br7UoaA7|2*bD!gauDkCz zS}94~H><1bzQaSm4x{)FRAzdObss}Yn&i08IZEfa@9dBpC+@4dLvNYU=eVHj?t8Up z+f4UKV(4t99uhX2R8I++O{$lK%O=%ZLS>WMO2TB5>Vsdnj*(DXD~88r+D0)nHq*9> zVX>LEQw)jC^c@L@O{%ZTT%%>%D~7>l+Ceb{Hq(w0{+bj5H|L`y@I&kjd(E`7guEuT zi-fx-wX0&NYo>k@=9<)Q65^WF?h@XbRDTI=O==GbYfWl^gtR8Lr-ZX6wU>mlCbhSO zu_m>Tgs>*HuY|8A6_n7`r1nz`Tg^03LROR7U&2+BIzU2IlR8ksRFfJcA*xAzSHe@1 z8Z4oyNgX6%sYx9yA*o4yPr^}?Iz&QIlR8wwP?H)WA*e|mCgG<^Az*EPe)E^q7LP(Q3LS-8*5-KdAqe(SL*l1D{BxE$HMhO>9s!2jclWLYQ(WE9ShKOdG zB;lb+O_tEmq^3w%Xi`%pBs8fbB^)%VX%Y&W)O5u#&`dKFLqIdlRM|Cgp(2W*pP7!5 zu+OAsNyukXvnAX!sW}qrnbcef^Gs@Tj}IA&7IB@{EM6%vM-)Jh4#Olp;cUnYeR$#2F; zV3V6+mzh>e$YoNeNw{TFYb4Y%snaFQGO05p#4@S15?-0qnG#x=)L9Z%nbbN7sZ8o@ z38zfz90{dN>RbtWFF{!kKHYU|3VU0<( zOGsl<83|`hDl4IkNp&DfON4~VNeE+7of5v7R9-Q3G1Ik(k`fT=Itf`!@_GqZOzH*+ zRZQwe2~$k!CJ9kY>ShT~OzI~RnwZor5|)_MtrC)$)NP94h?#Cj&^c|@PZ2Ro*L#PA zAZG5J5`LIeK|&9cx=S(aFw@1t#^Bgajt_TL}kD>S+lDOzL+M z2AI?{5(1di?%DFn*R#Yw1V@w68f>JJj~m*jI2?w8c_66%-KA0^B$sTU-~FR4FC zcwbU4N@!nFFG*NmQZGwLUs8XTaK5Bokx;&*{vu&~N&Qtq_>y{6!uOK0By=yS*A&C{ zGQBP#dr7^a7_OJ;O$pUY>TeRJm(*JlqLLUreOX_0@xl8I33Aan?Q-s?Ml2HGYFuSBS XNr+uipGkOKQvZ_Bx}^Tiu)6;TU&%12 literal 0 HcmV?d00001 diff --git a/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.vhd b/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.vhd new file mode 100644 index 0000000..c76aa9d --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.vhd @@ -0,0 +1,949 @@ +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.4.0.80 +-- Module Version: 5.0 +--/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n fifo_36x512_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 512 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill -fdc /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg.fdc + +-- Wed Mar 18 14:40:04 2015 + +library IEEE; +use IEEE.std_logic_1164.all; +library ecp5um; +use ecp5um.components.all; + +entity fifo_36x512_oreg is + port ( + Data: in std_logic_vector(35 downto 0); + Clock: in std_logic; + WrEn: in std_logic; + RdEn: in std_logic; + Reset: in std_logic; + AmFullThresh: in std_logic_vector(8 downto 0); + Q: out std_logic_vector(35 downto 0); + WCNT: out std_logic_vector(9 downto 0); + Empty: out std_logic; + Full: out std_logic; + AlmostFull: out std_logic); +end fifo_36x512_oreg; + +architecture Structure of fifo_36x512_oreg is + + -- internal signal declarations + signal invout_2: std_logic; + signal invout_1: std_logic; + signal rden_i_inv: std_logic; + signal invout_0: std_logic; + signal r_nw: std_logic; + signal fcnt_en: std_logic; + signal empty_i: std_logic; + signal empty_d: std_logic; + signal full_i: std_logic; + signal full_d: std_logic; + signal wptr_0: std_logic; + signal wptr_1: std_logic; + signal wptr_2: std_logic; + signal wptr_3: std_logic; + signal wptr_4: std_logic; + signal wptr_5: std_logic; + signal wptr_6: std_logic; + signal wptr_7: std_logic; + signal wptr_8: std_logic; + signal wptr_9: std_logic; + signal rptr_9: std_logic; + signal ifcount_0: std_logic; + signal ifcount_1: std_logic; + signal bdcnt_bctr_ci: std_logic; + signal ifcount_2: std_logic; + signal ifcount_3: std_logic; + signal co0: std_logic; + signal ifcount_4: std_logic; + signal ifcount_5: std_logic; + signal co1: std_logic; + signal ifcount_6: std_logic; + signal ifcount_7: std_logic; + signal co2: std_logic; + signal ifcount_8: std_logic; + signal ifcount_9: std_logic; + signal co4: std_logic; + signal co3: std_logic; + signal cmp_ci: std_logic; + signal rden_i: std_logic; + signal co0_1: std_logic; + signal co1_1: std_logic; + signal co2_1: std_logic; + signal co3_1: std_logic; + signal cmp_le_1: std_logic; + signal cmp_le_1_c: std_logic; + signal cmp_ci_1: std_logic; + signal fcount_0: std_logic; + signal fcount_1: std_logic; + signal co0_2: std_logic; + signal fcount_2: std_logic; + signal fcount_3: std_logic; + signal co1_2: std_logic; + signal fcount_4: std_logic; + signal fcount_5: std_logic; + signal co2_2: std_logic; + signal fcount_6: std_logic; + signal fcount_7: std_logic; + signal co3_2: std_logic; + signal wren_i_inv: std_logic; + signal fcount_8: std_logic; + signal fcount_9: std_logic; + signal cmp_ge_d1: std_logic; + signal cmp_ge_d1_c: std_logic; + signal iwcount_0: std_logic; + signal iwcount_1: std_logic; + signal w_ctr_ci: std_logic; + signal iwcount_2: std_logic; + signal iwcount_3: std_logic; + signal co0_3: std_logic; + signal iwcount_4: std_logic; + signal iwcount_5: std_logic; + signal co1_3: std_logic; + signal iwcount_6: std_logic; + signal iwcount_7: std_logic; + signal co2_3: std_logic; + signal iwcount_8: std_logic; + signal iwcount_9: std_logic; + signal co4_1: std_logic; + signal co3_3: std_logic; + signal wcount_9: std_logic; + signal ircount_0: std_logic; + signal ircount_1: std_logic; + signal r_ctr_ci: std_logic; + signal rcount_0: std_logic; + signal rcount_1: std_logic; + signal ircount_2: std_logic; + signal ircount_3: std_logic; + signal co0_4: std_logic; + signal rcount_2: std_logic; + signal rcount_3: std_logic; + signal ircount_4: std_logic; + signal ircount_5: std_logic; + signal co1_4: std_logic; + signal rcount_4: std_logic; + signal rcount_5: std_logic; + signal ircount_6: std_logic; + signal ircount_7: std_logic; + signal co2_4: std_logic; + signal rcount_6: std_logic; + signal rcount_7: std_logic; + signal ircount_8: std_logic; + signal ircount_9: std_logic; + signal co4_2: std_logic; + signal co3_4: std_logic; + signal rcount_8: std_logic; + signal rcount_9: std_logic; + signal wcnt_sub_0: std_logic; + signal rptr_0: std_logic; + signal cnt_con_inv: std_logic; + signal wcount_0: std_logic; + signal cnt_con: std_logic; + signal precin: std_logic; + signal wcnt_sub_1: std_logic; + signal wcnt_sub_2: std_logic; + signal rptr_2: std_logic; + signal rptr_1: std_logic; + signal wcount_2: std_logic; + signal wcount_1: std_logic; + signal co0_5: std_logic; + signal wcnt_sub_3: std_logic; + signal wcnt_sub_4: std_logic; + signal rptr_4: std_logic; + signal rptr_3: std_logic; + signal wcount_4: std_logic; + signal wcount_3: std_logic; + signal co1_5: std_logic; + signal wcnt_sub_5: std_logic; + signal wcnt_sub_6: std_logic; + signal rptr_6: std_logic; + signal rptr_5: std_logic; + signal wcount_6: std_logic; + signal wcount_5: std_logic; + signal co2_5: std_logic; + signal wcnt_sub_7: std_logic; + signal wcnt_sub_8: std_logic; + signal rptr_8: std_logic; + signal rptr_7: std_logic; + signal wcount_8: std_logic; + signal wcount_7: std_logic; + signal co3_5: std_logic; + signal wcnt_sub_9: std_logic; + signal wcnt_sub_msb: std_logic; + signal co4_3: std_logic; + signal wren_i: std_logic; + signal cmp_ci_2: std_logic; + signal wcnt_reg_0: std_logic; + signal wcnt_reg_1: std_logic; + signal co0_6: std_logic; + signal wcnt_reg_2: std_logic; + signal wcnt_reg_3: std_logic; + signal co1_6: std_logic; + signal wcnt_reg_4: std_logic; + signal wcnt_reg_5: std_logic; + signal co2_6: std_logic; + signal wcnt_reg_6: std_logic; + signal wcnt_reg_7: std_logic; + signal co3_6: std_logic; + signal wcnt_reg_8: std_logic; + signal wcnt_reg_9: std_logic; + signal af_set: std_logic; + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + signal af_set_c: std_logic; + + attribute MEM_LPC_FILE : string; + attribute MEM_INIT_FILE : string; + attribute GSR : string; + attribute MEM_LPC_FILE of pdp_ram_0_0_0 : label is "fifo_36x512_oreg.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_0_0 : label is ""; + attribute GSR of FF_62 : label is "ENABLED"; + attribute GSR of FF_61 : label is "ENABLED"; + attribute GSR of FF_60 : label is "ENABLED"; + attribute GSR of FF_59 : label is "ENABLED"; + attribute GSR of FF_58 : label is "ENABLED"; + attribute GSR of FF_57 : label is "ENABLED"; + attribute GSR of FF_56 : label is "ENABLED"; + attribute GSR of FF_55 : label is "ENABLED"; + attribute GSR of FF_54 : label is "ENABLED"; + attribute GSR of FF_53 : label is "ENABLED"; + attribute GSR of FF_52 : label is "ENABLED"; + attribute GSR of FF_51 : label is "ENABLED"; + attribute GSR of FF_50 : label is "ENABLED"; + attribute GSR of FF_49 : label is "ENABLED"; + attribute GSR of FF_48 : label is "ENABLED"; + attribute GSR of FF_47 : label is "ENABLED"; + attribute GSR of FF_46 : label is "ENABLED"; + attribute GSR of FF_45 : label is "ENABLED"; + attribute GSR of FF_44 : label is "ENABLED"; + attribute GSR of FF_43 : label is "ENABLED"; + attribute GSR of FF_42 : label is "ENABLED"; + attribute GSR of FF_41 : label is "ENABLED"; + attribute GSR of FF_40 : label is "ENABLED"; + attribute GSR of FF_39 : label is "ENABLED"; + attribute GSR of FF_38 : label is "ENABLED"; + attribute GSR of FF_37 : label is "ENABLED"; + attribute GSR of FF_36 : label is "ENABLED"; + attribute GSR of FF_35 : label is "ENABLED"; + attribute GSR of FF_34 : label is "ENABLED"; + attribute GSR of FF_33 : label is "ENABLED"; + attribute GSR of FF_32 : label is "ENABLED"; + attribute GSR of FF_31 : label is "ENABLED"; + attribute GSR of FF_30 : label is "ENABLED"; + attribute GSR of FF_29 : label is "ENABLED"; + attribute GSR of FF_28 : label is "ENABLED"; + attribute GSR of FF_27 : label is "ENABLED"; + attribute GSR of FF_26 : label is "ENABLED"; + attribute GSR of FF_25 : label is "ENABLED"; + attribute GSR of FF_24 : label is "ENABLED"; + attribute GSR of FF_23 : label is "ENABLED"; + attribute GSR of FF_22 : label is "ENABLED"; + attribute GSR of FF_21 : label is "ENABLED"; + attribute GSR of FF_20 : label is "ENABLED"; + attribute GSR of FF_19 : label is "ENABLED"; + attribute GSR of FF_18 : label is "ENABLED"; + attribute GSR of FF_17 : label is "ENABLED"; + attribute GSR of FF_16 : label is "ENABLED"; + attribute GSR of FF_15 : label is "ENABLED"; + attribute GSR of FF_14 : label is "ENABLED"; + attribute GSR of FF_13 : label is "ENABLED"; + attribute GSR of FF_12 : label is "ENABLED"; + attribute GSR of FF_11 : label is "ENABLED"; + attribute GSR of FF_10 : label is "ENABLED"; + attribute GSR of FF_9 : label is "ENABLED"; + attribute GSR of FF_8 : label is "ENABLED"; + attribute GSR of FF_7 : label is "ENABLED"; + attribute GSR of FF_6 : label is "ENABLED"; + attribute GSR of FF_5 : label is "ENABLED"; + attribute GSR of FF_4 : label is "ENABLED"; + attribute GSR of FF_3 : label is "ENABLED"; + attribute GSR of FF_2 : label is "ENABLED"; + attribute GSR of FF_1 : label is "ENABLED"; + attribute GSR of FF_0 : label is "ENABLED"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of Structure : architecture is 1; + +begin + -- component instantiation statements + AND2_t5: AND2 + port map (A=>WrEn, B=>invout_2, Z=>wren_i); + + INV_5: INV + port map (A=>full_i, Z=>invout_2); + + AND2_t4: AND2 + port map (A=>RdEn, B=>invout_1, Z=>rden_i); + + INV_4: INV + port map (A=>empty_i, Z=>invout_1); + + AND2_t3: AND2 + port map (A=>wren_i, B=>rden_i_inv, Z=>cnt_con); + + XOR2_t2: XOR2 + port map (A=>wren_i, B=>rden_i, Z=>fcnt_en); + + INV_3: INV + port map (A=>rden_i, Z=>rden_i_inv); + + INV_2: INV + port map (A=>wren_i, Z=>wren_i_inv); + + LUT4_1: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_le_1, AD1=>wren_i, + AD0=>empty_i, DO0=>empty_d); + + LUT4_0: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_ge_d1, AD1=>rden_i, + AD0=>full_i, DO0=>full_d); + + AND2_t1: AND2 + port map (A=>rden_i, B=>invout_0, Z=>r_nw); + + INV_1: INV + port map (A=>wren_i, Z=>invout_0); + + XOR2_t0: XOR2 + port map (A=>wcount_9, B=>rptr_9, Z=>wcnt_sub_msb); + + INV_0: INV + port map (A=>cnt_con, Z=>cnt_con_inv); + + pdp_ram_0_0_0: PDPW16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_R=> "0b001", CSDECODE_W=> "0b001", GSR=> "ENABLED", + RESETMODE=> "ASYNC", REGMODE=> "OUTREG", DATA_WIDTH_R=> 36, + DATA_WIDTH_W=> 36) + port map (DI35=>Data(35), DI34=>Data(34), DI33=>Data(33), + DI32=>Data(32), DI31=>Data(31), DI30=>Data(30), + DI29=>Data(29), DI28=>Data(28), DI27=>Data(27), + DI26=>Data(26), DI25=>Data(25), DI24=>Data(24), + DI23=>Data(23), DI22=>Data(22), DI21=>Data(21), + DI20=>Data(20), DI19=>Data(19), DI18=>Data(18), + DI17=>Data(17), DI16=>Data(16), DI15=>Data(15), + DI14=>Data(14), DI13=>Data(13), DI12=>Data(12), + DI11=>Data(11), DI10=>Data(10), DI9=>Data(9), DI8=>Data(8), + DI7=>Data(7), DI6=>Data(6), DI5=>Data(5), DI4=>Data(4), + DI3=>Data(3), DI2=>Data(2), DI1=>Data(1), DI0=>Data(0), + ADW8=>wptr_8, ADW7=>wptr_7, ADW6=>wptr_6, ADW5=>wptr_5, + ADW4=>wptr_4, ADW3=>wptr_3, ADW2=>wptr_2, ADW1=>wptr_1, + ADW0=>wptr_0, BE3=>scuba_vhi, BE2=>scuba_vhi, BE1=>scuba_vhi, + BE0=>scuba_vhi, CEW=>wren_i, CLKW=>Clock, CSW2=>scuba_vlo, + CSW1=>scuba_vlo, CSW0=>scuba_vhi, ADR13=>rptr_8, + ADR12=>rptr_7, ADR11=>rptr_6, ADR10=>rptr_5, ADR9=>rptr_4, + ADR8=>rptr_3, ADR7=>rptr_2, ADR6=>rptr_1, ADR5=>rptr_0, + ADR4=>scuba_vlo, ADR3=>scuba_vlo, ADR2=>scuba_vlo, + ADR1=>scuba_vlo, ADR0=>scuba_vlo, CER=>scuba_vhi, + OCER=>scuba_vhi, CLKR=>Clock, CSR2=>scuba_vlo, + CSR1=>scuba_vlo, CSR0=>rden_i, RST=>Reset, DO35=>Q(17), + DO34=>Q(16), DO33=>Q(15), DO32=>Q(14), DO31=>Q(13), + DO30=>Q(12), DO29=>Q(11), DO28=>Q(10), DO27=>Q(9), + DO26=>Q(8), DO25=>Q(7), DO24=>Q(6), DO23=>Q(5), DO22=>Q(4), + DO21=>Q(3), DO20=>Q(2), DO19=>Q(1), DO18=>Q(0), DO17=>Q(35), + DO16=>Q(34), DO15=>Q(33), DO14=>Q(32), DO13=>Q(31), + DO12=>Q(30), DO11=>Q(29), DO10=>Q(28), DO9=>Q(27), + DO8=>Q(26), DO7=>Q(25), DO6=>Q(24), DO5=>Q(23), DO4=>Q(22), + DO3=>Q(21), DO2=>Q(20), DO1=>Q(19), DO0=>Q(18)); + + FF_62: FD1P3DX + port map (D=>ifcount_0, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_0); + + FF_61: FD1P3DX + port map (D=>ifcount_1, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_1); + + FF_60: FD1P3DX + port map (D=>ifcount_2, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_2); + + FF_59: FD1P3DX + port map (D=>ifcount_3, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_3); + + FF_58: FD1P3DX + port map (D=>ifcount_4, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_4); + + FF_57: FD1P3DX + port map (D=>ifcount_5, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_5); + + FF_56: FD1P3DX + port map (D=>ifcount_6, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_6); + + FF_55: FD1P3DX + port map (D=>ifcount_7, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_7); + + FF_54: FD1P3DX + port map (D=>ifcount_8, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_8); + + FF_53: FD1P3DX + port map (D=>ifcount_9, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_9); + + FF_52: FD1S3BX + port map (D=>empty_d, CK=>Clock, PD=>Reset, Q=>empty_i); + + FF_51: FD1S3DX + port map (D=>full_d, CK=>Clock, CD=>Reset, Q=>full_i); + + FF_50: FD1P3BX + port map (D=>iwcount_0, SP=>wren_i, CK=>Clock, PD=>Reset, + Q=>wcount_0); + + FF_49: FD1P3DX + port map (D=>iwcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_1); + + FF_48: FD1P3DX + port map (D=>iwcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_2); + + FF_47: FD1P3DX + port map (D=>iwcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_3); + + FF_46: FD1P3DX + port map (D=>iwcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_4); + + FF_45: FD1P3DX + port map (D=>iwcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_5); + + FF_44: FD1P3DX + port map (D=>iwcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_6); + + FF_43: FD1P3DX + port map (D=>iwcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_7); + + FF_42: FD1P3DX + port map (D=>iwcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_8); + + FF_41: FD1P3DX + port map (D=>iwcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_9); + + FF_40: FD1P3BX + port map (D=>ircount_0, SP=>rden_i, CK=>Clock, PD=>Reset, + Q=>rcount_0); + + FF_39: FD1P3DX + port map (D=>ircount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_1); + + FF_38: FD1P3DX + port map (D=>ircount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_2); + + FF_37: FD1P3DX + port map (D=>ircount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_3); + + FF_36: FD1P3DX + port map (D=>ircount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_4); + + FF_35: FD1P3DX + port map (D=>ircount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_5); + + FF_34: FD1P3DX + port map (D=>ircount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_6); + + FF_33: FD1P3DX + port map (D=>ircount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_7); + + FF_32: FD1P3DX + port map (D=>ircount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_8); + + FF_31: FD1P3DX + port map (D=>ircount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_9); + + FF_30: FD1P3DX + port map (D=>wcount_0, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_0); + + FF_29: FD1P3DX + port map (D=>wcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_1); + + FF_28: FD1P3DX + port map (D=>wcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_2); + + FF_27: FD1P3DX + port map (D=>wcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_3); + + FF_26: FD1P3DX + port map (D=>wcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_4); + + FF_25: FD1P3DX + port map (D=>wcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_5); + + FF_24: FD1P3DX + port map (D=>wcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_6); + + FF_23: FD1P3DX + port map (D=>wcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_7); + + FF_22: FD1P3DX + port map (D=>wcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_8); + + FF_21: FD1P3DX + port map (D=>wcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_9); + + FF_20: FD1P3DX + port map (D=>rcount_0, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_0); + + FF_19: FD1P3DX + port map (D=>rcount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_1); + + FF_18: FD1P3DX + port map (D=>rcount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_2); + + FF_17: FD1P3DX + port map (D=>rcount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_3); + + FF_16: FD1P3DX + port map (D=>rcount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_4); + + FF_15: FD1P3DX + port map (D=>rcount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_5); + + FF_14: FD1P3DX + port map (D=>rcount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_6); + + FF_13: FD1P3DX + port map (D=>rcount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_7); + + FF_12: FD1P3DX + port map (D=>rcount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_8); + + FF_11: FD1P3DX + port map (D=>rcount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_9); + + FF_10: FD1S3DX + port map (D=>wcnt_sub_0, CK=>Clock, CD=>Reset, Q=>wcnt_reg_0); + + FF_9: FD1S3DX + port map (D=>wcnt_sub_1, CK=>Clock, CD=>Reset, Q=>wcnt_reg_1); + + FF_8: FD1S3DX + port map (D=>wcnt_sub_2, CK=>Clock, CD=>Reset, Q=>wcnt_reg_2); + + FF_7: FD1S3DX + port map (D=>wcnt_sub_3, CK=>Clock, CD=>Reset, Q=>wcnt_reg_3); + + FF_6: FD1S3DX + port map (D=>wcnt_sub_4, CK=>Clock, CD=>Reset, Q=>wcnt_reg_4); + + FF_5: FD1S3DX + port map (D=>wcnt_sub_5, CK=>Clock, CD=>Reset, Q=>wcnt_reg_5); + + FF_4: FD1S3DX + port map (D=>wcnt_sub_6, CK=>Clock, CD=>Reset, Q=>wcnt_reg_6); + + FF_3: FD1S3DX + port map (D=>wcnt_sub_7, CK=>Clock, CD=>Reset, Q=>wcnt_reg_7); + + FF_2: FD1S3DX + port map (D=>wcnt_sub_8, CK=>Clock, CD=>Reset, Q=>wcnt_reg_8); + + FF_1: FD1S3DX + port map (D=>wcnt_sub_9, CK=>Clock, CD=>Reset, Q=>wcnt_reg_9); + + FF_0: FD1S3DX + port map (D=>af_set, CK=>Clock, CD=>Reset, Q=>AlmostFull); + + bdcnt_bctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>cnt_con, B0=>scuba_vlo, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>bdcnt_bctr_ci); + + bdcnt_bctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>bdcnt_bctr_ci, S0=>ifcount_0, S1=>ifcount_1, COUT=>co0); + + bdcnt_bctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0, S0=>ifcount_2, S1=>ifcount_3, COUT=>co1); + + bdcnt_bctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1, S0=>ifcount_4, S1=>ifcount_5, COUT=>co2); + + bdcnt_bctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2, S0=>ifcount_6, S1=>ifcount_7, COUT=>co3); + + bdcnt_bctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3, S0=>ifcount_8, S1=>ifcount_9, COUT=>co4); + + e_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci); + + e_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>rden_i, A1=>scuba_vlo, B0=>fcount_0, B1=>fcount_1, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci, S0=>open, S1=>open, COUT=>co0_1); + + e_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_2, + B1=>fcount_3, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_1, S0=>open, S1=>open, COUT=>co1_1); + + e_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_4, + B1=>fcount_5, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_1, S0=>open, S1=>open, COUT=>co2_1); + + e_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_6, + B1=>fcount_7, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_1, S0=>open, S1=>open, COUT=>co3_1); + + e_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_8, + B1=>fcount_9, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_1, S0=>open, S1=>open, + COUT=>cmp_le_1_c); + + a0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_le_1_c, S0=>cmp_le_1, S1=>open, + COUT=>open); + + g_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_1); + + g_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci_1, S0=>open, S1=>open, COUT=>co0_2); + + g_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_2, S0=>open, S1=>open, COUT=>co1_2); + + g_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_2, S0=>open, S1=>open, COUT=>co2_2); + + g_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_2, S0=>open, S1=>open, COUT=>co3_2); + + g_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>wren_i, B1=>wren_i_inv, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_2, S0=>open, S1=>open, COUT=>cmp_ge_d1_c); + + a1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_ge_d1_c, S0=>cmp_ge_d1, S1=>open, + COUT=>open); + + w_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>w_ctr_ci); + + w_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_0, A1=>wcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>w_ctr_ci, S0=>iwcount_0, S1=>iwcount_1, + COUT=>co0_3); + + w_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_2, A1=>wcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_3, S0=>iwcount_2, S1=>iwcount_3, + COUT=>co1_3); + + w_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_4, A1=>wcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_3, S0=>iwcount_4, S1=>iwcount_5, + COUT=>co2_3); + + w_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_6, A1=>wcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_3, S0=>iwcount_6, S1=>iwcount_7, + COUT=>co3_3); + + w_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_8, A1=>wcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_3, S0=>iwcount_8, S1=>iwcount_9, + COUT=>co4_1); + + r_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>r_ctr_ci); + + r_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_0, A1=>rcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>r_ctr_ci, S0=>ircount_0, S1=>ircount_1, + COUT=>co0_4); + + r_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_2, A1=>rcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_4, S0=>ircount_2, S1=>ircount_3, + COUT=>co1_4); + + r_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_4, A1=>rcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_4, S0=>ircount_4, S1=>ircount_5, + COUT=>co2_4); + + r_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_6, A1=>rcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_4, S0=>ircount_6, S1=>ircount_7, + COUT=>co3_4); + + r_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_8, A1=>rcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_4, S0=>ircount_8, S1=>ircount_9, + COUT=>co4_2); + + precin_inst272: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"0000", + INIT0=> X"0000") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>precin); + + wcnt_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>cnt_con, A1=>wcount_0, B0=>cnt_con_inv, B1=>rptr_0, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>precin, S0=>open, S1=>wcnt_sub_0, COUT=>co0_5); + + wcnt_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_1, A1=>wcount_2, B0=>rptr_1, B1=>rptr_2, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_5, S0=>wcnt_sub_1, S1=>wcnt_sub_2, COUT=>co1_5); + + wcnt_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_3, A1=>wcount_4, B0=>rptr_3, B1=>rptr_4, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_5, S0=>wcnt_sub_3, S1=>wcnt_sub_4, COUT=>co2_5); + + wcnt_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_5, A1=>wcount_6, B0=>rptr_5, B1=>rptr_6, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_5, S0=>wcnt_sub_5, S1=>wcnt_sub_6, COUT=>co3_5); + + wcnt_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_7, A1=>wcount_8, B0=>rptr_7, B1=>rptr_8, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_5, S0=>wcnt_sub_7, S1=>wcnt_sub_8, COUT=>co4_3); + + wcnt_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_sub_msb, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_3, S0=>wcnt_sub_9, S1=>open, + COUT=>open); + + af_set_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>wren_i, B0=>scuba_vlo, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_2); + + af_set_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_0, A1=>wcnt_reg_1, B0=>AmFullThresh(0), + B1=>AmFullThresh(1), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>cmp_ci_2, S0=>open, + S1=>open, COUT=>co0_6); + + af_set_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_2, A1=>wcnt_reg_3, B0=>AmFullThresh(2), + B1=>AmFullThresh(3), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co0_6, S0=>open, S1=>open, + COUT=>co1_6); + + af_set_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_4, A1=>wcnt_reg_5, B0=>AmFullThresh(4), + B1=>AmFullThresh(5), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co1_6, S0=>open, S1=>open, + COUT=>co2_6); + + af_set_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_6, A1=>wcnt_reg_7, B0=>AmFullThresh(6), + B1=>AmFullThresh(7), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co2_6, S0=>open, S1=>open, + COUT=>co3_6); + + af_set_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_8, A1=>wcnt_reg_9, B0=>AmFullThresh(8), + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_6, S0=>open, S1=>open, + COUT=>af_set_c); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + a2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>af_set_c, S0=>af_set, S1=>open, + COUT=>open); + + WCNT(0) <= fcount_0; + WCNT(1) <= fcount_1; + WCNT(2) <= fcount_2; + WCNT(3) <= fcount_3; + WCNT(4) <= fcount_4; + WCNT(5) <= fcount_5; + WCNT(6) <= fcount_6; + WCNT(7) <= fcount_7; + WCNT(8) <= fcount_8; + WCNT(9) <= fcount_9; + Empty <= empty_i; + Full <= full_i; +end Structure; diff --git a/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg_ngd.asd b/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg_ngd.asd new file mode 100644 index 0000000..c265c78 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x512_oreg/fifo_36x512_oreg_ngd.asd @@ -0,0 +1 @@ +[ActiveSupport NGD] diff --git a/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.cst b/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.cst new file mode 100644 index 0000000..a9a39ca --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.cst @@ -0,0 +1,3 @@ +Date=03/18/2015 +Time=14:41:45 + diff --git a/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.edn b/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.edn new file mode 100644 index 0000000..9a9857b --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.edn @@ -0,0 +1,6047 @@ +(edif fifo_36x8k_oreg + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timestamp 2015 3 18 14 41 46) + (program "SCUBA" (version "Diamond (64-bit) 3.4.0.80")))) + (comment "/opt/lattice/diamond/3.4_x64/ispfpga/bin/lin64/scuba -w -n fifo_36x8k_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 8192 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill -fdc /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.fdc ") + (library ORCLIB + (edifLevel 0) + (technology + (numberDefinition)) + (cell CCU2C + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A0 + (direction INPUT)) + (port A1 + (direction INPUT)) + (port B0 + (direction INPUT)) + (port B1 + (direction INPUT)) + (port C0 + (direction INPUT)) + (port C1 + (direction INPUT)) + (port D0 + (direction INPUT)) + (port D1 + (direction INPUT)) + (port CIN + (direction INPUT)) + (port S0 + (direction OUTPUT)) + (port S1 + (direction OUTPUT)) + (port COUT + (direction OUTPUT))))) + (cell AND2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell FD1P3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1P3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port SP + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3BX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port PD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell FD1S3DX + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D + (direction INPUT)) + (port CK + (direction INPUT)) + (port CD + (direction INPUT)) + (port Q + (direction OUTPUT))))) + (cell INV + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell MUX41 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port D0 + (direction INPUT)) + (port D1 + (direction INPUT)) + (port D2 + (direction INPUT)) + (port D3 + (direction INPUT)) + (port SD1 + (direction INPUT)) + (port SD2 + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell ROM16X1A + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port AD3 + (direction INPUT)) + (port AD2 + (direction INPUT)) + (port AD1 + (direction INPUT)) + (port AD0 + (direction INPUT)) + (port DO0 + (direction OUTPUT))))) + (cell VHI + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell VLO + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell XOR2 + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port A + (direction INPUT)) + (port B + (direction INPUT)) + (port Z + (direction OUTPUT))))) + (cell DP16KD + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port DIA17 + (direction INPUT)) + (port DIA16 + (direction INPUT)) + (port DIA15 + (direction INPUT)) + (port DIA14 + (direction INPUT)) + (port DIA13 + (direction INPUT)) + (port DIA12 + (direction INPUT)) + (port DIA11 + (direction INPUT)) + (port DIA10 + (direction INPUT)) + (port DIA9 + (direction INPUT)) + (port DIA8 + (direction INPUT)) + (port DIA7 + (direction INPUT)) + (port DIA6 + (direction INPUT)) + (port DIA5 + (direction INPUT)) + (port DIA4 + (direction INPUT)) + (port DIA3 + (direction INPUT)) + (port DIA2 + (direction INPUT)) + (port DIA1 + (direction INPUT)) + (port DIA0 + (direction INPUT)) + (port ADA13 + (direction INPUT)) + (port ADA12 + (direction INPUT)) + (port ADA11 + (direction INPUT)) + (port ADA10 + (direction INPUT)) + (port ADA9 + (direction INPUT)) + (port ADA8 + (direction INPUT)) + (port ADA7 + (direction INPUT)) + (port ADA6 + (direction INPUT)) + (port ADA5 + (direction INPUT)) + (port ADA4 + (direction INPUT)) + (port ADA3 + (direction INPUT)) + (port ADA2 + (direction INPUT)) + (port ADA1 + (direction INPUT)) + (port ADA0 + (direction INPUT)) + (port CEA + (direction INPUT)) + (port OCEA + (direction INPUT)) + (port CLKA + (direction INPUT)) + (port WEA + (direction INPUT)) + (port CSA2 + (direction INPUT)) + (port CSA1 + (direction INPUT)) + (port CSA0 + (direction INPUT)) + (port RSTA + (direction INPUT)) + (port DIB17 + (direction INPUT)) + (port DIB16 + (direction INPUT)) + (port DIB15 + (direction INPUT)) + (port DIB14 + (direction INPUT)) + (port DIB13 + (direction INPUT)) + (port DIB12 + (direction INPUT)) + (port DIB11 + (direction INPUT)) + (port DIB10 + (direction INPUT)) + (port DIB9 + (direction INPUT)) + (port DIB8 + (direction INPUT)) + (port DIB7 + (direction INPUT)) + (port DIB6 + (direction INPUT)) + (port DIB5 + (direction INPUT)) + (port DIB4 + (direction INPUT)) + (port DIB3 + (direction INPUT)) + (port DIB2 + (direction INPUT)) + (port DIB1 + (direction INPUT)) + (port DIB0 + (direction INPUT)) + (port ADB13 + (direction INPUT)) + (port ADB12 + (direction INPUT)) + (port ADB11 + (direction INPUT)) + (port ADB10 + (direction INPUT)) + (port ADB9 + (direction INPUT)) + (port ADB8 + (direction INPUT)) + (port ADB7 + (direction INPUT)) + (port ADB6 + (direction INPUT)) + (port ADB5 + (direction INPUT)) + (port ADB4 + (direction INPUT)) + (port ADB3 + (direction INPUT)) + (port ADB2 + (direction INPUT)) + (port ADB1 + (direction INPUT)) + (port ADB0 + (direction INPUT)) + (port CEB + (direction INPUT)) + (port OCEB + (direction INPUT)) + (port CLKB + (direction INPUT)) + (port WEB + (direction INPUT)) + (port CSB2 + (direction INPUT)) + (port CSB1 + (direction INPUT)) + (port CSB0 + (direction INPUT)) + (port RSTB + (direction INPUT)) + (port DOA17 + (direction OUTPUT)) + (port DOA16 + (direction OUTPUT)) + (port DOA15 + (direction OUTPUT)) + (port DOA14 + (direction OUTPUT)) + (port DOA13 + (direction OUTPUT)) + (port DOA12 + (direction OUTPUT)) + (port DOA11 + (direction OUTPUT)) + (port DOA10 + (direction OUTPUT)) + (port DOA9 + (direction OUTPUT)) + (port DOA8 + (direction OUTPUT)) + (port DOA7 + (direction OUTPUT)) + (port DOA6 + (direction OUTPUT)) + (port DOA5 + (direction OUTPUT)) + (port DOA4 + (direction OUTPUT)) + (port DOA3 + (direction OUTPUT)) + (port DOA2 + (direction OUTPUT)) + (port DOA1 + (direction OUTPUT)) + (port DOA0 + (direction OUTPUT)) + (port DOB17 + (direction OUTPUT)) + (port DOB16 + (direction OUTPUT)) + (port DOB15 + (direction OUTPUT)) + (port DOB14 + (direction OUTPUT)) + (port DOB13 + (direction OUTPUT)) + (port DOB12 + (direction OUTPUT)) + (port DOB11 + (direction OUTPUT)) + (port DOB10 + (direction OUTPUT)) + (port DOB9 + (direction OUTPUT)) + (port DOB8 + (direction OUTPUT)) + (port DOB7 + (direction OUTPUT)) + (port DOB6 + (direction OUTPUT)) + (port DOB5 + (direction OUTPUT)) + (port DOB4 + (direction OUTPUT)) + (port DOB3 + (direction OUTPUT)) + (port DOB2 + (direction OUTPUT)) + (port DOB1 + (direction OUTPUT)) + (port DOB0 + (direction OUTPUT))))) + (cell fifo_36x8k_oreg + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port (array (rename Data "Data(35:0)") 36) + (direction INPUT)) + (port Clock + (direction INPUT)) + (port WrEn + (direction INPUT)) + (port RdEn + (direction INPUT)) + (port Reset + (direction INPUT)) + (port (array (rename AmFullThresh "AmFullThresh(12:0)") 13) + (direction INPUT)) + (port (array (rename Q "Q(35:0)") 36) + (direction OUTPUT)) + (port (array (rename WCNT "WCNT(13:0)") 14) + (direction OUTPUT)) + (port Empty + (direction OUTPUT)) + (port Full + (direction OUTPUT)) + (port AlmostFull + (direction OUTPUT))) + (property NGD_DRC_MASK (integer 1)) + (contents + (instance AND2_t5 + (viewRef view1 + (cellRef AND2))) + (instance INV_5 + (viewRef view1 + (cellRef INV))) + (instance AND2_t4 + (viewRef view1 + (cellRef AND2))) + (instance INV_4 + (viewRef view1 + (cellRef INV))) + (instance AND2_t3 + (viewRef view1 + (cellRef AND2))) + (instance XOR2_t2 + (viewRef view1 + (cellRef XOR2))) + (instance INV_3 + (viewRef view1 + (cellRef INV))) + (instance INV_2 + (viewRef view1 + (cellRef INV))) + (instance LUT4_1 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance LUT4_0 + (viewRef view1 + (cellRef ROM16X1A)) + (property initval + (string "0x3232"))) + (instance AND2_t1 + (viewRef view1 + (cellRef AND2))) + (instance INV_1 + (viewRef view1 + (cellRef INV))) + (instance XOR2_t0 + (viewRef view1 + (cellRef XOR2))) + (instance INV_0 + (viewRef view1 + (cellRef INV))) + (instance pdp_ram_0_0_15 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_1_14 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_2_13 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_0_3_12 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b000")) + (property CSDECODE_A + (string "0b000")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_0_11 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_1_10 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_2_9 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_1_3_8 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b001")) + (property CSDECODE_A + (string "0b001")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_2_0_7 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b010")) + (property CSDECODE_A + (string "0b010")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_2_1_6 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b010")) + (property CSDECODE_A + (string "0b010")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_2_2_5 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b010")) + (property CSDECODE_A + (string "0b010")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_2_3_4 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b010")) + (property CSDECODE_A + (string "0b010")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_3_0_3 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b011")) + (property CSDECODE_A + (string "0b011")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_3_1_2 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b011")) + (property CSDECODE_A + (string "0b011")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_3_2_1 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b011")) + (property CSDECODE_A + (string "0b011")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance pdp_ram_3_3_0 + (viewRef view1 + (cellRef DP16KD)) + (property INIT_DATA + (string "STATIC")) + (property ASYNC_RESET_RELEASE + (string "SYNC")) + (property MEM_LPC_FILE + (string "fifo_36x8k_oreg.lpc")) + (property MEM_INIT_FILE + (string "")) + (property CSDECODE_B + (string "0b011")) + (property CSDECODE_A + (string "0b011")) + (property WRITEMODE_B + (string "NORMAL")) + (property WRITEMODE_A + (string "NORMAL")) + (property GSR + (string "ENABLED")) + (property RESETMODE + (string "ASYNC")) + (property REGMODE_B + (string "OUTREG")) + (property REGMODE_A + (string "OUTREG")) + (property DATA_WIDTH_B + (string "9")) + (property DATA_WIDTH_A + (string "9"))) + (instance FF_90 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_89 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_88 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_87 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_86 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_85 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_84 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_83 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_82 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_81 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_80 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_79 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_78 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_77 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_76 + (viewRef view1 + (cellRef FD1S3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_75 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_74 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_73 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_72 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_71 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_70 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_69 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_68 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_67 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_66 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_65 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_64 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_63 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_62 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_61 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_60 + (viewRef view1 + (cellRef FD1P3BX)) + (property GSR + (string "ENABLED"))) + (instance FF_59 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_58 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_57 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_56 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_55 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_54 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_53 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_52 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_51 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_50 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_49 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_48 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_47 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_46 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_45 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_44 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_43 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_42 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_41 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_40 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_39 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_38 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_37 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_36 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_35 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_34 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_33 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_32 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_31 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_30 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_29 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_28 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_27 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_26 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_25 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_24 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_23 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_22 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_21 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_20 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_19 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_18 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_17 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_16 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_15 + (viewRef view1 + (cellRef FD1P3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_14 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_13 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_12 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_11 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_10 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_9 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_8 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_7 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_6 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_5 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_4 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_3 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_2 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_1 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance FF_0 + (viewRef view1 + (cellRef FD1S3DX)) + (property GSR + (string "ENABLED"))) + (instance bdcnt_bctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance bdcnt_bctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance bdcnt_bctr_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance e_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance e_cmp_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance g_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance g_cmp_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance a1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance w_ctr_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_cia + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance r_ctr_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance mux_35 + (viewRef view1 + (cellRef MUX41))) + (instance mux_34 + (viewRef view1 + (cellRef MUX41))) + (instance mux_33 + (viewRef view1 + (cellRef MUX41))) + (instance mux_32 + (viewRef view1 + (cellRef MUX41))) + (instance mux_31 + (viewRef view1 + (cellRef MUX41))) + (instance mux_30 + (viewRef view1 + (cellRef MUX41))) + (instance mux_29 + (viewRef view1 + (cellRef MUX41))) + (instance mux_28 + (viewRef view1 + (cellRef MUX41))) + (instance mux_27 + (viewRef view1 + (cellRef MUX41))) + (instance mux_26 + (viewRef view1 + (cellRef MUX41))) + (instance mux_25 + (viewRef view1 + (cellRef MUX41))) + (instance mux_24 + (viewRef view1 + (cellRef MUX41))) + (instance mux_23 + (viewRef view1 + (cellRef MUX41))) + (instance mux_22 + (viewRef view1 + (cellRef MUX41))) + (instance mux_21 + (viewRef view1 + (cellRef MUX41))) + (instance mux_20 + (viewRef view1 + (cellRef MUX41))) + (instance mux_19 + (viewRef view1 + (cellRef MUX41))) + (instance mux_18 + (viewRef view1 + (cellRef MUX41))) + (instance mux_17 + (viewRef view1 + (cellRef MUX41))) + (instance mux_16 + (viewRef view1 + (cellRef MUX41))) + (instance mux_15 + (viewRef view1 + (cellRef MUX41))) + (instance mux_14 + (viewRef view1 + (cellRef MUX41))) + (instance mux_13 + (viewRef view1 + (cellRef MUX41))) + (instance mux_12 + (viewRef view1 + (cellRef MUX41))) + (instance mux_11 + (viewRef view1 + (cellRef MUX41))) + (instance mux_10 + (viewRef view1 + (cellRef MUX41))) + (instance mux_9 + (viewRef view1 + (cellRef MUX41))) + (instance mux_8 + (viewRef view1 + (cellRef MUX41))) + (instance mux_7 + (viewRef view1 + (cellRef MUX41))) + (instance mux_6 + (viewRef view1 + (cellRef MUX41))) + (instance mux_5 + (viewRef view1 + (cellRef MUX41))) + (instance mux_4 + (viewRef view1 + (cellRef MUX41))) + (instance mux_3 + (viewRef view1 + (cellRef MUX41))) + (instance mux_2 + (viewRef view1 + (cellRef MUX41))) + (instance mux_1 + (viewRef view1 + (cellRef MUX41))) + (instance mux_0 + (viewRef view1 + (cellRef MUX41))) + (instance precin_inst474 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x0000")) + (property INIT0 + (string "0x0000"))) + (instance wcnt_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance wcnt_7 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_ci_a + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (instance af_set_cmp_0 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_1 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_3 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_4 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_5 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance af_set_cmp_6 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x99AA")) + (property INIT0 + (string "0x99AA"))) + (instance scuba_vhi_inst + (viewRef view1 + (cellRef VHI))) + (instance scuba_vlo_inst + (viewRef view1 + (cellRef VLO))) + (instance a2 + (viewRef view1 + (cellRef CCU2C)) + (property INJECT1_1 + (string "NO")) + (property INJECT1_0 + (string "NO")) + (property INIT1 + (string "0x66AA")) + (property INIT0 + (string "0x66AA"))) + (net invout_2 + (joined + (portRef Z (instanceRef INV_5)) + (portRef B (instanceRef AND2_t5)))) + (net invout_1 + (joined + (portRef Z (instanceRef INV_4)) + (portRef B (instanceRef AND2_t4)))) + (net rden_i_inv + (joined + (portRef Z (instanceRef INV_3)) + (portRef B (instanceRef AND2_t3)))) + (net invout_0 + (joined + (portRef Z (instanceRef INV_1)) + (portRef B (instanceRef AND2_t1)))) + (net r_nw + (joined + (portRef Z (instanceRef AND2_t1)))) + (net fcnt_en + (joined + (portRef SP (instanceRef FF_77)) + (portRef Z (instanceRef XOR2_t2)) + (portRef SP (instanceRef FF_90)) + (portRef SP (instanceRef FF_89)) + (portRef SP (instanceRef FF_88)) + (portRef SP (instanceRef FF_87)) + (portRef SP (instanceRef FF_86)) + (portRef SP (instanceRef FF_85)) + (portRef SP (instanceRef FF_84)) + (portRef SP (instanceRef FF_83)) + (portRef SP (instanceRef FF_82)) + (portRef SP (instanceRef FF_81)) + (portRef SP (instanceRef FF_80)) + (portRef SP (instanceRef FF_79)) + (portRef SP (instanceRef FF_78)))) + (net empty_d + (joined + (portRef D (instanceRef FF_76)) + (portRef DO0 (instanceRef LUT4_1)))) + (net full_d + (joined + (portRef D (instanceRef FF_75)) + (portRef DO0 (instanceRef LUT4_0)))) + (net wptr_0 + (joined + (portRef Q (instanceRef FF_46)) + (portRef ADA3 (instanceRef pdp_ram_0_0_15)) + (portRef ADA3 (instanceRef pdp_ram_0_1_14)) + (portRef ADA3 (instanceRef pdp_ram_0_2_13)) + (portRef ADA3 (instanceRef pdp_ram_0_3_12)) + (portRef ADA3 (instanceRef pdp_ram_1_0_11)) + (portRef ADA3 (instanceRef pdp_ram_1_1_10)) + (portRef ADA3 (instanceRef pdp_ram_1_2_9)) + (portRef ADA3 (instanceRef pdp_ram_1_3_8)) + (portRef ADA3 (instanceRef pdp_ram_2_0_7)) + (portRef ADA3 (instanceRef pdp_ram_2_1_6)) + (portRef ADA3 (instanceRef pdp_ram_2_2_5)) + (portRef ADA3 (instanceRef pdp_ram_2_3_4)) + (portRef ADA3 (instanceRef pdp_ram_3_0_3)) + (portRef ADA3 (instanceRef pdp_ram_3_1_2)) + (portRef ADA3 (instanceRef pdp_ram_3_2_1)) + (portRef ADA3 (instanceRef pdp_ram_3_3_0)))) + (net wptr_1 + (joined + (portRef Q (instanceRef FF_45)) + (portRef ADA4 (instanceRef pdp_ram_0_0_15)) + (portRef ADA4 (instanceRef pdp_ram_0_1_14)) + (portRef ADA4 (instanceRef pdp_ram_0_2_13)) + (portRef ADA4 (instanceRef pdp_ram_0_3_12)) + (portRef ADA4 (instanceRef pdp_ram_1_0_11)) + (portRef ADA4 (instanceRef pdp_ram_1_1_10)) + (portRef ADA4 (instanceRef pdp_ram_1_2_9)) + (portRef ADA4 (instanceRef pdp_ram_1_3_8)) + (portRef ADA4 (instanceRef pdp_ram_2_0_7)) + (portRef ADA4 (instanceRef pdp_ram_2_1_6)) + (portRef ADA4 (instanceRef pdp_ram_2_2_5)) + (portRef ADA4 (instanceRef pdp_ram_2_3_4)) + (portRef ADA4 (instanceRef pdp_ram_3_0_3)) + (portRef ADA4 (instanceRef pdp_ram_3_1_2)) + (portRef ADA4 (instanceRef pdp_ram_3_2_1)) + (portRef ADA4 (instanceRef pdp_ram_3_3_0)))) + (net wptr_2 + (joined + (portRef Q (instanceRef FF_44)) + (portRef ADA5 (instanceRef pdp_ram_0_0_15)) + (portRef ADA5 (instanceRef pdp_ram_0_1_14)) + (portRef ADA5 (instanceRef pdp_ram_0_2_13)) + (portRef ADA5 (instanceRef pdp_ram_0_3_12)) + (portRef ADA5 (instanceRef pdp_ram_1_0_11)) + (portRef ADA5 (instanceRef pdp_ram_1_1_10)) + (portRef ADA5 (instanceRef pdp_ram_1_2_9)) + (portRef ADA5 (instanceRef pdp_ram_1_3_8)) + (portRef ADA5 (instanceRef pdp_ram_2_0_7)) + (portRef ADA5 (instanceRef pdp_ram_2_1_6)) + (portRef ADA5 (instanceRef pdp_ram_2_2_5)) + (portRef ADA5 (instanceRef pdp_ram_2_3_4)) + (portRef ADA5 (instanceRef pdp_ram_3_0_3)) + (portRef ADA5 (instanceRef pdp_ram_3_1_2)) + (portRef ADA5 (instanceRef pdp_ram_3_2_1)) + (portRef ADA5 (instanceRef pdp_ram_3_3_0)))) + (net wptr_3 + (joined + (portRef Q (instanceRef FF_43)) + (portRef ADA6 (instanceRef pdp_ram_0_0_15)) + (portRef ADA6 (instanceRef pdp_ram_0_1_14)) + (portRef ADA6 (instanceRef pdp_ram_0_2_13)) + (portRef ADA6 (instanceRef pdp_ram_0_3_12)) + (portRef ADA6 (instanceRef pdp_ram_1_0_11)) + (portRef ADA6 (instanceRef pdp_ram_1_1_10)) + (portRef ADA6 (instanceRef pdp_ram_1_2_9)) + (portRef ADA6 (instanceRef pdp_ram_1_3_8)) + (portRef ADA6 (instanceRef pdp_ram_2_0_7)) + (portRef ADA6 (instanceRef pdp_ram_2_1_6)) + (portRef ADA6 (instanceRef pdp_ram_2_2_5)) + (portRef ADA6 (instanceRef pdp_ram_2_3_4)) + (portRef ADA6 (instanceRef pdp_ram_3_0_3)) + (portRef ADA6 (instanceRef pdp_ram_3_1_2)) + (portRef ADA6 (instanceRef pdp_ram_3_2_1)) + (portRef ADA6 (instanceRef pdp_ram_3_3_0)))) + (net wptr_4 + (joined + (portRef Q (instanceRef FF_42)) + (portRef ADA7 (instanceRef pdp_ram_0_0_15)) + (portRef ADA7 (instanceRef pdp_ram_0_1_14)) + (portRef ADA7 (instanceRef pdp_ram_0_2_13)) + (portRef ADA7 (instanceRef pdp_ram_0_3_12)) + (portRef ADA7 (instanceRef pdp_ram_1_0_11)) + (portRef ADA7 (instanceRef pdp_ram_1_1_10)) + (portRef ADA7 (instanceRef pdp_ram_1_2_9)) + (portRef ADA7 (instanceRef pdp_ram_1_3_8)) + (portRef ADA7 (instanceRef pdp_ram_2_0_7)) + (portRef ADA7 (instanceRef pdp_ram_2_1_6)) + (portRef ADA7 (instanceRef pdp_ram_2_2_5)) + (portRef ADA7 (instanceRef pdp_ram_2_3_4)) + (portRef ADA7 (instanceRef pdp_ram_3_0_3)) + (portRef ADA7 (instanceRef pdp_ram_3_1_2)) + (portRef ADA7 (instanceRef pdp_ram_3_2_1)) + (portRef ADA7 (instanceRef pdp_ram_3_3_0)))) + (net wptr_5 + (joined + (portRef Q (instanceRef FF_41)) + (portRef ADA8 (instanceRef pdp_ram_0_0_15)) + (portRef ADA8 (instanceRef pdp_ram_0_1_14)) + (portRef ADA8 (instanceRef pdp_ram_0_2_13)) + (portRef ADA8 (instanceRef pdp_ram_0_3_12)) + (portRef ADA8 (instanceRef pdp_ram_1_0_11)) + (portRef ADA8 (instanceRef pdp_ram_1_1_10)) + (portRef ADA8 (instanceRef pdp_ram_1_2_9)) + (portRef ADA8 (instanceRef pdp_ram_1_3_8)) + (portRef ADA8 (instanceRef pdp_ram_2_0_7)) + (portRef ADA8 (instanceRef pdp_ram_2_1_6)) + (portRef ADA8 (instanceRef pdp_ram_2_2_5)) + (portRef ADA8 (instanceRef pdp_ram_2_3_4)) + (portRef ADA8 (instanceRef pdp_ram_3_0_3)) + (portRef ADA8 (instanceRef pdp_ram_3_1_2)) + (portRef ADA8 (instanceRef pdp_ram_3_2_1)) + (portRef ADA8 (instanceRef pdp_ram_3_3_0)))) + (net wptr_6 + (joined + (portRef Q (instanceRef FF_40)) + (portRef ADA9 (instanceRef pdp_ram_0_0_15)) + (portRef ADA9 (instanceRef pdp_ram_0_1_14)) + (portRef ADA9 (instanceRef pdp_ram_0_2_13)) + (portRef ADA9 (instanceRef pdp_ram_0_3_12)) + (portRef ADA9 (instanceRef pdp_ram_1_0_11)) + (portRef ADA9 (instanceRef pdp_ram_1_1_10)) + (portRef ADA9 (instanceRef pdp_ram_1_2_9)) + (portRef ADA9 (instanceRef pdp_ram_1_3_8)) + (portRef ADA9 (instanceRef pdp_ram_2_0_7)) + (portRef ADA9 (instanceRef pdp_ram_2_1_6)) + (portRef ADA9 (instanceRef pdp_ram_2_2_5)) + (portRef ADA9 (instanceRef pdp_ram_2_3_4)) + (portRef ADA9 (instanceRef pdp_ram_3_0_3)) + (portRef ADA9 (instanceRef pdp_ram_3_1_2)) + (portRef ADA9 (instanceRef pdp_ram_3_2_1)) + (portRef ADA9 (instanceRef pdp_ram_3_3_0)))) + (net wptr_7 + (joined + (portRef Q (instanceRef FF_39)) + (portRef ADA10 (instanceRef pdp_ram_0_0_15)) + (portRef ADA10 (instanceRef pdp_ram_0_1_14)) + (portRef ADA10 (instanceRef pdp_ram_0_2_13)) + (portRef ADA10 (instanceRef pdp_ram_0_3_12)) + (portRef ADA10 (instanceRef pdp_ram_1_0_11)) + (portRef ADA10 (instanceRef pdp_ram_1_1_10)) + (portRef ADA10 (instanceRef pdp_ram_1_2_9)) + (portRef ADA10 (instanceRef pdp_ram_1_3_8)) + (portRef ADA10 (instanceRef pdp_ram_2_0_7)) + (portRef ADA10 (instanceRef pdp_ram_2_1_6)) + (portRef ADA10 (instanceRef pdp_ram_2_2_5)) + (portRef ADA10 (instanceRef pdp_ram_2_3_4)) + (portRef ADA10 (instanceRef pdp_ram_3_0_3)) + (portRef ADA10 (instanceRef pdp_ram_3_1_2)) + (portRef ADA10 (instanceRef pdp_ram_3_2_1)) + (portRef ADA10 (instanceRef pdp_ram_3_3_0)))) + (net wptr_8 + (joined + (portRef Q (instanceRef FF_38)) + (portRef ADA11 (instanceRef pdp_ram_0_0_15)) + (portRef ADA11 (instanceRef pdp_ram_0_1_14)) + (portRef ADA11 (instanceRef pdp_ram_0_2_13)) + (portRef ADA11 (instanceRef pdp_ram_0_3_12)) + (portRef ADA11 (instanceRef pdp_ram_1_0_11)) + (portRef ADA11 (instanceRef pdp_ram_1_1_10)) + (portRef ADA11 (instanceRef pdp_ram_1_2_9)) + (portRef ADA11 (instanceRef pdp_ram_1_3_8)) + (portRef ADA11 (instanceRef pdp_ram_2_0_7)) + (portRef ADA11 (instanceRef pdp_ram_2_1_6)) + (portRef ADA11 (instanceRef pdp_ram_2_2_5)) + (portRef ADA11 (instanceRef pdp_ram_2_3_4)) + (portRef ADA11 (instanceRef pdp_ram_3_0_3)) + (portRef ADA11 (instanceRef pdp_ram_3_1_2)) + (portRef ADA11 (instanceRef pdp_ram_3_2_1)) + (portRef ADA11 (instanceRef pdp_ram_3_3_0)))) + (net wptr_9 + (joined + (portRef Q (instanceRef FF_37)) + (portRef ADA12 (instanceRef pdp_ram_0_0_15)) + (portRef ADA12 (instanceRef pdp_ram_0_1_14)) + (portRef ADA12 (instanceRef pdp_ram_0_2_13)) + (portRef ADA12 (instanceRef pdp_ram_0_3_12)) + (portRef ADA12 (instanceRef pdp_ram_1_0_11)) + (portRef ADA12 (instanceRef pdp_ram_1_1_10)) + (portRef ADA12 (instanceRef pdp_ram_1_2_9)) + (portRef ADA12 (instanceRef pdp_ram_1_3_8)) + (portRef ADA12 (instanceRef pdp_ram_2_0_7)) + (portRef ADA12 (instanceRef pdp_ram_2_1_6)) + (portRef ADA12 (instanceRef pdp_ram_2_2_5)) + (portRef ADA12 (instanceRef pdp_ram_2_3_4)) + (portRef ADA12 (instanceRef pdp_ram_3_0_3)) + (portRef ADA12 (instanceRef pdp_ram_3_1_2)) + (portRef ADA12 (instanceRef pdp_ram_3_2_1)) + (portRef ADA12 (instanceRef pdp_ram_3_3_0)))) + (net wptr_10 + (joined + (portRef Q (instanceRef FF_36)) + (portRef ADA13 (instanceRef pdp_ram_0_0_15)) + (portRef ADA13 (instanceRef pdp_ram_0_1_14)) + (portRef ADA13 (instanceRef pdp_ram_0_2_13)) + (portRef ADA13 (instanceRef pdp_ram_0_3_12)) + (portRef ADA13 (instanceRef pdp_ram_1_0_11)) + (portRef ADA13 (instanceRef pdp_ram_1_1_10)) + (portRef ADA13 (instanceRef pdp_ram_1_2_9)) + (portRef ADA13 (instanceRef pdp_ram_1_3_8)) + (portRef ADA13 (instanceRef pdp_ram_2_0_7)) + (portRef ADA13 (instanceRef pdp_ram_2_1_6)) + (portRef ADA13 (instanceRef pdp_ram_2_2_5)) + (portRef ADA13 (instanceRef pdp_ram_2_3_4)) + (portRef ADA13 (instanceRef pdp_ram_3_0_3)) + (portRef ADA13 (instanceRef pdp_ram_3_1_2)) + (portRef ADA13 (instanceRef pdp_ram_3_2_1)) + (portRef ADA13 (instanceRef pdp_ram_3_3_0)))) + (net wptr_11 + (joined + (portRef Q (instanceRef FF_35)) + (portRef CSA0 (instanceRef pdp_ram_0_0_15)) + (portRef CSA0 (instanceRef pdp_ram_0_1_14)) + (portRef CSA0 (instanceRef pdp_ram_0_2_13)) + (portRef CSA0 (instanceRef pdp_ram_0_3_12)) + (portRef CSA0 (instanceRef pdp_ram_1_0_11)) + (portRef CSA0 (instanceRef pdp_ram_1_1_10)) + (portRef CSA0 (instanceRef pdp_ram_1_2_9)) + (portRef CSA0 (instanceRef pdp_ram_1_3_8)) + (portRef CSA0 (instanceRef pdp_ram_2_0_7)) + (portRef CSA0 (instanceRef pdp_ram_2_1_6)) + (portRef CSA0 (instanceRef pdp_ram_2_2_5)) + (portRef CSA0 (instanceRef pdp_ram_2_3_4)) + (portRef CSA0 (instanceRef pdp_ram_3_0_3)) + (portRef CSA0 (instanceRef pdp_ram_3_1_2)) + (portRef CSA0 (instanceRef pdp_ram_3_2_1)) + (portRef CSA0 (instanceRef pdp_ram_3_3_0)))) + (net wptr_12 + (joined + (portRef Q (instanceRef FF_34)) + (portRef CSA1 (instanceRef pdp_ram_0_0_15)) + (portRef CSA1 (instanceRef pdp_ram_0_1_14)) + (portRef CSA1 (instanceRef pdp_ram_0_2_13)) + (portRef CSA1 (instanceRef pdp_ram_0_3_12)) + (portRef CSA1 (instanceRef pdp_ram_1_0_11)) + (portRef CSA1 (instanceRef pdp_ram_1_1_10)) + (portRef CSA1 (instanceRef pdp_ram_1_2_9)) + (portRef CSA1 (instanceRef pdp_ram_1_3_8)) + (portRef CSA1 (instanceRef pdp_ram_2_0_7)) + (portRef CSA1 (instanceRef pdp_ram_2_1_6)) + (portRef CSA1 (instanceRef pdp_ram_2_2_5)) + (portRef CSA1 (instanceRef pdp_ram_2_3_4)) + (portRef CSA1 (instanceRef pdp_ram_3_0_3)) + (portRef CSA1 (instanceRef pdp_ram_3_1_2)) + (portRef CSA1 (instanceRef pdp_ram_3_2_1)) + (portRef CSA1 (instanceRef pdp_ram_3_3_0)))) + (net wptr_13 + (joined + (portRef Q (instanceRef FF_33)))) + (net rptr_13 + (joined + (portRef Q (instanceRef FF_19)) + (portRef B (instanceRef XOR2_t0)))) + (net rptr_11_ff + (joined + (portRef D (instanceRef FF_16)) + (portRef Q (instanceRef FF_18)))) + (net rptr_12_ff + (joined + (portRef D (instanceRef FF_15)) + (portRef Q (instanceRef FF_17)))) + (net ifcount_0 + (joined + (portRef S0 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_90)))) + (net ifcount_1 + (joined + (portRef S1 (instanceRef bdcnt_bctr_0)) + (portRef D (instanceRef FF_89)))) + (net bdcnt_bctr_ci + (joined + (portRef CIN (instanceRef bdcnt_bctr_0)) + (portRef COUT (instanceRef bdcnt_bctr_cia)))) + (net ifcount_2 + (joined + (portRef S0 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_88)))) + (net ifcount_3 + (joined + (portRef S1 (instanceRef bdcnt_bctr_1)) + (portRef D (instanceRef FF_87)))) + (net co0 + (joined + (portRef CIN (instanceRef bdcnt_bctr_1)) + (portRef COUT (instanceRef bdcnt_bctr_0)))) + (net ifcount_4 + (joined + (portRef S0 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_86)))) + (net ifcount_5 + (joined + (portRef S1 (instanceRef bdcnt_bctr_2)) + (portRef D (instanceRef FF_85)))) + (net co1 + (joined + (portRef CIN (instanceRef bdcnt_bctr_2)) + (portRef COUT (instanceRef bdcnt_bctr_1)))) + (net ifcount_6 + (joined + (portRef S0 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_84)))) + (net ifcount_7 + (joined + (portRef S1 (instanceRef bdcnt_bctr_3)) + (portRef D (instanceRef FF_83)))) + (net co2 + (joined + (portRef CIN (instanceRef bdcnt_bctr_3)) + (portRef COUT (instanceRef bdcnt_bctr_2)))) + (net ifcount_8 + (joined + (portRef S0 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_82)))) + (net ifcount_9 + (joined + (portRef S1 (instanceRef bdcnt_bctr_4)) + (portRef D (instanceRef FF_81)))) + (net co3 + (joined + (portRef CIN (instanceRef bdcnt_bctr_4)) + (portRef COUT (instanceRef bdcnt_bctr_3)))) + (net ifcount_10 + (joined + (portRef S0 (instanceRef bdcnt_bctr_5)) + (portRef D (instanceRef FF_80)))) + (net ifcount_11 + (joined + (portRef S1 (instanceRef bdcnt_bctr_5)) + (portRef D (instanceRef FF_79)))) + (net co4 + (joined + (portRef CIN (instanceRef bdcnt_bctr_5)) + (portRef COUT (instanceRef bdcnt_bctr_4)))) + (net ifcount_12 + (joined + (portRef S0 (instanceRef bdcnt_bctr_6)) + (portRef D (instanceRef FF_78)))) + (net ifcount_13 + (joined + (portRef S1 (instanceRef bdcnt_bctr_6)) + (portRef D (instanceRef FF_77)))) + (net co6 + (joined + (portRef COUT (instanceRef bdcnt_bctr_6)))) + (net co5 + (joined + (portRef CIN (instanceRef bdcnt_bctr_6)) + (portRef COUT (instanceRef bdcnt_bctr_5)))) + (net cmp_ci + (joined + (portRef CIN (instanceRef e_cmp_0)) + (portRef COUT (instanceRef e_cmp_ci_a)))) + (net rden_i + (joined + (portRef A0 (instanceRef e_cmp_0)) + (portRef Z (instanceRef AND2_t4)) + (portRef B (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_3)) + (portRef AD1 (instanceRef LUT4_0)) + (portRef A (instanceRef AND2_t1)) + (portRef CEB (instanceRef pdp_ram_0_0_15)) + (portRef CEB (instanceRef pdp_ram_0_1_14)) + (portRef CEB (instanceRef pdp_ram_0_2_13)) + (portRef CEB (instanceRef pdp_ram_0_3_12)) + (portRef CEB (instanceRef pdp_ram_1_0_11)) + (portRef CEB (instanceRef pdp_ram_1_1_10)) + (portRef CEB (instanceRef pdp_ram_1_2_9)) + (portRef CEB (instanceRef pdp_ram_1_3_8)) + (portRef CEB (instanceRef pdp_ram_2_0_7)) + (portRef CEB (instanceRef pdp_ram_2_1_6)) + (portRef CEB (instanceRef pdp_ram_2_2_5)) + (portRef CEB (instanceRef pdp_ram_2_3_4)) + (portRef CEB (instanceRef pdp_ram_3_0_3)) + (portRef CEB (instanceRef pdp_ram_3_1_2)) + (portRef CEB (instanceRef pdp_ram_3_2_1)) + (portRef CEB (instanceRef pdp_ram_3_3_0)) + (portRef SP (instanceRef FF_60)) + (portRef SP (instanceRef FF_59)) + (portRef SP (instanceRef FF_58)) + (portRef SP (instanceRef FF_57)) + (portRef SP (instanceRef FF_56)) + (portRef SP (instanceRef FF_55)) + (portRef SP (instanceRef FF_54)) + (portRef SP (instanceRef FF_53)) + (portRef SP (instanceRef FF_52)) + (portRef SP (instanceRef FF_51)) + (portRef SP (instanceRef FF_50)) + (portRef SP (instanceRef FF_49)) + (portRef SP (instanceRef FF_48)) + (portRef SP (instanceRef FF_47)) + (portRef SP (instanceRef FF_32)) + (portRef SP (instanceRef FF_31)) + (portRef SP (instanceRef FF_30)) + (portRef SP (instanceRef FF_29)) + (portRef SP (instanceRef FF_28)) + (portRef SP (instanceRef FF_27)) + (portRef SP (instanceRef FF_26)) + (portRef SP (instanceRef FF_25)) + (portRef SP (instanceRef FF_24)) + (portRef SP (instanceRef FF_23)) + (portRef SP (instanceRef FF_22)) + (portRef SP (instanceRef FF_21)) + (portRef SP (instanceRef FF_20)) + (portRef SP (instanceRef FF_19)) + (portRef SP (instanceRef FF_18)) + (portRef SP (instanceRef FF_17)) + (portRef SP (instanceRef FF_16)) + (portRef SP (instanceRef FF_15)))) + (net co0_1 + (joined + (portRef CIN (instanceRef e_cmp_1)) + (portRef COUT (instanceRef e_cmp_0)))) + (net co1_1 + (joined + (portRef CIN (instanceRef e_cmp_2)) + (portRef COUT (instanceRef e_cmp_1)))) + (net co2_1 + (joined + (portRef CIN (instanceRef e_cmp_3)) + (portRef COUT (instanceRef e_cmp_2)))) + (net co3_1 + (joined + (portRef CIN (instanceRef e_cmp_4)) + (portRef COUT (instanceRef e_cmp_3)))) + (net co4_1 + (joined + (portRef CIN (instanceRef e_cmp_5)) + (portRef COUT (instanceRef e_cmp_4)))) + (net co5_1 + (joined + (portRef CIN (instanceRef e_cmp_6)) + (portRef COUT (instanceRef e_cmp_5)))) + (net cmp_le_1 + (joined + (portRef S0 (instanceRef a0)) + (portRef AD2 (instanceRef LUT4_1)))) + (net cmp_le_1_c + (joined + (portRef CIN (instanceRef a0)) + (portRef COUT (instanceRef e_cmp_6)))) + (net cmp_ci_1 + (joined + (portRef CIN (instanceRef g_cmp_0)) + (portRef COUT (instanceRef g_cmp_ci_a)))) + (net co0_2 + (joined + (portRef CIN (instanceRef g_cmp_1)) + (portRef COUT (instanceRef g_cmp_0)))) + (net co1_2 + (joined + (portRef CIN (instanceRef g_cmp_2)) + (portRef COUT (instanceRef g_cmp_1)))) + (net co2_2 + (joined + (portRef CIN (instanceRef g_cmp_3)) + (portRef COUT (instanceRef g_cmp_2)))) + (net co3_2 + (joined + (portRef CIN (instanceRef g_cmp_4)) + (portRef COUT (instanceRef g_cmp_3)))) + (net co4_2 + (joined + (portRef CIN (instanceRef g_cmp_5)) + (portRef COUT (instanceRef g_cmp_4)))) + (net co5_2 + (joined + (portRef CIN (instanceRef g_cmp_6)) + (portRef COUT (instanceRef g_cmp_5)))) + (net wren_i_inv + (joined + (portRef B1 (instanceRef g_cmp_6)) + (portRef Z (instanceRef INV_2)))) + (net cmp_ge_d1 + (joined + (portRef S0 (instanceRef a1)) + (portRef AD2 (instanceRef LUT4_0)))) + (net cmp_ge_d1_c + (joined + (portRef CIN (instanceRef a1)) + (portRef COUT (instanceRef g_cmp_6)))) + (net iwcount_0 + (joined + (portRef S0 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_74)))) + (net iwcount_1 + (joined + (portRef S1 (instanceRef w_ctr_0)) + (portRef D (instanceRef FF_73)))) + (net w_ctr_ci + (joined + (portRef CIN (instanceRef w_ctr_0)) + (portRef COUT (instanceRef w_ctr_cia)))) + (net iwcount_2 + (joined + (portRef S0 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_72)))) + (net iwcount_3 + (joined + (portRef S1 (instanceRef w_ctr_1)) + (portRef D (instanceRef FF_71)))) + (net co0_3 + (joined + (portRef CIN (instanceRef w_ctr_1)) + (portRef COUT (instanceRef w_ctr_0)))) + (net iwcount_4 + (joined + (portRef S0 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_70)))) + (net iwcount_5 + (joined + (portRef S1 (instanceRef w_ctr_2)) + (portRef D (instanceRef FF_69)))) + (net co1_3 + (joined + (portRef CIN (instanceRef w_ctr_2)) + (portRef COUT (instanceRef w_ctr_1)))) + (net iwcount_6 + (joined + (portRef S0 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_68)))) + (net iwcount_7 + (joined + (portRef S1 (instanceRef w_ctr_3)) + (portRef D (instanceRef FF_67)))) + (net co2_3 + (joined + (portRef CIN (instanceRef w_ctr_3)) + (portRef COUT (instanceRef w_ctr_2)))) + (net iwcount_8 + (joined + (portRef S0 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_66)))) + (net iwcount_9 + (joined + (portRef S1 (instanceRef w_ctr_4)) + (portRef D (instanceRef FF_65)))) + (net co3_3 + (joined + (portRef CIN (instanceRef w_ctr_4)) + (portRef COUT (instanceRef w_ctr_3)))) + (net iwcount_10 + (joined + (portRef S0 (instanceRef w_ctr_5)) + (portRef D (instanceRef FF_64)))) + (net iwcount_11 + (joined + (portRef S1 (instanceRef w_ctr_5)) + (portRef D (instanceRef FF_63)))) + (net co4_3 + (joined + (portRef CIN (instanceRef w_ctr_5)) + (portRef COUT (instanceRef w_ctr_4)))) + (net iwcount_12 + (joined + (portRef S0 (instanceRef w_ctr_6)) + (portRef D (instanceRef FF_62)))) + (net iwcount_13 + (joined + (portRef S1 (instanceRef w_ctr_6)) + (portRef D (instanceRef FF_61)))) + (net co6_1 + (joined + (portRef COUT (instanceRef w_ctr_6)))) + (net co5_3 + (joined + (portRef CIN (instanceRef w_ctr_6)) + (portRef COUT (instanceRef w_ctr_5)))) + (net wcount_13 + (joined + (portRef A1 (instanceRef w_ctr_6)) + (portRef A (instanceRef XOR2_t0)) + (portRef Q (instanceRef FF_61)) + (portRef D (instanceRef FF_33)))) + (net ircount_0 + (joined + (portRef S0 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_60)))) + (net ircount_1 + (joined + (portRef S1 (instanceRef r_ctr_0)) + (portRef D (instanceRef FF_59)))) + (net r_ctr_ci + (joined + (portRef CIN (instanceRef r_ctr_0)) + (portRef COUT (instanceRef r_ctr_cia)))) + (net rcount_0 + (joined + (portRef A0 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_60)) + (portRef D (instanceRef FF_32)))) + (net rcount_1 + (joined + (portRef A1 (instanceRef r_ctr_0)) + (portRef Q (instanceRef FF_59)) + (portRef D (instanceRef FF_31)))) + (net ircount_2 + (joined + (portRef S0 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_58)))) + (net ircount_3 + (joined + (portRef S1 (instanceRef r_ctr_1)) + (portRef D (instanceRef FF_57)))) + (net co0_4 + (joined + (portRef CIN (instanceRef r_ctr_1)) + (portRef COUT (instanceRef r_ctr_0)))) + (net rcount_2 + (joined + (portRef A0 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_58)) + (portRef D (instanceRef FF_30)))) + (net rcount_3 + (joined + (portRef A1 (instanceRef r_ctr_1)) + (portRef Q (instanceRef FF_57)) + (portRef D (instanceRef FF_29)))) + (net ircount_4 + (joined + (portRef S0 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_56)))) + (net ircount_5 + (joined + (portRef S1 (instanceRef r_ctr_2)) + (portRef D (instanceRef FF_55)))) + (net co1_4 + (joined + (portRef CIN (instanceRef r_ctr_2)) + (portRef COUT (instanceRef r_ctr_1)))) + (net rcount_4 + (joined + (portRef A0 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_56)) + (portRef D (instanceRef FF_28)))) + (net rcount_5 + (joined + (portRef A1 (instanceRef r_ctr_2)) + (portRef Q (instanceRef FF_55)) + (portRef D (instanceRef FF_27)))) + (net ircount_6 + (joined + (portRef S0 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_54)))) + (net ircount_7 + (joined + (portRef S1 (instanceRef r_ctr_3)) + (portRef D (instanceRef FF_53)))) + (net co2_4 + (joined + (portRef CIN (instanceRef r_ctr_3)) + (portRef COUT (instanceRef r_ctr_2)))) + (net rcount_6 + (joined + (portRef A0 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_54)) + (portRef D (instanceRef FF_26)))) + (net rcount_7 + (joined + (portRef A1 (instanceRef r_ctr_3)) + (portRef Q (instanceRef FF_53)) + (portRef D (instanceRef FF_25)))) + (net ircount_8 + (joined + (portRef S0 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_52)))) + (net ircount_9 + (joined + (portRef S1 (instanceRef r_ctr_4)) + (portRef D (instanceRef FF_51)))) + (net co3_4 + (joined + (portRef CIN (instanceRef r_ctr_4)) + (portRef COUT (instanceRef r_ctr_3)))) + (net rcount_8 + (joined + (portRef A0 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_52)) + (portRef D (instanceRef FF_24)))) + (net rcount_9 + (joined + (portRef A1 (instanceRef r_ctr_4)) + (portRef Q (instanceRef FF_51)) + (portRef D (instanceRef FF_23)))) + (net ircount_10 + (joined + (portRef S0 (instanceRef r_ctr_5)) + (portRef D (instanceRef FF_50)))) + (net ircount_11 + (joined + (portRef S1 (instanceRef r_ctr_5)) + (portRef D (instanceRef FF_49)))) + (net co4_4 + (joined + (portRef CIN (instanceRef r_ctr_5)) + (portRef COUT (instanceRef r_ctr_4)))) + (net rcount_10 + (joined + (portRef A0 (instanceRef r_ctr_5)) + (portRef Q (instanceRef FF_50)) + (portRef D (instanceRef FF_22)))) + (net rcount_11 + (joined + (portRef A1 (instanceRef r_ctr_5)) + (portRef Q (instanceRef FF_49)) + (portRef D (instanceRef FF_21)))) + (net ircount_12 + (joined + (portRef S0 (instanceRef r_ctr_6)) + (portRef D (instanceRef FF_48)))) + (net ircount_13 + (joined + (portRef S1 (instanceRef r_ctr_6)) + (portRef D (instanceRef FF_47)))) + (net co6_2 + (joined + (portRef COUT (instanceRef r_ctr_6)))) + (net co5_4 + (joined + (portRef CIN (instanceRef r_ctr_6)) + (portRef COUT (instanceRef r_ctr_5)))) + (net rcount_12 + (joined + (portRef A0 (instanceRef r_ctr_6)) + (portRef Q (instanceRef FF_48)) + (portRef D (instanceRef FF_20)))) + (net rcount_13 + (joined + (portRef A1 (instanceRef r_ctr_6)) + (portRef Q (instanceRef FF_47)) + (portRef D (instanceRef FF_19)))) + (net mdout1_3_0 + (joined + (portRef D3 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_3_0_3)))) + (net mdout1_2_0 + (joined + (portRef D2 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_2_0_7)))) + (net mdout1_1_0 + (joined + (portRef D1 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_1_0_11)))) + (net mdout1_0_0 + (joined + (portRef D0 (instanceRef mux_35)) + (portRef DOB0 (instanceRef pdp_ram_0_0_15)))) + (net mdout1_3_1 + (joined + (portRef D3 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_3_0_3)))) + (net mdout1_2_1 + (joined + (portRef D2 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_2_0_7)))) + (net mdout1_1_1 + (joined + (portRef D1 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_1_0_11)))) + (net mdout1_0_1 + (joined + (portRef D0 (instanceRef mux_34)) + (portRef DOB1 (instanceRef pdp_ram_0_0_15)))) + (net mdout1_3_2 + (joined + (portRef D3 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_3_0_3)))) + (net mdout1_2_2 + (joined + (portRef D2 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_2_0_7)))) + (net mdout1_1_2 + (joined + (portRef D1 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_1_0_11)))) + (net mdout1_0_2 + (joined + (portRef D0 (instanceRef mux_33)) + (portRef DOB2 (instanceRef pdp_ram_0_0_15)))) + (net mdout1_3_3 + (joined + (portRef D3 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_3_0_3)))) + (net mdout1_2_3 + (joined + (portRef D2 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_2_0_7)))) + (net mdout1_1_3 + (joined + (portRef D1 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_1_0_11)))) + (net mdout1_0_3 + (joined + (portRef D0 (instanceRef mux_32)) + (portRef DOB3 (instanceRef pdp_ram_0_0_15)))) + (net mdout1_3_4 + (joined + (portRef D3 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_3_0_3)))) + (net mdout1_2_4 + (joined + (portRef D2 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_2_0_7)))) + (net mdout1_1_4 + (joined + (portRef D1 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_1_0_11)))) + (net mdout1_0_4 + (joined + (portRef D0 (instanceRef mux_31)) + (portRef DOB4 (instanceRef pdp_ram_0_0_15)))) + (net mdout1_3_5 + (joined + (portRef D3 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_3_0_3)))) + (net mdout1_2_5 + (joined + (portRef D2 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_2_0_7)))) + (net mdout1_1_5 + (joined + (portRef D1 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_1_0_11)))) + (net mdout1_0_5 + (joined + (portRef D0 (instanceRef mux_30)) + (portRef DOB5 (instanceRef pdp_ram_0_0_15)))) + (net mdout1_3_6 + (joined + (portRef D3 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_3_0_3)))) + (net mdout1_2_6 + (joined + (portRef D2 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_2_0_7)))) + (net mdout1_1_6 + (joined + (portRef D1 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_1_0_11)))) + (net mdout1_0_6 + (joined + (portRef D0 (instanceRef mux_29)) + (portRef DOB6 (instanceRef pdp_ram_0_0_15)))) + (net mdout1_3_7 + (joined + (portRef D3 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_3_0_3)))) + (net mdout1_2_7 + (joined + (portRef D2 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_2_0_7)))) + (net mdout1_1_7 + (joined + (portRef D1 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_1_0_11)))) + (net mdout1_0_7 + (joined + (portRef D0 (instanceRef mux_28)) + (portRef DOB7 (instanceRef pdp_ram_0_0_15)))) + (net mdout1_3_8 + (joined + (portRef D3 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_3_0_3)))) + (net mdout1_2_8 + (joined + (portRef D2 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_2_0_7)))) + (net mdout1_1_8 + (joined + (portRef D1 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_1_0_11)))) + (net mdout1_0_8 + (joined + (portRef D0 (instanceRef mux_27)) + (portRef DOB8 (instanceRef pdp_ram_0_0_15)))) + (net mdout1_3_9 + (joined + (portRef D3 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_3_1_2)))) + (net mdout1_2_9 + (joined + (portRef D2 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_2_1_6)))) + (net mdout1_1_9 + (joined + (portRef D1 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_1_1_10)))) + (net mdout1_0_9 + (joined + (portRef D0 (instanceRef mux_26)) + (portRef DOB0 (instanceRef pdp_ram_0_1_14)))) + (net mdout1_3_10 + (joined + (portRef D3 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_3_1_2)))) + (net mdout1_2_10 + (joined + (portRef D2 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_2_1_6)))) + (net mdout1_1_10 + (joined + (portRef D1 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_1_1_10)))) + (net mdout1_0_10 + (joined + (portRef D0 (instanceRef mux_25)) + (portRef DOB1 (instanceRef pdp_ram_0_1_14)))) + (net mdout1_3_11 + (joined + (portRef D3 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_3_1_2)))) + (net mdout1_2_11 + (joined + (portRef D2 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_2_1_6)))) + (net mdout1_1_11 + (joined + (portRef D1 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_1_1_10)))) + (net mdout1_0_11 + (joined + (portRef D0 (instanceRef mux_24)) + (portRef DOB2 (instanceRef pdp_ram_0_1_14)))) + (net mdout1_3_12 + (joined + (portRef D3 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_3_1_2)))) + (net mdout1_2_12 + (joined + (portRef D2 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_2_1_6)))) + (net mdout1_1_12 + (joined + (portRef D1 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_1_1_10)))) + (net mdout1_0_12 + (joined + (portRef D0 (instanceRef mux_23)) + (portRef DOB3 (instanceRef pdp_ram_0_1_14)))) + (net mdout1_3_13 + (joined + (portRef D3 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_3_1_2)))) + (net mdout1_2_13 + (joined + (portRef D2 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_2_1_6)))) + (net mdout1_1_13 + (joined + (portRef D1 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_1_1_10)))) + (net mdout1_0_13 + (joined + (portRef D0 (instanceRef mux_22)) + (portRef DOB4 (instanceRef pdp_ram_0_1_14)))) + (net mdout1_3_14 + (joined + (portRef D3 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_3_1_2)))) + (net mdout1_2_14 + (joined + (portRef D2 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_2_1_6)))) + (net mdout1_1_14 + (joined + (portRef D1 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_1_1_10)))) + (net mdout1_0_14 + (joined + (portRef D0 (instanceRef mux_21)) + (portRef DOB5 (instanceRef pdp_ram_0_1_14)))) + (net mdout1_3_15 + (joined + (portRef D3 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_3_1_2)))) + (net mdout1_2_15 + (joined + (portRef D2 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_2_1_6)))) + (net mdout1_1_15 + (joined + (portRef D1 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_1_1_10)))) + (net mdout1_0_15 + (joined + (portRef D0 (instanceRef mux_20)) + (portRef DOB6 (instanceRef pdp_ram_0_1_14)))) + (net mdout1_3_16 + (joined + (portRef D3 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_3_1_2)))) + (net mdout1_2_16 + (joined + (portRef D2 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_2_1_6)))) + (net mdout1_1_16 + (joined + (portRef D1 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_1_1_10)))) + (net mdout1_0_16 + (joined + (portRef D0 (instanceRef mux_19)) + (portRef DOB7 (instanceRef pdp_ram_0_1_14)))) + (net mdout1_3_17 + (joined + (portRef D3 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_3_1_2)))) + (net mdout1_2_17 + (joined + (portRef D2 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_2_1_6)))) + (net mdout1_1_17 + (joined + (portRef D1 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_1_1_10)))) + (net mdout1_0_17 + (joined + (portRef D0 (instanceRef mux_18)) + (portRef DOB8 (instanceRef pdp_ram_0_1_14)))) + (net mdout1_3_18 + (joined + (portRef D3 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_3_2_1)))) + (net mdout1_2_18 + (joined + (portRef D2 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_2_2_5)))) + (net mdout1_1_18 + (joined + (portRef D1 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_1_2_9)))) + (net mdout1_0_18 + (joined + (portRef D0 (instanceRef mux_17)) + (portRef DOB0 (instanceRef pdp_ram_0_2_13)))) + (net mdout1_3_19 + (joined + (portRef D3 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_3_2_1)))) + (net mdout1_2_19 + (joined + (portRef D2 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_2_2_5)))) + (net mdout1_1_19 + (joined + (portRef D1 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_1_2_9)))) + (net mdout1_0_19 + (joined + (portRef D0 (instanceRef mux_16)) + (portRef DOB1 (instanceRef pdp_ram_0_2_13)))) + (net mdout1_3_20 + (joined + (portRef D3 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_3_2_1)))) + (net mdout1_2_20 + (joined + (portRef D2 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_2_2_5)))) + (net mdout1_1_20 + (joined + (portRef D1 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_1_2_9)))) + (net mdout1_0_20 + (joined + (portRef D0 (instanceRef mux_15)) + (portRef DOB2 (instanceRef pdp_ram_0_2_13)))) + (net mdout1_3_21 + (joined + (portRef D3 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_3_2_1)))) + (net mdout1_2_21 + (joined + (portRef D2 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_2_2_5)))) + (net mdout1_1_21 + (joined + (portRef D1 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_1_2_9)))) + (net mdout1_0_21 + (joined + (portRef D0 (instanceRef mux_14)) + (portRef DOB3 (instanceRef pdp_ram_0_2_13)))) + (net mdout1_3_22 + (joined + (portRef D3 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_3_2_1)))) + (net mdout1_2_22 + (joined + (portRef D2 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_2_2_5)))) + (net mdout1_1_22 + (joined + (portRef D1 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_1_2_9)))) + (net mdout1_0_22 + (joined + (portRef D0 (instanceRef mux_13)) + (portRef DOB4 (instanceRef pdp_ram_0_2_13)))) + (net mdout1_3_23 + (joined + (portRef D3 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_3_2_1)))) + (net mdout1_2_23 + (joined + (portRef D2 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_2_2_5)))) + (net mdout1_1_23 + (joined + (portRef D1 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_1_2_9)))) + (net mdout1_0_23 + (joined + (portRef D0 (instanceRef mux_12)) + (portRef DOB5 (instanceRef pdp_ram_0_2_13)))) + (net mdout1_3_24 + (joined + (portRef D3 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_3_2_1)))) + (net mdout1_2_24 + (joined + (portRef D2 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_2_2_5)))) + (net mdout1_1_24 + (joined + (portRef D1 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_1_2_9)))) + (net mdout1_0_24 + (joined + (portRef D0 (instanceRef mux_11)) + (portRef DOB6 (instanceRef pdp_ram_0_2_13)))) + (net mdout1_3_25 + (joined + (portRef D3 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_3_2_1)))) + (net mdout1_2_25 + (joined + (portRef D2 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_2_2_5)))) + (net mdout1_1_25 + (joined + (portRef D1 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_1_2_9)))) + (net mdout1_0_25 + (joined + (portRef D0 (instanceRef mux_10)) + (portRef DOB7 (instanceRef pdp_ram_0_2_13)))) + (net mdout1_3_26 + (joined + (portRef D3 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_3_2_1)))) + (net mdout1_2_26 + (joined + (portRef D2 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_2_2_5)))) + (net mdout1_1_26 + (joined + (portRef D1 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_1_2_9)))) + (net mdout1_0_26 + (joined + (portRef D0 (instanceRef mux_9)) + (portRef DOB8 (instanceRef pdp_ram_0_2_13)))) + (net mdout1_3_27 + (joined + (portRef D3 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_3_3_0)))) + (net mdout1_2_27 + (joined + (portRef D2 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_2_3_4)))) + (net mdout1_1_27 + (joined + (portRef D1 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_1_3_8)))) + (net mdout1_0_27 + (joined + (portRef D0 (instanceRef mux_8)) + (portRef DOB0 (instanceRef pdp_ram_0_3_12)))) + (net mdout1_3_28 + (joined + (portRef D3 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_3_3_0)))) + (net mdout1_2_28 + (joined + (portRef D2 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_2_3_4)))) + (net mdout1_1_28 + (joined + (portRef D1 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_1_3_8)))) + (net mdout1_0_28 + (joined + (portRef D0 (instanceRef mux_7)) + (portRef DOB1 (instanceRef pdp_ram_0_3_12)))) + (net mdout1_3_29 + (joined + (portRef D3 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_3_3_0)))) + (net mdout1_2_29 + (joined + (portRef D2 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_2_3_4)))) + (net mdout1_1_29 + (joined + (portRef D1 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_1_3_8)))) + (net mdout1_0_29 + (joined + (portRef D0 (instanceRef mux_6)) + (portRef DOB2 (instanceRef pdp_ram_0_3_12)))) + (net mdout1_3_30 + (joined + (portRef D3 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_3_3_0)))) + (net mdout1_2_30 + (joined + (portRef D2 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_2_3_4)))) + (net mdout1_1_30 + (joined + (portRef D1 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_1_3_8)))) + (net mdout1_0_30 + (joined + (portRef D0 (instanceRef mux_5)) + (portRef DOB3 (instanceRef pdp_ram_0_3_12)))) + (net mdout1_3_31 + (joined + (portRef D3 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_3_3_0)))) + (net mdout1_2_31 + (joined + (portRef D2 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_2_3_4)))) + (net mdout1_1_31 + (joined + (portRef D1 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_1_3_8)))) + (net mdout1_0_31 + (joined + (portRef D0 (instanceRef mux_4)) + (portRef DOB4 (instanceRef pdp_ram_0_3_12)))) + (net mdout1_3_32 + (joined + (portRef D3 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_3_3_0)))) + (net mdout1_2_32 + (joined + (portRef D2 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_2_3_4)))) + (net mdout1_1_32 + (joined + (portRef D1 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_1_3_8)))) + (net mdout1_0_32 + (joined + (portRef D0 (instanceRef mux_3)) + (portRef DOB5 (instanceRef pdp_ram_0_3_12)))) + (net mdout1_3_33 + (joined + (portRef D3 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_3_3_0)))) + (net mdout1_2_33 + (joined + (portRef D2 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_2_3_4)))) + (net mdout1_1_33 + (joined + (portRef D1 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_1_3_8)))) + (net mdout1_0_33 + (joined + (portRef D0 (instanceRef mux_2)) + (portRef DOB6 (instanceRef pdp_ram_0_3_12)))) + (net mdout1_3_34 + (joined + (portRef D3 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_3_3_0)))) + (net mdout1_2_34 + (joined + (portRef D2 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_2_3_4)))) + (net mdout1_1_34 + (joined + (portRef D1 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_1_3_8)))) + (net mdout1_0_34 + (joined + (portRef D0 (instanceRef mux_1)) + (portRef DOB7 (instanceRef pdp_ram_0_3_12)))) + (net rptr_12_ff2 + (joined + (portRef SD2 (instanceRef mux_0)) + (portRef Q (instanceRef FF_15)) + (portRef SD2 (instanceRef mux_35)) + (portRef SD2 (instanceRef mux_34)) + (portRef SD2 (instanceRef mux_33)) + (portRef SD2 (instanceRef mux_32)) + (portRef SD2 (instanceRef mux_31)) + (portRef SD2 (instanceRef mux_30)) + (portRef SD2 (instanceRef mux_29)) + (portRef SD2 (instanceRef mux_28)) + (portRef SD2 (instanceRef mux_27)) + (portRef SD2 (instanceRef mux_26)) + (portRef SD2 (instanceRef mux_25)) + (portRef SD2 (instanceRef mux_24)) + (portRef SD2 (instanceRef mux_23)) + (portRef SD2 (instanceRef mux_22)) + (portRef SD2 (instanceRef mux_21)) + (portRef SD2 (instanceRef mux_20)) + (portRef SD2 (instanceRef mux_19)) + (portRef SD2 (instanceRef mux_18)) + (portRef SD2 (instanceRef mux_17)) + (portRef SD2 (instanceRef mux_16)) + (portRef SD2 (instanceRef mux_15)) + (portRef SD2 (instanceRef mux_14)) + (portRef SD2 (instanceRef mux_13)) + (portRef SD2 (instanceRef mux_12)) + (portRef SD2 (instanceRef mux_11)) + (portRef SD2 (instanceRef mux_10)) + (portRef SD2 (instanceRef mux_9)) + (portRef SD2 (instanceRef mux_8)) + (portRef SD2 (instanceRef mux_7)) + (portRef SD2 (instanceRef mux_6)) + (portRef SD2 (instanceRef mux_5)) + (portRef SD2 (instanceRef mux_4)) + (portRef SD2 (instanceRef mux_3)) + (portRef SD2 (instanceRef mux_2)) + (portRef SD2 (instanceRef mux_1)))) + (net rptr_11_ff2 + (joined + (portRef SD1 (instanceRef mux_0)) + (portRef Q (instanceRef FF_16)) + (portRef SD1 (instanceRef mux_35)) + (portRef SD1 (instanceRef mux_34)) + (portRef SD1 (instanceRef mux_33)) + (portRef SD1 (instanceRef mux_32)) + (portRef SD1 (instanceRef mux_31)) + (portRef SD1 (instanceRef mux_30)) + (portRef SD1 (instanceRef mux_29)) + (portRef SD1 (instanceRef mux_28)) + (portRef SD1 (instanceRef mux_27)) + (portRef SD1 (instanceRef mux_26)) + (portRef SD1 (instanceRef mux_25)) + (portRef SD1 (instanceRef mux_24)) + (portRef SD1 (instanceRef mux_23)) + (portRef SD1 (instanceRef mux_22)) + (portRef SD1 (instanceRef mux_21)) + (portRef SD1 (instanceRef mux_20)) + (portRef SD1 (instanceRef mux_19)) + (portRef SD1 (instanceRef mux_18)) + (portRef SD1 (instanceRef mux_17)) + (portRef SD1 (instanceRef mux_16)) + (portRef SD1 (instanceRef mux_15)) + (portRef SD1 (instanceRef mux_14)) + (portRef SD1 (instanceRef mux_13)) + (portRef SD1 (instanceRef mux_12)) + (portRef SD1 (instanceRef mux_11)) + (portRef SD1 (instanceRef mux_10)) + (portRef SD1 (instanceRef mux_9)) + (portRef SD1 (instanceRef mux_8)) + (portRef SD1 (instanceRef mux_7)) + (portRef SD1 (instanceRef mux_6)) + (portRef SD1 (instanceRef mux_5)) + (portRef SD1 (instanceRef mux_4)) + (portRef SD1 (instanceRef mux_3)) + (portRef SD1 (instanceRef mux_2)) + (portRef SD1 (instanceRef mux_1)))) + (net mdout1_3_35 + (joined + (portRef D3 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_3_3_0)))) + (net mdout1_2_35 + (joined + (portRef D2 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_2_3_4)))) + (net mdout1_1_35 + (joined + (portRef D1 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_1_3_8)))) + (net mdout1_0_35 + (joined + (portRef D0 (instanceRef mux_0)) + (portRef DOB8 (instanceRef pdp_ram_0_3_12)))) + (net wcnt_sub_0 + (joined + (portRef S1 (instanceRef wcnt_0)) + (portRef D (instanceRef FF_14)))) + (net rptr_0 + (joined + (portRef B1 (instanceRef wcnt_0)) + (portRef ADB3 (instanceRef pdp_ram_0_0_15)) + (portRef ADB3 (instanceRef pdp_ram_0_1_14)) + (portRef ADB3 (instanceRef pdp_ram_0_2_13)) + (portRef ADB3 (instanceRef pdp_ram_0_3_12)) + (portRef ADB3 (instanceRef pdp_ram_1_0_11)) + (portRef ADB3 (instanceRef pdp_ram_1_1_10)) + (portRef ADB3 (instanceRef pdp_ram_1_2_9)) + (portRef ADB3 (instanceRef pdp_ram_1_3_8)) + (portRef ADB3 (instanceRef pdp_ram_2_0_7)) + (portRef ADB3 (instanceRef pdp_ram_2_1_6)) + (portRef ADB3 (instanceRef pdp_ram_2_2_5)) + (portRef ADB3 (instanceRef pdp_ram_2_3_4)) + (portRef ADB3 (instanceRef pdp_ram_3_0_3)) + (portRef ADB3 (instanceRef pdp_ram_3_1_2)) + (portRef ADB3 (instanceRef pdp_ram_3_2_1)) + (portRef ADB3 (instanceRef pdp_ram_3_3_0)) + (portRef Q (instanceRef FF_32)))) + (net cnt_con_inv + (joined + (portRef B0 (instanceRef wcnt_0)) + (portRef Z (instanceRef INV_0)))) + (net wcount_0 + (joined + (portRef A1 (instanceRef wcnt_0)) + (portRef Q (instanceRef FF_74)) + (portRef D (instanceRef FF_46)) + (portRef A0 (instanceRef w_ctr_0)))) + (net cnt_con + (joined + (portRef A0 (instanceRef wcnt_0)) + (portRef Z (instanceRef AND2_t3)) + (portRef A (instanceRef INV_0)) + (portRef B1 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef bdcnt_bctr_cia)) + (portRef B1 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef bdcnt_bctr_4)) + (portRef B1 (instanceRef bdcnt_bctr_5)) + (portRef B0 (instanceRef bdcnt_bctr_5)) + (portRef B1 (instanceRef bdcnt_bctr_6)) + (portRef B0 (instanceRef bdcnt_bctr_6)))) + (net precin + (joined + (portRef CIN (instanceRef wcnt_0)) + (portRef COUT (instanceRef precin_inst474)))) + (net wcnt_sub_1 + (joined + (portRef S0 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_13)))) + (net wcnt_sub_2 + (joined + (portRef S1 (instanceRef wcnt_1)) + (portRef D (instanceRef FF_12)))) + (net rptr_2 + (joined + (portRef B1 (instanceRef wcnt_1)) + (portRef ADB5 (instanceRef pdp_ram_0_0_15)) + (portRef ADB5 (instanceRef pdp_ram_0_1_14)) + (portRef ADB5 (instanceRef pdp_ram_0_2_13)) + (portRef ADB5 (instanceRef pdp_ram_0_3_12)) + (portRef ADB5 (instanceRef pdp_ram_1_0_11)) + (portRef ADB5 (instanceRef pdp_ram_1_1_10)) + (portRef ADB5 (instanceRef pdp_ram_1_2_9)) + (portRef ADB5 (instanceRef pdp_ram_1_3_8)) + (portRef ADB5 (instanceRef pdp_ram_2_0_7)) + (portRef ADB5 (instanceRef pdp_ram_2_1_6)) + (portRef ADB5 (instanceRef pdp_ram_2_2_5)) + (portRef ADB5 (instanceRef pdp_ram_2_3_4)) + (portRef ADB5 (instanceRef pdp_ram_3_0_3)) + (portRef ADB5 (instanceRef pdp_ram_3_1_2)) + (portRef ADB5 (instanceRef pdp_ram_3_2_1)) + (portRef ADB5 (instanceRef pdp_ram_3_3_0)) + (portRef Q (instanceRef FF_30)))) + (net rptr_1 + (joined + (portRef B0 (instanceRef wcnt_1)) + (portRef ADB4 (instanceRef pdp_ram_0_0_15)) + (portRef ADB4 (instanceRef pdp_ram_0_1_14)) + (portRef ADB4 (instanceRef pdp_ram_0_2_13)) + (portRef ADB4 (instanceRef pdp_ram_0_3_12)) + (portRef ADB4 (instanceRef pdp_ram_1_0_11)) + (portRef ADB4 (instanceRef pdp_ram_1_1_10)) + (portRef ADB4 (instanceRef pdp_ram_1_2_9)) + (portRef ADB4 (instanceRef pdp_ram_1_3_8)) + (portRef ADB4 (instanceRef pdp_ram_2_0_7)) + (portRef ADB4 (instanceRef pdp_ram_2_1_6)) + (portRef ADB4 (instanceRef pdp_ram_2_2_5)) + (portRef ADB4 (instanceRef pdp_ram_2_3_4)) + (portRef ADB4 (instanceRef pdp_ram_3_0_3)) + (portRef ADB4 (instanceRef pdp_ram_3_1_2)) + (portRef ADB4 (instanceRef pdp_ram_3_2_1)) + (portRef ADB4 (instanceRef pdp_ram_3_3_0)) + (portRef Q (instanceRef FF_31)))) + (net wcount_2 + (joined + (portRef A1 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_72)) + (portRef D (instanceRef FF_44)) + (portRef A0 (instanceRef w_ctr_1)))) + (net wcount_1 + (joined + (portRef A0 (instanceRef wcnt_1)) + (portRef Q (instanceRef FF_73)) + (portRef D (instanceRef FF_45)) + (portRef A1 (instanceRef w_ctr_0)))) + (net co0_5 + (joined + (portRef CIN (instanceRef wcnt_1)) + (portRef COUT (instanceRef wcnt_0)))) + (net wcnt_sub_3 + (joined + (portRef S0 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_11)))) + (net wcnt_sub_4 + (joined + (portRef S1 (instanceRef wcnt_2)) + (portRef D (instanceRef FF_10)))) + (net rptr_4 + (joined + (portRef B1 (instanceRef wcnt_2)) + (portRef ADB7 (instanceRef pdp_ram_0_0_15)) + (portRef ADB7 (instanceRef pdp_ram_0_1_14)) + (portRef ADB7 (instanceRef pdp_ram_0_2_13)) + (portRef ADB7 (instanceRef pdp_ram_0_3_12)) + (portRef ADB7 (instanceRef pdp_ram_1_0_11)) + (portRef ADB7 (instanceRef pdp_ram_1_1_10)) + (portRef ADB7 (instanceRef pdp_ram_1_2_9)) + (portRef ADB7 (instanceRef pdp_ram_1_3_8)) + (portRef ADB7 (instanceRef pdp_ram_2_0_7)) + (portRef ADB7 (instanceRef pdp_ram_2_1_6)) + (portRef ADB7 (instanceRef pdp_ram_2_2_5)) + (portRef ADB7 (instanceRef pdp_ram_2_3_4)) + (portRef ADB7 (instanceRef pdp_ram_3_0_3)) + (portRef ADB7 (instanceRef pdp_ram_3_1_2)) + (portRef ADB7 (instanceRef pdp_ram_3_2_1)) + (portRef ADB7 (instanceRef pdp_ram_3_3_0)) + (portRef Q (instanceRef FF_28)))) + (net rptr_3 + (joined + (portRef B0 (instanceRef wcnt_2)) + (portRef ADB6 (instanceRef pdp_ram_0_0_15)) + (portRef ADB6 (instanceRef pdp_ram_0_1_14)) + (portRef ADB6 (instanceRef pdp_ram_0_2_13)) + (portRef ADB6 (instanceRef pdp_ram_0_3_12)) + (portRef ADB6 (instanceRef pdp_ram_1_0_11)) + (portRef ADB6 (instanceRef pdp_ram_1_1_10)) + (portRef ADB6 (instanceRef pdp_ram_1_2_9)) + (portRef ADB6 (instanceRef pdp_ram_1_3_8)) + (portRef ADB6 (instanceRef pdp_ram_2_0_7)) + (portRef ADB6 (instanceRef pdp_ram_2_1_6)) + (portRef ADB6 (instanceRef pdp_ram_2_2_5)) + (portRef ADB6 (instanceRef pdp_ram_2_3_4)) + (portRef ADB6 (instanceRef pdp_ram_3_0_3)) + (portRef ADB6 (instanceRef pdp_ram_3_1_2)) + (portRef ADB6 (instanceRef pdp_ram_3_2_1)) + (portRef ADB6 (instanceRef pdp_ram_3_3_0)) + (portRef Q (instanceRef FF_29)))) + (net wcount_4 + (joined + (portRef A1 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_70)) + (portRef D (instanceRef FF_42)) + (portRef A0 (instanceRef w_ctr_2)))) + (net wcount_3 + (joined + (portRef A0 (instanceRef wcnt_2)) + (portRef Q (instanceRef FF_71)) + (portRef D (instanceRef FF_43)) + (portRef A1 (instanceRef w_ctr_1)))) + (net co1_5 + (joined + (portRef CIN (instanceRef wcnt_2)) + (portRef COUT (instanceRef wcnt_1)))) + (net wcnt_sub_5 + (joined + (portRef S0 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_9)))) + (net wcnt_sub_6 + (joined + (portRef S1 (instanceRef wcnt_3)) + (portRef D (instanceRef FF_8)))) + (net rptr_6 + (joined + (portRef B1 (instanceRef wcnt_3)) + (portRef ADB9 (instanceRef pdp_ram_0_0_15)) + (portRef ADB9 (instanceRef pdp_ram_0_1_14)) + (portRef ADB9 (instanceRef pdp_ram_0_2_13)) + (portRef ADB9 (instanceRef pdp_ram_0_3_12)) + (portRef ADB9 (instanceRef pdp_ram_1_0_11)) + (portRef ADB9 (instanceRef pdp_ram_1_1_10)) + (portRef ADB9 (instanceRef pdp_ram_1_2_9)) + (portRef ADB9 (instanceRef pdp_ram_1_3_8)) + (portRef ADB9 (instanceRef pdp_ram_2_0_7)) + (portRef ADB9 (instanceRef pdp_ram_2_1_6)) + (portRef ADB9 (instanceRef pdp_ram_2_2_5)) + (portRef ADB9 (instanceRef pdp_ram_2_3_4)) + (portRef ADB9 (instanceRef pdp_ram_3_0_3)) + (portRef ADB9 (instanceRef pdp_ram_3_1_2)) + (portRef ADB9 (instanceRef pdp_ram_3_2_1)) + (portRef ADB9 (instanceRef pdp_ram_3_3_0)) + (portRef Q (instanceRef FF_26)))) + (net rptr_5 + (joined + (portRef B0 (instanceRef wcnt_3)) + (portRef ADB8 (instanceRef pdp_ram_0_0_15)) + (portRef ADB8 (instanceRef pdp_ram_0_1_14)) + (portRef ADB8 (instanceRef pdp_ram_0_2_13)) + (portRef ADB8 (instanceRef pdp_ram_0_3_12)) + (portRef ADB8 (instanceRef pdp_ram_1_0_11)) + (portRef ADB8 (instanceRef pdp_ram_1_1_10)) + (portRef ADB8 (instanceRef pdp_ram_1_2_9)) + (portRef ADB8 (instanceRef pdp_ram_1_3_8)) + (portRef ADB8 (instanceRef pdp_ram_2_0_7)) + (portRef ADB8 (instanceRef pdp_ram_2_1_6)) + (portRef ADB8 (instanceRef pdp_ram_2_2_5)) + (portRef ADB8 (instanceRef pdp_ram_2_3_4)) + (portRef ADB8 (instanceRef pdp_ram_3_0_3)) + (portRef ADB8 (instanceRef pdp_ram_3_1_2)) + (portRef ADB8 (instanceRef pdp_ram_3_2_1)) + (portRef ADB8 (instanceRef pdp_ram_3_3_0)) + (portRef Q (instanceRef FF_27)))) + (net wcount_6 + (joined + (portRef A1 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_68)) + (portRef D (instanceRef FF_40)) + (portRef A0 (instanceRef w_ctr_3)))) + (net wcount_5 + (joined + (portRef A0 (instanceRef wcnt_3)) + (portRef Q (instanceRef FF_69)) + (portRef D (instanceRef FF_41)) + (portRef A1 (instanceRef w_ctr_2)))) + (net co2_5 + (joined + (portRef CIN (instanceRef wcnt_3)) + (portRef COUT (instanceRef wcnt_2)))) + (net wcnt_sub_7 + (joined + (portRef S0 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_7)))) + (net wcnt_sub_8 + (joined + (portRef S1 (instanceRef wcnt_4)) + (portRef D (instanceRef FF_6)))) + (net rptr_8 + (joined + (portRef B1 (instanceRef wcnt_4)) + (portRef ADB11 (instanceRef pdp_ram_0_0_15)) + (portRef ADB11 (instanceRef pdp_ram_0_1_14)) + (portRef ADB11 (instanceRef pdp_ram_0_2_13)) + (portRef ADB11 (instanceRef pdp_ram_0_3_12)) + (portRef ADB11 (instanceRef pdp_ram_1_0_11)) + (portRef ADB11 (instanceRef pdp_ram_1_1_10)) + (portRef ADB11 (instanceRef pdp_ram_1_2_9)) + (portRef ADB11 (instanceRef pdp_ram_1_3_8)) + (portRef ADB11 (instanceRef pdp_ram_2_0_7)) + (portRef ADB11 (instanceRef pdp_ram_2_1_6)) + (portRef ADB11 (instanceRef pdp_ram_2_2_5)) + (portRef ADB11 (instanceRef pdp_ram_2_3_4)) + (portRef ADB11 (instanceRef pdp_ram_3_0_3)) + (portRef ADB11 (instanceRef pdp_ram_3_1_2)) + (portRef ADB11 (instanceRef pdp_ram_3_2_1)) + (portRef ADB11 (instanceRef pdp_ram_3_3_0)) + (portRef Q (instanceRef FF_24)))) + (net rptr_7 + (joined + (portRef B0 (instanceRef wcnt_4)) + (portRef ADB10 (instanceRef pdp_ram_0_0_15)) + (portRef ADB10 (instanceRef pdp_ram_0_1_14)) + (portRef ADB10 (instanceRef pdp_ram_0_2_13)) + (portRef ADB10 (instanceRef pdp_ram_0_3_12)) + (portRef ADB10 (instanceRef pdp_ram_1_0_11)) + (portRef ADB10 (instanceRef pdp_ram_1_1_10)) + (portRef ADB10 (instanceRef pdp_ram_1_2_9)) + (portRef ADB10 (instanceRef pdp_ram_1_3_8)) + (portRef ADB10 (instanceRef pdp_ram_2_0_7)) + (portRef ADB10 (instanceRef pdp_ram_2_1_6)) + (portRef ADB10 (instanceRef pdp_ram_2_2_5)) + (portRef ADB10 (instanceRef pdp_ram_2_3_4)) + (portRef ADB10 (instanceRef pdp_ram_3_0_3)) + (portRef ADB10 (instanceRef pdp_ram_3_1_2)) + (portRef ADB10 (instanceRef pdp_ram_3_2_1)) + (portRef ADB10 (instanceRef pdp_ram_3_3_0)) + (portRef Q (instanceRef FF_25)))) + (net wcount_8 + (joined + (portRef A1 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_66)) + (portRef D (instanceRef FF_38)) + (portRef A0 (instanceRef w_ctr_4)))) + (net wcount_7 + (joined + (portRef A0 (instanceRef wcnt_4)) + (portRef Q (instanceRef FF_67)) + (portRef D (instanceRef FF_39)) + (portRef A1 (instanceRef w_ctr_3)))) + (net co3_5 + (joined + (portRef CIN (instanceRef wcnt_4)) + (portRef COUT (instanceRef wcnt_3)))) + (net wcnt_sub_9 + (joined + (portRef S0 (instanceRef wcnt_5)) + (portRef D (instanceRef FF_5)))) + (net wcnt_sub_10 + (joined + (portRef S1 (instanceRef wcnt_5)) + (portRef D (instanceRef FF_4)))) + (net rptr_10 + (joined + (portRef B1 (instanceRef wcnt_5)) + (portRef ADB13 (instanceRef pdp_ram_0_0_15)) + (portRef ADB13 (instanceRef pdp_ram_0_1_14)) + (portRef ADB13 (instanceRef pdp_ram_0_2_13)) + (portRef ADB13 (instanceRef pdp_ram_0_3_12)) + (portRef ADB13 (instanceRef pdp_ram_1_0_11)) + (portRef ADB13 (instanceRef pdp_ram_1_1_10)) + (portRef ADB13 (instanceRef pdp_ram_1_2_9)) + (portRef ADB13 (instanceRef pdp_ram_1_3_8)) + (portRef ADB13 (instanceRef pdp_ram_2_0_7)) + (portRef ADB13 (instanceRef pdp_ram_2_1_6)) + (portRef ADB13 (instanceRef pdp_ram_2_2_5)) + (portRef ADB13 (instanceRef pdp_ram_2_3_4)) + (portRef ADB13 (instanceRef pdp_ram_3_0_3)) + (portRef ADB13 (instanceRef pdp_ram_3_1_2)) + (portRef ADB13 (instanceRef pdp_ram_3_2_1)) + (portRef ADB13 (instanceRef pdp_ram_3_3_0)) + (portRef Q (instanceRef FF_22)))) + (net rptr_9 + (joined + (portRef B0 (instanceRef wcnt_5)) + (portRef ADB12 (instanceRef pdp_ram_0_0_15)) + (portRef ADB12 (instanceRef pdp_ram_0_1_14)) + (portRef ADB12 (instanceRef pdp_ram_0_2_13)) + (portRef ADB12 (instanceRef pdp_ram_0_3_12)) + (portRef ADB12 (instanceRef pdp_ram_1_0_11)) + (portRef ADB12 (instanceRef pdp_ram_1_1_10)) + (portRef ADB12 (instanceRef pdp_ram_1_2_9)) + (portRef ADB12 (instanceRef pdp_ram_1_3_8)) + (portRef ADB12 (instanceRef pdp_ram_2_0_7)) + (portRef ADB12 (instanceRef pdp_ram_2_1_6)) + (portRef ADB12 (instanceRef pdp_ram_2_2_5)) + (portRef ADB12 (instanceRef pdp_ram_2_3_4)) + (portRef ADB12 (instanceRef pdp_ram_3_0_3)) + (portRef ADB12 (instanceRef pdp_ram_3_1_2)) + (portRef ADB12 (instanceRef pdp_ram_3_2_1)) + (portRef ADB12 (instanceRef pdp_ram_3_3_0)) + (portRef Q (instanceRef FF_23)))) + (net wcount_10 + (joined + (portRef A1 (instanceRef wcnt_5)) + (portRef Q (instanceRef FF_64)) + (portRef D (instanceRef FF_36)) + (portRef A0 (instanceRef w_ctr_5)))) + (net wcount_9 + (joined + (portRef A0 (instanceRef wcnt_5)) + (portRef Q (instanceRef FF_65)) + (portRef D (instanceRef FF_37)) + (portRef A1 (instanceRef w_ctr_4)))) + (net co4_5 + (joined + (portRef CIN (instanceRef wcnt_5)) + (portRef COUT (instanceRef wcnt_4)))) + (net wcnt_sub_11 + (joined + (portRef S0 (instanceRef wcnt_6)) + (portRef D (instanceRef FF_3)))) + (net wcnt_sub_12 + (joined + (portRef S1 (instanceRef wcnt_6)) + (portRef D (instanceRef FF_2)))) + (net rptr_12 + (joined + (portRef B1 (instanceRef wcnt_6)) + (portRef CSB1 (instanceRef pdp_ram_0_0_15)) + (portRef CSB1 (instanceRef pdp_ram_0_1_14)) + (portRef CSB1 (instanceRef pdp_ram_0_2_13)) + (portRef CSB1 (instanceRef pdp_ram_0_3_12)) + (portRef CSB1 (instanceRef pdp_ram_1_0_11)) + (portRef CSB1 (instanceRef pdp_ram_1_1_10)) + (portRef CSB1 (instanceRef pdp_ram_1_2_9)) + (portRef CSB1 (instanceRef pdp_ram_1_3_8)) + (portRef CSB1 (instanceRef pdp_ram_2_0_7)) + (portRef CSB1 (instanceRef pdp_ram_2_1_6)) + (portRef CSB1 (instanceRef pdp_ram_2_2_5)) + (portRef CSB1 (instanceRef pdp_ram_2_3_4)) + (portRef CSB1 (instanceRef pdp_ram_3_0_3)) + (portRef CSB1 (instanceRef pdp_ram_3_1_2)) + (portRef CSB1 (instanceRef pdp_ram_3_2_1)) + (portRef CSB1 (instanceRef pdp_ram_3_3_0)) + (portRef Q (instanceRef FF_20)) + (portRef D (instanceRef FF_17)))) + (net rptr_11 + (joined + (portRef B0 (instanceRef wcnt_6)) + (portRef CSB0 (instanceRef pdp_ram_0_0_15)) + (portRef CSB0 (instanceRef pdp_ram_0_1_14)) + (portRef CSB0 (instanceRef pdp_ram_0_2_13)) + (portRef CSB0 (instanceRef pdp_ram_0_3_12)) + (portRef CSB0 (instanceRef pdp_ram_1_0_11)) + (portRef CSB0 (instanceRef pdp_ram_1_1_10)) + (portRef CSB0 (instanceRef pdp_ram_1_2_9)) + (portRef CSB0 (instanceRef pdp_ram_1_3_8)) + (portRef CSB0 (instanceRef pdp_ram_2_0_7)) + (portRef CSB0 (instanceRef pdp_ram_2_1_6)) + (portRef CSB0 (instanceRef pdp_ram_2_2_5)) + (portRef CSB0 (instanceRef pdp_ram_2_3_4)) + (portRef CSB0 (instanceRef pdp_ram_3_0_3)) + (portRef CSB0 (instanceRef pdp_ram_3_1_2)) + (portRef CSB0 (instanceRef pdp_ram_3_2_1)) + (portRef CSB0 (instanceRef pdp_ram_3_3_0)) + (portRef Q (instanceRef FF_21)) + (portRef D (instanceRef FF_18)))) + (net wcount_12 + (joined + (portRef A1 (instanceRef wcnt_6)) + (portRef Q (instanceRef FF_62)) + (portRef D (instanceRef FF_34)) + (portRef A0 (instanceRef w_ctr_6)))) + (net wcount_11 + (joined + (portRef A0 (instanceRef wcnt_6)) + (portRef Q (instanceRef FF_63)) + (portRef D (instanceRef FF_35)) + (portRef A1 (instanceRef w_ctr_5)))) + (net co5_5 + (joined + (portRef CIN (instanceRef wcnt_6)) + (portRef COUT (instanceRef wcnt_5)))) + (net wcnt_sub_13 + (joined + (portRef S0 (instanceRef wcnt_7)) + (portRef D (instanceRef FF_1)))) + (net wcnt_sub_msb + (joined + (portRef A0 (instanceRef wcnt_7)) + (portRef Z (instanceRef XOR2_t0)))) + (net co6_3 + (joined + (portRef CIN (instanceRef wcnt_7)) + (portRef COUT (instanceRef wcnt_6)))) + (net wren_i + (joined + (portRef A1 (instanceRef af_set_cmp_ci_a)) + (portRef Z (instanceRef AND2_t5)) + (portRef A (instanceRef AND2_t3)) + (portRef A (instanceRef XOR2_t2)) + (portRef A (instanceRef INV_2)) + (portRef AD1 (instanceRef LUT4_1)) + (portRef A (instanceRef INV_1)) + (portRef OCEA (instanceRef pdp_ram_0_0_15)) + (portRef CEA (instanceRef pdp_ram_0_0_15)) + (portRef OCEA (instanceRef pdp_ram_0_1_14)) + (portRef CEA (instanceRef pdp_ram_0_1_14)) + (portRef OCEA (instanceRef pdp_ram_0_2_13)) + (portRef CEA (instanceRef pdp_ram_0_2_13)) + (portRef OCEA (instanceRef pdp_ram_0_3_12)) + (portRef CEA (instanceRef pdp_ram_0_3_12)) + (portRef OCEA (instanceRef pdp_ram_1_0_11)) + (portRef CEA (instanceRef pdp_ram_1_0_11)) + (portRef OCEA (instanceRef pdp_ram_1_1_10)) + (portRef CEA (instanceRef pdp_ram_1_1_10)) + (portRef OCEA (instanceRef pdp_ram_1_2_9)) + (portRef CEA (instanceRef pdp_ram_1_2_9)) + (portRef OCEA (instanceRef pdp_ram_1_3_8)) + (portRef CEA (instanceRef pdp_ram_1_3_8)) + (portRef OCEA (instanceRef pdp_ram_2_0_7)) + (portRef CEA (instanceRef pdp_ram_2_0_7)) + (portRef OCEA (instanceRef pdp_ram_2_1_6)) + (portRef CEA (instanceRef pdp_ram_2_1_6)) + (portRef OCEA (instanceRef pdp_ram_2_2_5)) + (portRef CEA (instanceRef pdp_ram_2_2_5)) + (portRef OCEA (instanceRef pdp_ram_2_3_4)) + (portRef CEA (instanceRef pdp_ram_2_3_4)) + (portRef OCEA (instanceRef pdp_ram_3_0_3)) + (portRef CEA (instanceRef pdp_ram_3_0_3)) + (portRef OCEA (instanceRef pdp_ram_3_1_2)) + (portRef CEA (instanceRef pdp_ram_3_1_2)) + (portRef OCEA (instanceRef pdp_ram_3_2_1)) + (portRef CEA (instanceRef pdp_ram_3_2_1)) + (portRef OCEA (instanceRef pdp_ram_3_3_0)) + (portRef CEA (instanceRef pdp_ram_3_3_0)) + (portRef SP (instanceRef FF_74)) + (portRef SP (instanceRef FF_73)) + (portRef SP (instanceRef FF_72)) + (portRef SP (instanceRef FF_71)) + (portRef SP (instanceRef FF_70)) + (portRef SP (instanceRef FF_69)) + (portRef SP (instanceRef FF_68)) + (portRef SP (instanceRef FF_67)) + (portRef SP (instanceRef FF_66)) + (portRef SP (instanceRef FF_65)) + (portRef SP (instanceRef FF_64)) + (portRef SP (instanceRef FF_63)) + (portRef SP (instanceRef FF_62)) + (portRef SP (instanceRef FF_61)) + (portRef SP (instanceRef FF_46)) + (portRef SP (instanceRef FF_45)) + (portRef SP (instanceRef FF_44)) + (portRef SP (instanceRef FF_43)) + (portRef SP (instanceRef FF_42)) + (portRef SP (instanceRef FF_41)) + (portRef SP (instanceRef FF_40)) + (portRef SP (instanceRef FF_39)) + (portRef SP (instanceRef FF_38)) + (portRef SP (instanceRef FF_37)) + (portRef SP (instanceRef FF_36)) + (portRef SP (instanceRef FF_35)) + (portRef SP (instanceRef FF_34)) + (portRef SP (instanceRef FF_33)) + (portRef B0 (instanceRef g_cmp_0)) + (portRef B1 (instanceRef g_cmp_0)) + (portRef B0 (instanceRef g_cmp_1)) + (portRef B1 (instanceRef g_cmp_1)) + (portRef B0 (instanceRef g_cmp_2)) + (portRef B1 (instanceRef g_cmp_2)) + (portRef B0 (instanceRef g_cmp_3)) + (portRef B1 (instanceRef g_cmp_3)) + (portRef B0 (instanceRef g_cmp_4)) + (portRef B1 (instanceRef g_cmp_4)) + (portRef B0 (instanceRef g_cmp_5)) + (portRef B1 (instanceRef g_cmp_5)) + (portRef B0 (instanceRef g_cmp_6)) + (portRef B1 (instanceRef af_set_cmp_ci_a)))) + (net x + (joined + (portRef CIN (instanceRef af_set_cmp_ci_a)) + (portRef CIN (instanceRef bdcnt_bctr_cia)) + (portRef CIN (instanceRef e_cmp_ci_a)) + (portRef CIN (instanceRef g_cmp_ci_a)) + (portRef CIN (instanceRef w_ctr_cia)) + (portRef CIN (instanceRef r_ctr_cia)) + (portRef CIN (instanceRef precin_inst474)))) + (net cmp_ci_2 + (joined + (portRef CIN (instanceRef af_set_cmp_0)) + (portRef COUT (instanceRef af_set_cmp_ci_a)))) + (net wcnt_reg_0 + (joined + (portRef A0 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_14)))) + (net wcnt_reg_1 + (joined + (portRef A1 (instanceRef af_set_cmp_0)) + (portRef Q (instanceRef FF_13)))) + (net co0_6 + (joined + (portRef CIN (instanceRef af_set_cmp_1)) + (portRef COUT (instanceRef af_set_cmp_0)))) + (net wcnt_reg_2 + (joined + (portRef A0 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_12)))) + (net wcnt_reg_3 + (joined + (portRef A1 (instanceRef af_set_cmp_1)) + (portRef Q (instanceRef FF_11)))) + (net co1_6 + (joined + (portRef CIN (instanceRef af_set_cmp_2)) + (portRef COUT (instanceRef af_set_cmp_1)))) + (net wcnt_reg_4 + (joined + (portRef A0 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_10)))) + (net wcnt_reg_5 + (joined + (portRef A1 (instanceRef af_set_cmp_2)) + (portRef Q (instanceRef FF_9)))) + (net co2_6 + (joined + (portRef CIN (instanceRef af_set_cmp_3)) + (portRef COUT (instanceRef af_set_cmp_2)))) + (net wcnt_reg_6 + (joined + (portRef A0 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_8)))) + (net wcnt_reg_7 + (joined + (portRef A1 (instanceRef af_set_cmp_3)) + (portRef Q (instanceRef FF_7)))) + (net co3_6 + (joined + (portRef CIN (instanceRef af_set_cmp_4)) + (portRef COUT (instanceRef af_set_cmp_3)))) + (net wcnt_reg_8 + (joined + (portRef A0 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_6)))) + (net wcnt_reg_9 + (joined + (portRef A1 (instanceRef af_set_cmp_4)) + (portRef Q (instanceRef FF_5)))) + (net co4_6 + (joined + (portRef CIN (instanceRef af_set_cmp_5)) + (portRef COUT (instanceRef af_set_cmp_4)))) + (net wcnt_reg_10 + (joined + (portRef A0 (instanceRef af_set_cmp_5)) + (portRef Q (instanceRef FF_4)))) + (net wcnt_reg_11 + (joined + (portRef A1 (instanceRef af_set_cmp_5)) + (portRef Q (instanceRef FF_3)))) + (net co5_6 + (joined + (portRef CIN (instanceRef af_set_cmp_6)) + (portRef COUT (instanceRef af_set_cmp_5)))) + (net wcnt_reg_12 + (joined + (portRef A0 (instanceRef af_set_cmp_6)) + (portRef Q (instanceRef FF_2)))) + (net wcnt_reg_13 + (joined + (portRef A1 (instanceRef af_set_cmp_6)) + (portRef Q (instanceRef FF_1)))) + (net af_set + (joined + (portRef S0 (instanceRef a2)) + (portRef D (instanceRef FF_0)))) + (net scuba_vhi + (joined + (portRef Z (instanceRef scuba_vhi_inst)) + (portRef OCEB (instanceRef pdp_ram_0_0_15)) + (portRef WEA (instanceRef pdp_ram_0_0_15)) + (portRef OCEB (instanceRef pdp_ram_0_1_14)) + (portRef WEA (instanceRef pdp_ram_0_1_14)) + (portRef OCEB (instanceRef pdp_ram_0_2_13)) + (portRef WEA (instanceRef pdp_ram_0_2_13)) + (portRef OCEB (instanceRef pdp_ram_0_3_12)) + (portRef WEA (instanceRef pdp_ram_0_3_12)) + (portRef OCEB (instanceRef pdp_ram_1_0_11)) + (portRef WEA (instanceRef pdp_ram_1_0_11)) + (portRef OCEB (instanceRef pdp_ram_1_1_10)) + (portRef WEA (instanceRef pdp_ram_1_1_10)) + (portRef OCEB (instanceRef pdp_ram_1_2_9)) + (portRef WEA (instanceRef pdp_ram_1_2_9)) + (portRef OCEB (instanceRef pdp_ram_1_3_8)) + (portRef WEA (instanceRef pdp_ram_1_3_8)) + (portRef OCEB (instanceRef pdp_ram_2_0_7)) + (portRef WEA (instanceRef pdp_ram_2_0_7)) + (portRef OCEB (instanceRef pdp_ram_2_1_6)) + (portRef WEA (instanceRef pdp_ram_2_1_6)) + (portRef OCEB (instanceRef pdp_ram_2_2_5)) + (portRef WEA (instanceRef pdp_ram_2_2_5)) + (portRef OCEB (instanceRef pdp_ram_2_3_4)) + (portRef WEA (instanceRef pdp_ram_2_3_4)) + (portRef OCEB (instanceRef pdp_ram_3_0_3)) + (portRef WEA (instanceRef pdp_ram_3_0_3)) + (portRef OCEB (instanceRef pdp_ram_3_1_2)) + (portRef WEA (instanceRef pdp_ram_3_1_2)) + (portRef OCEB (instanceRef pdp_ram_3_2_1)) + (portRef WEA (instanceRef pdp_ram_3_2_1)) + (portRef OCEB (instanceRef pdp_ram_3_3_0)) + (portRef WEA (instanceRef pdp_ram_3_3_0)) + (portRef C1 (instanceRef bdcnt_bctr_cia)) + (portRef C0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_cia)) + (portRef D0 (instanceRef bdcnt_bctr_cia)) + (portRef D1 (instanceRef bdcnt_bctr_0)) + (portRef D0 (instanceRef bdcnt_bctr_0)) + (portRef C1 (instanceRef bdcnt_bctr_0)) + (portRef C0 (instanceRef bdcnt_bctr_0)) + (portRef D1 (instanceRef bdcnt_bctr_1)) + (portRef D0 (instanceRef bdcnt_bctr_1)) + (portRef C1 (instanceRef bdcnt_bctr_1)) + (portRef C0 (instanceRef bdcnt_bctr_1)) + (portRef D1 (instanceRef bdcnt_bctr_2)) + (portRef D0 (instanceRef bdcnt_bctr_2)) + (portRef C1 (instanceRef bdcnt_bctr_2)) + (portRef C0 (instanceRef bdcnt_bctr_2)) + (portRef D1 (instanceRef bdcnt_bctr_3)) + (portRef D0 (instanceRef bdcnt_bctr_3)) + (portRef C1 (instanceRef bdcnt_bctr_3)) + (portRef C0 (instanceRef bdcnt_bctr_3)) + (portRef D1 (instanceRef bdcnt_bctr_4)) + (portRef D0 (instanceRef bdcnt_bctr_4)) + (portRef C1 (instanceRef bdcnt_bctr_4)) + (portRef C0 (instanceRef bdcnt_bctr_4)) + (portRef D1 (instanceRef bdcnt_bctr_5)) + (portRef D0 (instanceRef bdcnt_bctr_5)) + (portRef C1 (instanceRef bdcnt_bctr_5)) + (portRef C0 (instanceRef bdcnt_bctr_5)) + (portRef D1 (instanceRef bdcnt_bctr_6)) + (portRef D0 (instanceRef bdcnt_bctr_6)) + (portRef C1 (instanceRef bdcnt_bctr_6)) + (portRef C0 (instanceRef bdcnt_bctr_6)) + (portRef C1 (instanceRef e_cmp_ci_a)) + (portRef C0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_ci_a)) + (portRef D0 (instanceRef e_cmp_ci_a)) + (portRef B1 (instanceRef e_cmp_ci_a)) + (portRef B0 (instanceRef e_cmp_ci_a)) + (portRef A1 (instanceRef e_cmp_ci_a)) + (portRef A0 (instanceRef e_cmp_ci_a)) + (portRef D1 (instanceRef e_cmp_0)) + (portRef D0 (instanceRef e_cmp_0)) + (portRef C1 (instanceRef e_cmp_0)) + (portRef C0 (instanceRef e_cmp_0)) + (portRef D1 (instanceRef e_cmp_1)) + (portRef D0 (instanceRef e_cmp_1)) + (portRef C1 (instanceRef e_cmp_1)) + (portRef C0 (instanceRef e_cmp_1)) + (portRef D1 (instanceRef e_cmp_2)) + (portRef D0 (instanceRef e_cmp_2)) + (portRef C1 (instanceRef e_cmp_2)) + (portRef C0 (instanceRef e_cmp_2)) + (portRef D1 (instanceRef e_cmp_3)) + (portRef D0 (instanceRef e_cmp_3)) + (portRef C1 (instanceRef e_cmp_3)) + (portRef C0 (instanceRef e_cmp_3)) + (portRef D1 (instanceRef e_cmp_4)) + (portRef D0 (instanceRef e_cmp_4)) + (portRef C1 (instanceRef e_cmp_4)) + (portRef C0 (instanceRef e_cmp_4)) + (portRef D1 (instanceRef e_cmp_5)) + (portRef D0 (instanceRef e_cmp_5)) + (portRef C1 (instanceRef e_cmp_5)) + (portRef C0 (instanceRef e_cmp_5)) + (portRef D1 (instanceRef e_cmp_6)) + (portRef D0 (instanceRef e_cmp_6)) + (portRef C1 (instanceRef e_cmp_6)) + (portRef C0 (instanceRef e_cmp_6)) + (portRef C1 (instanceRef a0)) + (portRef C0 (instanceRef a0)) + (portRef D1 (instanceRef a0)) + (portRef D0 (instanceRef a0)) + (portRef C1 (instanceRef g_cmp_ci_a)) + (portRef C0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_ci_a)) + (portRef D0 (instanceRef g_cmp_ci_a)) + (portRef B1 (instanceRef g_cmp_ci_a)) + (portRef B0 (instanceRef g_cmp_ci_a)) + (portRef A1 (instanceRef g_cmp_ci_a)) + (portRef A0 (instanceRef g_cmp_ci_a)) + (portRef D1 (instanceRef g_cmp_0)) + (portRef D0 (instanceRef g_cmp_0)) + (portRef C1 (instanceRef g_cmp_0)) + (portRef C0 (instanceRef g_cmp_0)) + (portRef D1 (instanceRef g_cmp_1)) + (portRef D0 (instanceRef g_cmp_1)) + (portRef C1 (instanceRef g_cmp_1)) + (portRef C0 (instanceRef g_cmp_1)) + (portRef D1 (instanceRef g_cmp_2)) + (portRef D0 (instanceRef g_cmp_2)) + (portRef C1 (instanceRef g_cmp_2)) + (portRef C0 (instanceRef g_cmp_2)) + (portRef D1 (instanceRef g_cmp_3)) + (portRef D0 (instanceRef g_cmp_3)) + (portRef C1 (instanceRef g_cmp_3)) + (portRef C0 (instanceRef g_cmp_3)) + (portRef D1 (instanceRef g_cmp_4)) + (portRef D0 (instanceRef g_cmp_4)) + (portRef C1 (instanceRef g_cmp_4)) + (portRef C0 (instanceRef g_cmp_4)) + (portRef D1 (instanceRef g_cmp_5)) + (portRef D0 (instanceRef g_cmp_5)) + (portRef C1 (instanceRef g_cmp_5)) + (portRef C0 (instanceRef g_cmp_5)) + (portRef D1 (instanceRef g_cmp_6)) + (portRef D0 (instanceRef g_cmp_6)) + (portRef C1 (instanceRef g_cmp_6)) + (portRef C0 (instanceRef g_cmp_6)) + (portRef C1 (instanceRef a1)) + (portRef C0 (instanceRef a1)) + (portRef D1 (instanceRef a1)) + (portRef D0 (instanceRef a1)) + (portRef C1 (instanceRef w_ctr_cia)) + (portRef C0 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_cia)) + (portRef D0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_cia)) + (portRef A1 (instanceRef w_ctr_cia)) + (portRef D1 (instanceRef w_ctr_0)) + (portRef D0 (instanceRef w_ctr_0)) + (portRef C1 (instanceRef w_ctr_0)) + (portRef C0 (instanceRef w_ctr_0)) + (portRef D1 (instanceRef w_ctr_1)) + (portRef D0 (instanceRef w_ctr_1)) + (portRef C1 (instanceRef w_ctr_1)) + (portRef C0 (instanceRef w_ctr_1)) + (portRef D1 (instanceRef w_ctr_2)) + (portRef D0 (instanceRef w_ctr_2)) + (portRef C1 (instanceRef w_ctr_2)) + (portRef C0 (instanceRef w_ctr_2)) + (portRef D1 (instanceRef w_ctr_3)) + (portRef D0 (instanceRef w_ctr_3)) + (portRef C1 (instanceRef w_ctr_3)) + (portRef C0 (instanceRef w_ctr_3)) + (portRef D1 (instanceRef w_ctr_4)) + (portRef D0 (instanceRef w_ctr_4)) + (portRef C1 (instanceRef w_ctr_4)) + (portRef C0 (instanceRef w_ctr_4)) + (portRef D1 (instanceRef w_ctr_5)) + (portRef D0 (instanceRef w_ctr_5)) + (portRef C1 (instanceRef w_ctr_5)) + (portRef C0 (instanceRef w_ctr_5)) + (portRef D1 (instanceRef w_ctr_6)) + (portRef D0 (instanceRef w_ctr_6)) + (portRef C1 (instanceRef w_ctr_6)) + (portRef C0 (instanceRef w_ctr_6)) + (portRef C1 (instanceRef r_ctr_cia)) + (portRef C0 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_cia)) + (portRef D0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_cia)) + (portRef A1 (instanceRef r_ctr_cia)) + (portRef D1 (instanceRef r_ctr_0)) + (portRef D0 (instanceRef r_ctr_0)) + (portRef C1 (instanceRef r_ctr_0)) + (portRef C0 (instanceRef r_ctr_0)) + (portRef D1 (instanceRef r_ctr_1)) + (portRef D0 (instanceRef r_ctr_1)) + (portRef C1 (instanceRef r_ctr_1)) + (portRef C0 (instanceRef r_ctr_1)) + (portRef D1 (instanceRef r_ctr_2)) + (portRef D0 (instanceRef r_ctr_2)) + (portRef C1 (instanceRef r_ctr_2)) + (portRef C0 (instanceRef r_ctr_2)) + (portRef D1 (instanceRef r_ctr_3)) + (portRef D0 (instanceRef r_ctr_3)) + (portRef C1 (instanceRef r_ctr_3)) + (portRef C0 (instanceRef r_ctr_3)) + (portRef D1 (instanceRef r_ctr_4)) + (portRef D0 (instanceRef r_ctr_4)) + (portRef C1 (instanceRef r_ctr_4)) + (portRef C0 (instanceRef r_ctr_4)) + (portRef D1 (instanceRef r_ctr_5)) + (portRef D0 (instanceRef r_ctr_5)) + (portRef C1 (instanceRef r_ctr_5)) + (portRef C0 (instanceRef r_ctr_5)) + (portRef D1 (instanceRef r_ctr_6)) + (portRef D0 (instanceRef r_ctr_6)) + (portRef C1 (instanceRef r_ctr_6)) + (portRef C0 (instanceRef r_ctr_6)) + (portRef C1 (instanceRef precin_inst474)) + (portRef C0 (instanceRef precin_inst474)) + (portRef D1 (instanceRef precin_inst474)) + (portRef D0 (instanceRef precin_inst474)) + (portRef B1 (instanceRef precin_inst474)) + (portRef B0 (instanceRef precin_inst474)) + (portRef A1 (instanceRef precin_inst474)) + (portRef A0 (instanceRef precin_inst474)) + (portRef C1 (instanceRef wcnt_0)) + (portRef C0 (instanceRef wcnt_0)) + (portRef D1 (instanceRef wcnt_0)) + (portRef D0 (instanceRef wcnt_0)) + (portRef C1 (instanceRef wcnt_1)) + (portRef C0 (instanceRef wcnt_1)) + (portRef D1 (instanceRef wcnt_1)) + (portRef D0 (instanceRef wcnt_1)) + (portRef C1 (instanceRef wcnt_2)) + (portRef C0 (instanceRef wcnt_2)) + (portRef D1 (instanceRef wcnt_2)) + (portRef D0 (instanceRef wcnt_2)) + (portRef C1 (instanceRef wcnt_3)) + (portRef C0 (instanceRef wcnt_3)) + (portRef D1 (instanceRef wcnt_3)) + (portRef D0 (instanceRef wcnt_3)) + (portRef C1 (instanceRef wcnt_4)) + (portRef C0 (instanceRef wcnt_4)) + (portRef D1 (instanceRef wcnt_4)) + (portRef D0 (instanceRef wcnt_4)) + (portRef C1 (instanceRef wcnt_5)) + (portRef C0 (instanceRef wcnt_5)) + (portRef D1 (instanceRef wcnt_5)) + (portRef D0 (instanceRef wcnt_5)) + (portRef C1 (instanceRef wcnt_6)) + (portRef C0 (instanceRef wcnt_6)) + (portRef D1 (instanceRef wcnt_6)) + (portRef D0 (instanceRef wcnt_6)) + (portRef C1 (instanceRef wcnt_7)) + (portRef C0 (instanceRef wcnt_7)) + (portRef D1 (instanceRef wcnt_7)) + (portRef D0 (instanceRef wcnt_7)) + (portRef C1 (instanceRef af_set_cmp_ci_a)) + (portRef C0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_ci_a)) + (portRef D0 (instanceRef af_set_cmp_ci_a)) + (portRef D1 (instanceRef af_set_cmp_0)) + (portRef D0 (instanceRef af_set_cmp_0)) + (portRef C1 (instanceRef af_set_cmp_0)) + (portRef C0 (instanceRef af_set_cmp_0)) + (portRef D1 (instanceRef af_set_cmp_1)) + (portRef D0 (instanceRef af_set_cmp_1)) + (portRef C1 (instanceRef af_set_cmp_1)) + (portRef C0 (instanceRef af_set_cmp_1)) + (portRef D1 (instanceRef af_set_cmp_2)) + (portRef D0 (instanceRef af_set_cmp_2)) + (portRef C1 (instanceRef af_set_cmp_2)) + (portRef C0 (instanceRef af_set_cmp_2)) + (portRef D1 (instanceRef af_set_cmp_3)) + (portRef D0 (instanceRef af_set_cmp_3)) + (portRef C1 (instanceRef af_set_cmp_3)) + (portRef C0 (instanceRef af_set_cmp_3)) + (portRef D1 (instanceRef af_set_cmp_4)) + (portRef D0 (instanceRef af_set_cmp_4)) + (portRef C1 (instanceRef af_set_cmp_4)) + (portRef C0 (instanceRef af_set_cmp_4)) + (portRef D1 (instanceRef af_set_cmp_5)) + (portRef D0 (instanceRef af_set_cmp_5)) + (portRef C1 (instanceRef af_set_cmp_5)) + (portRef C0 (instanceRef af_set_cmp_5)) + (portRef D1 (instanceRef af_set_cmp_6)) + (portRef D0 (instanceRef af_set_cmp_6)) + (portRef C1 (instanceRef af_set_cmp_6)) + (portRef C0 (instanceRef af_set_cmp_6)) + (portRef C1 (instanceRef a2)) + (portRef C0 (instanceRef a2)) + (portRef D1 (instanceRef a2)) + (portRef D0 (instanceRef a2)))) + (net scuba_vlo + (joined + (portRef Z (instanceRef scuba_vlo_inst)) + (portRef AD3 (instanceRef LUT4_1)) + (portRef AD3 (instanceRef LUT4_0)) + (portRef CSB2 (instanceRef pdp_ram_0_0_15)) + (portRef CSA2 (instanceRef pdp_ram_0_0_15)) + (portRef WEB (instanceRef pdp_ram_0_0_15)) + (portRef ADB2 (instanceRef pdp_ram_0_0_15)) + (portRef ADA2 (instanceRef pdp_ram_0_0_15)) + (portRef ADB1 (instanceRef pdp_ram_0_0_15)) + (portRef ADA1 (instanceRef pdp_ram_0_0_15)) + (portRef ADB0 (instanceRef pdp_ram_0_0_15)) + (portRef ADA0 (instanceRef pdp_ram_0_0_15)) + (portRef DIB17 (instanceRef pdp_ram_0_0_15)) + (portRef DIA17 (instanceRef pdp_ram_0_0_15)) + (portRef DIB16 (instanceRef pdp_ram_0_0_15)) + (portRef DIA16 (instanceRef pdp_ram_0_0_15)) + (portRef DIB15 (instanceRef pdp_ram_0_0_15)) + (portRef DIA15 (instanceRef pdp_ram_0_0_15)) + (portRef DIB14 (instanceRef pdp_ram_0_0_15)) + (portRef DIA14 (instanceRef pdp_ram_0_0_15)) + (portRef DIB13 (instanceRef pdp_ram_0_0_15)) + (portRef DIA13 (instanceRef pdp_ram_0_0_15)) + (portRef DIB12 (instanceRef pdp_ram_0_0_15)) + (portRef DIA12 (instanceRef pdp_ram_0_0_15)) + (portRef DIB11 (instanceRef pdp_ram_0_0_15)) + (portRef DIA11 (instanceRef pdp_ram_0_0_15)) + (portRef DIB10 (instanceRef pdp_ram_0_0_15)) + (portRef DIA10 (instanceRef pdp_ram_0_0_15)) + (portRef DIB9 (instanceRef pdp_ram_0_0_15)) + (portRef DIA9 (instanceRef pdp_ram_0_0_15)) + (portRef DIB8 (instanceRef pdp_ram_0_0_15)) + (portRef DIB7 (instanceRef pdp_ram_0_0_15)) + (portRef DIB6 (instanceRef pdp_ram_0_0_15)) + (portRef DIB5 (instanceRef pdp_ram_0_0_15)) + (portRef DIB4 (instanceRef pdp_ram_0_0_15)) + (portRef DIB3 (instanceRef pdp_ram_0_0_15)) + (portRef DIB2 (instanceRef pdp_ram_0_0_15)) + (portRef DIB1 (instanceRef pdp_ram_0_0_15)) + (portRef DIB0 (instanceRef pdp_ram_0_0_15)) + (portRef CSB2 (instanceRef pdp_ram_0_1_14)) + (portRef CSA2 (instanceRef pdp_ram_0_1_14)) + (portRef WEB (instanceRef pdp_ram_0_1_14)) + (portRef ADB2 (instanceRef pdp_ram_0_1_14)) + (portRef ADA2 (instanceRef pdp_ram_0_1_14)) + (portRef ADB1 (instanceRef pdp_ram_0_1_14)) + (portRef ADA1 (instanceRef pdp_ram_0_1_14)) + (portRef ADB0 (instanceRef pdp_ram_0_1_14)) + (portRef ADA0 (instanceRef pdp_ram_0_1_14)) + (portRef DIB17 (instanceRef pdp_ram_0_1_14)) + (portRef DIA17 (instanceRef pdp_ram_0_1_14)) + (portRef DIB16 (instanceRef pdp_ram_0_1_14)) + (portRef DIA16 (instanceRef pdp_ram_0_1_14)) + (portRef DIB15 (instanceRef pdp_ram_0_1_14)) + (portRef DIA15 (instanceRef pdp_ram_0_1_14)) + (portRef DIB14 (instanceRef pdp_ram_0_1_14)) + (portRef DIA14 (instanceRef pdp_ram_0_1_14)) + (portRef DIB13 (instanceRef pdp_ram_0_1_14)) + (portRef DIA13 (instanceRef pdp_ram_0_1_14)) + (portRef DIB12 (instanceRef pdp_ram_0_1_14)) + (portRef DIA12 (instanceRef pdp_ram_0_1_14)) + (portRef DIB11 (instanceRef pdp_ram_0_1_14)) + (portRef DIA11 (instanceRef pdp_ram_0_1_14)) + (portRef DIB10 (instanceRef pdp_ram_0_1_14)) + (portRef DIA10 (instanceRef pdp_ram_0_1_14)) + (portRef DIB9 (instanceRef pdp_ram_0_1_14)) + (portRef DIA9 (instanceRef pdp_ram_0_1_14)) + (portRef DIB8 (instanceRef pdp_ram_0_1_14)) + (portRef DIB7 (instanceRef pdp_ram_0_1_14)) + (portRef DIB6 (instanceRef pdp_ram_0_1_14)) + (portRef DIB5 (instanceRef pdp_ram_0_1_14)) + (portRef DIB4 (instanceRef pdp_ram_0_1_14)) + (portRef DIB3 (instanceRef pdp_ram_0_1_14)) + (portRef DIB2 (instanceRef pdp_ram_0_1_14)) + (portRef DIB1 (instanceRef pdp_ram_0_1_14)) + (portRef DIB0 (instanceRef pdp_ram_0_1_14)) + (portRef CSB2 (instanceRef pdp_ram_0_2_13)) + (portRef CSA2 (instanceRef pdp_ram_0_2_13)) + (portRef WEB (instanceRef pdp_ram_0_2_13)) + (portRef ADB2 (instanceRef pdp_ram_0_2_13)) + (portRef ADA2 (instanceRef pdp_ram_0_2_13)) + (portRef ADB1 (instanceRef pdp_ram_0_2_13)) + (portRef ADA1 (instanceRef pdp_ram_0_2_13)) + (portRef ADB0 (instanceRef pdp_ram_0_2_13)) + (portRef ADA0 (instanceRef pdp_ram_0_2_13)) + (portRef DIB17 (instanceRef pdp_ram_0_2_13)) + (portRef DIA17 (instanceRef pdp_ram_0_2_13)) + (portRef DIB16 (instanceRef pdp_ram_0_2_13)) + (portRef DIA16 (instanceRef pdp_ram_0_2_13)) + (portRef DIB15 (instanceRef pdp_ram_0_2_13)) + (portRef DIA15 (instanceRef pdp_ram_0_2_13)) + (portRef DIB14 (instanceRef pdp_ram_0_2_13)) + (portRef DIA14 (instanceRef pdp_ram_0_2_13)) + (portRef DIB13 (instanceRef pdp_ram_0_2_13)) + (portRef DIA13 (instanceRef pdp_ram_0_2_13)) + (portRef DIB12 (instanceRef pdp_ram_0_2_13)) + (portRef DIA12 (instanceRef pdp_ram_0_2_13)) + (portRef DIB11 (instanceRef pdp_ram_0_2_13)) + (portRef DIA11 (instanceRef pdp_ram_0_2_13)) + (portRef DIB10 (instanceRef pdp_ram_0_2_13)) + (portRef DIA10 (instanceRef pdp_ram_0_2_13)) + (portRef DIB9 (instanceRef pdp_ram_0_2_13)) + (portRef DIA9 (instanceRef pdp_ram_0_2_13)) + (portRef DIB8 (instanceRef pdp_ram_0_2_13)) + (portRef DIB7 (instanceRef pdp_ram_0_2_13)) + (portRef DIB6 (instanceRef pdp_ram_0_2_13)) + (portRef DIB5 (instanceRef pdp_ram_0_2_13)) + (portRef DIB4 (instanceRef pdp_ram_0_2_13)) + (portRef DIB3 (instanceRef pdp_ram_0_2_13)) + (portRef DIB2 (instanceRef pdp_ram_0_2_13)) + (portRef DIB1 (instanceRef pdp_ram_0_2_13)) + (portRef DIB0 (instanceRef pdp_ram_0_2_13)) + (portRef CSB2 (instanceRef pdp_ram_0_3_12)) + (portRef CSA2 (instanceRef pdp_ram_0_3_12)) + (portRef WEB (instanceRef pdp_ram_0_3_12)) + (portRef ADB2 (instanceRef pdp_ram_0_3_12)) + (portRef ADA2 (instanceRef pdp_ram_0_3_12)) + (portRef ADB1 (instanceRef pdp_ram_0_3_12)) + (portRef ADA1 (instanceRef pdp_ram_0_3_12)) + (portRef ADB0 (instanceRef pdp_ram_0_3_12)) + (portRef ADA0 (instanceRef pdp_ram_0_3_12)) + (portRef DIB17 (instanceRef pdp_ram_0_3_12)) + (portRef DIA17 (instanceRef pdp_ram_0_3_12)) + (portRef DIB16 (instanceRef pdp_ram_0_3_12)) + (portRef DIA16 (instanceRef pdp_ram_0_3_12)) + (portRef DIB15 (instanceRef pdp_ram_0_3_12)) + (portRef DIA15 (instanceRef pdp_ram_0_3_12)) + (portRef DIB14 (instanceRef pdp_ram_0_3_12)) + (portRef DIA14 (instanceRef pdp_ram_0_3_12)) + (portRef DIB13 (instanceRef pdp_ram_0_3_12)) + (portRef DIA13 (instanceRef pdp_ram_0_3_12)) + (portRef DIB12 (instanceRef pdp_ram_0_3_12)) + (portRef DIA12 (instanceRef pdp_ram_0_3_12)) + (portRef DIB11 (instanceRef pdp_ram_0_3_12)) + (portRef DIA11 (instanceRef pdp_ram_0_3_12)) + (portRef DIB10 (instanceRef pdp_ram_0_3_12)) + (portRef DIA10 (instanceRef pdp_ram_0_3_12)) + (portRef DIB9 (instanceRef pdp_ram_0_3_12)) + (portRef DIA9 (instanceRef pdp_ram_0_3_12)) + (portRef DIB8 (instanceRef pdp_ram_0_3_12)) + (portRef DIB7 (instanceRef pdp_ram_0_3_12)) + (portRef DIB6 (instanceRef pdp_ram_0_3_12)) + (portRef DIB5 (instanceRef pdp_ram_0_3_12)) + (portRef DIB4 (instanceRef pdp_ram_0_3_12)) + (portRef DIB3 (instanceRef pdp_ram_0_3_12)) + (portRef DIB2 (instanceRef pdp_ram_0_3_12)) + (portRef DIB1 (instanceRef pdp_ram_0_3_12)) + (portRef DIB0 (instanceRef pdp_ram_0_3_12)) + (portRef CSB2 (instanceRef pdp_ram_1_0_11)) + (portRef CSA2 (instanceRef pdp_ram_1_0_11)) + (portRef WEB (instanceRef pdp_ram_1_0_11)) + (portRef ADB2 (instanceRef pdp_ram_1_0_11)) + (portRef ADA2 (instanceRef pdp_ram_1_0_11)) + (portRef ADB1 (instanceRef pdp_ram_1_0_11)) + (portRef ADA1 (instanceRef pdp_ram_1_0_11)) + (portRef ADB0 (instanceRef pdp_ram_1_0_11)) + (portRef ADA0 (instanceRef pdp_ram_1_0_11)) + (portRef DIB17 (instanceRef pdp_ram_1_0_11)) + (portRef DIA17 (instanceRef pdp_ram_1_0_11)) + (portRef DIB16 (instanceRef pdp_ram_1_0_11)) + (portRef DIA16 (instanceRef pdp_ram_1_0_11)) + (portRef DIB15 (instanceRef pdp_ram_1_0_11)) + (portRef DIA15 (instanceRef pdp_ram_1_0_11)) + (portRef DIB14 (instanceRef pdp_ram_1_0_11)) + (portRef DIA14 (instanceRef pdp_ram_1_0_11)) + (portRef DIB13 (instanceRef pdp_ram_1_0_11)) + (portRef DIA13 (instanceRef pdp_ram_1_0_11)) + (portRef DIB12 (instanceRef pdp_ram_1_0_11)) + (portRef DIA12 (instanceRef pdp_ram_1_0_11)) + (portRef DIB11 (instanceRef pdp_ram_1_0_11)) + (portRef DIA11 (instanceRef pdp_ram_1_0_11)) + (portRef DIB10 (instanceRef pdp_ram_1_0_11)) + (portRef DIA10 (instanceRef pdp_ram_1_0_11)) + (portRef DIB9 (instanceRef pdp_ram_1_0_11)) + (portRef DIA9 (instanceRef pdp_ram_1_0_11)) + (portRef DIB8 (instanceRef pdp_ram_1_0_11)) + (portRef DIB7 (instanceRef pdp_ram_1_0_11)) + (portRef DIB6 (instanceRef pdp_ram_1_0_11)) + (portRef DIB5 (instanceRef pdp_ram_1_0_11)) + (portRef DIB4 (instanceRef pdp_ram_1_0_11)) + (portRef DIB3 (instanceRef pdp_ram_1_0_11)) + (portRef DIB2 (instanceRef pdp_ram_1_0_11)) + (portRef DIB1 (instanceRef pdp_ram_1_0_11)) + (portRef DIB0 (instanceRef pdp_ram_1_0_11)) + (portRef CSB2 (instanceRef pdp_ram_1_1_10)) + (portRef CSA2 (instanceRef pdp_ram_1_1_10)) + (portRef WEB (instanceRef pdp_ram_1_1_10)) + (portRef ADB2 (instanceRef pdp_ram_1_1_10)) + (portRef ADA2 (instanceRef pdp_ram_1_1_10)) + (portRef ADB1 (instanceRef pdp_ram_1_1_10)) + (portRef ADA1 (instanceRef pdp_ram_1_1_10)) + (portRef ADB0 (instanceRef pdp_ram_1_1_10)) + (portRef ADA0 (instanceRef pdp_ram_1_1_10)) + (portRef DIB17 (instanceRef pdp_ram_1_1_10)) + (portRef DIA17 (instanceRef pdp_ram_1_1_10)) + (portRef DIB16 (instanceRef pdp_ram_1_1_10)) + (portRef DIA16 (instanceRef pdp_ram_1_1_10)) + (portRef DIB15 (instanceRef pdp_ram_1_1_10)) + (portRef DIA15 (instanceRef pdp_ram_1_1_10)) + (portRef DIB14 (instanceRef pdp_ram_1_1_10)) + (portRef DIA14 (instanceRef pdp_ram_1_1_10)) + (portRef DIB13 (instanceRef pdp_ram_1_1_10)) + (portRef DIA13 (instanceRef pdp_ram_1_1_10)) + (portRef DIB12 (instanceRef pdp_ram_1_1_10)) + (portRef DIA12 (instanceRef pdp_ram_1_1_10)) + (portRef DIB11 (instanceRef pdp_ram_1_1_10)) + (portRef DIA11 (instanceRef pdp_ram_1_1_10)) + (portRef DIB10 (instanceRef pdp_ram_1_1_10)) + (portRef DIA10 (instanceRef pdp_ram_1_1_10)) + (portRef DIB9 (instanceRef pdp_ram_1_1_10)) + (portRef DIA9 (instanceRef pdp_ram_1_1_10)) + (portRef DIB8 (instanceRef pdp_ram_1_1_10)) + (portRef DIB7 (instanceRef pdp_ram_1_1_10)) + (portRef DIB6 (instanceRef pdp_ram_1_1_10)) + (portRef DIB5 (instanceRef pdp_ram_1_1_10)) + (portRef DIB4 (instanceRef pdp_ram_1_1_10)) + (portRef DIB3 (instanceRef pdp_ram_1_1_10)) + (portRef DIB2 (instanceRef pdp_ram_1_1_10)) + (portRef DIB1 (instanceRef pdp_ram_1_1_10)) + (portRef DIB0 (instanceRef pdp_ram_1_1_10)) + (portRef CSB2 (instanceRef pdp_ram_1_2_9)) + (portRef CSA2 (instanceRef pdp_ram_1_2_9)) + (portRef WEB (instanceRef pdp_ram_1_2_9)) + (portRef ADB2 (instanceRef pdp_ram_1_2_9)) + (portRef ADA2 (instanceRef pdp_ram_1_2_9)) + (portRef ADB1 (instanceRef pdp_ram_1_2_9)) + (portRef ADA1 (instanceRef pdp_ram_1_2_9)) + (portRef ADB0 (instanceRef pdp_ram_1_2_9)) + (portRef ADA0 (instanceRef pdp_ram_1_2_9)) + (portRef DIB17 (instanceRef pdp_ram_1_2_9)) + (portRef DIA17 (instanceRef pdp_ram_1_2_9)) + (portRef DIB16 (instanceRef pdp_ram_1_2_9)) + (portRef DIA16 (instanceRef pdp_ram_1_2_9)) + (portRef DIB15 (instanceRef pdp_ram_1_2_9)) + (portRef DIA15 (instanceRef pdp_ram_1_2_9)) + (portRef DIB14 (instanceRef pdp_ram_1_2_9)) + (portRef DIA14 (instanceRef pdp_ram_1_2_9)) + (portRef DIB13 (instanceRef pdp_ram_1_2_9)) + (portRef DIA13 (instanceRef pdp_ram_1_2_9)) + (portRef DIB12 (instanceRef pdp_ram_1_2_9)) + (portRef DIA12 (instanceRef pdp_ram_1_2_9)) + (portRef DIB11 (instanceRef pdp_ram_1_2_9)) + (portRef DIA11 (instanceRef pdp_ram_1_2_9)) + (portRef DIB10 (instanceRef pdp_ram_1_2_9)) + (portRef DIA10 (instanceRef pdp_ram_1_2_9)) + (portRef DIB9 (instanceRef pdp_ram_1_2_9)) + (portRef DIA9 (instanceRef pdp_ram_1_2_9)) + (portRef DIB8 (instanceRef pdp_ram_1_2_9)) + (portRef DIB7 (instanceRef pdp_ram_1_2_9)) + (portRef DIB6 (instanceRef pdp_ram_1_2_9)) + (portRef DIB5 (instanceRef pdp_ram_1_2_9)) + (portRef DIB4 (instanceRef pdp_ram_1_2_9)) + (portRef DIB3 (instanceRef pdp_ram_1_2_9)) + (portRef DIB2 (instanceRef pdp_ram_1_2_9)) + (portRef DIB1 (instanceRef pdp_ram_1_2_9)) + (portRef DIB0 (instanceRef pdp_ram_1_2_9)) + (portRef CSB2 (instanceRef pdp_ram_1_3_8)) + (portRef CSA2 (instanceRef pdp_ram_1_3_8)) + (portRef WEB (instanceRef pdp_ram_1_3_8)) + (portRef ADB2 (instanceRef pdp_ram_1_3_8)) + (portRef ADA2 (instanceRef pdp_ram_1_3_8)) + (portRef ADB1 (instanceRef pdp_ram_1_3_8)) + (portRef ADA1 (instanceRef pdp_ram_1_3_8)) + (portRef ADB0 (instanceRef pdp_ram_1_3_8)) + (portRef ADA0 (instanceRef pdp_ram_1_3_8)) + (portRef DIB17 (instanceRef pdp_ram_1_3_8)) + (portRef DIA17 (instanceRef pdp_ram_1_3_8)) + (portRef DIB16 (instanceRef pdp_ram_1_3_8)) + (portRef DIA16 (instanceRef pdp_ram_1_3_8)) + (portRef DIB15 (instanceRef pdp_ram_1_3_8)) + (portRef DIA15 (instanceRef pdp_ram_1_3_8)) + (portRef DIB14 (instanceRef pdp_ram_1_3_8)) + (portRef DIA14 (instanceRef pdp_ram_1_3_8)) + (portRef DIB13 (instanceRef pdp_ram_1_3_8)) + (portRef DIA13 (instanceRef pdp_ram_1_3_8)) + (portRef DIB12 (instanceRef pdp_ram_1_3_8)) + (portRef DIA12 (instanceRef pdp_ram_1_3_8)) + (portRef DIB11 (instanceRef pdp_ram_1_3_8)) + (portRef DIA11 (instanceRef pdp_ram_1_3_8)) + (portRef DIB10 (instanceRef pdp_ram_1_3_8)) + (portRef DIA10 (instanceRef pdp_ram_1_3_8)) + (portRef DIB9 (instanceRef pdp_ram_1_3_8)) + (portRef DIA9 (instanceRef pdp_ram_1_3_8)) + (portRef DIB8 (instanceRef pdp_ram_1_3_8)) + (portRef DIB7 (instanceRef pdp_ram_1_3_8)) + (portRef DIB6 (instanceRef pdp_ram_1_3_8)) + (portRef DIB5 (instanceRef pdp_ram_1_3_8)) + (portRef DIB4 (instanceRef pdp_ram_1_3_8)) + (portRef DIB3 (instanceRef pdp_ram_1_3_8)) + (portRef DIB2 (instanceRef pdp_ram_1_3_8)) + (portRef DIB1 (instanceRef pdp_ram_1_3_8)) + (portRef DIB0 (instanceRef pdp_ram_1_3_8)) + (portRef CSB2 (instanceRef pdp_ram_2_0_7)) + (portRef CSA2 (instanceRef pdp_ram_2_0_7)) + (portRef WEB (instanceRef pdp_ram_2_0_7)) + (portRef ADB2 (instanceRef pdp_ram_2_0_7)) + (portRef ADA2 (instanceRef pdp_ram_2_0_7)) + (portRef ADB1 (instanceRef pdp_ram_2_0_7)) + (portRef ADA1 (instanceRef pdp_ram_2_0_7)) + (portRef ADB0 (instanceRef pdp_ram_2_0_7)) + (portRef ADA0 (instanceRef pdp_ram_2_0_7)) + (portRef DIB17 (instanceRef pdp_ram_2_0_7)) + (portRef DIA17 (instanceRef pdp_ram_2_0_7)) + (portRef DIB16 (instanceRef pdp_ram_2_0_7)) + (portRef DIA16 (instanceRef pdp_ram_2_0_7)) + (portRef DIB15 (instanceRef pdp_ram_2_0_7)) + (portRef DIA15 (instanceRef pdp_ram_2_0_7)) + (portRef DIB14 (instanceRef pdp_ram_2_0_7)) + (portRef DIA14 (instanceRef pdp_ram_2_0_7)) + (portRef DIB13 (instanceRef pdp_ram_2_0_7)) + (portRef DIA13 (instanceRef pdp_ram_2_0_7)) + (portRef DIB12 (instanceRef pdp_ram_2_0_7)) + (portRef DIA12 (instanceRef pdp_ram_2_0_7)) + (portRef DIB11 (instanceRef pdp_ram_2_0_7)) + (portRef DIA11 (instanceRef pdp_ram_2_0_7)) + (portRef DIB10 (instanceRef pdp_ram_2_0_7)) + (portRef DIA10 (instanceRef pdp_ram_2_0_7)) + (portRef DIB9 (instanceRef pdp_ram_2_0_7)) + (portRef DIA9 (instanceRef pdp_ram_2_0_7)) + (portRef DIB8 (instanceRef pdp_ram_2_0_7)) + (portRef DIB7 (instanceRef pdp_ram_2_0_7)) + (portRef DIB6 (instanceRef pdp_ram_2_0_7)) + (portRef DIB5 (instanceRef pdp_ram_2_0_7)) + (portRef DIB4 (instanceRef pdp_ram_2_0_7)) + (portRef DIB3 (instanceRef pdp_ram_2_0_7)) + (portRef DIB2 (instanceRef pdp_ram_2_0_7)) + (portRef DIB1 (instanceRef pdp_ram_2_0_7)) + (portRef DIB0 (instanceRef pdp_ram_2_0_7)) + (portRef CSB2 (instanceRef pdp_ram_2_1_6)) + (portRef CSA2 (instanceRef pdp_ram_2_1_6)) + (portRef WEB (instanceRef pdp_ram_2_1_6)) + (portRef ADB2 (instanceRef pdp_ram_2_1_6)) + (portRef ADA2 (instanceRef pdp_ram_2_1_6)) + (portRef ADB1 (instanceRef pdp_ram_2_1_6)) + (portRef ADA1 (instanceRef pdp_ram_2_1_6)) + (portRef ADB0 (instanceRef pdp_ram_2_1_6)) + (portRef ADA0 (instanceRef pdp_ram_2_1_6)) + (portRef DIB17 (instanceRef pdp_ram_2_1_6)) + (portRef DIA17 (instanceRef pdp_ram_2_1_6)) + (portRef DIB16 (instanceRef pdp_ram_2_1_6)) + (portRef DIA16 (instanceRef pdp_ram_2_1_6)) + (portRef DIB15 (instanceRef pdp_ram_2_1_6)) + (portRef DIA15 (instanceRef pdp_ram_2_1_6)) + (portRef DIB14 (instanceRef pdp_ram_2_1_6)) + (portRef DIA14 (instanceRef pdp_ram_2_1_6)) + (portRef DIB13 (instanceRef pdp_ram_2_1_6)) + (portRef DIA13 (instanceRef pdp_ram_2_1_6)) + (portRef DIB12 (instanceRef pdp_ram_2_1_6)) + (portRef DIA12 (instanceRef pdp_ram_2_1_6)) + (portRef DIB11 (instanceRef pdp_ram_2_1_6)) + (portRef DIA11 (instanceRef pdp_ram_2_1_6)) + (portRef DIB10 (instanceRef pdp_ram_2_1_6)) + (portRef DIA10 (instanceRef pdp_ram_2_1_6)) + (portRef DIB9 (instanceRef pdp_ram_2_1_6)) + (portRef DIA9 (instanceRef pdp_ram_2_1_6)) + (portRef DIB8 (instanceRef pdp_ram_2_1_6)) + (portRef DIB7 (instanceRef pdp_ram_2_1_6)) + (portRef DIB6 (instanceRef pdp_ram_2_1_6)) + (portRef DIB5 (instanceRef pdp_ram_2_1_6)) + (portRef DIB4 (instanceRef pdp_ram_2_1_6)) + (portRef DIB3 (instanceRef pdp_ram_2_1_6)) + (portRef DIB2 (instanceRef pdp_ram_2_1_6)) + (portRef DIB1 (instanceRef pdp_ram_2_1_6)) + (portRef DIB0 (instanceRef pdp_ram_2_1_6)) + (portRef CSB2 (instanceRef pdp_ram_2_2_5)) + (portRef CSA2 (instanceRef pdp_ram_2_2_5)) + (portRef WEB (instanceRef pdp_ram_2_2_5)) + (portRef ADB2 (instanceRef pdp_ram_2_2_5)) + (portRef ADA2 (instanceRef pdp_ram_2_2_5)) + (portRef ADB1 (instanceRef pdp_ram_2_2_5)) + (portRef ADA1 (instanceRef pdp_ram_2_2_5)) + (portRef ADB0 (instanceRef pdp_ram_2_2_5)) + (portRef ADA0 (instanceRef pdp_ram_2_2_5)) + (portRef DIB17 (instanceRef pdp_ram_2_2_5)) + (portRef DIA17 (instanceRef pdp_ram_2_2_5)) + (portRef DIB16 (instanceRef pdp_ram_2_2_5)) + (portRef DIA16 (instanceRef pdp_ram_2_2_5)) + (portRef DIB15 (instanceRef pdp_ram_2_2_5)) + (portRef DIA15 (instanceRef pdp_ram_2_2_5)) + (portRef DIB14 (instanceRef pdp_ram_2_2_5)) + (portRef DIA14 (instanceRef pdp_ram_2_2_5)) + (portRef DIB13 (instanceRef pdp_ram_2_2_5)) + (portRef DIA13 (instanceRef pdp_ram_2_2_5)) + (portRef DIB12 (instanceRef pdp_ram_2_2_5)) + (portRef DIA12 (instanceRef pdp_ram_2_2_5)) + (portRef DIB11 (instanceRef pdp_ram_2_2_5)) + (portRef DIA11 (instanceRef pdp_ram_2_2_5)) + (portRef DIB10 (instanceRef pdp_ram_2_2_5)) + (portRef DIA10 (instanceRef pdp_ram_2_2_5)) + (portRef DIB9 (instanceRef pdp_ram_2_2_5)) + (portRef DIA9 (instanceRef pdp_ram_2_2_5)) + (portRef DIB8 (instanceRef pdp_ram_2_2_5)) + (portRef DIB7 (instanceRef pdp_ram_2_2_5)) + (portRef DIB6 (instanceRef pdp_ram_2_2_5)) + (portRef DIB5 (instanceRef pdp_ram_2_2_5)) + (portRef DIB4 (instanceRef pdp_ram_2_2_5)) + (portRef DIB3 (instanceRef pdp_ram_2_2_5)) + (portRef DIB2 (instanceRef pdp_ram_2_2_5)) + (portRef DIB1 (instanceRef pdp_ram_2_2_5)) + (portRef DIB0 (instanceRef pdp_ram_2_2_5)) + (portRef CSB2 (instanceRef pdp_ram_2_3_4)) + (portRef CSA2 (instanceRef pdp_ram_2_3_4)) + (portRef WEB (instanceRef pdp_ram_2_3_4)) + (portRef ADB2 (instanceRef pdp_ram_2_3_4)) + (portRef ADA2 (instanceRef pdp_ram_2_3_4)) + (portRef ADB1 (instanceRef pdp_ram_2_3_4)) + (portRef ADA1 (instanceRef pdp_ram_2_3_4)) + (portRef ADB0 (instanceRef pdp_ram_2_3_4)) + (portRef ADA0 (instanceRef pdp_ram_2_3_4)) + (portRef DIB17 (instanceRef pdp_ram_2_3_4)) + (portRef DIA17 (instanceRef pdp_ram_2_3_4)) + (portRef DIB16 (instanceRef pdp_ram_2_3_4)) + (portRef DIA16 (instanceRef pdp_ram_2_3_4)) + (portRef DIB15 (instanceRef pdp_ram_2_3_4)) + (portRef DIA15 (instanceRef pdp_ram_2_3_4)) + (portRef DIB14 (instanceRef pdp_ram_2_3_4)) + (portRef DIA14 (instanceRef pdp_ram_2_3_4)) + (portRef DIB13 (instanceRef pdp_ram_2_3_4)) + (portRef DIA13 (instanceRef pdp_ram_2_3_4)) + (portRef DIB12 (instanceRef pdp_ram_2_3_4)) + (portRef DIA12 (instanceRef pdp_ram_2_3_4)) + (portRef DIB11 (instanceRef pdp_ram_2_3_4)) + (portRef DIA11 (instanceRef pdp_ram_2_3_4)) + (portRef DIB10 (instanceRef pdp_ram_2_3_4)) + (portRef DIA10 (instanceRef pdp_ram_2_3_4)) + (portRef DIB9 (instanceRef pdp_ram_2_3_4)) + (portRef DIA9 (instanceRef pdp_ram_2_3_4)) + (portRef DIB8 (instanceRef pdp_ram_2_3_4)) + (portRef DIB7 (instanceRef pdp_ram_2_3_4)) + (portRef DIB6 (instanceRef pdp_ram_2_3_4)) + (portRef DIB5 (instanceRef pdp_ram_2_3_4)) + (portRef DIB4 (instanceRef pdp_ram_2_3_4)) + (portRef DIB3 (instanceRef pdp_ram_2_3_4)) + (portRef DIB2 (instanceRef pdp_ram_2_3_4)) + (portRef DIB1 (instanceRef pdp_ram_2_3_4)) + (portRef DIB0 (instanceRef pdp_ram_2_3_4)) + (portRef CSB2 (instanceRef pdp_ram_3_0_3)) + (portRef CSA2 (instanceRef pdp_ram_3_0_3)) + (portRef WEB (instanceRef pdp_ram_3_0_3)) + (portRef ADB2 (instanceRef pdp_ram_3_0_3)) + (portRef ADA2 (instanceRef pdp_ram_3_0_3)) + (portRef ADB1 (instanceRef pdp_ram_3_0_3)) + (portRef ADA1 (instanceRef pdp_ram_3_0_3)) + (portRef ADB0 (instanceRef pdp_ram_3_0_3)) + (portRef ADA0 (instanceRef pdp_ram_3_0_3)) + (portRef DIB17 (instanceRef pdp_ram_3_0_3)) + (portRef DIA17 (instanceRef pdp_ram_3_0_3)) + (portRef DIB16 (instanceRef pdp_ram_3_0_3)) + (portRef DIA16 (instanceRef pdp_ram_3_0_3)) + (portRef DIB15 (instanceRef pdp_ram_3_0_3)) + (portRef DIA15 (instanceRef pdp_ram_3_0_3)) + (portRef DIB14 (instanceRef pdp_ram_3_0_3)) + (portRef DIA14 (instanceRef pdp_ram_3_0_3)) + (portRef DIB13 (instanceRef pdp_ram_3_0_3)) + (portRef DIA13 (instanceRef pdp_ram_3_0_3)) + (portRef DIB12 (instanceRef pdp_ram_3_0_3)) + (portRef DIA12 (instanceRef pdp_ram_3_0_3)) + (portRef DIB11 (instanceRef pdp_ram_3_0_3)) + (portRef DIA11 (instanceRef pdp_ram_3_0_3)) + (portRef DIB10 (instanceRef pdp_ram_3_0_3)) + (portRef DIA10 (instanceRef pdp_ram_3_0_3)) + (portRef DIB9 (instanceRef pdp_ram_3_0_3)) + (portRef DIA9 (instanceRef pdp_ram_3_0_3)) + (portRef DIB8 (instanceRef pdp_ram_3_0_3)) + (portRef DIB7 (instanceRef pdp_ram_3_0_3)) + (portRef DIB6 (instanceRef pdp_ram_3_0_3)) + (portRef DIB5 (instanceRef pdp_ram_3_0_3)) + (portRef DIB4 (instanceRef pdp_ram_3_0_3)) + (portRef DIB3 (instanceRef pdp_ram_3_0_3)) + (portRef DIB2 (instanceRef pdp_ram_3_0_3)) + (portRef DIB1 (instanceRef pdp_ram_3_0_3)) + (portRef DIB0 (instanceRef pdp_ram_3_0_3)) + (portRef CSB2 (instanceRef pdp_ram_3_1_2)) + (portRef CSA2 (instanceRef pdp_ram_3_1_2)) + (portRef WEB (instanceRef pdp_ram_3_1_2)) + (portRef ADB2 (instanceRef pdp_ram_3_1_2)) + (portRef ADA2 (instanceRef pdp_ram_3_1_2)) + (portRef ADB1 (instanceRef pdp_ram_3_1_2)) + (portRef ADA1 (instanceRef pdp_ram_3_1_2)) + (portRef ADB0 (instanceRef pdp_ram_3_1_2)) + (portRef ADA0 (instanceRef pdp_ram_3_1_2)) + (portRef DIB17 (instanceRef pdp_ram_3_1_2)) + (portRef DIA17 (instanceRef pdp_ram_3_1_2)) + (portRef DIB16 (instanceRef pdp_ram_3_1_2)) + (portRef DIA16 (instanceRef pdp_ram_3_1_2)) + (portRef DIB15 (instanceRef pdp_ram_3_1_2)) + (portRef DIA15 (instanceRef pdp_ram_3_1_2)) + (portRef DIB14 (instanceRef pdp_ram_3_1_2)) + (portRef DIA14 (instanceRef pdp_ram_3_1_2)) + (portRef DIB13 (instanceRef pdp_ram_3_1_2)) + (portRef DIA13 (instanceRef pdp_ram_3_1_2)) + (portRef DIB12 (instanceRef pdp_ram_3_1_2)) + (portRef DIA12 (instanceRef pdp_ram_3_1_2)) + (portRef DIB11 (instanceRef pdp_ram_3_1_2)) + (portRef DIA11 (instanceRef pdp_ram_3_1_2)) + (portRef DIB10 (instanceRef pdp_ram_3_1_2)) + (portRef DIA10 (instanceRef pdp_ram_3_1_2)) + (portRef DIB9 (instanceRef pdp_ram_3_1_2)) + (portRef DIA9 (instanceRef pdp_ram_3_1_2)) + (portRef DIB8 (instanceRef pdp_ram_3_1_2)) + (portRef DIB7 (instanceRef pdp_ram_3_1_2)) + (portRef DIB6 (instanceRef pdp_ram_3_1_2)) + (portRef DIB5 (instanceRef pdp_ram_3_1_2)) + (portRef DIB4 (instanceRef pdp_ram_3_1_2)) + (portRef DIB3 (instanceRef pdp_ram_3_1_2)) + (portRef DIB2 (instanceRef pdp_ram_3_1_2)) + (portRef DIB1 (instanceRef pdp_ram_3_1_2)) + (portRef DIB0 (instanceRef pdp_ram_3_1_2)) + (portRef CSB2 (instanceRef pdp_ram_3_2_1)) + (portRef CSA2 (instanceRef pdp_ram_3_2_1)) + (portRef WEB (instanceRef pdp_ram_3_2_1)) + (portRef ADB2 (instanceRef pdp_ram_3_2_1)) + (portRef ADA2 (instanceRef pdp_ram_3_2_1)) + (portRef ADB1 (instanceRef pdp_ram_3_2_1)) + (portRef ADA1 (instanceRef pdp_ram_3_2_1)) + (portRef ADB0 (instanceRef pdp_ram_3_2_1)) + (portRef ADA0 (instanceRef pdp_ram_3_2_1)) + (portRef DIB17 (instanceRef pdp_ram_3_2_1)) + (portRef DIA17 (instanceRef pdp_ram_3_2_1)) + (portRef DIB16 (instanceRef pdp_ram_3_2_1)) + (portRef DIA16 (instanceRef pdp_ram_3_2_1)) + (portRef DIB15 (instanceRef pdp_ram_3_2_1)) + (portRef DIA15 (instanceRef pdp_ram_3_2_1)) + (portRef DIB14 (instanceRef pdp_ram_3_2_1)) + (portRef DIA14 (instanceRef pdp_ram_3_2_1)) + (portRef DIB13 (instanceRef pdp_ram_3_2_1)) + (portRef DIA13 (instanceRef pdp_ram_3_2_1)) + (portRef DIB12 (instanceRef pdp_ram_3_2_1)) + (portRef DIA12 (instanceRef pdp_ram_3_2_1)) + (portRef DIB11 (instanceRef pdp_ram_3_2_1)) + (portRef DIA11 (instanceRef pdp_ram_3_2_1)) + (portRef DIB10 (instanceRef pdp_ram_3_2_1)) + (portRef DIA10 (instanceRef pdp_ram_3_2_1)) + (portRef DIB9 (instanceRef pdp_ram_3_2_1)) + (portRef DIA9 (instanceRef pdp_ram_3_2_1)) + (portRef DIB8 (instanceRef pdp_ram_3_2_1)) + (portRef DIB7 (instanceRef pdp_ram_3_2_1)) + (portRef DIB6 (instanceRef pdp_ram_3_2_1)) + (portRef DIB5 (instanceRef pdp_ram_3_2_1)) + (portRef DIB4 (instanceRef pdp_ram_3_2_1)) + (portRef DIB3 (instanceRef pdp_ram_3_2_1)) + (portRef DIB2 (instanceRef pdp_ram_3_2_1)) + (portRef DIB1 (instanceRef pdp_ram_3_2_1)) + (portRef DIB0 (instanceRef pdp_ram_3_2_1)) + (portRef CSB2 (instanceRef pdp_ram_3_3_0)) + (portRef CSA2 (instanceRef pdp_ram_3_3_0)) + (portRef WEB (instanceRef pdp_ram_3_3_0)) + (portRef ADB2 (instanceRef pdp_ram_3_3_0)) + (portRef ADA2 (instanceRef pdp_ram_3_3_0)) + (portRef ADB1 (instanceRef pdp_ram_3_3_0)) + (portRef ADA1 (instanceRef pdp_ram_3_3_0)) + (portRef ADB0 (instanceRef pdp_ram_3_3_0)) + (portRef ADA0 (instanceRef pdp_ram_3_3_0)) + (portRef DIB17 (instanceRef pdp_ram_3_3_0)) + (portRef DIA17 (instanceRef pdp_ram_3_3_0)) + (portRef DIB16 (instanceRef pdp_ram_3_3_0)) + (portRef DIA16 (instanceRef pdp_ram_3_3_0)) + (portRef DIB15 (instanceRef pdp_ram_3_3_0)) + (portRef DIA15 (instanceRef pdp_ram_3_3_0)) + (portRef DIB14 (instanceRef pdp_ram_3_3_0)) + (portRef DIA14 (instanceRef pdp_ram_3_3_0)) + (portRef DIB13 (instanceRef pdp_ram_3_3_0)) + (portRef DIA13 (instanceRef pdp_ram_3_3_0)) + (portRef DIB12 (instanceRef pdp_ram_3_3_0)) + (portRef DIA12 (instanceRef pdp_ram_3_3_0)) + (portRef DIB11 (instanceRef pdp_ram_3_3_0)) + (portRef DIA11 (instanceRef pdp_ram_3_3_0)) + (portRef DIB10 (instanceRef pdp_ram_3_3_0)) + (portRef DIA10 (instanceRef pdp_ram_3_3_0)) + (portRef DIB9 (instanceRef pdp_ram_3_3_0)) + (portRef DIA9 (instanceRef pdp_ram_3_3_0)) + (portRef DIB8 (instanceRef pdp_ram_3_3_0)) + (portRef DIB7 (instanceRef pdp_ram_3_3_0)) + (portRef DIB6 (instanceRef pdp_ram_3_3_0)) + (portRef DIB5 (instanceRef pdp_ram_3_3_0)) + (portRef DIB4 (instanceRef pdp_ram_3_3_0)) + (portRef DIB3 (instanceRef pdp_ram_3_3_0)) + (portRef DIB2 (instanceRef pdp_ram_3_3_0)) + (portRef DIB1 (instanceRef pdp_ram_3_3_0)) + (portRef DIB0 (instanceRef pdp_ram_3_3_0)) + (portRef CD (instanceRef FF_18)) + (portRef CD (instanceRef FF_17)) + (portRef CD (instanceRef FF_16)) + (portRef CD (instanceRef FF_15)) + (portRef B0 (instanceRef bdcnt_bctr_cia)) + (portRef A0 (instanceRef bdcnt_bctr_cia)) + (portRef A1 (instanceRef e_cmp_0)) + (portRef A0 (instanceRef e_cmp_1)) + (portRef A1 (instanceRef e_cmp_1)) + (portRef A0 (instanceRef e_cmp_2)) + (portRef A1 (instanceRef e_cmp_2)) + (portRef A0 (instanceRef e_cmp_3)) + (portRef A1 (instanceRef e_cmp_3)) + (portRef A0 (instanceRef e_cmp_4)) + (portRef A1 (instanceRef e_cmp_4)) + (portRef A0 (instanceRef e_cmp_5)) + (portRef A1 (instanceRef e_cmp_5)) + (portRef A0 (instanceRef e_cmp_6)) + (portRef A1 (instanceRef e_cmp_6)) + (portRef B1 (instanceRef a0)) + (portRef B0 (instanceRef a0)) + (portRef A1 (instanceRef a0)) + (portRef A0 (instanceRef a0)) + (portRef B1 (instanceRef a1)) + (portRef B0 (instanceRef a1)) + (portRef A1 (instanceRef a1)) + (portRef A0 (instanceRef a1)) + (portRef B0 (instanceRef w_ctr_cia)) + (portRef A0 (instanceRef w_ctr_cia)) + (portRef B1 (instanceRef w_ctr_0)) + (portRef B0 (instanceRef w_ctr_0)) + (portRef B1 (instanceRef w_ctr_1)) + (portRef B0 (instanceRef w_ctr_1)) + (portRef B1 (instanceRef w_ctr_2)) + (portRef B0 (instanceRef w_ctr_2)) + (portRef B1 (instanceRef w_ctr_3)) + (portRef B0 (instanceRef w_ctr_3)) + (portRef B1 (instanceRef w_ctr_4)) + (portRef B0 (instanceRef w_ctr_4)) + (portRef B1 (instanceRef w_ctr_5)) + (portRef B0 (instanceRef w_ctr_5)) + (portRef B1 (instanceRef w_ctr_6)) + (portRef B0 (instanceRef w_ctr_6)) + (portRef B0 (instanceRef r_ctr_cia)) + (portRef A0 (instanceRef r_ctr_cia)) + (portRef B1 (instanceRef r_ctr_0)) + (portRef B0 (instanceRef r_ctr_0)) + (portRef B1 (instanceRef r_ctr_1)) + (portRef B0 (instanceRef r_ctr_1)) + (portRef B1 (instanceRef r_ctr_2)) + (portRef B0 (instanceRef r_ctr_2)) + (portRef B1 (instanceRef r_ctr_3)) + (portRef B0 (instanceRef r_ctr_3)) + (portRef B1 (instanceRef r_ctr_4)) + (portRef B0 (instanceRef r_ctr_4)) + (portRef B1 (instanceRef r_ctr_5)) + (portRef B0 (instanceRef r_ctr_5)) + (portRef B1 (instanceRef r_ctr_6)) + (portRef B0 (instanceRef r_ctr_6)) + (portRef B1 (instanceRef wcnt_7)) + (portRef B0 (instanceRef wcnt_7)) + (portRef A1 (instanceRef wcnt_7)) + (portRef B0 (instanceRef af_set_cmp_ci_a)) + (portRef A0 (instanceRef af_set_cmp_ci_a)) + (portRef B1 (instanceRef af_set_cmp_6)) + (portRef B1 (instanceRef a2)) + (portRef B0 (instanceRef a2)) + (portRef A1 (instanceRef a2)) + (portRef A0 (instanceRef a2)))) + (net af_set_c + (joined + (portRef CIN (instanceRef a2)) + (portRef COUT (instanceRef af_set_cmp_6)))) + (net partial_full + (joined + (portRef AlmostFull) + (portRef Q (instanceRef FF_0)))) + (net Full + (joined + (portRef Full) + (portRef Q (instanceRef FF_75)) + (portRef A (instanceRef INV_5)) + (portRef AD0 (instanceRef LUT4_0)))) + (net Empty + (joined + (portRef Empty) + (portRef Q (instanceRef FF_76)) + (portRef A (instanceRef INV_4)) + (portRef AD0 (instanceRef LUT4_1)))) + (net WCNT13 + (joined + (portRef (member WCNT 0)) + (portRef A1 (instanceRef g_cmp_6)) + (portRef Q (instanceRef FF_77)) + (portRef A1 (instanceRef bdcnt_bctr_6)) + (portRef B1 (instanceRef e_cmp_6)))) + (net WCNT12 + (joined + (portRef (member WCNT 1)) + (portRef A0 (instanceRef g_cmp_6)) + (portRef Q (instanceRef FF_78)) + (portRef A0 (instanceRef bdcnt_bctr_6)) + (portRef B0 (instanceRef e_cmp_6)))) + (net WCNT11 + (joined + (portRef (member WCNT 2)) + (portRef A1 (instanceRef g_cmp_5)) + (portRef Q (instanceRef FF_79)) + (portRef A1 (instanceRef bdcnt_bctr_5)) + (portRef B1 (instanceRef e_cmp_5)))) + (net WCNT10 + (joined + (portRef (member WCNT 3)) + (portRef A0 (instanceRef g_cmp_5)) + (portRef Q (instanceRef FF_80)) + (portRef A0 (instanceRef bdcnt_bctr_5)) + (portRef B0 (instanceRef e_cmp_5)))) + (net WCNT9 + (joined + (portRef (member WCNT 4)) + (portRef A1 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_81)) + (portRef A1 (instanceRef bdcnt_bctr_4)) + (portRef B1 (instanceRef e_cmp_4)))) + (net WCNT8 + (joined + (portRef (member WCNT 5)) + (portRef A0 (instanceRef g_cmp_4)) + (portRef Q (instanceRef FF_82)) + (portRef A0 (instanceRef bdcnt_bctr_4)) + (portRef B0 (instanceRef e_cmp_4)))) + (net WCNT7 + (joined + (portRef (member WCNT 6)) + (portRef A1 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_83)) + (portRef A1 (instanceRef bdcnt_bctr_3)) + (portRef B1 (instanceRef e_cmp_3)))) + (net WCNT6 + (joined + (portRef (member WCNT 7)) + (portRef A0 (instanceRef g_cmp_3)) + (portRef Q (instanceRef FF_84)) + (portRef A0 (instanceRef bdcnt_bctr_3)) + (portRef B0 (instanceRef e_cmp_3)))) + (net WCNT5 + (joined + (portRef (member WCNT 8)) + (portRef A1 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_85)) + (portRef A1 (instanceRef bdcnt_bctr_2)) + (portRef B1 (instanceRef e_cmp_2)))) + (net WCNT4 + (joined + (portRef (member WCNT 9)) + (portRef A0 (instanceRef g_cmp_2)) + (portRef Q (instanceRef FF_86)) + (portRef A0 (instanceRef bdcnt_bctr_2)) + (portRef B0 (instanceRef e_cmp_2)))) + (net WCNT3 + (joined + (portRef (member WCNT 10)) + (portRef A1 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_87)) + (portRef A1 (instanceRef bdcnt_bctr_1)) + (portRef B1 (instanceRef e_cmp_1)))) + (net WCNT2 + (joined + (portRef (member WCNT 11)) + (portRef A0 (instanceRef g_cmp_1)) + (portRef Q (instanceRef FF_88)) + (portRef A0 (instanceRef bdcnt_bctr_1)) + (portRef B0 (instanceRef e_cmp_1)))) + (net WCNT1 + (joined + (portRef (member WCNT 12)) + (portRef A1 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_89)) + (portRef A1 (instanceRef bdcnt_bctr_0)) + (portRef B1 (instanceRef e_cmp_0)))) + (net WCNT0 + (joined + (portRef (member WCNT 13)) + (portRef A0 (instanceRef g_cmp_0)) + (portRef Q (instanceRef FF_90)) + (portRef A0 (instanceRef bdcnt_bctr_0)) + (portRef B0 (instanceRef e_cmp_0)))) + (net dataout35 + (joined + (portRef (member Q 0)) + (portRef Z (instanceRef mux_0)))) + (net dataout34 + (joined + (portRef (member Q 1)) + (portRef Z (instanceRef mux_1)))) + (net dataout33 + (joined + (portRef (member Q 2)) + (portRef Z (instanceRef mux_2)))) + (net dataout32 + (joined + (portRef (member Q 3)) + (portRef Z (instanceRef mux_3)))) + (net dataout31 + (joined + (portRef (member Q 4)) + (portRef Z (instanceRef mux_4)))) + (net dataout30 + (joined + (portRef (member Q 5)) + (portRef Z (instanceRef mux_5)))) + (net dataout29 + (joined + (portRef (member Q 6)) + (portRef Z (instanceRef mux_6)))) + (net dataout28 + (joined + (portRef (member Q 7)) + (portRef Z (instanceRef mux_7)))) + (net dataout27 + (joined + (portRef (member Q 8)) + (portRef Z (instanceRef mux_8)))) + (net dataout26 + (joined + (portRef (member Q 9)) + (portRef Z (instanceRef mux_9)))) + (net dataout25 + (joined + (portRef (member Q 10)) + (portRef Z (instanceRef mux_10)))) + (net dataout24 + (joined + (portRef (member Q 11)) + (portRef Z (instanceRef mux_11)))) + (net dataout23 + (joined + (portRef (member Q 12)) + (portRef Z (instanceRef mux_12)))) + (net dataout22 + (joined + (portRef (member Q 13)) + (portRef Z (instanceRef mux_13)))) + (net dataout21 + (joined + (portRef (member Q 14)) + (portRef Z (instanceRef mux_14)))) + (net dataout20 + (joined + (portRef (member Q 15)) + (portRef Z (instanceRef mux_15)))) + (net dataout19 + (joined + (portRef (member Q 16)) + (portRef Z (instanceRef mux_16)))) + (net dataout18 + (joined + (portRef (member Q 17)) + (portRef Z (instanceRef mux_17)))) + (net dataout17 + (joined + (portRef (member Q 18)) + (portRef Z (instanceRef mux_18)))) + (net dataout16 + (joined + (portRef (member Q 19)) + (portRef Z (instanceRef mux_19)))) + (net dataout15 + (joined + (portRef (member Q 20)) + (portRef Z (instanceRef mux_20)))) + (net dataout14 + (joined + (portRef (member Q 21)) + (portRef Z (instanceRef mux_21)))) + (net dataout13 + (joined + (portRef (member Q 22)) + (portRef Z (instanceRef mux_22)))) + (net dataout12 + (joined + (portRef (member Q 23)) + (portRef Z (instanceRef mux_23)))) + (net dataout11 + (joined + (portRef (member Q 24)) + (portRef Z (instanceRef mux_24)))) + (net dataout10 + (joined + (portRef (member Q 25)) + (portRef Z (instanceRef mux_25)))) + (net dataout9 + (joined + (portRef (member Q 26)) + (portRef Z (instanceRef mux_26)))) + (net dataout8 + (joined + (portRef (member Q 27)) + (portRef Z (instanceRef mux_27)))) + (net dataout7 + (joined + (portRef (member Q 28)) + (portRef Z (instanceRef mux_28)))) + (net dataout6 + (joined + (portRef (member Q 29)) + (portRef Z (instanceRef mux_29)))) + (net dataout5 + (joined + (portRef (member Q 30)) + (portRef Z (instanceRef mux_30)))) + (net dataout4 + (joined + (portRef (member Q 31)) + (portRef Z (instanceRef mux_31)))) + (net dataout3 + (joined + (portRef (member Q 32)) + (portRef Z (instanceRef mux_32)))) + (net dataout2 + (joined + (portRef (member Q 33)) + (portRef Z (instanceRef mux_33)))) + (net dataout1 + (joined + (portRef (member Q 34)) + (portRef Z (instanceRef mux_34)))) + (net dataout0 + (joined + (portRef (member Q 35)) + (portRef Z (instanceRef mux_35)))) + (net AmFullThresh12 + (joined + (portRef (member AmFullThresh 0)) + (portRef B0 (instanceRef af_set_cmp_6)))) + (net AmFullThresh11 + (joined + (portRef (member AmFullThresh 1)) + (portRef B1 (instanceRef af_set_cmp_5)))) + (net AmFullThresh10 + (joined + (portRef (member AmFullThresh 2)) + (portRef B0 (instanceRef af_set_cmp_5)))) + (net AmFullThresh9 + (joined + (portRef (member AmFullThresh 3)) + (portRef B1 (instanceRef af_set_cmp_4)))) + (net AmFullThresh8 + (joined + (portRef (member AmFullThresh 4)) + (portRef B0 (instanceRef af_set_cmp_4)))) + (net AmFullThresh7 + (joined + (portRef (member AmFullThresh 5)) + (portRef B1 (instanceRef af_set_cmp_3)))) + (net AmFullThresh6 + (joined + (portRef (member AmFullThresh 6)) + (portRef B0 (instanceRef af_set_cmp_3)))) + (net AmFullThresh5 + (joined + (portRef (member AmFullThresh 7)) + (portRef B1 (instanceRef af_set_cmp_2)))) + (net AmFullThresh4 + (joined + (portRef (member AmFullThresh 8)) + (portRef B0 (instanceRef af_set_cmp_2)))) + (net AmFullThresh3 + (joined + (portRef (member AmFullThresh 9)) + (portRef B1 (instanceRef af_set_cmp_1)))) + (net AmFullThresh2 + (joined + (portRef (member AmFullThresh 10)) + (portRef B0 (instanceRef af_set_cmp_1)))) + (net AmFullThresh1 + (joined + (portRef (member AmFullThresh 11)) + (portRef B1 (instanceRef af_set_cmp_0)))) + (net AmFullThresh0 + (joined + (portRef (member AmFullThresh 12)) + (portRef B0 (instanceRef af_set_cmp_0)))) + (net reset + (joined + (portRef Reset) + (portRef RSTB (instanceRef pdp_ram_0_0_15)) + (portRef RSTA (instanceRef pdp_ram_0_0_15)) + (portRef RSTB (instanceRef pdp_ram_0_1_14)) + (portRef RSTA (instanceRef pdp_ram_0_1_14)) + (portRef RSTB (instanceRef pdp_ram_0_2_13)) + (portRef RSTA (instanceRef pdp_ram_0_2_13)) + (portRef RSTB (instanceRef pdp_ram_0_3_12)) + (portRef RSTA (instanceRef pdp_ram_0_3_12)) + (portRef RSTB (instanceRef pdp_ram_1_0_11)) + (portRef RSTA (instanceRef pdp_ram_1_0_11)) + (portRef RSTB (instanceRef pdp_ram_1_1_10)) + (portRef RSTA (instanceRef pdp_ram_1_1_10)) + (portRef RSTB (instanceRef pdp_ram_1_2_9)) + (portRef RSTA (instanceRef pdp_ram_1_2_9)) + (portRef RSTB (instanceRef pdp_ram_1_3_8)) + (portRef RSTA (instanceRef pdp_ram_1_3_8)) + (portRef RSTB (instanceRef pdp_ram_2_0_7)) + (portRef RSTA (instanceRef pdp_ram_2_0_7)) + (portRef RSTB (instanceRef pdp_ram_2_1_6)) + (portRef RSTA (instanceRef pdp_ram_2_1_6)) + (portRef RSTB (instanceRef pdp_ram_2_2_5)) + (portRef RSTA (instanceRef pdp_ram_2_2_5)) + (portRef RSTB (instanceRef pdp_ram_2_3_4)) + (portRef RSTA (instanceRef pdp_ram_2_3_4)) + (portRef RSTB (instanceRef pdp_ram_3_0_3)) + (portRef RSTA (instanceRef pdp_ram_3_0_3)) + (portRef RSTB (instanceRef pdp_ram_3_1_2)) + (portRef RSTA (instanceRef pdp_ram_3_1_2)) + (portRef RSTB (instanceRef pdp_ram_3_2_1)) + (portRef RSTA (instanceRef pdp_ram_3_2_1)) + (portRef RSTB (instanceRef pdp_ram_3_3_0)) + (portRef RSTA (instanceRef pdp_ram_3_3_0)) + (portRef CD (instanceRef FF_90)) + (portRef CD (instanceRef FF_89)) + (portRef CD (instanceRef FF_88)) + (portRef CD (instanceRef FF_87)) + (portRef CD (instanceRef FF_86)) + (portRef CD (instanceRef FF_85)) + (portRef CD (instanceRef FF_84)) + (portRef CD (instanceRef FF_83)) + (portRef CD (instanceRef FF_82)) + (portRef CD (instanceRef FF_81)) + (portRef CD (instanceRef FF_80)) + (portRef CD (instanceRef FF_79)) + (portRef CD (instanceRef FF_78)) + (portRef CD (instanceRef FF_77)) + (portRef PD (instanceRef FF_76)) + (portRef CD (instanceRef FF_75)) + (portRef PD (instanceRef FF_74)) + (portRef CD (instanceRef FF_73)) + (portRef CD (instanceRef FF_72)) + (portRef CD (instanceRef FF_71)) + (portRef CD (instanceRef FF_70)) + (portRef CD (instanceRef FF_69)) + (portRef CD (instanceRef FF_68)) + (portRef CD (instanceRef FF_67)) + (portRef CD (instanceRef FF_66)) + (portRef CD (instanceRef FF_65)) + (portRef CD (instanceRef FF_64)) + (portRef CD (instanceRef FF_63)) + (portRef CD (instanceRef FF_62)) + (portRef CD (instanceRef FF_61)) + (portRef PD (instanceRef FF_60)) + (portRef CD (instanceRef FF_59)) + (portRef CD (instanceRef FF_58)) + (portRef CD (instanceRef FF_57)) + (portRef CD (instanceRef FF_56)) + (portRef CD (instanceRef FF_55)) + (portRef CD (instanceRef FF_54)) + (portRef CD (instanceRef FF_53)) + (portRef CD (instanceRef FF_52)) + (portRef CD (instanceRef FF_51)) + (portRef CD (instanceRef FF_50)) + (portRef CD (instanceRef FF_49)) + (portRef CD (instanceRef FF_48)) + (portRef CD (instanceRef FF_47)) + (portRef CD (instanceRef FF_46)) + (portRef CD (instanceRef FF_45)) + (portRef CD (instanceRef FF_44)) + (portRef CD (instanceRef FF_43)) + (portRef CD (instanceRef FF_42)) + (portRef CD (instanceRef FF_41)) + (portRef CD (instanceRef FF_40)) + (portRef CD (instanceRef FF_39)) + (portRef CD (instanceRef FF_38)) + (portRef CD (instanceRef FF_37)) + (portRef CD (instanceRef FF_36)) + (portRef CD (instanceRef FF_35)) + (portRef CD (instanceRef FF_34)) + (portRef CD (instanceRef FF_33)) + (portRef CD (instanceRef FF_32)) + (portRef CD (instanceRef FF_31)) + (portRef CD (instanceRef FF_30)) + (portRef CD (instanceRef FF_29)) + (portRef CD (instanceRef FF_28)) + (portRef CD (instanceRef FF_27)) + (portRef CD (instanceRef FF_26)) + (portRef CD (instanceRef FF_25)) + (portRef CD (instanceRef FF_24)) + (portRef CD (instanceRef FF_23)) + (portRef CD (instanceRef FF_22)) + (portRef CD (instanceRef FF_21)) + (portRef CD (instanceRef FF_20)) + (portRef CD (instanceRef FF_19)) + (portRef CD (instanceRef FF_14)) + (portRef CD (instanceRef FF_13)) + (portRef CD (instanceRef FF_12)) + (portRef CD (instanceRef FF_11)) + (portRef CD (instanceRef FF_10)) + (portRef CD (instanceRef FF_9)) + (portRef CD (instanceRef FF_8)) + (portRef CD (instanceRef FF_7)) + (portRef CD (instanceRef FF_6)) + (portRef CD (instanceRef FF_5)) + (portRef CD (instanceRef FF_4)) + (portRef CD (instanceRef FF_3)) + (portRef CD (instanceRef FF_2)) + (portRef CD (instanceRef FF_1)) + (portRef CD (instanceRef FF_0)))) + (net rden + (joined + (portRef RdEn) + (portRef A (instanceRef AND2_t4)))) + (net wren + (joined + (portRef WrEn) + (portRef A (instanceRef AND2_t5)))) + (net clk + (joined + (portRef Clock) + (portRef CLKB (instanceRef pdp_ram_0_0_15)) + (portRef CLKA (instanceRef pdp_ram_0_0_15)) + (portRef CLKB (instanceRef pdp_ram_0_1_14)) + (portRef CLKA (instanceRef pdp_ram_0_1_14)) + (portRef CLKB (instanceRef pdp_ram_0_2_13)) + (portRef CLKA (instanceRef pdp_ram_0_2_13)) + (portRef CLKB (instanceRef pdp_ram_0_3_12)) + (portRef CLKA (instanceRef pdp_ram_0_3_12)) + (portRef CLKB (instanceRef pdp_ram_1_0_11)) + (portRef CLKA (instanceRef pdp_ram_1_0_11)) + (portRef CLKB (instanceRef pdp_ram_1_1_10)) + (portRef CLKA (instanceRef pdp_ram_1_1_10)) + (portRef CLKB (instanceRef pdp_ram_1_2_9)) + (portRef CLKA (instanceRef pdp_ram_1_2_9)) + (portRef CLKB (instanceRef pdp_ram_1_3_8)) + (portRef CLKA (instanceRef pdp_ram_1_3_8)) + (portRef CLKB (instanceRef pdp_ram_2_0_7)) + (portRef CLKA (instanceRef pdp_ram_2_0_7)) + (portRef CLKB (instanceRef pdp_ram_2_1_6)) + (portRef CLKA (instanceRef pdp_ram_2_1_6)) + (portRef CLKB (instanceRef pdp_ram_2_2_5)) + (portRef CLKA (instanceRef pdp_ram_2_2_5)) + (portRef CLKB (instanceRef pdp_ram_2_3_4)) + (portRef CLKA (instanceRef pdp_ram_2_3_4)) + (portRef CLKB (instanceRef pdp_ram_3_0_3)) + (portRef CLKA (instanceRef pdp_ram_3_0_3)) + (portRef CLKB (instanceRef pdp_ram_3_1_2)) + (portRef CLKA (instanceRef pdp_ram_3_1_2)) + (portRef CLKB (instanceRef pdp_ram_3_2_1)) + (portRef CLKA (instanceRef pdp_ram_3_2_1)) + (portRef CLKB (instanceRef pdp_ram_3_3_0)) + (portRef CLKA (instanceRef pdp_ram_3_3_0)) + (portRef CK (instanceRef FF_90)) + (portRef CK (instanceRef FF_89)) + (portRef CK (instanceRef FF_88)) + (portRef CK (instanceRef FF_87)) + (portRef CK (instanceRef FF_86)) + (portRef CK (instanceRef FF_85)) + (portRef CK (instanceRef FF_84)) + (portRef CK (instanceRef FF_83)) + (portRef CK (instanceRef FF_82)) + (portRef CK (instanceRef FF_81)) + (portRef CK (instanceRef FF_80)) + (portRef CK (instanceRef FF_79)) + (portRef CK (instanceRef FF_78)) + (portRef CK (instanceRef FF_77)) + (portRef CK (instanceRef FF_76)) + (portRef CK (instanceRef FF_75)) + (portRef CK (instanceRef FF_74)) + (portRef CK (instanceRef FF_73)) + (portRef CK (instanceRef FF_72)) + (portRef CK (instanceRef FF_71)) + (portRef CK (instanceRef FF_70)) + (portRef CK (instanceRef FF_69)) + (portRef CK (instanceRef FF_68)) + (portRef CK (instanceRef FF_67)) + (portRef CK (instanceRef FF_66)) + (portRef CK (instanceRef FF_65)) + (portRef CK (instanceRef FF_64)) + (portRef CK (instanceRef FF_63)) + (portRef CK (instanceRef FF_62)) + (portRef CK (instanceRef FF_61)) + (portRef CK (instanceRef FF_60)) + (portRef CK (instanceRef FF_59)) + (portRef CK (instanceRef FF_58)) + (portRef CK (instanceRef FF_57)) + (portRef CK (instanceRef FF_56)) + (portRef CK (instanceRef FF_55)) + (portRef CK (instanceRef FF_54)) + (portRef CK (instanceRef FF_53)) + (portRef CK (instanceRef FF_52)) + (portRef CK (instanceRef FF_51)) + (portRef CK (instanceRef FF_50)) + (portRef CK (instanceRef FF_49)) + (portRef CK (instanceRef FF_48)) + (portRef CK (instanceRef FF_47)) + (portRef CK (instanceRef FF_46)) + (portRef CK (instanceRef FF_45)) + (portRef CK (instanceRef FF_44)) + (portRef CK (instanceRef FF_43)) + (portRef CK (instanceRef FF_42)) + (portRef CK (instanceRef FF_41)) + (portRef CK (instanceRef FF_40)) + (portRef CK (instanceRef FF_39)) + (portRef CK (instanceRef FF_38)) + (portRef CK (instanceRef FF_37)) + (portRef CK (instanceRef FF_36)) + (portRef CK (instanceRef FF_35)) + (portRef CK (instanceRef FF_34)) + (portRef CK (instanceRef FF_33)) + (portRef CK (instanceRef FF_32)) + (portRef CK (instanceRef FF_31)) + (portRef CK (instanceRef FF_30)) + (portRef CK (instanceRef FF_29)) + (portRef CK (instanceRef FF_28)) + (portRef CK (instanceRef FF_27)) + (portRef CK (instanceRef FF_26)) + (portRef CK (instanceRef FF_25)) + (portRef CK (instanceRef FF_24)) + (portRef CK (instanceRef FF_23)) + (portRef CK (instanceRef FF_22)) + (portRef CK (instanceRef FF_21)) + (portRef CK (instanceRef FF_20)) + (portRef CK (instanceRef FF_19)) + (portRef CK (instanceRef FF_18)) + (portRef CK (instanceRef FF_17)) + (portRef CK (instanceRef FF_16)) + (portRef CK (instanceRef FF_15)) + (portRef CK (instanceRef FF_14)) + (portRef CK (instanceRef FF_13)) + (portRef CK (instanceRef FF_12)) + (portRef CK (instanceRef FF_11)) + (portRef CK (instanceRef FF_10)) + (portRef CK (instanceRef FF_9)) + (portRef CK (instanceRef FF_8)) + (portRef CK (instanceRef FF_7)) + (portRef CK (instanceRef FF_6)) + (portRef CK (instanceRef FF_5)) + (portRef CK (instanceRef FF_4)) + (portRef CK (instanceRef FF_3)) + (portRef CK (instanceRef FF_2)) + (portRef CK (instanceRef FF_1)) + (portRef CK (instanceRef FF_0)))) + (net datain35 + (joined + (portRef (member Data 0)) + (portRef DIA8 (instanceRef pdp_ram_0_3_12)) + (portRef DIA8 (instanceRef pdp_ram_1_3_8)) + (portRef DIA8 (instanceRef pdp_ram_2_3_4)) + (portRef DIA8 (instanceRef pdp_ram_3_3_0)))) + (net datain34 + (joined + (portRef (member Data 1)) + (portRef DIA7 (instanceRef pdp_ram_0_3_12)) + (portRef DIA7 (instanceRef pdp_ram_1_3_8)) + (portRef DIA7 (instanceRef pdp_ram_2_3_4)) + (portRef DIA7 (instanceRef pdp_ram_3_3_0)))) + (net datain33 + (joined + (portRef (member Data 2)) + (portRef DIA6 (instanceRef pdp_ram_0_3_12)) + (portRef DIA6 (instanceRef pdp_ram_1_3_8)) + (portRef DIA6 (instanceRef pdp_ram_2_3_4)) + (portRef DIA6 (instanceRef pdp_ram_3_3_0)))) + (net datain32 + (joined + (portRef (member Data 3)) + (portRef DIA5 (instanceRef pdp_ram_0_3_12)) + (portRef DIA5 (instanceRef pdp_ram_1_3_8)) + (portRef DIA5 (instanceRef pdp_ram_2_3_4)) + (portRef DIA5 (instanceRef pdp_ram_3_3_0)))) + (net datain31 + (joined + (portRef (member Data 4)) + (portRef DIA4 (instanceRef pdp_ram_0_3_12)) + (portRef DIA4 (instanceRef pdp_ram_1_3_8)) + (portRef DIA4 (instanceRef pdp_ram_2_3_4)) + (portRef DIA4 (instanceRef pdp_ram_3_3_0)))) + (net datain30 + (joined + (portRef (member Data 5)) + (portRef DIA3 (instanceRef pdp_ram_0_3_12)) + (portRef DIA3 (instanceRef pdp_ram_1_3_8)) + (portRef DIA3 (instanceRef pdp_ram_2_3_4)) + (portRef DIA3 (instanceRef pdp_ram_3_3_0)))) + (net datain29 + (joined + (portRef (member Data 6)) + (portRef DIA2 (instanceRef pdp_ram_0_3_12)) + (portRef DIA2 (instanceRef pdp_ram_1_3_8)) + (portRef DIA2 (instanceRef pdp_ram_2_3_4)) + (portRef DIA2 (instanceRef pdp_ram_3_3_0)))) + (net datain28 + (joined + (portRef (member Data 7)) + (portRef DIA1 (instanceRef pdp_ram_0_3_12)) + (portRef DIA1 (instanceRef pdp_ram_1_3_8)) + (portRef DIA1 (instanceRef pdp_ram_2_3_4)) + (portRef DIA1 (instanceRef pdp_ram_3_3_0)))) + (net datain27 + (joined + (portRef (member Data 8)) + (portRef DIA0 (instanceRef pdp_ram_0_3_12)) + (portRef DIA0 (instanceRef pdp_ram_1_3_8)) + (portRef DIA0 (instanceRef pdp_ram_2_3_4)) + (portRef DIA0 (instanceRef pdp_ram_3_3_0)))) + (net datain26 + (joined + (portRef (member Data 9)) + (portRef DIA8 (instanceRef pdp_ram_0_2_13)) + (portRef DIA8 (instanceRef pdp_ram_1_2_9)) + (portRef DIA8 (instanceRef pdp_ram_2_2_5)) + (portRef DIA8 (instanceRef pdp_ram_3_2_1)))) + (net datain25 + (joined + (portRef (member Data 10)) + (portRef DIA7 (instanceRef pdp_ram_0_2_13)) + (portRef DIA7 (instanceRef pdp_ram_1_2_9)) + (portRef DIA7 (instanceRef pdp_ram_2_2_5)) + (portRef DIA7 (instanceRef pdp_ram_3_2_1)))) + (net datain24 + (joined + (portRef (member Data 11)) + (portRef DIA6 (instanceRef pdp_ram_0_2_13)) + (portRef DIA6 (instanceRef pdp_ram_1_2_9)) + (portRef DIA6 (instanceRef pdp_ram_2_2_5)) + (portRef DIA6 (instanceRef pdp_ram_3_2_1)))) + (net datain23 + (joined + (portRef (member Data 12)) + (portRef DIA5 (instanceRef pdp_ram_0_2_13)) + (portRef DIA5 (instanceRef pdp_ram_1_2_9)) + (portRef DIA5 (instanceRef pdp_ram_2_2_5)) + (portRef DIA5 (instanceRef pdp_ram_3_2_1)))) + (net datain22 + (joined + (portRef (member Data 13)) + (portRef DIA4 (instanceRef pdp_ram_0_2_13)) + (portRef DIA4 (instanceRef pdp_ram_1_2_9)) + (portRef DIA4 (instanceRef pdp_ram_2_2_5)) + (portRef DIA4 (instanceRef pdp_ram_3_2_1)))) + (net datain21 + (joined + (portRef (member Data 14)) + (portRef DIA3 (instanceRef pdp_ram_0_2_13)) + (portRef DIA3 (instanceRef pdp_ram_1_2_9)) + (portRef DIA3 (instanceRef pdp_ram_2_2_5)) + (portRef DIA3 (instanceRef pdp_ram_3_2_1)))) + (net datain20 + (joined + (portRef (member Data 15)) + (portRef DIA2 (instanceRef pdp_ram_0_2_13)) + (portRef DIA2 (instanceRef pdp_ram_1_2_9)) + (portRef DIA2 (instanceRef pdp_ram_2_2_5)) + (portRef DIA2 (instanceRef pdp_ram_3_2_1)))) + (net datain19 + (joined + (portRef (member Data 16)) + (portRef DIA1 (instanceRef pdp_ram_0_2_13)) + (portRef DIA1 (instanceRef pdp_ram_1_2_9)) + (portRef DIA1 (instanceRef pdp_ram_2_2_5)) + (portRef DIA1 (instanceRef pdp_ram_3_2_1)))) + (net datain18 + (joined + (portRef (member Data 17)) + (portRef DIA0 (instanceRef pdp_ram_0_2_13)) + (portRef DIA0 (instanceRef pdp_ram_1_2_9)) + (portRef DIA0 (instanceRef pdp_ram_2_2_5)) + (portRef DIA0 (instanceRef pdp_ram_3_2_1)))) + (net datain17 + (joined + (portRef (member Data 18)) + (portRef DIA8 (instanceRef pdp_ram_0_1_14)) + (portRef DIA8 (instanceRef pdp_ram_1_1_10)) + (portRef DIA8 (instanceRef pdp_ram_2_1_6)) + (portRef DIA8 (instanceRef pdp_ram_3_1_2)))) + (net datain16 + (joined + (portRef (member Data 19)) + (portRef DIA7 (instanceRef pdp_ram_0_1_14)) + (portRef DIA7 (instanceRef pdp_ram_1_1_10)) + (portRef DIA7 (instanceRef pdp_ram_2_1_6)) + (portRef DIA7 (instanceRef pdp_ram_3_1_2)))) + (net datain15 + (joined + (portRef (member Data 20)) + (portRef DIA6 (instanceRef pdp_ram_0_1_14)) + (portRef DIA6 (instanceRef pdp_ram_1_1_10)) + (portRef DIA6 (instanceRef pdp_ram_2_1_6)) + (portRef DIA6 (instanceRef pdp_ram_3_1_2)))) + (net datain14 + (joined + (portRef (member Data 21)) + (portRef DIA5 (instanceRef pdp_ram_0_1_14)) + (portRef DIA5 (instanceRef pdp_ram_1_1_10)) + (portRef DIA5 (instanceRef pdp_ram_2_1_6)) + (portRef DIA5 (instanceRef pdp_ram_3_1_2)))) + (net datain13 + (joined + (portRef (member Data 22)) + (portRef DIA4 (instanceRef pdp_ram_0_1_14)) + (portRef DIA4 (instanceRef pdp_ram_1_1_10)) + (portRef DIA4 (instanceRef pdp_ram_2_1_6)) + (portRef DIA4 (instanceRef pdp_ram_3_1_2)))) + (net datain12 + (joined + (portRef (member Data 23)) + (portRef DIA3 (instanceRef pdp_ram_0_1_14)) + (portRef DIA3 (instanceRef pdp_ram_1_1_10)) + (portRef DIA3 (instanceRef pdp_ram_2_1_6)) + (portRef DIA3 (instanceRef pdp_ram_3_1_2)))) + (net datain11 + (joined + (portRef (member Data 24)) + (portRef DIA2 (instanceRef pdp_ram_0_1_14)) + (portRef DIA2 (instanceRef pdp_ram_1_1_10)) + (portRef DIA2 (instanceRef pdp_ram_2_1_6)) + (portRef DIA2 (instanceRef pdp_ram_3_1_2)))) + (net datain10 + (joined + (portRef (member Data 25)) + (portRef DIA1 (instanceRef pdp_ram_0_1_14)) + (portRef DIA1 (instanceRef pdp_ram_1_1_10)) + (portRef DIA1 (instanceRef pdp_ram_2_1_6)) + (portRef DIA1 (instanceRef pdp_ram_3_1_2)))) + (net datain9 + (joined + (portRef (member Data 26)) + (portRef DIA0 (instanceRef pdp_ram_0_1_14)) + (portRef DIA0 (instanceRef pdp_ram_1_1_10)) + (portRef DIA0 (instanceRef pdp_ram_2_1_6)) + (portRef DIA0 (instanceRef pdp_ram_3_1_2)))) + (net datain8 + (joined + (portRef (member Data 27)) + (portRef DIA8 (instanceRef pdp_ram_0_0_15)) + (portRef DIA8 (instanceRef pdp_ram_1_0_11)) + (portRef DIA8 (instanceRef pdp_ram_2_0_7)) + (portRef DIA8 (instanceRef pdp_ram_3_0_3)))) + (net datain7 + (joined + (portRef (member Data 28)) + (portRef DIA7 (instanceRef pdp_ram_0_0_15)) + (portRef DIA7 (instanceRef pdp_ram_1_0_11)) + (portRef DIA7 (instanceRef pdp_ram_2_0_7)) + (portRef DIA7 (instanceRef pdp_ram_3_0_3)))) + (net datain6 + (joined + (portRef (member Data 29)) + (portRef DIA6 (instanceRef pdp_ram_0_0_15)) + (portRef DIA6 (instanceRef pdp_ram_1_0_11)) + (portRef DIA6 (instanceRef pdp_ram_2_0_7)) + (portRef DIA6 (instanceRef pdp_ram_3_0_3)))) + (net datain5 + (joined + (portRef (member Data 30)) + (portRef DIA5 (instanceRef pdp_ram_0_0_15)) + (portRef DIA5 (instanceRef pdp_ram_1_0_11)) + (portRef DIA5 (instanceRef pdp_ram_2_0_7)) + (portRef DIA5 (instanceRef pdp_ram_3_0_3)))) + (net datain4 + (joined + (portRef (member Data 31)) + (portRef DIA4 (instanceRef pdp_ram_0_0_15)) + (portRef DIA4 (instanceRef pdp_ram_1_0_11)) + (portRef DIA4 (instanceRef pdp_ram_2_0_7)) + (portRef DIA4 (instanceRef pdp_ram_3_0_3)))) + (net datain3 + (joined + (portRef (member Data 32)) + (portRef DIA3 (instanceRef pdp_ram_0_0_15)) + (portRef DIA3 (instanceRef pdp_ram_1_0_11)) + (portRef DIA3 (instanceRef pdp_ram_2_0_7)) + (portRef DIA3 (instanceRef pdp_ram_3_0_3)))) + (net datain2 + (joined + (portRef (member Data 33)) + (portRef DIA2 (instanceRef pdp_ram_0_0_15)) + (portRef DIA2 (instanceRef pdp_ram_1_0_11)) + (portRef DIA2 (instanceRef pdp_ram_2_0_7)) + (portRef DIA2 (instanceRef pdp_ram_3_0_3)))) + (net datain1 + (joined + (portRef (member Data 34)) + (portRef DIA1 (instanceRef pdp_ram_0_0_15)) + (portRef DIA1 (instanceRef pdp_ram_1_0_11)) + (portRef DIA1 (instanceRef pdp_ram_2_0_7)) + (portRef DIA1 (instanceRef pdp_ram_3_0_3)))) + (net datain0 + (joined + (portRef (member Data 35)) + (portRef DIA0 (instanceRef pdp_ram_0_0_15)) + (portRef DIA0 (instanceRef pdp_ram_1_0_11)) + (portRef DIA0 (instanceRef pdp_ram_2_0_7)) + (portRef DIA0 (instanceRef pdp_ram_3_0_3)))))))) + (design fifo_36x8k_oreg + (cellRef fifo_36x8k_oreg + (libraryRef ORCLIB))) +) diff --git a/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.fdc b/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.fdc new file mode 100644 index 0000000..6fbcac9 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.fdc @@ -0,0 +1,2 @@ +###==== Start Configuration + diff --git a/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.lpc b/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.lpc new file mode 100644 index 0000000..eac07d5 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.lpc @@ -0,0 +1,50 @@ +[Device] +Family=ecp5um +PartType=LFE5UM-85F +PartName=LFE5UM-85F-8MG285C +SpeedGrade=8 +Package=CSFBGA285 +OperatingCondition=COM +Status=C + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=FIFO +CoreRevision=5.0 +ModuleName=fifo_36x8k_oreg +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=03/18/2015 +Time=14:41:45 + +[Parameters] +Verilog=0 +VHDL=1 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +FIFOImp=EBR Based +Depth=8192 +Width=36 +regout=1 +CtrlByRdEn=0 +EmpFlg=0 +PeMode=Static - Dual Threshold +PeAssert=10 +PeDeassert=12 +FullFlg=1 +PfMode=Dynamic - Single Threshold +PfAssert=508 +PfDeassert=506 +Reset=Async +Reset1=Sync +RDataCount=1 +EnECC=0 +EnFWFT=0 + +[Command] +cmd_line= -w -n fifo_36x8k_oreg -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type ebfifo -sync_mode -depth 8192 -width 36 -regout -no_enable -pe -1 -pf 0 -reset_rel SYNC -fill diff --git a/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.ngd b/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.ngd new file mode 100644 index 0000000000000000000000000000000000000000..ff7f458294f28db429d52c69a5aed37dd3c88377 GIT binary patch literal 244357 zcmeFa2bdJq@;=-R2nN(q@v4{_K}5uz-ar&#HjvYhRm2@62$Dv`oW`7W6chzRt6V{L z)lny`td65%00c)bA{fVn81I-B)Av@L(>>k2y?pvSe}4Ds-F}{huD9N*bE^7ucXgOP znQSg!q7-*kc-c*f&BOViGrI`8xubLVJP zGbYWRJ7=m`D-?%}Q{UA<@kytsZU-_7R)nf(?>o@8>&J|GMrgB&n zydZ*BDm6cLm&oGg+)bngE9f7>fND)%sK_z01Qoi(>4VcA+1n8BPD_>e4;nSlzkhZ2 zZi-TSIJ&N=GtQbk_l!C7tBZ@#(o%-zRZpHjueubnktNDmEKi;>3CKcboHZF1@KJL? zK|KA8ldFq$U{^|v-If8kPyk^#Eg><>PD$(m@ggD4n>wd@M)iz2X99|_!P!{5SeQad zOiQSqK`dcNetHtMAX3jR5oFSKC$XTVE?rvCVz|{@TF_G1mld=+i3KeOczHoxOaWbP z)06oXHa%ta3Y#9{RYEP&P8qmL=mkKbTxka=n5*q36wcLl21Rg#WMI3{h9I}s*^Rj4 z7uc0VF;s|ec(l}EKTs(^EwgL~wlxl(& zIw5NGmVza*sJFKiSQNVs=+**@_auS}EHb2Rx~RY+i1K)w6QcYqavD-HZg;Xc%-fwb zO7>zWjS{rj$>L-zabY@mOPr=WFz#?-M7twvZFb7UT?LVPepkVOQg?Sjq^{gqAX8`W zE{K%+I}2o85l26@pLO!6xks@1SVq1LL1&pVbdOD*OvjgdZ2tWD-MP;u zQ-7AR{ppj>IC)a_nX~2+gAs8!)gj-{78V0XztiWFp&g!1UAdnPC2=|(CYiXDiSwq| z4xRsG<~%y=@s?UHC?r$R|15|!oR$~ZG?X4Fh?J2B3S`<;D+*dl$BKfMvQbmeQZgPY zh?I*5ZDMitv}r`CwP7W|2+Gpn5WOdeXb;*k$Pe4h$aRfPPp$zyWP^0bF<~$Sd&Ewmyge!yNIVHb%Uc2BV|HuG>LYf5^7@!C5dJB%aXUb{ zjoAU}Qo`&I3W8#-x5<>s=h$HO8E03QkjE!&_B!MzY;@}16E;2N;e3|EQ^M8rCe5za zt4pi-NcJR~h38G3JOfn(c8;>{)L7cVE&-d+dIwqxR41;@1;nR>C>ugET)I5vGA-d_ ze#XT`x8Nb;;1;798aYopxUlCeJ?-F@RF_m!a-MN`B9b|NuPY%zz z$hxTWUT~0gB$BG`nim{wfYrs6uoqoy^qK-(E#OJ>=FgZkt9sfQvt|K&o?X!PrGfpT zCDD$s+L9;}YuTKbG)~r7I_k(;OQQDISj28!r_^BCoSdOKHHYD`;svtuKf= zi3KvGmo2G;I`~pSq~5l276bNlW)S=y2AVbL>667O9XkMj1elgFbg=i-5ubJ9w6 zVDC9;C6to)oV*gs^vOvD74R<7;Wthb_fFr&{mf>?wyN9TlQlYMoHP~!YJBLT>hwyPh338)Tb_< z4(xL$#!%Kaip6pG-*y&GMC9!=Cq^Cl!U<7-zi>LEZT+Q_MUnp7$>NcichdOSnRoFh zb6>hJ9oSbcTgu4SE)39jPE(5N8y7?^eCom|h_783ZR&4>j>Pef{jG~fIr+}Tqtt!x z!l=jJyKJd{Ke%{0upgZ~KIwhqf#}&+GZ{u{M9yd@sP^e<}MzFL~RQv zMhBhR7A{*rJGp2`T%(n_c&EnPI~aZ4AEa@Wemqi9>XcofCXE*@oS zXBSTgwyTpzhvM2@ojf`e*Y4utQ5(CtFzQQd7e;aH=JH2zw02>XgWX-W)aN~27@$2| zraG{H*lBuo37PKg#OQon>$BVHRP5L8?u2MG?&*XmCqAb$O37YMh$7v~X-I+Y?S$yK zTf2|bl8(Ez|8Vk3U|aKx6Qcn3aY3{l+PK_N@NHZ?9oT+O9u*n2`#E_$+V^+yC?)&5 zcofC~E*^z(fQv_cKE%nR%CL4{Cyy>JYTLSal;pNfUWpFuKqs$+{2l1x0XoP@qrI{A zASaE7`@t?AZM8#OJPPAb7mreKsEbFbKa4T+t~mvEumhp6G?y=eT&{Fr?VL1*m}YvX zm=0r-iO@!E??5P?eH{oz)865SVmaJ_&_zmZM~4{&*U?D=6t6SraDLo~Q6<&$Nv_dI zo;*8TJ!>izW3_$R%hYOu;8i?tY1ZI*OKlJKeG2oaLw?cLoHKF3QLO{$!j5LlleZ_R zheryIgecja93)D12f;BPV*oiqa7;ueGY5%~BLpH{Q>Kp$Qe^B^4&}bo!J#A;I}l1onZt^~VaDm`=46p! zcPB)M(@qD}lOZwkD6*bxB;?66s_|H>wwH}UT|CAnQ#-wECX}vYY%-;|hmEg8?oE21 zYbPk06`l;;vCO6WCDl_%B&s@fc6k0dEcX^_dM-k@7i)E)=lw?ZHoz3I%TYjmZ4~N# zz$Rl8#Lw5Li7AujPr|;YgHX-{36O{KAa{Zrq4@gPJWzb212zw| z!7`c&vI!a);{!GZpNaZ#qmsha-WWTFPn=`z2o0w(8JimlcB~!X6Yw~@4HX9QGc}tL z)td1$4LgDm<7YWI0M52kxaJs_u^G`hJbspggSg{oYjzF-kDuc}XnUOFutE^=a~&M& z@`ZLzF|~Dp1EGze+Y?A9&-l4^4xKvV7dkwX8#_R!$oNGLgt{EDyPYSj52Oxm~G%i(h9M%$UO=USb1yQ(kI0m@$X8 zfBaG#gA#X{jX?2UX44~$@yl&`ivDt&p8Q>5(^H&R*z`0=<5$`UG>+p}+8LCHtLzMl z`aBzhZV|?>vIEr1t8FH&u_FbsMV-V=Aj+6TTst$vNNdX*V-B6<0d;mJzroq z;S@74c`l{qW^E#!qZQws4Gi%otOG8=yA5kP#Al)BO_`uJ^<*_Cc$#|^0~ z;gNf*)KQKWo9jX&dF;VlXYaR5URUnt?Us)Eu~}U6zg_yW7%{|GO<6c`+;<`M*a>{0Jj{k7Sl`FPBOk@s>(W zF=yl!lLyVcl7(A>B$*n{$bFWMeEd^N#oXh6N+v&2iQjMO$jkkfj(WVz(vg?@q>i@y zGIO1KwOsOa_P^ZHQAQrHbmad5OGo}!SUU1wBXl^QPo9etdc0O>g)H89iPs8_tg5Rg zgBEAn$y2BhIGG-&VYJk&3Mx3n+CY8`7k^l|oHY}64_dBJEl~R_EZ~%>)l&$0P|(pD zBi!6W76vm4=^@LEo`%OCW4*0qO2T8zv4Ko|)Q!>}5O;%=s7Ki8q-oW7GRw6G_3lvy zZBD5-3AZ^h6K*DL!W1)YKB@Ib+@PqW;wkoEBU4mKH<|X+q?<|ouXBUc)p`Na>f<&~ z5LdeC)Zdjh4sVIa1&ac#7dp^3-lbsaZ~~!EfS|TMK~c5_u?CRbtT*tgTw=`e!G4Nb zQ~Je z>6?w8*N?{TlSF7nSA!&wMqV1~it7J9NHQ_2!;_{cYT0h08vu%eUD#pLAq1~8#(ER;6Y z3zkP3;4fOb9wb@1o{&~sIyw)(U`ezWt+tr7N35|V+7+I+B(4|NT83N*zEsc_V~d^| zQDl~FTeJsl(RG%cPEx@PR+C~LRy`*|)7|3P{1umy>WYAeQ5k8@fkF%(n^8B?Tx57? zx{_u=#zRv=n(H+W4fo*AtcRwUY-R*pnw|iwGA@utdolCHm7{I9W)(L-B&T3oHY8N7MzD0PO2xPAKN^ zE&#;K6X8?&RVms1^-2+#sQq5jRSG=-hydqg&BjcM1TOJ{oX3Ko9s( zrxwi*yEHgpsYSDLE);`aEq%;rfC?|A2`C(KcQ^f?7jkK6l>`nc8rm94IfxHP3^tD-tCjO7WmolEO;E`EvRmp&PAX^Krx zy&7n!tEIIWw}IJq=|h@ZWj0eZJm6OGo-&|;1tqEU;fR}U_Np|NbE|aGVVtTpsP-s? zj&X6nYj91VR!h@{%ZKISWpbg88#o9D$+gTHH*^I2_-WKl9y_|6}OhL;ZoKdXV`8-4unN(e6-EQ~21Gl;}jNf#eoOf=HGGdf5ptqvSrMQ4(K zX<{9e^-5l>1AfK8s&CZjF%`riq1h<$zFmBS1}Q$8DumAhSXEgeON5=H79xg>|KAZt zrpPKniEl6fG#do~CB?3~sb#tn->3nghEYGPYlU@CM-0Qd&s;C=b{xgC(E#8Z)o*}R z*}Sd^WOTnVgdtb2=YVLV`T>E(>xR$^3;2JT&p=7|fc688xWh+`8iuT@{!?-g)sdY_ zyHdSH2WIS$N_>;S@C1S1LE_4%mHy(vDpD}@vzxYs3Wq) zQl`D(pmCw|hJ(hH%PS5R7d~$~pi;Jc(_vZ4rf)fU+>y7P7>Dqd)0XR(cO1MD&e1y# zUI}O9T_=wdmvdsGU8gPg?_DRxvE`f?$M&A4*pgSm{d>>JK9_Qs_C&qo=>a^u}KXGChpD*PVEE7f! z$2cDo!>VJP(@EDqffx>$&TRfkQ8&z|!G|+;828ZBBAFH2{!_xW*rPr>mG-MrI_LP$ zYlss(M|abyQt;1rG)P{!1gr_W*`-`qtPM55`81Gj;HH$zlez}2mh$QHxrUwOlk28{ z+kXkC>tdq;j@}o&l5YTWrSnd<0Z!@lx6QfnVs@{D+;|Ch|C>kyoYV7JxB<@T`Ke*M z6s~`F(4B*zgwp138Eb%38vIx0-8jQ-G7VrJW5cxuIJ+-18sI!cj>t5?X-N6oH3+Mi z(^lkbz~{kJ9%+D6+Wfs5cAwKQAlrb?an084IErQe4FNks!;%9EMeQ(3ZT|@X3Yo=a zf`3}hj-W>OpPsQJ*r)vG`s@hR-0#^vPblHA7i8@$I!zytvm`m;*xR{rhAb z5cTwmyaNI(LM)6BPkGZK#1Dk)6oaK)Ta9T zXB{l+{Ph6`1Y~r~fgqfshe9?8l?9Fd$#(a2J4W@7_t|N*&z63eaYC}ln;5dgd=Vs1 zcVbgc=wa6VA4lv=^YX_ZwnxHOgZ@(kb|zmAZOu6$c~$ah#15MmLjDWFc9?hNvot$I zN0rjga!yG4{32k7txJszP-_HH<^;Xy1xNo&`K+)q@8I$oByKn`?!nU`7bd&#OvZtm zeJFZ5;=uVrYnA50q>tyET=R0qe;Me7IQXowK4gbV>Bv?3e9nbQw_h4|+`8J@5Ocsh zVqOfmFqyG80o!`OV`jDC!en!6om@F;P_u)t?llrabwAB+Sl#2;<6XGe^0}K^M;idEpqR~XBMeb73;F%}%a$)aa}^_`K!hg^-M_xSN@sx12uAE$1JsJA81~ z#f>?6@rQfQNQ1vSXtp%)7Jl1sVY0vPI=R*suFN_3yft$n7bbnYmvP``-u=;>9q0NY z=%n%n{vc$h6`LEl^ee-TTQ?v+)c|*p5#tK>Q=&}{4jNuvF?RHzs^b8CMidhoUOucJ zVMJ>gtfFh}5LP#c=K`M-tc@5uhA*wx1)>nULWIQ^8rFRyD*5@q=cZ7B3;Z(L5M4*m zYQTq7_Zb2Dm&_Q}Z&>xvU}bgxK|`t4e;Z(S7&i8}GP)5Ujzz-mG_iJay=}%3&i$)0^z^ykY6#;iaD-DIGuudCP7YDL}LCAs>VZLr0Io1HTy>eD)h^ zev%;mHDsmbpyA`dtK}93ji~0QK1%#6(y7qVqr!Db^0Hyjh>EfO(fHSfsY7$|FD$7P zlGwy#R|e@D&19C4WHEI}-;vaN(C|Uj>G-!&8r-jP41Ov`hz7ln^wm}6bVnxs9U-s~ z9P;r!Arpy%dp2We^L%dz&Y&^SuX{WSsq3KOQ2eA}wON1uW!bunkGAn3MR{eN!HkMw zM4|PW11MMPrpBRK1Z^*!89Hmx3E%dzqDC?de|8x6~9bPH( zx$apYN^eHS52_k75Y7E;uvP!+9+awWrqmO{kCvd5q?tq<2jbf-iI_iIQV&SK7$&nD zNtTX=%nz21viqwg(N_D_V$yc{-IA#F-z+8xrm8zN_q(a;j;5RNVG9Y^iFYNH`75^w3|>&sT&fKXkkg@Ts5Wc#B8Q1lyH1}f|$)L9pX$hw{(as z(cID@>V#_P5Lsd;OM=kS6uMFGTUZixb0Xs6D=)?n%hN_x~|mCoh={OB|;sP_yMLJy?B?{*OI8cf0z=v+}AWKr3m&jRi)IGe^@$-bw5j@#@kpDdD-8x zqgeO1bkwl}EQvbS){;mt&FIalL`PCJ>t9tIEUh>W@*$>{UQSBvYD)ADVB$dH(lDm? z{}KmTI_ma;mPB1U$YN5r54LoamqSb){rnVp3NQvm^?ry~U)Cb+B~Qct?_aNX+O`I;AHLHy~5+P;OWnfiR&z z&Mt|=HLMa&O?NO@AS2G^5u_Z**<~k3l038D@bZeG{i<-jEsjA}`|%5~xCH_a2OB_| zF#>&=ub`(RcTLEW#i4t1B_RX*jU3D8lf;n*MAdc}quZ{l7Xnph^s5@wzj|oDa_V&_ z&Bdczv58LLIjresY=VmdyHC0un>fntfp51bj&i%;8|R55+%Vm~O>}mnbOSfhrGaMw zyUC?|Yxm&>F!?yTfe*UBn>e}wnQjFqO5E%cN?SsAv-xIxqPPK@)1)_mIZwq6oNzQH z4Peg5u>||7MpWR&hQ1Tl#paL|I^TWJr$wDGKXKu^jru=$ywK(s7ObNCv`V6vW?IP; z97o>OjTUn^{BD@;{3l8qpq$iFLvfCp5@we*U~`Mz+%P`{NOW^MDdwbhZ2E6Hz z=em0XHs`vm0n9z=(ZC7!yGH{yR{}j7usQf%4cK^XM}IG*IJO*b2f7T>lR*y+UC`+d zXFN1?ajtKG!afez8(qNZFL`Zmx@6Sf$a>h&1*X2UmxdoK=*>bNMqGag2KyI*e~^HA}P6#XDi6Sr34= zK^I658uZ@o=IL33ewdp}j~nzhS(gWT;-K#V(9r{W=%61McB8oat@|S`lpa0kopLUe zo<8Vbgxn~=Zf=mCLFnV%9izt(`e1h#a9cptD;sbrwTqqrx%6f(xpu$VpF;LjkKEb?AS+<8epVo5@{rsHEh#$4;T_P@6oTU!+ zxnO=UqVEmV*)j*Pn>%fM0x!MSaI?!~BFcOLx5|t`-#_eD(Fv<;FQXwW^RW*!&R&`K zT=k9(;-EuoS(}jC!o1C@f2Fxp+#R;Ze(}Q47ydk)ekos!qV9h&^Vo0TYYK9t>O~n znt&VT!EkBV4f9~=6lw^|rjN|HRn}lwWVls)WQbb)#nzFbOU7+sjgh-_ zw~7Ztk=78F9d=h0^!hfdl*BP))uFJ}aW<-nx*hSsf-5QePGdcj(SM7$EZCs0)&Mmd zHK5U|g2mm2RN)e~Cy8`@P4}L9n*vTBiQbyjbcZmRh04(t#Sq2|+Mx1o5c&vVL^-|N zpXe*43KR%RqR5m;b*!d{mb#LaDU?A7m;!wpA`vhokMCrao4OLH$}JVOTw$uXQX;b;Jyzq zc@+LYQ$^hyXlkf;gG>#FKgd+^{l>wTj?9Kw5(yTOQa#jCk;|c$jygZglvp5Qi>eW{ z&coJMHK>A|4;HLJ6%^HQVv&ZDG~Cosh$Bo5WnzS>p~Q|f1^UrPVwB0_I7XQ|QjIoM zl$X(_hEq1iRN)mBB{9a*k^ixlj_gL75(P5WB9b)DRPi7jXX&6iPO5m*9VazB5{@@D z6xT#kAa_BN#(f%Zswk4BwxNrK6TlvUJqg zNtTX$R$DsS{MD8YLP!XB=vK|os_3U%i4gGzR*~r>TJ_OGIjJuPc%lKYjt&WY`Wi?t zcqb+SRI93}g_CLZ?kbeb{+~mjs+F_mPM%43UZ9+!0cpkv&O)v8mR=roCL2I}m7}Zr zRmz`|C8kKIg4&s?IrP+@X;NQKjZZZNB2Uv4c2+?tIzimSJH#W^(SPpes<*`z;g_&s^zok z!Qq(c^QN9Y9rqZ_AI~LbYS8*B>Bn>HPY+^!W@W#jLt%D`0U=&VJ)B9a;8298(t50t zQa+1T&#tT-TT%(*^Fok%lSC>FLc9`L*c^;vbq*MbIRv(-7&@YI2tQ*V-k-wcI}>qE z%WMOLI3KO&5_dj@JC{JO?(?0+1tDmCi zqLR2S=Vnm!moz|WXS=ikDznOWAA_3PlhpEk*+{4nE#DQ5INtsAFpoUfyVc>4Y>64&BV10$b2xrt|1%a@d-_F<=ZwM zsiO2hygde$yZ+^w?f9Upe}7_o47$nZU%kCnsOt1TvppYF68m3{D2>NL1+9O{_I&V< z1O0Dp&j}Ue{)O4?G{ZMe{qb;>xl-3&8NC2lVHUu(>g4twhxv&5|?|C@~|X&7IVgWuilrv@l)nPdY;jJI>^O)T;9nYPjKcf(ow>%;)P>S-LyfCtAHK^pMlo-t`9Crmq3~65 zE4@)IH=(Sd%On&;uWC~P?-5in{st#^41@eEhN$Un-fho{geF@Q8 zl=FqfEwm2L<RROo2;|J4_?K&2WdQqt4!GsaP^qw1Muj zROIn)QXxr1Gf0DV#^pL$h%;(ww_dn>3634p%X*(Su`maVI9&*BU7N@1!1B%)RvuN+ zxe`|Q2pu`QCj{NO<>ZP$jW+LnA*?mi&n_*YZ&4-g%RyA}!H+@1sR@tM75c{<^h0oL zx2KfE(h%F1nSHeVZdpnElavGXvwQX|M<=%O4+Wc3WJ_~U6zOMo>qd&iG7@*zPu6u( z-XD?@C;NWVp}#s7DE~NTZRbN((0F14`otwC@3V&yx{TrsGhK)&Y{en-ffUhCKufyHpEDv9NJ*<5Rx`jzoKw<|XT`O%vi<;FNW3}M@0*c!#9aS)KuEJRvin}zO(Na8 zAHakcaUr^Xr2%G45tp~?>m$ngdLMolO?K;_fbQ{-{N?t#d`?;aWE40$Zgw}dCZ|xB z8N{xa!wO;49V{wx%U6+%As7=gu)-KRiiLO_Vrq?#!;~0zpD~0Wv6Bs~5Jm}*7zf5Y z1ShfS8dj2RHHAXNf;L20snuZ)4OlZ^uWF>lLIGj{CNBI*poCxn#&2luBsY3T+UP$S zO5#XOzWs$ObZhfg?S1JdFleqM>Y{ST2$exI2mGqms_+5#zb$Lihm!mO?qos6~yeXiNLk6YG&NsXI8MP+Jn?ZJAtXaErhYIq!I+Hy6%EdrdL3aRiP0MzhiPjn9X` zvW1dJ>#l4h;)v?7yqS$hV)ks*m1Y~md3IX|DlkL4%;0Yd#9h< z6^<|-p2^B=ATuW#z_#MDGku`X91ZtGY@=c8nxJhoBvxgV^b;_lUYbKIsYlrbgX{zm zV9QUtBzBdE6&{6B{8WOrJo%NFh;=PS1H5BtELM6U)7WFVd~S)<`cfmjYnfrT8klATDMt}E0L24z=L^Mc$RiE)Qgr^UEa5({ZqNuB0XsA~cf zolAwo+(C)GYG8$S4eF-ExTC3e4DKv2q?Lb~(n6$goln8a_nIgp?72MSIaxHW*_;(8ymG7R7! z!p0j|scRp?zq}B3f`-+)4g$cxY-=z^|MJ2-1FLn12N=LV>`%i=T}S$t7s9Fytk!kR z;9p({o2X&6?uZZr_=m7NNJ{qLK}R@YcQV#JPckipEy-ae**!=yEri__!b-ARm}FWA zyDfy3WY;Xov=DZC2rJ31I?1#Uc1sQ`$+9TPv=Fv9gq37zo@83sHiwmDsgGn@n3uy! zvLsA0E$lCZm1J?2WLgNjBZn2&c)8O9yUPQcX^?HLyR}e;Y+(ujg(!fBsioFBO#Haih!e zC=CP`HpcM4Ja}V0u#q0N<2-m{J$S=Cc!NE7BRqJ+y?7p2k%#SQ4{Vf&?Klr?q=)Ur zIR!ag62rf|&_-Pp!fNV{pg_dvyERAHg1jAD#Mr{99lL?CYaP6sLtvz?bMS6r-eo#8 zyimJ0=dhZ(EGrPv?oA=W&Uf$@BW#R=S7{J7B8Go?p}tfZ zSWOM_2}Bls(|t-y)L(Nnx}POi?lo5oD$PiBUrsfD9***Dy+MlSQcg~X zPK8lg3(CpPlRb4v8BB%F5#fBDZl}wM(UZMmkPOT{%(`RpxSimW)AlBNMTdyeO7*QI$5qO=?=(zSN2%}W`~%ZSR`2)Q__cP^1I&2 zD%c$!l@pUB`-9n0k&}`n2Y}fzjBk-^v>gV5*)b=lLP-wRmGluoImJnG2<(o?;LGp^ zF^7WLNtaWkB!`39$&k~dBu9XGBrX>3WS_wpq==lABsmgxN9N^pC&|%Zb`B}=TbVfq z%+6V*wi`z@7R;jpkh0X?cpXv9!J6XREWmpyrEKuMPbxWBXwo!tOjU zdxYeSP{|84NE9FUBJdqw3uPsvLSC4Gz{ z=afob2D@Vr-`&i-0?cD_a(biWm0;rdQF}PIa21%nGxE#-$!jzveXK60b4p$dI~Vh zSAUHPXSToWCOZIG7_5-y)52jqR2m ziTGdv=?Nbs#Ft{bCt)`#C}%cJrokMQ!OR29%z!ysmori(p9XWZAty3RJ`+{aV-Vj4 zW@XU^gy?=_1)~ zEtumG-+`=K2j=)Frp;yDdN7YuJ8{L6=i$CO7&ZAwD0s_d4t*_!KdPaB>rv6C#*Bm0N!U%n683VJ7ZKrcXqC zd$I1VD5R{MXE^yb>?Q`}RIkZ*!JHUFdu*2j^CZN#7fbJfc~Vr)nwv)#8~PKn7` z9h2XIITi6~touF+DJo~*P5uD8X^3wd`}_&aX^3wZmbQU84e=eq5kcPKGaDws2Ta`xa(i0>zs_5gD> z;%m*!J;9uf`1WO;FRG;HAij@T!dOVpL43#vyzB$!T*S8<>)L=h7x5j;I#{Q}h;Iu^ z`}rUtzHeCCA9i8Hw+q`H0Oo0k?;zG42yrRO0&Y!9?|a1;{a+m)HfNBVTc zcM$6|FwaEKK4x7zFwfHEe7LE@z&r~*+m)Fed`kLkpPT|RbvW$KM$bNCyN+O8qD+1vsSDt0`t5mjuYI1 zAI$R=Irnv{*as;r=LSxd!0!AU+GA!Zm=^@)e50wZU|xXuu&*H#-N3vM@qNQBbdN$p zd^@q!19lf7z5`h51?EMF?*o>O0rMin_g#>@^aeA6_*${l#|H`V?a$1_gU%(yGs$@w^?d$0GO8|zLqQv1oJYA zkEKCiUWWMIV`+#_NneimJ{3|765?yl(lA{~UxD~Air{m27!u-pmvtk+yaMrIzlSau zRMJ->zMYskG71Ut?Z?t+pOU@`@x8~=7%;Cwd|$EMn7op{8u7JY-8dZ*;@gjPM2J4IL0QN-7brRiZvh;MJUn~_)2Hz{&9dAf*B--P)7&CHouNI5wTa%#3u zN#Bh4_GaCjASA?B%hFsh7a+a|*=IP+52zWk)LF1w6jf@{ z?DOofl18Ohb1O^d!0xuNQWIsnbMugLxEf^L`MQ$6J*dll$x7ZcX1d}1QL6>1k5FQrDi$nE(7z9kW#ZkNLff(rRGXz zUJkoE189$>D`Jpha*nCgRj|8DL$s{B8qB+*h=HYRz`R>gY93(e+B~E%T43qAD5M-( z$WlMAhn*2rYOZGK2G|)HIb&ApM%dk>D>XN=?j{|Qq14>KUT%iny*^w)vu**H|BRtM zmKH{p^!@1BRm{8vcK1io0!z2TZkeKpnY~hrVvxe{$#%DcxePtKfSHTITpmQ`S+@kt zPosM zgz?FC_rb0vi;=~;r9mZK8$b)JTME0{7`8O)?uXrj7+H%9${_lmelQB3%v>H*(hn)f z88cUa`A}HS^_IdGPCt~xl_KkEeM%Z_)kK5@yN8kahcxo}U|vbbbfpGyLV7q1$xv!; z%aRlW^AW_i+#u-@FdvC1HMg_wF&$D~saec+ao9Z?!gyh30?bFVO3gxMroenG0H4gP z1M@MAENox+d_1P4lh?y&47mq46w=nYwFcY->nE51_i7@sfW~RYRzaQGX>kN4bp>R`Aw(P zTIilYd}}o@Q!j-eh2=~_sdWaV9Hw|ONVh(xq@P55FEKL<=93vYb5ZIQFw?r6)hP8U zm}$hfnwc9kCH<67&VQ784R%jO#4E^Ngmp!tQw=TF8*yCtyAw zk@JhDJ_GalJS2^DpM&{A2s4DT^hE?x7G4a}eF?i41JJRQ2lK_4oLw~a6`06n`~uc} z4d&`7B#rF8Rh0A^1yg~t^d0QhgyqbosqewWxQJiGc0YoNZ7-$~P5lHWwtf6!w%Zm_ z(l6;sJi^SMVTWxVznG<8!CdEqj+wuKxh^7SqfPw|=DNI`dbUngl=S+LoOiaa8SK_) z8<5#QK=f``5>!OUvNF11kCDgr4gXHBi!8FsHIO8gSm?F!}w z^z3|=b_4S@pZq~hU28C3i^!j_)a{`_%0mi~&plzcF@&G%u;c@CV-~-yVQFtLUk|`b zj+py^`Fc$L!ltfGL`h>*Caz@NzOdUAmA|v8+Yijm3Ye_hAI!~R`D>fH1Hjyz!|$;| z3Va@@DCswXkeGQ8?B2kUAr>Uv!C=0LBg3OC9TJ6P;Jz?Rhi37*4>JF-M$C5D-`|SJ zsZZ%ae)_Gv62Fvnhr!F+Avx)3UHcHEEF{)-P?YpL0XhF^T?YeF4EZz^_&hwIq~F!# zM5pwSKK*V~&VyRlF{7k&ik#Av9?Yk6VL9VzT_*)nPR?#xcVs|GzZb;4QTEvxcJF26 zyry+sz-@0$AOanGDF*X{Jan3ZewKjwVFY?J;B@(l@poP^#b!_MNV2; zcT5CQSkARt*Bf>p=al$m++H6rw+10;3i{F)%&i$YWo=ym%ujS|2WD0%kPK`iW>&)P zQ=gp7wyp}yPa|>;*}DE+5o zq#nHv%%7lBi}VQoeBx_;YEic^{(vGfwK+{hgum#9bB#7?(J?vvLGGKgRF#o8y0jUm z7FA^N7gCD`XqIZQaotG|9fujabhT(uMx_~I4jMe(PpMc4^Vhu7xrKe@|(nD8u&KSeFNF=DB1 zj{NiU=OC<69~f%U$2rUDlYoEVxMS6#PeYj8A+HvF8MahkM=sdoH4K2S zVf7WPzAIS$n7w4oVZUJR2Uz_8t6y}>>i5tct%vkii++pYFQEFHWlR<3fEYjJ^$_NQ z0Nf(0`gieJs@BR8hm1Z*_3swNpQh5c)Me)}O@!8Z^fhYH188e`oOpe1D2H*9y4d#Ox1sA27f`--#%-pI%bNd&8Weo5r7ZRss7HIr8?Tz`P`AJ z>hEIUPgm(9>t9Fs z{=QlKg;alK&QkTy#9hG{)UqRHI`LZ%jOVe;KTY*d3|XpBbkj*Ae^vd}IsAor5(=}eX}Jr+{#BS5 z1@JUQrRg9{)olI96PMnk`e$YE7gYV>tfe|Vb4hN{3{0K^cpjXh3^1+E_5Ij(^J3M1 zj)6a2rRgC|Rm5n1-Oy`P|3!KHMO2y{!Bkz5-_WA?Ys>%w_zIuuzb0s@t`8*3egk}6 z7Jng?zRYe~Ey!N-^%pOw{sn+F&VtoF%HFel52$`4 zia$;DFO67M%Z$qwKRaFZFN4+nuv$^DdPq67;)yR*|AVl45LS;Atm4LfE86al-(kY) zF<7MvR`trf;PFdT|4LY`gjG6Vwe@spXvN@%RevUrzliEzRj_&y7d@-vs{aL8y#TAV z1*`R;bLVgPPW7*Y)jC+cQm}eWnN#)a7}dW4RvTcosbKYH=#Z19g;f6=uzCYlduvuV z_Ks})vfXE@e=qE?Qw-JrkzuJm)gJwH#W$+|lNkO2VuCu=|7B41--6TU218L)|99~E zEl!GQmbQge|27m@Q#9ywHIKgte~eUaF}+oI^gA;&Rc{4#%c!dFrkkzd19T6}-}s8E z?+$o(P*CKVR&BE5w%l_UzFZel^?l%U|FESxFdTSh##nr)4i4MG@=%|pIxP6;z~?5a zdOHJux=NpyG97lxb$Q~TYw*dsF#cfKC1R=kkxK?=r{f!UK2}<4Xr}5D z0Z)vm^nE2WQewu@u~Xsyrr6%>G5r!$MNmEpsJsmQ|UuWrq#UQv4h{A zq3WjrJ}s>3X9g|RIoiJ0EG$v=vt#%RsPxS;)9RwwWjl`>jt|@czA&rmmxe9X6_H=B z=!SMK2Yh)*)vpOys_T6R)^@H^_3I4$>8c*hTB-%cs5kEX0bjNQd~-(C7a5jnad=zp z)#u|=c#5ju9#!?bbW3%Qa`Vj{VyccEUB`~DFU?r0W!cuDSKh|w`T*Y#N=?*KJrvqD z^tf%R{$L(|5tTl0XT}xJUwg+=Sj7RyeJXv+&Q#Uq_t`{Sw?3%qD|7s@JX7_mG5e*i z2jIhxx~jjDQT2@>%W88t`{;4YReclSO|X2s(D|IQOT{kBRQ=s3{xntppwRg((M2cs zzX9Ke1pHA<)jutC{)^D(zS=KT{qsEjBC7sXq4VG5-^hf~jc)*d<5TIoSY{8mWt#We zG!P#H1pJes>c2%y6+Uu!|MWvX#>Wl=YO$JCu&BzZ{GsJ$c-iMOjlRu<*MC06?yt0O zcHK{vOu_!m|Km__l%X_a9`?jLzxEQ$-J>S6ix;!qCFv2T31*v+$vjH)@Ts-?^hQlE z52XL-tw8#ibHmmTZ8!X&Zi3m)u$W%0AF{P=?Pr47DQq%}y?h@0^xi+?!XB;S$YC;d zFP{hfdvQ@tFuUe0rkBqHi(6-g31;tz$t>|=w!QMIUO~aE)J$fvSL+9?{`L?V(O@ES zmP)*s`$yhM?!*ingiNO2i@D$0O%n{k93L{7rC!W`bUftceFSr&6?>`Xv5odE-TKm% zf;lC^|6^;Gc`@63v8~$~f;m&<0L*S)t?%>PniZP`^R%4lvzwRCy-Ob&c(q`j9W$BT zy_kD#8$5QgU|tk3nO(hF_r1RSz}p4$@~p}1?#0~mwCq!>1oJw>WOnsxeUBF}EBR0` z7w9IlhnLUY>+9+c6-@3fZ}%QvK3h*(_4#vxX+%w*J-wK_ojvOlIX;(ZCbP_|^<9r> zQxReY4yz`!lNWQBoqH^k`%ye%F}+&f`NwG+e8Oja&}4S@Vzz3&@DS9Rv~Rwuo6IA< zT5ow@hwc{$=BA9v?Cizd>9f{1$$aAe3l=)s9O1=mG5hP-MrPoEEt&C$y_n69`SG56 z1@rT~WG4KY$KKKGr%*R}r2QshGJARXRDbCG$}Ym^HlM}x@~Mn`Yes8k;9zeusgUD! z6m$H)B1%18_iqcE*am~vkOdTk{6BdAGH8vkFN6F)GIV*!isqV}dERIpeW`6oFc<44 z^Rk==bGIe=p_dD0VQgOR#oYDP3x7=r<}%CYT*JfXEjzzYVWgfIbQj2CdigxCeaEXe2ood(N-y6Ze-arkBrs&-(uDGX-J=+*ijC->fSl3@0Xn9QwS%-vUf|A82FxXooUKlW<9b@ZV1vY-7! zCUdJ7bGO+y+=5<+% z>E#n2Bzfrs!CVkBna>rN>#gJb?pr%gKA4$!{9!Uz6A!|7JLI zJ?+J8wO_A=9R%|%pT*Ryb6g?zmT6;RTfw{_Z!(|pV(#?w9*;dDn3qLOX3VSg7Hz-m z8y3uK`G4x?DlebSPwckxGQqsrXZn2B%V)Ei3!jM#=8~MreBR5a`a|UF^91wWjLCe) z%cpY5*~>%~geN$XDQ3QcK+n@m>z_1QBTSFb|AWsv=5&B&eHG~E6 zD>W|!|J1Mem(g#%rfT#RA>8aqYyTI&;-6nJys=Nv2&)X3Aln**Rb}kh35@kOA+{Z_L8Qmjav|Gl0|Gs) zmJ4AMH3)TsG7@6jJ3^3}e#MU+4LhVCV8}n<53zMyBZtg!5ibj8|#6M^spW0!5izr8}7jy z?7Y13J+eT2iDiaw#tLo(}UNWu;k}K{L2gV zrMHGv`uUh#2s_pw?7gf5(+GPjYRCF8_PWDgkwLsy9auT@*7=~}h1#ezuu4AzlnY^1 zj6D;zVJQ0k+^3uV6Gh+HuWz4&KDSlszK#5iioVi}xgqFuAd0@OCM1e910uZvDHr02 zqOW_#jva46qPHRC!aM^4y%Q-H!bH(`OvH|fqOW(xj)|hLPr!~f6@7~Mx~=O>^QVhG z^~KqK_bDu+A=Nvg=sWu6%&G2ESX0p_XY6rp$)=)Dev0}LC0Rl@!!i@ub&RY%#N9B|Q>+SgHhanYCZ*^4hRm0N1J zpqWLo<)Sau(t&Z&N58d~3-QV|2+5zb65@76(f57Sj#U}N`^xT5sd@3g|4!fZy|bgx z^8=N~5BB|yioQ`#M0KgN*@VWQ|O4%jhK^p!;HSX0sW|ETD@`-y$-eZzOL5kfiO|@`5kSPYcQaX_Q-{JT=dZgfNWTm z0U@Q?F{S4G|Nc9Dw{3cC26}#w@_0YvZ&dWP%ONOU=nyQ5zQZySvfb%xAF^Yj=<8rY zwxX|tVS?mO6n%#W?3lRI*HN=$qUh_Gv16j>J0fJqnu@;vM@3&^)f3oPK&$dt1@J=6A{*T`2yWs53)aQeh$LHvOqoS{GL~aM$oxVWEj*T}UHNDe^ z+tX!HdtMfYAkpXch+jETh?AT()N@(!#LcCi-Sp84V%yYz=i^|=nu#ARI?TE*_ zi|!gb(R~VQD*Bp=KH3xhGDTnC6_d8Q_m~}4^j)Yt_JVtlX)5}fioWd@ee_XxxmZPC z@*@YvclwgKsGY|}U-HeM9pj>pK7w!a$3-7~P~V1?YY>ua9T*pV$>*bz#&-^@4B|Z< zv|&okGynZX-vDjPKIroy%Hy|Y{zgS#(>s0tlhZL?w*Qv5-KVe}R`mU}biYI0r?94? zuc_$!OBH<=w*5Wk-eY!H(bwg!Cj;(1rm5&_D*Cot^ra4P6kp;_UmHj9B_8kYX)nIy zJFs#MR>^HqyR9htz6;thQS{|~HVk+A{^UD-|A9MwGsZo4 z7W#as^7vE1zfsZG^iJRZWYHI1e#k2KDQt%oeN`{c_qk7DO+{Z*(f5}s`W|ch)lByu zv%`wM(&1Mwa_=!sMPF0VxBa4TpriN_MPH?(_!325AA9j7i@qLNTR|p^zETG!9`6=K z?YwdgQtHT{9TSgtJNWDv7k#Ni?EaLR%zyu#zKfoZy?{70&G zrw@;4L&yltgf17#%Pl#={zi{?AKiJ`&F)iJMnjN0;_6_x%bsOW2Yr|*CAPT!NK({!h=sp#A8oxbEJjzWuzzT}5Nd-26ZU-Dfirh$=s!)ND- zcltJD?U;DH`*PHdm1~fa;={~@Q~$){-RB%_aM72{*xOKQ@QU9)DBso_m{ZHZ%D4F5 z^2=CBTpv>6Sq;dSno`?43uH1l|B0fn&6Z~$L|BI@D|;f&P8!8X%U}HC%uVm~{ZAHs zu@^6ox=&#{tmu1x-go#uOw+G--QV$T`Z)7n=uY2H#x2*m_m~}4^lka2OWwW5G!=bK zMc;OdzSRDXLQCA~+sA>4JAHfDi!XVnZ|AHiXY8MBX&$v>qUih0;jdf+Bl(j96Gh** zKD(_b`o7HCFcf`%?(yz_qUbxg!?acCb9-gwr0CzM=xchX?|-uBdpr4Z7xyV_hZTK$ zjNAPw_bIIDPG8fVJ{9)`{xXku_kVS_e(pVHhZTJn%v&|ty~i{aeN9E*_KUs&j^axc zeHD)4OB8**?ZuZY`npGL1(|%Ouf*X`Jl;Lpft72pN_FztZN=l=!?Jdai@wysQ5&Yz zr2qSizAMU%FVN=>%F1iBzfsZGE@y5CIs_lDL8?0}W5>oDgtZUhUtWlJf`-+)4g$cx zY-=z^|MJ2-1FLmS-|175|7D+SNwxX7yZaQD(Xg?0#5;WhBhh=@r?94?uc_#xBg9{( z=qrDxRjGTA*xz^d0Zum22>n8k4vC6GdMzXveAy;th$}F#M3?&pqC~KP11?M=SqF@AQ3r z$*(&4e7Lgm(b(Up=xchX?|<@6U-kR<4RN2sc39DONv2|q`xMqx^feWIf2pGHwztkd z*}cc?u%hqv+(nz+drVW&*HrXvx9Cf5brf3SoxTrzwn9tJz5907foYJEn;e*Ur|(}; zJ5M~`T_3b#DnVAOXl(B+QG z$~OalqoS|roxcCcqHoI`SMK6Ih3&ASZ9Kb&_a4(!^feWI+b#N1`#Fj)ai?!Dd!Z#~~c*HrZVrHZ~!4tn@f_a3vuioRxVyfV$b$21jvO-0}K zi@tu2LQ52V0S6`??;c|>zT`W7-Ga7)Og`Q%_SrGF=1R>BO^MCP4M~1?5-d9@^<6@; z^x8>DoCubZx=&Hk?djLPB&}YaQPOSUW(G-hYXeI9t%#C1m83OWp?gzT;vbMyUldf* z&uCb~t7$Ezvt*wzJ7iG0O!|H7R$$~9N3GSl%=%kvZs)@RwIY?=4*g(}+7g&(E%kPY zTLgwJ_)?yfwgs3H-=_V+Y}~eDea`eH~{HtI_> zTQ=5w6;aYp$EZuTZ1l}5po3mhG#)OXFe zvr(2+(ud;*@>v6nHDCGc+31e=3)$!qvSni}*y&6b|Jt)r8B^-vkU?8E$gRK%+4!x^ zMa@Mv(27(RvVpb)CbO|Qq)>}k8qLNpfAqL<-Iq7N1xHU%5hY#f8p&@pUgnJ(^V*?Jc;7}?vpwOQYHN^^ayle`4IzaO79&D+2Cpln0TG zjF}Dm2-J2?sYJwuY*gj!**I81Hsmm{#>NorDR79znGNyVQecH__-37dhR6n55eDK+ zPG{J9v?VZ^jSbjS&?1(`v++mwl%d+Y&EW_eu3yNVjjFJc?qrzR*cZ8JYt|d}{Skj5 z8v_x)Rd1~A5Kz)-gZgJ18^h5A95QIzQ`W+*zzW&uw8w{QL^jZhR2Iet+7g(|#;e#< z&?1&bv+=_p9vdg@OXrkgrG8+qS>=?R0P@3seiU? zjKJ7{Lk8{HfLnnTvhk1LZ*4?2(27(RvVpb)CbRL1p{zxVSQ^d7?|*b`yt(Fu7#x)- z^+ODIHU`I#jl7u+)M2)43`6{dYzz!(obfnf3|Fl%q#V9$e=wNa4WDvHqOv) zy+dRJtw?1d8)!>lG81A+Ks?-n9xU(?~@plfH**MB)&qfgO z7qT%jWX}c;%jqYB)IVD`Mq_NiA%pg8z^%Xv+1U54&t*2yic}V|fwlxDv#}m~3Y`eH zla1g0=-6oKJMDfrDpTr5=G@r`=9TnO0W%x;wUljaj7I#0Y>bK6vr!pP(of{5f3|Fl z#n^yD2JP8^TY(j_an7n5S#O{fsVrmzZ3#?fV;%Msw1}m#v5`>zXg21yx&C-K>Z;U_ zRovMagVAtQ%*;m5s689w5Pu;X;|+T@hK7)hF!j%tjq$ot4~GoevjMjPD`cbjv{k>0 zJq4{uWg#1AOJFh^FJVtXi&z@XMzg}6(s8@juzM^VKMan#DfP!`G8--AWoJDCNFRvn z)ENdCYb&z$_>XtQKPIE3>t$HxC2+DB@xuic)qehL(X#JIj+H!0Wg%Ah6_|{5ZBSVY z?^qg-wXo$o8r!>Ge`t?3r^8WqrT&DxYs;@4l2_7Q&1#} z11(}{G#kwe+1UQwi*L^S;59h<|42IzaHop&jn4rUyRl#|$9_|;M(jOy#TI)*j99RM z#x9mM*t@Y|LpUe`3P{x3B)MlJV&UEkMr7^fxNE^4JLtOp-)hy>1I9b*yNTk7Y1@rq18wg99abx4p z{_zO8fl>Hm=!P7NGe&@MGq{4K)ZFGK*il=4ZMvhbO9q!t0 zoPqkoxluf`YP&HCZam6$g!kQ}FgFko4SNK=CX*{gh9%9oF=B`HpCC6d3ZFD?U@X$u zjT_+xMnr4qhSTgyS$>z%Zz0gGqBuNT@5Y%q;qR2uZe&xo8)u<@)pUJg^yoWA&|YJYTOus`i&bSleQbT!40o0^RrgU)vhS2r=GgGfv~Eh^_r}B z;V}B8hayJdlg15Xq?TWI1q9kt6fdgQ zxzT$YX2Y&}%j>-lHJba4OW;lDM)A_T?Z#wJ_>=N7KWlDW=V3x;NVMHRSTyXc#tr}U zZAX(E7==%UZpg7nV>j+kie8L}*3gY*nswuk;L#xnloG|uB6V&QFLmKYR?m%b5!;Q+ zQNM9RK8u+9jY3)YPeo*YmK)b&r68aZZ8s2>G~>o`NB;T@xq(smq;Ugdk;ZO}!`#4# zXbs&E&AM^V#8EpT&|ac=MY7%vxo_^4)^1Er+HPEl`i&b`Rctq&hZ|3NGC#|Wn>4WHC5Ynw;==_c--p21jP!7>)WvH+p-s zwi|sonpTkcS#Ds@C?cQ|EjMIX(u^Ci*-yVsZeSEX8M-0IB8}ach`E6g(Hgq3Y_o1G zy7jZ25NKafye?noM(^`!;qMXAb7K~2G;Z7wvD_%$n6%yaM_%}QW@LVr8@C6T&}kAa zH+m6Pb+lH>s^={GCAoo7_+;pY9E&t^qa%;)86%=KbYr<@SIQHs?euR1+D{a5hfCkv ztY2^!Zzu~tmC|nX3E{V9RnkHfZ$|y$+$i3Xw%z!!ApE^lewG{exIzX*!}AC28y$ER z*Nd>EnYpp$`^BBf4UEDkjT;z?GjSqyEs1-o-d)?l*qJ(SeGV<;J6M0|C)$=7tPQ znsMX)(#Qqm21enNp&N26(%22JB6=|*T0=KhYSxV@Po1_L0v#lZ_a^Jy==~g39Z=Ex zMm1y4jr$6g8^!xE8$vhw`lIJTE~46V12@kypc3u5fv}_*H@YwET1akS6h0ZcA;%(( z-FPe_IRaRXzK#%@ebi$08q*3gZWn{{LSZvWW= zfeseMhqCozfW2=hr59OT0=aeVm1_Gkh>>DyHX~qq&a7&ilz$kpuxPh@qV>hN(L?1>( zYv{%*&AM^K7O&okK!=Lr_(HuK4Qw|ip#IQ}z8y2R8$03X(45TAnj2HG zQV>vy*4!u}ENRA#eW$cPPi|loJ{h_p$0Cj0n1Ou*Bce5SquG^mSYh^B2y~bzK31u7 zqi-N8KD4Um##X4&%#Dd@%Z=g_S=)`h(DU$=%+GQIuSaA+C0cIuAuMUejT4Xk_I+{# zqwvYl4LKHR>_!^`V??xuZmitw+;F}ebSVNIE{ac7>)a?lA%uTeM!T_N)plbt>JR5e z5o_0aP8pno8wHu4<;F8uDF~=U+YN*z&A4&fFlg158Rhh@pQ#@V@O%}N2X_ zSSc70t)Uw$H0#EoHOIVD1@*4)6`Cq)EQqU{F4l4jf(|MkZFxoM2TCyg5zi!|*9CLKmZYv{(R&90Oc z^1tneK*x$=u~P5GOw|8qO1p8nu-(AkWx3&JZ8vT$z>R{;&vN62tSBO&5^XmSmNetW zDhpS-g60NB;giM{8(-dY=i}rCM&Xm88*(ht*p2Oz zq7NgYHFRV7X5IMvrQ452pyNexR;132zR{@POY6CDD{3_R#*2B&jpA&~hWC>EuTT0g zpZpE;GC#|WJF=M2E{T>KeF%$&*Dl75hql{qOL7CF@X62(ITmT!jf#*XqBV45V6$%Q z9t@GuPY}hIl67trXQL|o1(A2rdn>jZFQfi&ZWLd^IpfBpjPN&->Dg|K!Ae0uCE9Kv zENRA#;isR!nB2f9eA2jqu}EV#c7V+o5v{QsZj=8O=f~SWz6F6!6vbE5^=`a^swRbZ zUt??Bn1dtZMo-mtV@3{cWMzKV+_*m}iU_Dg+YN*z&A74GAvfPiZeSEXY23hAq_G=2 zrbQn{L~H2ATFtsKY{XM%A<#*p*qg0)qX$(z7149!2~=!WN*|7l8}lNz8(kjU2xNYi z8{;rH5KxJ>8wg99al`rcclw9UMU28HjT;z?H0?%J^kGD_hHk9c>`H0dZYjC94H3n8 z`Fc0zqN*qL-Ba-6$?d8#kPmwF_{gEc3J6n3TkX*2U3QB=5}o5LR`xcG1aWkK^~gFbbax-H>CE z#%}DKR3k!b=*Ak&x^Yd=c|8IR6~zUWIyZ`M1nMt{yomF^)NkB)H*dSKiFCuGoV6Pr_yb`P0nuzXR9MoC z8@r}fpG$6F6h3L(z*wZQ8@m*o7L172(2cd5of|hCyWmm;%8257k$N}Yb@3NOUMcV& zZnTDfd3+!B8#g{c{qOPphSL%Ygg+*QsMbp9xDRe1pb~lU;V+LWENRA#<9~W_CvpR$ z@JZta#v+Z}=)5GNMugVTjdhxJW1B6?Cm_(NqWEF5-i;4X|6ckTw)jHEcH<+|uibE3 zw$9paB-6rwsEnw#8~3L~5doEG+z>J>X~vChlbbzDZeSEXsohXxk;ZOZ4L2|%T0=M1 zZg!t_9?q$N z7%N;UYHlDbX~vD|sih7lH!upH)NZJ;NMkpyN;)kV5v`#c>o)7ghM&#Y3V}`&#ZR)e zZeZLT+$d`|whnAJK1Ka{ZiwRN5!;Pj;Ko?4BiuJ0DXV~7NX5eg?z&I$o#Ci;Z;Nt0hMT0iqnFyq?x&K!ZiE{qPpL}D16emfw4%_ZeVU;M6`x( ztlz8~=dR%#gg|GA;+KVbH@+yVzo)VrJJ-1JHR?BRe1rPUF1jy{#s@M#%ZOIHP+`uS&QoEtXB8}a+4}mcv zT0=M1Yj&kf9z5z(1UgF;e@)e|lwUC84~Y2!QGe)0Nw~Hf zC&P^?DnHAOHtZV+h*o>Qfv}_*H-hul--6u0D10(>LykonyYWcDX~BqS4c*wN*?r@L ze6TYDohwSht9Rqig8F-^azhjY&sr&^eyCr&;j|2cQ)b^dEs0lqDVd+;2L3=%L_j5) zxuL?6X59F0@0G4nZpcyiq;^A%MH;&?5px40qBVA-*}3u3vj={MKqEw{f4SBT%sI&t4<3>L~H1V+pHU# zbUb$?0-YyH%Zqw9mW!wv&u$E_abpG4Z`@eX6aLH;y=U&UTqxbh$^48PofF{(0xHpV z17S%sZbV{Rcaa+yg-uM7p0ZldN)=? zRhaSY#)uj>R!05Cja6{YxN(_ugQtOhoznSu7KJE;jhJ^WA}>Ff)UXgy0KxiZanp$wI(1CQjMhQ-B=~97_%D})VQ%Kj*J_t1-2Vk!Hs)8 znV*>(9lPa42?3R8yMeHz88?>x=cn|Eg%U>Llg15$ke*FYu9>1O8C!2WJXr4qY5g760KUFe{~u4ic3Z0lcrY0C5>w>APAzP zHLP_LGt2)s*K3!05?i0RNR-ymJ0Np)TCT64)_}Q|`bX?q*Uj0r-r}Lws?5l$^@^$r zp+u|JH7-7&lU6h$@<~%G;*!R-K9_V_5FM>yt(!Gl>-UQ`Ssj5c7Nzwn^;~n9wU6X< zt!wA)S~1_OIee!O{<9gGkyY#HET&(UM61@z|F+v8sudB{75puKY7QeVXCS=!ochYG=2qjvzMrIzp39VN|Op0R6vJV331%*f(;k4!T{qE+knv!A(?YSs5=Hdn+| zQTUS}oj$lCI$Fb8Bh7NX=#!~;AkY<}lql5K`fvfC%?O`!qFKAvtvtKdDJkK1@Qe)C z>qFp*5NI{)wQI!BUr?=x$R`a~#3hZnmJtNe(HhpeX0x>(J*Mwt1iDg`w$9bpI=O;( z7}A<+OWv+^8)4Tv4V8B$Wkwd)hrtyglxT6?-P@o3c3wh6K51%2T++DKS>TH3Xbo#! zx7j)T?N1#p0$n9agVOc2;+EfkDtyk_I%3zl9Y~tJ=^0es=^>6?tA{iZLWx$b*Uq|> zKF?f2L_TS1MO@Oj*4f~S=x7aV#nY7juHXNC-!yp03%?`K)uOb$TVHDdB&TLH*R7Iv ztvi6EskJ>L{H~nL$m04K(nJU)TD7{L-Te*CVMOGUrdGryjca|m;Itq*TEkj5Z(ns;JFo}1<3vjt@_Z~K%ydQsrJ zgYn+O0(ISs0hFw0o3D;$mz@?Aj^+qXSKv(qm9i2k714QFMWw@82-E9W?6B&m7M5Y#c_pyUtLztW>rM!CRFJPi0 zO9kC&`FoY%Nk`yh(uUrKa~e9PPg;F}HAC+KJUImjdQV_O?=9QV`#go2q0i0XE0XgX z`n(K%wKzeapH*M2jl?bJA7q>sq^v{@{k=e?!x}-C69L6&&BFr)9f_hzNU}r%hca1{ z>63;M&}2UY9R{#wprbg}6m$g+C6Y$a@g?U@3ra%+OoHCAXVqyzfuu3?eWAe+(g59C zBIqZ*Id4^j8ZAou=jx%)1xid;hTfO7q4&UciCNWM+L@1NrT;ymzL}b#&&u1--zwP9 z-^Mva;g$YQR$*r7@22tH$AN~9=MeRs;ROBti2BZKByK@}*Ml*k`7#yJ`3@!m(or3$ z5CV*1wC3S~f{sL4Gtf~cYX&+>U`<08(g4;BbQH%LL6_iAB54eLZ$L+BXa@Q|1*ZiC zlE%;v#I-PlG|~3#lCAV{)l)u0sB1;(kW4-Fcd-u384dj%VMBi_WuQAP-2eo5yuJ70 z2uq2f&#TzbKjxm&8>i);NgMjVA__A@mmh&?NoweyRB(sV`T>BB0!d@&hsbNItckX^C0prUOTGiKt`nsrQ}xh4!Ip|AI$r7j^la!sU_+mi zx1qo7s_&9!=k%Qvm`@6zg9 zu93L4(*Kcxff;BP^aYp*NQX589mQx(K2p$;C|d3Ijxt#@&`|O=4P!9$!AYm(+pN?K^ne*#Ja{b!&w(0>6+U}osQCh;}I z_yY^w$SsOsLU{T{Zc!CIBhmv4`h2Wvq^v~!oc_A!v>+YU40IHuH4hIIbR>#a3ms*$ zCetUtD1o(Mp4MOhYX&-sV~wCoa43;9hJF~Jqck)F{cu1>fuu3?qj4<^Aq^{i$+q|1 z_MRheU~Uklp`sr8ub%o&Yli-FU_<}OwV}@i5{XjosZPsR8TIYY4E3`C7ywd*!N?<1FQBe_oLf^9A&? zqZqAuc%YyoQM6j9A&?qZqAuc%YyoQM6jJqExU=>PHPXr$`dkFO)>s{*Bgz8X*(=&J+e4E;Jix<&+_rr;+(C%OjQ zStF-c`oM}49hebl7IeI>jv{3x>g`>=)xP#c0jL1NpohMWSdm&}Esd$@Hlo zN?^@EhXJe^=qQdg1zo;QkD^4<2)cZX(xetbSK7b|YYhFQB>F{I6Vr|?Hv_%l>-4wI zxxquMn?>n-uO9kpW%>{cLB}gQ^{QP#Z~2B%D(KN=Qx^2-3b<~je5r@XPINuMd!fLu z)1&KEZRqP)Z0H*l6lPxO8|HBo=#{=v)`@O}DL^aTP2(s)-WGKEVeKeVR$>S}z)A`= zf{xeoQTZ~J0Lvsr=X>afL|Kz1((^aUM3WQ2Kt~Czh0vt|tQqJijx}285*$h-&4RvB zR%*4--85?q{p6Grg(1{WOxvTWmEI8g9~~!dg;2MM(j~ci=oQWGz&UzRnTgoCRX^!>nSU*(m(y$4PdDWgenbR#Dx0@7iPpyTy?6vb%G!-Gz|o{u6?)?|sil?QlK zx6)ApYi6ax0M-n26vrAtm*7w$X%_T-b5g5?zF&qlhMo~l6o#OopO}V!D$&Gy?+u}^ zAKPFZgt<+WMyKkb@13@xCv)_wouIFuv7vA7+R$5o%WUt1arF0yhJJ9)hK@IctmpJY zQwlRfKg<>W)=3Tha8!L*UPC_|j1JFA59**JWhL6skq&DF9k1u3C`M}@9(3aMd~~pU zRJYPmCTnJ;ADq*gfer)EM+jxt#@&`|G*ujivEMr$4( zbmH}V6p5nMLPwdb8R#g1H3J<6ux6m6IMxWd1UD?jng#uIDb+zgJz$NYpH@csE^Aon zOSZlLeb@~7fu=h}>7Jk-`l%IrrDr1as(rWzXQBsJZRke>vw?nm(uRHx;FYo(`nke} zer{kxA5m7A89HvOeLQ_K^z-1@dErVwKZB#H^q>wpQdXi39qF(}(B6<%So*tzci7Lo#^=)`Cn)Z{mh&b zg(0MgwqjFn!!~@Ke&5Ac%|xiXMCrkDJ@gT28~QnUIwI)DW^L%F0JDKUtYAaGtU^c9 zH79yG&dHwA8z(v{Z$rN#t1vV4E7MN&iaOTDp)dZrdN5+))9&NEdgxd1s+3*;fIBcjTfY0Z4+CQ`3oFo2DazejT49=+{ToIo{r{ui9_U+)xqzHW>~5#)1>QF{Pp3 zly{=I2SKx;V}LX+wV~VnctZsxUM3 zhbzJ#gtfiEmHP$$(Pi|JaHWsUJJGSwYoNW}w3W)(mtM#~MMG;7}rI7W797QmchNHqRPEKPTlxVF>jT({@=h=+XO5 zy%VAC6{SL|9{NK$>q8XL2g)||>pUC!?Z7NIbXw_m1@v|dZSNBR&p>~yU_*aAZ$qD$ zRhSw26KNb3H1tVHC;CLV(kEkKPV%G&*7h#nbV15W)X?RdE=Y$p10BU^&BFr)eS$Kz z_C^k6qDjp_e@sD=nt=`jxSxUkxB@5p5p)S|VwU?^&?hCORttS{gf)hKZVu(AS;IK$vitx8}HFW%wsVJr;zxVzOR{Arp^uU5H-*iFBO4QKhn=VL)H3R*zRH%7) zq@W{Fv|8vWlQjb!C9r0o!vNL{bQH%LL6_iAB54-%LP2V^(4Wb(#?VI!CkjKTAD+{f zY^BGKUv?2f-7iWdQ4js;ob@4!=(Ms8{Xx%$J^`2w^ofD>A&O`R;2G$h1si%--iH2s zR$*r7Gt)TAYv@I+^qJvG$3M@G;$;h(1zo=Bf|Ql0q02X2kPd4GI*QSnhX)Ee5@l_; z(&S&>P$p{zI!a*8K!*XW8R#gEHG(d|p+wRw=*6VeYN3}RtTFWSQYb&o8n*W(Tj{?P zW-WzSV?^nNpdR}3!uk+Jv@>Nxf84d9PX%TJeMZLm5JmI_z%$TiC2i<0Mr`OWRuyK3 zKD#3P?SzK@Qo)J76t47_^G@_-S9)MUmv6ctWhH9p@=X_{!tTFTp1C*a*O|(7V z)F)>ezD_@2;$a6M)`Oxn*Q>5W`H^!KnTXQuemu+ev_HuPDZ4ZSyGL!Td^ zqmiD>^%Fry(ls0bo`L>pSsn44GoN|bOTtgie4fK^k9&HhFU&a6&%>4eMaqdTtRU}t z=tx6jx_~cy*YytNwZe^ z!i>~vp?{HLjaT}m9?H+LCZ;~#)b`#G`j{d6UV>N;i_$xpdgz}e@u@ghL;oaeL!avs zJXyCBeTOmAOSTWt^I=cFIrA;x$*DuozsuOrt0^1$_lOD1ywZOV_)&3SUf{|Reh9D& zl=Vvgv8;ZK9*J8k{nE4(MaoLl(EnD&3dw5CKu0m$PtHtQ=|~i<7COpg%|J&9tQqJq zfHeah#j!>!U4r}GWsO(*#jYw1VhFwCl8h5Yfuu3?D{w6gAq~)%Y^7(a*;5ee5mEXm zRS*3K2`{OkR|_`uZ>u)+cPn&6(EpWJzr@f^EB*6`4Sg|Cnw7p7C~?Fq{WqWlW`_Q| zhu_D>ts6oAcMj(w8u}j@^(*s8+=6~}0mg(dWh$amzI%&wR7Wa=0HYYKd3d0=-*Y;Pb@I>ANe}9 z(qsLAGK3!M50oMF*it|V%mh8QbP7KMuAkFm%eeT#_zXjj4G`+*m6B{5UsWJ3xaqZG6*2L7umJIq+%WRWDtVc!ZD^UkMwsb-LA_RQ| zI@Z5xL67xITF|54Mr`Pdv+B1K82Z2YI)c6;P#Wkf0i}VyGEf3DLti<-?|TQl(qpTX zF(C>Xdc;$|3y;Jt=yzmcOhFnW%_rz%FcFXrYvJ}Ti_w~g2MRh8Wi5m*%VfppOQ06i6CFzfoQruqLKXSTg7>>+W#{ zVvQ4}YETb-m9+Y)2Zp{<-iE$H#fJVVdPc`0`Z^h$6B_!uDI8^`2Nv}ElQ1w%qJ};W69MU{j@C+#p%|@scp#z6 zL|HS?Q6_5!I!a*8K!*XW8R%=4wMNh-IFyKnNy*T!^PCt;Lo?8?M=mIkG=_ew>%?FP zX;|s$W2Zp{@(uO`TYeWAYU=8#Y3+mSn7P5iIP_O znrZbj1`K^2zK)^uU5X z5zvvc5_zR}RO4;O%`-+J?R|qm*?!v1p!967*JiT^>7xI=36($*DuocTd^S z_i%0KdkTe_SNfg-envjLkxP}adxX&U^3XGdye;VXF%Os;AyKdN`%_pop=O|?76Jb>gLAn5q3!+P6pomO{*0Xbm5vxv zMhoxWJ02s{|3SrVv`d)mUbj^vSfzm)f0w@jiBY_f_8TwH!etsdVp&u=Tzi(1QKROWp0n8(W z?p0t+RT^WUKZb1^>9A&?qZqAuc%Yusktk~hI?80tKt~Cz8R#&8H3J>Ru}07(xFb`n zG4w}a2}(mV(8uMV1*|djNvH#cprN0bI&sNX`d4r61&hQJqI+FY5B;dT`qc~G-jAr* z(9;nc`mR+Q`T=S6`w$F$FkeT|PXtN>{Uo3?&`$zNU}oq;%J@ZhPeVW1!$it!=tFbp zDJ`(K_sMA(lP6Jc?^E(l4C$zjGf2MRh8Wz9fGnXDP;D1kKt9R{#wprbg} z2)YD^5=mp|k0WQ4hGw8otT-_gNE$<*hB{yfX<}+|$)L~u=Wb^r)FjcpQBV*4`DLpVZavjrQ7E)HChCU;L%_Rh4RyvB|er9_|qO2L{D3dh<9VM`4 zpu+&x40II78bO!fP$Fpz{Ym7E($Ea_sc9#su88orw z@Fs+sEV?%@*F!(EqJF1>p`Q`4p`Vtvq3;Q>2KtG4^@|P+eJEc?&_@ELfqouP8tCT( zB``B|An=a}xA$y-iB#3lFDRp@^1y=L2D6Ydngg@s{1YARc_)T+SToR3jMh9nP%9mY zvSy&8Ox6r^l)##S4g**-&`}&~1YLqdiKH>~0&+%aXa@Q-6(@!QNn_|Up{XHcKQVRY zlCAVxpWg8tgqb3`<6b@VEKnX1=;!qF3O4i+RU7(20BfM1o>V{8z|e>Dbp-t~pfu1g z14;w^a-alehCa%}5pLaRrC*T~e%jU0ugu^mFMY9~<1YV%VwUJZLc17X5;Dn(YFOP0ditcT440JjE6G`j}U^+UY zUoT&t7XDEl&bi1ac4;0*NztBBM@ItMk!8{LhB_KqQNIk(Hs6yyFTi#8CPiCGoy!5! zKO$lw;NaY7+>eZoh&RUxc_iO>9?cF8;LnkE%t9$hM0UX1RCaZ|fRd38Yce6xQI4pj zSd(dq4!?riq5>W%3uSVmGn;i{NRTy|qUbyy{g5VWGEvdB0#ZY=XlmW`HMa1|4`&{Z zZcmBs?e$kXhZdd>n~uSAn#>y;cNIq&ZQ-aS?5%1GA8~P%(-uC28GA2qk%f;2wuR%$ z!e8KN3&(p-Y_6B572F@=-x?hE1`uqXN7-UUR!ttEIiKD z77j@Z|JZ`I@JSeYY)V@=8P^#Lr{X#@3#V0t|GLl?PA@pI=_zgD({Sc#S7vQlI5*99r?_M~xNmDU*oDXY|2%1^Q6S57#0!(ku&+AZx}# zq{$jtI3VZ5kSrQm*mxFhwA)F;(QUfu-m_e9VVfuXlOo!}acSY7=xGb5$fKmT@Fg6b zP}UZ{n71u_HDX)%Y84i`+QK>TVopX|*i&#~Jt>*BH4EQ@4M;?ZdKSJJ!6Hj*jVxS% zP)LgVk%e#MofuLh&9V>)vSutqnyit9%cPtbl0{P%&TDMpsD*PeeZ{MQQF!nXn^_EuI~_;%Te zy`7d>TNb_#8<2<+wT17cvB)x7BMaY+I58xJCTA;I_;$sKAvMx03y~me#zLgY8d43v$ib!7&aggC29+UJQi72Yh>X^X(xuHxF1>g z0j@=Aq*)dsLDr0gNRu_Pus?VrSu`~ZUu$gP%X{o}6uK2e_uy>3g&$UgpGj*AU(E^s zlt5cJUmj((g+B*43iraFT-(A$o^9b`TsNbzg};7-iaY8*2uzz2#C~3 zGZxAOSqm+cX|hHZI>M33qA3e2jV)ZiZ`lO8JuAA8$k$mIchbT?t)eab1heV1jJEJ+ z0eh?3!qw!F-V5UqSZ^#`qikC^&=daLs=oD*L&qAgr2gQKd<+M0#m!v-XxL~UUe zvkU34MizbxTagrNWZ^e?Cyvxevn)h{tQiZDCTq&V&Ogge9Lb_73twuykZ)f!e0_9# zPIMpR)?2trM)+sswS|i#IMRDzyg!akFK7#0d8GHk_=d3FShz{Wws6w|EX-*OH$#n^ zx!S_bvrc?-nY3-;FX)0ql&CHIIgLdY(HdF!Qv@?E)X2ggDoz}!(Y2O^NRTySA<|@x zEc_4XB3U$L;p>epTx0pm<+W|1`}jh=g&SmrKRnGA#s|2o{Ec_MMA~n)13y~me#zLgY8d>;9%84UcG_tVqLY}hW$KRk^yXYR0s<$wn6aLu| zZQ;PA@XzviA;&k8M@elVZp;1QWo_Xuu-;g>N7c4)&x-K-JZ)jB;KWn<)k%CWIJ1|F zY%B{qorn`hB1+U2{t0W44r^rLf6|UjiZ!zE4_u4XNV6v{qs&7NwKCZ z?CghYks4{1g-DP!VIJ#=J(3pHvloZ&_P71YQi$uTJ787o0fmF44?F z?py&jAQ2^M3zv^zk)^dp7A}iWNQ(QBg#+?V9I26JS%?H#GZrFE*2u!eDMu!YrYwA; z@htpyQu+;a>k{2(R_iT1rXc+D(%Qm3Gr}JkXbTULM_Fy*ner&y3r~mj#=^5*+ro1M zEKF+)&k3CPIaxgm&n-LgbGhPh7DiwL5bv5NWbT7XAXBNES`a!Uc_I;R>7lAYUWT z6y4`V>MgvWB7FRh-Y<-wl*3U*TX+VJvQ=&2wel$33v;mESa?Iqw(v$57G|}DwHmyBh9i939@D^M4GITg+B?TmXVsW@U6xRx%Jh{Jaj9G?n{#O7T)a&AIpqq zA^Q24+4KT0J{m_*=#BsQ1k6{g1eK)|$2-r~ESw0l@6~Vo$Dhawe@#gR#IKeBM+ydzU1&9V>)vSutqnyit9 zKa`PLR%&En<5~F7o0FeGw{FpWdAZ)g@k!xdkW>(ku&+AZx}#q{$jtSOrfci>54m zv++VsXI9t=-Tp4RvEkIdQxh+yg@1Kf&%!5Q^wnu?;S3yI6=)0l@XW{k{M<#-1X5qH52I;Uy77l`iNQyPGa2s5U)JU@| zM1rgt3y~&kWZ}1ECyr#%l!fm!o`r`$c=-TyD~s;yvh^0u$q4_NoVM_}2#&(Nup38z z^R$KU$|LM|w2(3BEeqeT*cN_J5dJF_ZQ+M`C;p+UE&M3!#6OZr+p};NSc62AsAu6$ z6)dud*2uyg5ei9hKeBKKT#M95vn)h{tQiZDCTnEjH=v7T(P$wzo`vm4k2nL}UJ%_k ziFym)$_jsUN?Z7XEBsuz7xv1djJ9wgj;;y!!p~s6nT1~lwuN7nVPQsF__gQ6zfNik zW!B$t#o;X66E+|bG&2kLh+vVqS|ba0t2l8ag(mxvg}dgRI8r0cvJeTfW-LUStdWIZ zr<^#FMWb2R*uvbPy$?mVS)%*ae7%LA=7fJ8@{xNuW_42d*M@uHJMzfY7XE^x(cxbB z6RbBDE~?rVF0Kgw<*K&uw}KP@Eu}5|9TorXA{%=a?h6r#C{fSCebOo&*2u!WBTgJi zu|^iADoz}!k!D$l1X(i{B2CuF!mm&=l0{P%zS`KrH?F;J4|ID`bl>ULTliyM_}7=U zg&(AF6z+we3r%y1gvAAFR|{*jf?(t%5B~49E)q7QGiHR+UGtws5Zk%+`BhV$Z5=;lAj3 zFJ`FB%t`E*hJ{IO;r>Y|Wo_XBaBF{=jcws^Sto%+l&CE{7MCF%*2u!6tBy>HHL~#N zw39$;q*)dsLDr0gNRu_P@YAwN7MilKr|~Q-?6mQ9==O@}9-FDRaLcX_g{Nel1kz!REF6l9krZoW;mK(y zfz(K|EJT8=84HmnYh>ZSKo`lPDGTwp??#_5ynWd@W6*7m=zcs`Z{bNv;op_g7VaAe z|ITnPJWL*Cw1wxxv^%QW!jWm)!V4<4g%=it|6-smyeRJ^E^@Vn7sHv0Wzx2VXTSy| zqC{=s=@BP^bXX$`hanV_VvQ_3wdy308flh=NRTySA<|@xEd03aB#i{KEBw2Kw(!WR@blqbI7A+~+QO?bU+*et3$M)B7UG4YH4AfPSeVro zj`p0yXnkv)xE5>YTCO-;$Y;X_B!cE}Dx&kOtdl@GtdWJolTHFju|^i2nRgOMjWo+b zB*>bv5NWbT76wQL$)YI>-%K~0h089r&4K9FE4ruu*VD-rIpN<^))t;Ba1`!^=Otlp zpe?*j9))}1Em_+_%udTf%wzvWp)I_t;3P25>BDh}JSqkjG;1NBmv$0JM2UJ9j*MWD zNlDpC7M@#i5=e?QvhdublR#>uSr#He){KQnlQpvNqm+|CvS`Y}?xsE+K1u(y{G_1v z!^A^YobWzE^@;9h^ivN16DlWhbDloQJ<>%zi3?M7G~AO%SMkv>b@UJ)secEOcp%5u z$#X5G2px@3Q6A-g75x#}GKgWkk=Vf};N#P~q?58`h&3)FR9*-3b5{&aX^g6AYA zBn6uJbh!LSxiV!X@~6XW4DXWIvfcX($0r zYO;9JU;vubWMQPCI5er9B_GLu&?`$sgGQeYmyZ)(S!#f({tcsijN&}`Uug8{@XpJ# zxHe!-OntN|=ncOh`|U*&mPV|(qPtUXPa*Vifc^kBB!WK16aJV2|J%+)E=?#2`mKCK z(8pHz3%fj-|0KX0lj48So0wdt>j?T3#C%@=EAGUTIfa@2NkHPMjPM_cXy{W@PU5MG zhCa;|{{0o{fd&1tijzRfO4QIVO*;vs!x}-q1m>d{t;t87mqb*etQqJilQjb!C9r0o z!vNL{bQH%LL6_iAB54-%sVS+2&^s@xI0+O;8bi+|QGSLsF?D=X|M;gN^yfE;o`_iU zM0dB#PwOG{C#(FeX|9AfB`N%e${PBltOY%G86Oe!M+^LgU7ozIP{noFh^1>z;+cvK z{aN%h(4WgI%nZFPD|{>#Ug_;=ObBe*^Hr3NB#r`sWUTdJEOztPsrxpB6MQb5+X#g5lu(i^kEohCPOK{KSStID3qmW;F zT51OR6;&tEkz|db-;8V9vaDgHFB$Y{dn{W-tk*<0p4;f1<`8;Yz~7qYN?gxjbz#xa zzv52d$w2)h9$M)S@)1Fw3_y=!ZOfh0NlY!^x{QWi%-YaP=xLyLClzLf?ni`=Eu5kI zRZNJShW_^oj;hiF3;K;P5GgBBL%$*GB#;hk1pWGoDn@G_9&}z0Q;{fZ20F@Q%|J&9 ztcB1MFn~3)(or011YLqdiKH>~(Z~;_p&97cR-FV2B#oip1r3IfhLyf#+xvvfsrMjM zMRdO!)I;y~_*>Il39lFkA5SX`{YfAJF8a2$#P}>75%e~}U)bfz>k!w+d0y#r$~N>K z&xYQcQ}ZuFWb=X1Ozz+2zm+U zCgt@?@2v{|iGqgyUe1R8K3&H*av!7=W`_Qui?8p(+9v292}}r2L;olc{$tF8Hzv_J zMj9BJFH;en_a~hM(m|6j3Hp6`RgBg=Jm|c)3LsTHs)LR)Su@bz%W2I(hXH7{+xz>W zM$jd=4^rHZq2G=CP#T(neoq!!z#2mzk83}4Sp)PX+uk4l@W96qYrg1S;MGI-bNsDo z7|lsMo5I&{B{lTkhz0_%-0_m_u(8s1#Fu@WvOv-p`coB08bX?wihHXj{^@?hyZ709$NxmA1)@91)Io0*SWi!9 zHT3r)*1zITe3h}Fx2~SHp|6pop3+aJbs%3y&<9p+=xbJN=xgB!m>K#y5vO%+Z0H1i z-89Y#4ShX$uwDjv+t8naSx8xl8alp=s}x;}!vp!RxMiZO8R#gJH3J2Cx>c^i~wd8bO!fP$FpzeM-S;MQLauba}pYJ=G5yL08&35$-42o>{WrDSR#c z>qdz657GTust$VM8?3IGSlhJH|IAy^Ter;F&|7#4sOR+7Xo`L*fuP3_Wky=B^tiC0 z#{(OBtL$0U(6`D6zmU|>2f?weaWvnRo@|$PTDJ|P2iEp3VIpNEYUtAS}r zpzIey@0?zBT44xjqHShVzkkqhr9U+8np+U+P0{_eTL-;0QGo3pLvKw1*Slrj-dj_I zi|*cA_bL-gg1)yW{AVJ#&XYCmlf!i(^nEin^!-vc^!?Ejn0ciiP)3xThJFwt9f)Um zf<8Fsv<_Ai--13P>&TSRq|q`J(OH1eNC!=73VPT2DMuEgH4hKE)}usOGtg53Bz3KU zjuKcK=HY?d-lYL(ats4~zm(Ppx&((3(a_I=evp*vR{G!^YrMTbgKG~cvxb$vWYE`s z?D*9Y>n+j!gQ$nzT7~UZ4gCOYu;KM|ITD z*Fh*0qcsl?y4KD)twXcXUjJ93(*sp8Tv&j;kUUO`o*AfaYRGE6uw-NmmXNq zI{_UjD^Wx50(7Lq8m;uTQZO(QY91bS4J_^ZgIFv}51^wcj)M}w$DkTg0^983BhL9%OI+hIj##8Rw2BF>&-G7wpp{KI29k`@d z$hQJ`u3qWax;FIdfXhI?E)ae@YNhS{`m*rf!W59MIjuK%HuM{FHuRg&6POwLEdo)p z8v3mubqm)0d==$3FuE-*&@AXPVJcEqqJ~}qbfm)?L0==MiqV>f2VJWpJQ78#g^n^= zGth7Fv}T~g05o~6fsW!>Bj^$wN+ivKerrl<{c2YFZDrPYrFY|67=ng=qOH?gqPzE7 z){Nq&SiCEIyqc(e8@Bb@JZuj%^kb8Nm(tK5z=V*PX?uS#Z9{(uJv-7G`oj_7zloj% z{o$$&{gH|d{ZaG;W`>S;o&1inhCV*;w2sHRC+HI*POIl3ZyUN_b!5s))X>XGrxoe2 zM$lIiPAiJhnuiBn5zHba%Gz+Lspo2x$(n(V5?C|PVE}6eI*MbBpi6Klku(eX_`K9= zp-)i#pfU6pvQ8@up?+BDO9uU=%Rk)|q23eza=Ciwm<@hsQbT__0KANbJ_D=LKreVU z^k;Io&ePDJ&ET7vsv7!pDI0p5YeR1r3Nu6Rz)=@A^aU=^wszuw9eEAC3oJX!$lHcK ztLn7M2}~>Qz5ow8XQLz1L6fVHps$ibzaZ2+JnC8*;gKj>?Mg?PtQqJifi(lY;Azc3 zZ*#SFmVDGH!L>_Cj$uLXR9Y?cuA~$V^p|ig3?U6GeaW`>-do4X=b86~ze=Va`b$`c zT{#W?^P+u9RR*M{ z-^1+tm#3w0Nz7X#Yir7hxvvGbrmCK|PSV!Y5}P-$Y-0j)|88Le+g_&IX3s# zvZbjBA?D5WY;F4#j^-6}T7whh;=Gb)Yx7+k&8-GT>nGatf&S!9dp=Y9k&;*X1`S8w zej>oj0D7`hmpc7Kf6+bxy+y|m+Z6yf-<4`>1^=%a{6jYC11}w0g?;KM7y8V zQ3Oh*d)@Z7j22C*&lD_;Q=MA1G)}dwC0P=s+WtbQ{e@KF>aDYOd>fYrV$S0^(LO6Q zL0}D0stXl36&}NJS`%`5JZO~G!k)CHaayy>TKfxW!NG%Lsrzx|$70TtWzqg}prcr6 zO}3rkw5EBwW0+R^t6@#hD6Kj8;|aHZnA&YWdV2o1{ovNl|1k?z8Hug~#GL8+DL`F` zSXej{GC1hG%pO6{^v+8Psw4gnGacPOTcKRDFU>uJXZojigj`qplH%S7=~T&|1ogxbIGCmUwSIKe2V@RRfN0<&5-0INYuVj z60EUrlmu&>2qhu4|DDJH@#5Rpe1~qIiJp%mqWvFPc?~seMrum+^aNTm0ADRz8YR+R zW-H0LAs%}4yi>9IadK~knp|E}Cz%h1zUJ00ujTJKq>Ag87wx@X&C| z8&z~XYwULH9o+1(r`7q`_Pwje{;zFs@tZpgMu>>$YR}h~GB2_3oZC@6dxW_CV2vyC3_u(YR~T zvSw+Ashiww`_a|&=f7s@Cqv&FhEdiOU9;T=qtsW(wPee{Yl{I9|n;y>XA`gSNH76ELpdH&^{yf@Gn%YJGD?Hr%7G{*cusHyvt9~v9|!I+0O z#`SB%g34dBz}%mc1|inCg$p%u8v}*x<^urp{u>iR4k2MO?j5a zsqR&_G){G3sQtB6@BHk$cM$D6(YpcW>fR|l<`%lTmkpa-?OoY+np54UtS>dL?i*Md zr@Dcb)V+E0bM>T2TMfmfRnfZ{=IVZ#FpQZ1^v_Y{3FGQOJAF=d|3F`CQaw;h>RPo~ z^=_8Z;$L(1yh}foFN?nyy$Q_K19LixH31IDYtf{-zO8Yp@;;NAN%dgY(%98_PHTTH z)#D%BOg?@8AbPjOTs_#+QLI!47qnY%dL{#vRthrW0w zuKZE-?t%$$SQy1h^-w!4o~!YQ9uDbqs_B%aajHjTER9p$MN5Biu3osqI3Jh(BzpIa zh~g1J7{<)ibXAMS)j?@X<5Z8zSQ@8#bk5Q^)qPT0`)jT~{OaaYaOKaU_fX8$V{{Pw zH{1b^j%d}Sx^v#rIMriwmd2?b=UE!3dPqiVe=XHprd)msuKY#x9+MZv<6RxayzNyy zE~&*3cJJO5OXIXo@GOnfI=Za2zmOK*B=lds_eEU!tLPnC5XBR#I*M_y_uxH)iZ64Qym^^e=XHhJF){X>SED*!T)h~-hok6+aI3`7O*_m-gRu4>~50T z1bZ7BVqbeh9kDl9u?s#2L`AWzh$uEjo(eWx6%k4Kjev~=TooIlj0MGpt0GqPckVs+ z%smM_GI{rp=i|#MpZVT1H=AWA@vX))93n8Nv)+hEj9qO!InOlhYX1_`^Z=Y$W|~GV z#Bp6iUE~e9AFBOfwO#|LXT<~twed`kw^Og8TF-B-vcy56zGt_frfLgJutp!(0>{Zlx9805KkYaHfby%6SuDSZgs1m&WYW-!k z-U_G}6sZbBJwG7PpkD1VO}ly#$I_@5J1kD4j{F}{Gh57g9jYy}TJH|6#*0f-nW0`3 zl4wwec}&x&138vPE#z68Mjc%tt!u6hzi66t^>3?nT;6Idl&K0s9T<^lP){u|O`{It zScuyCpwHqo>O-;CHPn_MlHc^lM|!*+-d3aIc7U%@FX#B$)hmiT z_wxY$)H*@_uUcJ0UDUs3WA&o5^;!7JbY+UF7}UlqY!Z#D55<87^(u~~QO(^ha}l)^ zQoXLBzB0`H15kz4It@^-ai|JIZFdXlS5Xtx`1scx|4lFw`L)iGZL{OL3q<{Wr(bsMl3^F1k8BBCTtvt9Uba1Jv$T>ulF* z^b1slp-4ytarK52i_@;&=rB#AzFQ=%Yp7pG6Z-<{s#fa)-)g+EL{$uG;|(E+2DObO z_SI?x$I_@b=PA&*`bpfRYp5HKnD_*su4c6^0@Rz!RGFcUh)6W3@7he$12B?fY1CT_ zEKa-n)&GdP=US~Z0d;k&^~b7zINd!%!-p;W!>K#QEr%@|q(z@pA z6-yt4m)4?()%s`3YAmLx3PZiaCNV~BywqiyM!l0`A!_UIJWiwj5o=vT-J|XF69BcR z)wYUbHQwbAfkAEkDlQ$PwpKg}G_H;=F-^OAcbRF3+Sc78t!t>8own{?fVzg&wsziX z9FwOi2DS0-xU@n2og-*h@8Oz8y|+RIWv)7`+Sc+(>l$kAz0cuaX~ddVn|v#6Y=NpU z)O+L7F=|^kj-XNRV^SnT|<3&zkW*qbuFu{F0>jSC{h)MdVgHnpsvLc zG-|uo1sZjnLj?_LZJD&Lp*}bDz-It;ZL4iJnALG5s=`no3Q3Gn8;3c6bE{Qz}*e8C2_Z9|TLuL0U><92|rQ6I@OEbZ!}1*U1# zedFA&q3$sAABnn-)pjV{R*zPwvO#TpG}dBQ+jfZq4eA7rrBNpqDOu*?ZFPuGTGvn? zDty}qP*Yahv2a^Go}wxYb)rq8L3LMv`UJ<)s85!7E}|Y2kk&O+_t?s%fV!^L2Jb>N zKIKpqhWeySqCq_*#WXztPjf7dI;qU!w5$C>(z=FvVXp(G0P1>H+qst2_)MOvFw{vN zi7{&9D4%H>wZyRywe4(&#c9-YVy$balb^czRX|diA%?*ZT<2T z2%1KHzQQ!^>I*5RY1DynZr4yhj~==WP&csJu6C`)7m8Hbpf*kpNHnNthfLF`FLEr6 zI@Muu8uh9IX|y5{_DzXR$fRvUZ? zZ=9Z@$_BMtl=$TaQh%o5Wy>Vt7^*HE_{-fIs)-PCHE;8=~XI8@o7 zHqMMo8`QhX6bPC|eU)Qr)Yo{NMt#hq+^(T+u=A1q0Ch8~4ZgK+d_7N980u?rX@mLz zN6@Hma80Ams!&0hi?jNSPg>Vd*T}wmHK1;8wY^lZ8qK%u40Tpq+Mt>j3&zzpj-^rO zqzKEPP7O%w8tPN|riFmIh1CY%Vl~bwQWb_eJ1!lgHa;6tpg}EjEJSU4*C-|aU*wMA71PJoY6RE43= zvq?0lTe?issPj3NM*TR(lDYB(5LM}ja=V7wy!|~VLH;(P$_cH;PaLYkP(OA_Bvh;M zWshkZbpgjxsG_Q_z~VHjlP9ffs0U5DqztIrimIIe_0zaYj4GX!kD#?{^t(=_VW9805qIep8~#4E5`fM1#6p#59fi9mmqB-^W-o7jLTrVy$Zf@N(b9+XHGZQFREs zT=|gz;J@+56u#qF_$8q6tvC=6H0n=2iJ&P|QPsCyE}|YBQe4+iH=H@U7ohGas*VKI zB{o%wQAO3>5sAjt{kf)5e+gKec6DjUH0|mUvDP)zAGc~a1W>C))vl*6M-m1p|YPG032~hv=s4_$Sy+EQtJz@o@ ze?}}$ySj{|_~T!q>co)5uAvTlY?(Z)(xU1#Kwaij6^8m(kwk-f%nDHdp)iSss7;nl zqRd5CPYp@y8tS|`wZ8&tMpT^{z;D|Ns0u^D#J5za))% zrbBUEL!CD0m!*K36;v-R|A_k2 z-iw}x-Zi4?VnAIjqRKI<)wF7bL~>PB^$(e*QG0ky)2KatrfJlR|3}oFKb!m+pw^12 zL4djj6^8%Px!Tk-MXEtPH)NVdUDIcpMqMjlnnoS;Kcc?S|I7^mwN6xB38-t^RGFc! z6_+-s7ljlEnnwLsz%-4z4v*8QSH|_aHmfhr8*~$(I-+U_pr%~v#!%PElW0&c;RqUa zU9M@=^&*~&C%};Z5%rG&|BL|CoTwU_x0=@XsIvJ`UDJ965@QD&f99IT+8|Yien#@fthn#Q_0Agyay1DcLr8?btds@n@z)8;V& z!}1GM+F)%`WSYj>GGv;@f}PN-YgmUJ=Bx@>JBg}MWvgkcfT}R8+c<(A`Hf2y2&fv% zwwb1}MtP)l4a=?Xp8~9%Mb$ly)wE54sxYjZ9TJUOTX9WeZRfE#jWs4Dt!r4z(z!ff z?INn~FIr98yHtf?je!7M25U>MX{;SW7N@c9w@K?7*4-ypZUwAeMb)^9)wE-ZsxYiO zDaHAlnim& zt^dRYyN0!Rvvn^8tldS`#FEvNEm38LbzdwRtm*;u=W&H&laqv`aD%(SpVV9 z=mVlIMS;ex-Y(O$ThEfz#gFgb>F#|PVC^NUUMO2lJK1p=bIO;vGa9Sbp+JMRbHFr> z^@2xQ*W6mXS)WG$Yj05n|2ovPONFX1x1Qn%8mo7S0u9z~5z{o*OCf0zE71>%dAR?h z@-q+nh${F8geG`vCSLjn#fYkx@}$YJy4Z$4H8qsEc5VPAs+uCM^;cSR$hFatjBA0v z!KLcKpG4LF`C5`Ai9Z*tD)hK^Mj+!}cA17hIjCy7&9$8%LR8HlNzTpWxex(bM55H* zR@%&vX~`UWDSJP>Cm~!>^>$=6xd9bWPtiXdDN4Ry$}zaY3I)n5M8{ejuAyU-+r>%8 zU|aG$4!$FStC>X-b8J>bqU?c=wT4X7j(6NOj$^|W%W21KOwFNk~zo_~lw3?1~sQ`2A3y(zO*k=LP(6K1uT7QTT zRc{ozhK_w;a}6E)2%>Sx9_ZLN6|SLU-hK_w2at$3@=yDAmTM}Qj z?17H`5??iF+Oc-;Z0fu6SBL5u$9_w34QK4P3e%D~cD&vH9dPVGQQaMmozo~E{u_U- z{52reIQC1#HFRu=%{6pvc1$UA(Xnqm9*2%Cj<|-7{T*MmaqJ&nPdoNceAUuLHDlFQ znd-%kRf`hWlw;N1icCx9m^?sE+t`#a#8 za;&%I|FwWt?)Xx`)d($F?qT4IQ)dOiSk2_dn%+2FDH-)ft$v%Y7=q9BcpY?l@zc z$AR){aK_e%bESrkSssZ}L&w$+xQ34H5MQB;L&ti>S12`fY{&QtLDP;^Ijo*`tU5)S zajd$+HFPXpW?C}G=I`G78*uCpQGFnMJ2}{+0?e@;LlPx9I@XIMGh@~3MkLBObZoPL zYv|ZkHrLQGC%!_Ni;g+*6-o^q+c#pl+OhpYuHiYhe?XdX>;Ru@=-7cC(~>zh&;R@p zaO_Y~ozGiMLtQGs9NRBXqH)aexrQ^gg~v5?YzLcb=vY<2HFWHdb{aZ%sL$ijG4m1| zShQn@yDU!6*bz2q#<3$wQr~N$V@F0LLR>P(2G|!)2geQ*)$oO<=_Z>BFvkvyC)YT3 zXuFv}$9hGSEaT9zx`1ow*!~XJ(6QreuAyVclceSt9XmcE(KvQO$Tgg?69dwWV<-7s zL&r|`n3l}3Z7Tit0LKm&)n~(u-A4KFUwS=OeZmT6?6@LDN_Wt)eG6Pe$KYcMddWC+ z?C=uT(6Q44uAyU|&oy-H43BAg#`?QlL&wgvNi&X}MG~8_vmz29E}3J`oipPYaO?LQENGj>gZYv|bEJZZ+UcK`l}--%aW zn__Xv96Npc2~UG#c~L#WvYH+Wr~q^9npiWAUFDJ}HFV6Ya19+hzr;0k>|%#&=-9A4 z*U+)++r^<{!&59yJ2t$+HFWHTGHJ%K8%tcn8M~>-v}BI;+-Rfg!Lg%6^(dIJM|>*4 z92*{s#<5``1scaLEN~4SyVBztI@a!AS@CDJSKk_6p{$3F-4-CyDwI`%-3 zX~`Vh^P&4b1;>sN)e~UGN-h;(j*az6l;r5xJt5c7vFih_p<}mtTtmn1h`5H1Jse-5 z?17GrkFQW_=-7YaD+EnD_DF%%(~dowC(Stan8P)6Y(k1@$sD`-y-^`JcC4s=4rXkM zO$C@^kHngBY`jaN)X=fpZLXnXV74Ws|2}42~Tqs+(ZOnkXOs8^0c#S@PjmPs>?y;9;DI`(RjX~`Tbo>sjO96Lc&&n;O^uZ2{A zIX1&5QIg|~y&MNh4IO(r;Bn~K6pw4@*h>-D(6QF|3S~WXtS!Dmsi9-D<0}MBJN8z< z>S@Q`_DM62z2k8W9h>ViEtzBe9{P7496M1|FL11;*#Q+`j?IZRNsf-Sxg<&r9h+)% z4IK+3uAyTyJ+7f+ANpKF$L6(*L&rXHS)6ukzRfj!J@zq4=3jWLKaNP0E}~NmPFgGxm;81(;(WwcBfS?86EL8poywTtmlNJ+7f+Z>PA1j(tIr`i=-4 z`ywJy_CUuLhD_6reHm~K9s9~B&CJ*$k89}I*DlkNIrjYSJD&uOoh+)Cz>Iwu7iErp z=}=WOV_y`whBG$X<{CQoVLNxwv5(v3qGOAFo{NtC;BgHdtGGQx}?R9rB}1LrW{MJPLiC(WR6`s=GdX&*r_7DZpv!>o2wH#VM|#W4{-;hK~K!t{ytJmdoSNv9)ccX~+IWlAJ~S zTABV=M51wQosetjSSnyzGRMU9>t2IPJ58iFD_KpIhzc;r*2$A-99z4{HFRvvGS|?t zh3#^cW9jZG9;X~juhy;}I<{fNFpvCAugF?J6`ri8XWUPx~5_^{S{CF=GeCFt{U%3 zyG()7MRaWI6xYzPKifHhj%}3Zap>5l?dqXp)i#eq$ADEYc?X^hSt6Z|xQ32pLeh+5 z*??;}V>Le0k~wze1>+_|+cQLZm!j3&EiS+u%eK2}bSzz)kDYXLmr2YH3Ur4j`jArhK}vzk!Bp*+2tBKwu{ZQWR9J6&Ez$}vHl{x zU&?Ck;ZXtRSnm{x@~Y9X`aIWg#{Sjrs?o9PB9BAIYTDNebZpbxsY~O%s$sF5#%qK?y@|hxiXvu2+mrDhhV{SWl(6PPSIf0Jt zS*B#^EIO8Hmy3>VQ{r(rW4$X}L&y3=TtmkW3Yn%I>l<(lXY62~G~?JI9@o&ZLtUmN zbF9y-T@L}r&JyY4@>cT(HWgrw9o+6}(6PP_1xk0&u|5T^p<|n*xQ34HRpJ^twr{(7 z=vdz8ap>4l9@DgAN4s1@$BwZ{Gmafg5J6ohrt60sOQ9k^a z-XBXJQzTWogN_|l<{CPdPjL+$+oQrYbnKu!*U+&;+SNnHPPTa*ItJgL=mjj=u~Q{N$D9^_cfJA_n#Id)E&MB~`mDXyVoXXd$vj_uRV33Tk_B9BAIPHR^W9lO}$ap>3p zmucFufi~CBvAC_e1Ls17NEafClP;oTmxN49=GcUbo*ND2&J*duWve+8PyyyxA#QIR z8&IM^si9*RRk((Z9h~ACI(C-BHFWIUcJBnB0V%N2;|9riRYs4hHMTkE)ePArT;>7MUizh;VRGxOiZu0-yefxr+CV>0MM0CeEBhs$({A~FEL6Ejq#uAigwUGy4X#Nqh|?f&WqL`FM86<*!HA|Kpw-199aOC5ol;bQ5eG%g@5@oZh~je8J?28i@{nD!MCUF}d+Lv+8*H0{xfhytJD+RxUOy@R>X zcA!W<0wb;3#=|iIHfx+iV=*BDv*6c%<3LOd`D{HNSS@n{>=37qgZY@CG>MC|75Jb< zQUpzxdJqajIn=a)4}~HPMPYR|Tj#w#dK^?Mi1ZUFbrCWN7TZaLK#^u^LL~nz7l3Hr z5JGDj1Rz5&1%fkY|XSpy2+v0ni=ugdIe6g zvi2)ZZ-ldFhArEg*=hSbzcM>t`g~2 z9d!{h2^QN)gg}vY5+SsveM1PXX%K{vngmJoHF4muNh6^D)gs+$Th04~GFdK0G&xX; z5{c-cJkvDM3_gRJ=#4VPNuor*G~Ms^?QrOSjY!XilXQhd6M1)ilD@*Vl@QG;aU%12 zYq#R=w?o^(B0UF=OVu_Wj<;a5-tcI)-cE`1ESCo2?Fyf*ci9D#TTADkb}jV3R-`|4tmggmGFdK0G`)n96p835k7=6dt$=Bo=>3Sa z#IrSZ$_DE~tAC61M{ts^kZ6iWRSnTQTw4jz2O;H3A~Re6qUC8qua*A@iQDUi%K+GONt`>b&+NZo_S@jx0dE% zwp?rxr+*DI^RqGsjM1;Dl_i5osugn%>eyu1G^sSe?z*ClmLQ=hkqD z57kA;Bv@=G5duZpNrcdv_6;Glra=%wYKdp-+wTsOL^p`^pCzmL5Orl(6s&pJgTG3D zQkH^T(wc#`)L!@HpXCBg6D_fofSM-y!y_&6Y~}6ZZcz3{kzQ8(FGO$qRMimu5;1Kh zM1Q&zCyC5#c}q5Igtj+{^gjjNmWCrEV6%R&=&{Ij3q<;lvL1*`cc0BxW);tBnWO!p z^z@KzvzU zgiL~EW=lh$sCQCBXbpYS5L!bJ4IwoNlIZo;%>6dl0s7x8GCkqjqa$RlT81e7v#T{_ z4bIkkMW$(@cAuNjL_OkRO*~tTe=U0lh;9*?HG}^`6!Gpj?V0YCWJEpl6xV*Xj(F~2 zd6SJ4nYDf0mWCsz4o$Rt>1Cp83$G+PLvHNBGvp*0PH z5K>D#TmOuC^AYHOo5*ZjwwjMpSB6Ewnuk63tBlA?K`wJjd=V%CHBFRqnWl+0@=23K ziC)W}6#TsiTHP)(o5D%DBBJy!RMZe<*5lerh&J{pP!gGQ>&I=o9Sv>o5Sh*4xKwT9 z;n)&3YoipMTU&+jrwYy1)&ZX_8&0v=73>hFZ{&;2mXQ?AY;Ej`%vPb+^lWVag`pfQ zh|>mc7>EoMg(Y!Uc5dxGeZfM=gjuvJ>LO$kEH+yRfg;ToLTF9*1_UJfUCdvvtkxmkoyg zqeNy$I7wGX)c*5N>LktB35j+na3V8X=WTJK+?u;YrW%e*)ixfE3~bi+HqBPH3}(@b zq)bhT&sHs*VzYhh5T|bs`<)FxA z_Jj_jMW)VD7a@~iv7JN+6lt~)LTh>_5khMk1R8ef)J4c7 zSZuZs0!7+MgwUGy4I#9qK@dV}5+u>Nb?b;x=Rp6lBD0@mHTQF6vRsTP<10mpM6{v9 zG)=TSpFvIJmMBgVB|5i09P!bf(EmP>*&j~Q6%uXDyX$G+lWQv>+PBDw%xpFImCK>+ z{UUQ99G9wXJRE&tvs{;Et8YbQ_O)ps4leWAIs{I!wg5ZC=`Nf-eN$32v$by#w2IdB zZ0!w&p&Z6(1NSM53>1YW4P4o5*=-FQLx%@M=1^N*giM0Pb`l{_q@6?vt!dv7LTefX zA*3cj63te)$u$>4{|7}TpR$@yS67Bb!J3CX_^ZrrMJdQ-PKoVYC7`B>`ua@ML`Q_A zNuorvmHYcyIa?2j%u#TXu8^q4rK*PL5U#C+=*WO_C6Sq}Az@)Uv>hih$G~x^+Q!3i zJZ#nxd77;gz>^~#nynKeK3gZkDc0s-hdBL+P-Kp`rD$gB$UtOHP;sE?**Y8wLphAo z1|A-X3>1YWaaT55ciIKHlTi00M_q(Wg2iSFAyA~*LI|yC-w;A;8U!JvCP5O-)&)b> z><9fH7Mas*tNBb1^3-BP2L)PVvz5y;O%okoWSS=GS0+soC7P`-7QHCFAD6GzAtJnCR`#`lv zMCM#qU4%@6#dZ=QP^8&H2(4+~5JGDj1R5TAdTSp~MX5mj{#NF!fN7fOf{3)lv$anBGmk+3$3$iToTMuxI@qJChUgrwX^&P! zbYV!jlE}=~^?esU2yG{b%s@CURoi$t2Ek@sP@vhm)E1cw^E6wRS$wuGgHx+8xK0@|CyLDFd36yo z2^QN)gg}vY5+SsveM1PXX%K{vTH@Kds@qu+^nYAru5qpA3w)U@7b7||REiRb=;n8XhV2r7}r)pG%~(KNn~bgXulI$q0TcRbBC+j#=~)EQDkl`(rk??h|Dbk z&DLFcK3k(5n5_cR#p$=e%-mU&qM5CcFf*f6AZU8FZg52g%3+)~@J3r?peU@)X6w>H z-+l-kN)R8Yi;zjM*iIq@isTzYXifWu5L(k92qCq^vvp?GiKjsSXGLag(Q3XVkjZi} zqU$21D3OTHS5`?KtkFcHC`@Xa=w6q^#Iw~h=(Y2q>~kV>f8oCnUCO)b*}5yFPAexG zYg1hN*;@R;!VRJA^CI(LUbl^hV;pSOJ!P6L_*h@&-VzPO!yccl@h;4kZV;#6>x#^{ zK&pVIzb1~gMJDjIre|vm6ozuJjDh!fA~W8Vn#5h%Yx(lTo7RHN$q*0o1s97Argw6@EB`E)1WEK-{)gWdd<3mt5Sa;q)qJ_SLu3I)bf+x^xeU>j zff7*DL_vyan&`3k5+zZh*YZ1;F5MSeO%a*L;Urxl(a?Z((jGlrX4*=KCO8x)iOjk6 z%2m^QK-(8Z=1Dj%Roi$t;KO~HM&qDeN> zG|^;_G)a_bwif+8WN&CSO=Mn#lXQhdqavzmh@RovN{C)?DOVDi*}8h^Yx2cGqsY7j z$E9i;4@V~yoUXa-Y@nv-pG6@#jNrXU=b`l}9rhP*Qt!WU1kXqu| z`k?oT@D7J)7MU5L)jUMqAy^cwdDw%$$~^5#K`yhCV=Aa9O%pZo8Pr763lt}b63tfk z{Z1-E*%py`1y0fx5(T`wKDU~=wi2Qlc}`?ztF-MEQ=sktiOg$oT&lM5aKMNAGSlND z2R_!9nI6$>wHEnowZSR&dI>wk>C@rtd7~^vGg~wAA~Q<`f~IFHgu+k`LO$kEH+yRfg;ToLTF9KE8HfxNh1JDx?*uc(WV zNwC;#Aq0vvTL_^w?HfX9P46T^NG@^QN#OdF`*|S7xX12cfL5rklX6qX$4CPSM27Vig3>1ac*=)U7 z+~`lJ)+RE)rqo5qBv@=G5duZpNrcdv_6;Glra=%wYKdoS*1T!&K>yhy^Ot2c-=gji zEDF{^R*C~@)o#6OFmsX);5x%FE`$Z{B`4g9?h0wQj^`Ydtu{UiGmJGu8V9moG{8d&2Qjja& z$;`KTRFtNPQhWwAQLl*NBvGPst8zfoTTu2rkxj$2uZSq~3-6Avx3cSTZ6!oIhMdTp zTlcK@?@OWW`y!ixkydTv;iz>*wpW2>tIihL9rH9>j>Tuofk}Hcg&pGbUN9fEt`yB| z?HGz|ovk%JTRT8uCBFh}J2gBt<&6e)pKBiE4SAChA?GxWuzH za(eG`q18N*g^xR|n5aANu4l_BP$!^V3DIs8raUsUb=ma&kA}7%iR@mEZW|BBKEBBI z_Gz|UPh@xUXtwrs`E2cHTP?2y*dk8vT@l%Rd?}jQ+6`vL^|YpEs{zg%C|Z&@bG#fWN{NJOizU?=yfV5&@}+pdp8TH@K7_CVbvDDjEN9_;-WqIG$9JzM*h zsFRtk6%iejqBu!pX6uru??Pz1Kx7Yfb=!D24u{P;Fr?W!q9C$;0-CKO^L)1Q4$PLm z<~Y5NBeI7VWm_{_2c<;zh=SJiY#jiFp&Z8PEj=(VvQQLOXS3z>&6J=+1o45o2$=+n z?Ic2=NIQuTTGO+I5L(k92qCq^v-Rv}i)TasPet~)qSgF>CzIu3MEj&rk|Gi9P-L1W zI)Wq_r-_brNlZLja|*A@Hv>Ks*%RO-T_I5&@2+R-$dEdj*;*0NaW=)ZpRJ$z9Eg5y%PjfdkD*sNp9G+X_Akv+CVvvsP+XX`XL#b#=fIQ>{xWKRiXTQgh7*&^G| z*P5QKqoFXAgJldn#uHg63QOXy?Df{!Zl%aQPgu=q-3&xPYs zwT*}4qDW-Vh-kJh4n?+qNV7E{;IlQ*huPBC9H;k(v*)5nwl%YLrX#W!hg#FKDA4}+EbZK=hme~ic36Oe-~e!042T= z*(<{TLUaW0u4ijtiaJ4e?a_*eE-O%6``J3|#)E%`w%>~ERe^3B563mIS(n;0TZ7AB z7QJzh9Rlw<+~v^qUR$(UW@x%N{nDbyUQ>~6rGaMz@ZaoZ1(6+G)|#HJOQ0~6!#KUA zgGwR`MPYR|TdN&#$dgd{JCXf2)Q5}Ei|r&rNZsrtLTF9BFh%PFi#EFisFijH;_L!!Lh6bc1o~>(6yz*sewOC|_m;Vb z*0nZu0^e3bG|Z>C_OsRV)h8Z?wm*pMjV0YS9*&z~vxd@pr`ek$FpJ(h&E697*%}F_ z*bMDgoIW%V*_%~YK-04|%m*!!B4~QHu7ko*4mE9{ABrp#h1J{M?5!zv z5i$uD+ew5#kDd~|wUrPRizM@S70pI?xb89S|Vl#AuIQ@>2$ljBZ zs>H=}t5^iBqBT8Rw?Se2Zps*Vds$?mC@hJ)ve#S7ww?VQbXX#?_u1+qWD+d4lL&z# z`Gyc$)4uU<>1ZbrLdHpu7cL5{RV?d`XWZ`AFFCsfWWi>zRfu<%8{1AB9m%+Rd`mA? zF959ysF-$l51%Z}CjdPD`7W6c4H#<9jYP-^Jd<1wXiY+QloqGOXuQeQG~#!O?G zi;le!QlQk(u~`Arv}3J4*YK`vi{p%Avm=U=8ano-$FyXQ-Bmnfb8zerk&W_J^PG?h zFvn)MyK1~EXE_vT9D5;7Hjdry@i=s>sa+g8)>`3l=-5X-*U+)~9@DgAAG=&b$3C%1 zGmb4FNq$|_jxC5t1TC3kJFGTV{#($WBD)0c%DDj*V2-u;BuXdHvFBW_og2tIt2MI!WL&wiAmK&hP@NbOsXYyG8Gvwogy=-37h*U+&I+towIHmvYC zbZnzC)3jq7x6{zEP2+mTvCZ<7D>Za%^Eel@WR8uWc-FOWX@85FoouW5OP7io$Fkqs zBpS#5DRNCYR-tP!RHz}cB02MbnGOTYv|a?HrLRx zQ%I6Kr5)?%k|;HF>{OpLm&M(6NCeshLH`21X>xzUWvXWSVwt5J}2KbnH@>MB~_H zKG)E(D@aQIo%knn3V$En3aX!nlH&F}FaXacoeTYv|Z8n``LU^&}}L(6Q?y z5>>rG?)C7HYv|Yw@He=OV>kLt(~jK~at$53nIv@ws_(>WZgEMJE}~;2L#8F0v72Yk zUlSbbE@~c*tQPZyn$6g-B2_hx9q(`r9lNKUv*_5pc^-$3jcu2UGj?B!$Dw2QRk(&T zc7K^^+OY?DuD&ZD%2S+l2OS$%9@o&Z=UuL$W0P&BX~$k5iQSb`V$C@AVml2T zo9d95*s;|wm^v36TUFGQ!LfBbD!?3jrA(r6?9~$2(6QHwTtmlRFK`VVdn3;^bZnNx zHFT^s#Wi%S-FH*kv9>ac(=#?Z){J9sQW*PM3ExcX1#zNw2gh0!-%Om{+kro)ZFol! z-UgdJsR(a0jt;t8Po8gEr2)~0-whi8wyg#!p*5w-h9cdp**Y`_#(HeFE!;#u9Ohv$m5@Ag`6IuHdpR|lCug{OB1|%j3}!I zqIPH5fVuKwYba!x5(#DJg2=5NNKK*a5+p>qbNFXpLQYRnyQ|d!#etW`ElmYN=^2XJ zJ#<&Sht`X*88QqO%sevJ?h%Px&roU#Wv_fflqFwI83{RSh}yjh9Z+(sJAe`q%9@d= z-OrO9%&fdPI{*h96bWU&vdFC&Nll>~5GF(!(sc9Fkh7+!J)q;Pf z5QMcuIS)2y(@1IxZPq(tr2c1M(K1yFoKsVR%vYkk>)p}Y$YK$sE<<=TqK)s&^C zP_7FSqWr!6aQN6tw{=DBbzw)8h5%41gwop=wZm=MfuXzy8w6oWB$VMrk?ZYCO`+WA zCPZ1<>!zyP}RL2NkWBmt8{XTM)JPy0Qa9nHPv0gej3w?uSk5TacPUd9a)irSeJj1(36$ zsC}@~5#%EB2m5-n;guyajgT{vMq=SxkYJeTx{>lJFWMs4-?##=$dkRG++yZluL8=ZqIPP&;|9T39Qk1p z{nF`tSJXDkrW_^mMUK8O5lS=M%;&o@P`T2Q^bXo_(b%Dovze%ES?&%RPzIEUPzIJn z?Tn)Az+Cw>6gdb}BB9K*L~dY7Y6|6*q#N|wpq{5d&gP=_mE|_*a=3$L<_YBrxP#t^ zWCw=wxhrxIrbI%Sl^3}yY^f=fRxjZO6_$7|w1uB%cDhW|;yX>ijfU>2ieLK|=WtgQt$gO8(SR`uS3$bf_t}F~i z4#HrWJLr7}v`A_Scxj8RtKTgRG%$2V!k%KTL zk}DsBQ#a?Orcgd9Cq(J-*NGz`XKPXWNu?vo?U8ycN2A;kirUWu*@2-fazzfplt?IF zz}KKVLa8Z~g?2)e58qqeh8!5lg>FZbyB+oPnMN6t6187fWCw=wHEa-sDUndV@kDM+ zN@@z_+d@K=kDWLFhMa9g?YG5_DE9||Qqdi95BQ??$3S*qDBoHl2VqJil%K$r2Yjh1 zl%M^CD0lSO>r=?tR@D9+bVPv<=g4P?wJYN*qW0I0u6zd@1Yxku*!~8tj8}mQ<@dz1 zvc@Mj0?Kxx_V?vxWumVhnb#L){JlxIq!Zk4j^z&2=cC~^>{L~UQ)s^HW!C8;Tt)k+CBX!S~Q zIOOag>Q*av+@Kd+^#f2e$`o7Ft!c{+45b2XAxw#cvSv}_rr1(bC~I2@QO5lJ)hx*A zCF<6;JEAm})X(|RC{0CCJ5h}QL-`Rl2*O~Q4T7D_H5Fr&4Z?&dv-&Ui1W2~kd}`lA zU$49win<*l>>A%ezqld?VX)#2s_T^(xi>?pDU=k0(1Y zls}*?gej3w;78BrzV@W1Q1qa0LDh>|ZIdDy;9%Z5_Kop*fn!qJUtz zlq>KJMY3Q1pcqVy=L-(7_pRNu28>P|1n4#t(K!V>imrbHUs(<1@F zQd1~r6ceIsQM+yn$hoF>RCHg`8bQ z-O#WjN^M2`mT-+yR~B_Qs*7c=^aw>gguyZ>Hv(Q=IYzmuk`U!fd+wEhvYV(Ik?M%D zlV`Qe4fF`q@9c`YTRrR=yRwEW>LCo4LAkXoAXsY3mD}BfD0h8VxD9f47j?IL9Z~iu zTP^RV2xZTbs2imXh*8!A2OtcVLAlEl^?R0Ll+k5Ed24oHt>m9EU)|`#K7g`^sJnZ) zb7ep9VQfe!`xgPs$F3Sh)ZOQZ`u$uKG0>X2Rw(Kr7?yJ7{`d~+1ZBj4v&KTso}%vl zngmQ2MC>87)qXb1!-&eIISFEYvZOeo{Mgs!}G(XYP&%3h*wyx(zy zjWlC z5{bI!V^>aftd{p(*@3w-8C*FfLXl9`gAIaUSPJEZq^=zK_sJ3k2K0sHTL0NxA*=qSvHsG$z23Ick zQ6yJ3h7E#XSPJD$KjGN!I%%NXpaVqRn?c91g{Ob(=EkmEYXeFFyT-1}1y=^Ewq{l~ zfenISLU}K#D+j%Lz!*R|P}IG*oGZg%nCHc_a(xj{BH4k>$~plnqX^$-k8xw15$&=u?WSuaD* zA);<+p`$C0!p;1LMXo#sH}ibmHAeZPAnG6WWm|)?by?IyFf4`gr{z(ddVPpZu@DBGk&Jp{v&C{DMeD0L^ldLiT-1}R}j zl;>RGtX3pfo`;)xzV1q{IPi1i>Yr0>8A>GTA(&8lB)xaD;vPr{-2E^p=<{l1jAA&8>JG?%F^tvH$u*l!h!EC+kZr_{*|I|Hj6jt z)yQi3#Ko>LiagFsph=St*TEs<=%UD>H3>K8aD#wekvhhSI= zWtXHVxf`#{K+dtk*=0GDg}!k1Opz;JmI0-JU1L}F^hNzb)z-|)j-IH8U_#m3N$862 zt^GZq94DN;^BrCJwj!MUN`&&g3n-E7z-DEC*o<#0D3U8xmZ*ndSjv?HlitkvZPPs< z=Xl{9xZKVBlP8>mClGXBQ9=pb_90F~B@=zp{YFE@lFf4^~XgQ%Pd)x0zS56Sl zp_PuV{8koDK0a;#umL5+t}#j;PTSwqW|$31hoT;WVJVcOlb*IOmG^6doD+p}^m3=| zKelj=ujmbGunJboXHEx{6FgD>k7{dBvX+p+gmO~SS^4>~X}tjDB;lOYaaI~uEehvU zx`P^4ivT5%9oVd#S`iS2B5hEOD`YS%<;v+v@1V7BT_oR&J6SlVcf5ld*0O|i7TrM& zYv-+&&r{en-k`I50bwW-N-by*3`?P$Q%pE3`+c+LSCDgxaLy@pn3ab03c|S{q77O< z1QZ{;#wZu&1%#nUD0QJ|fM8e(g0Rw1(Z{Tb7{FF%GQo>u5t;*_N|sLJlTQG%2g%N zu(g9Cxl#`s1i`SBE7$l5QEq4&a1-R5CY)=6jwm|>!ufZZPTzp#&%;*@11)t$}E$_fRBP;9b=Q2!^Fl zZZ9N6+2b0|ft)jhb9=EP%C2SMj0y>5ciU?D(#Ec_D|b1fVb?N>gt7~45Cp?gD0f>4 zQEtq_?P_)FFPyvWjwt)M!Wmm66t@T{McIM5avyBQJ}!!cvKwd+3`?QhUrvZ}{~s?- zhMY5nbAP2H3VeXm32Z_+A#b&OB^z)Qc(b(OxDKxD4jTl)uoTL8FCofjYi!XEa?TRY zc)ue`zr1iBD-p`60iYB*piHnuLqFBljO`x2Xnr+01tv;DOcK32~qmozU*blIZrrkPDhl>E5dmzzL~Fdt(LE~0kJD@ z!_9oTYHMc2g$;sWLYbTNW}bHPv0DJj`NEmI+|4`$j<^r<COD*Im`M$&IQ7mx7^J<3~uHH@y$FOj<|19*fn-#0o=^P%I#71 zcSQpP!%`@pCcT;O>~^>OSF#I*^XYOo^UZL?!BLNIfHmAw1QcI(V6J>=i-wzB6v>qX zz$plZrBJ@AB%GD-yPl^(&PBpml{=LtZ$SU8K9yP3yW!udJAneWM4E#G>w19RnPUo?!78i?e|L9S?kU|7nP zUy|O;SAMaq4sr$v=a=Pf<_8MG`8}WwdN2f(GIot!`6DG79w?wlD18ABf?+9?zwCq? z^mXsKzeCPI;r!)x+@SH1$cZwcJmOd_-?_4baV6Ic92g&=NGJz~q5*m$i$Ca1{(QIDZt>;O+m|4!Aabj?>KRO#q*i>bb3CoYw$t;KQtzz6PU(ZDL)0bk zAS8SkH5*YanTgMN>Zc~d7;4bHrA)Y?$&YLTldswV*{(KP7gk!Bj~=#ti1 zgKwuTxdj?rBXV`NdcKbmO@nNOG}E9a_Oi1EI}U$pA~YB*ayymPQ+rg*G-wD&GYxwC zq;=L{*`i}dLxUkA2XDT~XYt|{@4@bQ(oBOr9MU>#@bl4^&w&QliroG%MYp+>2O4ei zzOiN+>>H8TS%agd&mRHB{w;EF0?X&-;uSYIs7RV=(6>NZXAKT{ef^W5!F3{+FQ}*C zDA8QO5iV(_!I3s;oiz}YX(>WwYWN5H(cf zPK7CYazzbJ36^ir&nK<321jjl@+fF9OytgjD|pVKJaz?Vtl$dzmnpEb2HnouTfXaZ zy~tfqR8N^vqS=G(sJfBNSoD0Y*`4R_SjTa;)l8Wu~Y!LW$L&Klgk#wk}rgApQkOQ4>~qD0eRL`-WM z+?=Pl&KlhLs{aZ!xLM@xOsOZWDA6>yJtECCxFaO3vj+K9UOEIC+#+)Kc5BQ{Y)?n>V><}8RBgB zG!4ebw5GxM3dMESV8*o5$3uhLMDB@_dV+}(O@oO(X{N#B9%-F5Sl0Jn*F%HbMebQk zJ(om@rop5#X{Nz5CDJ-;FzAoJeQ0op$h}xlPa9F9Y4CzenrSe_Catpuw_i4AV`xwm zx#mbcLqv(DL1Rp78Z;FtuCoSr^j&Z(G`LgbX2NGwHi#$>H2Ru6-6qjAfH#Ho>a0Q4 z#5*TJu~8y7D^Sn-P@-w@dVw_4;Eg@vUjFccdta_{@<*&IqVSMY93YZ|=gP+VsXocSXw(BN*7`?#W>xS>ST;G>W< z(_nr;T4xP**|q9TXfQ_PzHrrZG?Zu>e3l~3H24gtaCO#TO_f1JX9Ycwx z!6KhD)8K25w9Xm~@4MwdXmGE{{b;LaUMSHtSRB)u20xT3uCoS*_n3PaG#D##zZTV# zER<*({Nj;j8Z325>#V_hQ?I!K8r&yx%OdrB3MHBbf0jry4gM;U)>(sXcl|=XF1laT zuaZ|!ols)jKtE9;^|*n4qC{$)HMoE0g}*@51EPM7P(4c`lhmT4LO&-WHPfJH0fRbk zaKP^O{u`nm6!q&m>Io4_G*_@rESU!DL?m{01xv1aac5}okf`6-SI>P=qG_;Uo;1^7 zBZsuk8jSgS*kWifPSkH%QBQMFqG_;sNSbM|ML=3-4Q@EBS6^rli2Ch4^^683ng-j( zw5Gv!DT?c?LH}!>{uLTLEb7x`_2dO5ng&$?X{JH7Pg-XUUR>&rg9hV8ea=?TQ&6I5 zfX_-u-88^wC8X9_gQbW4@D4=%N7V09R8L9BB(>r(-O1AmYp}CRT4xQ`b$6_S29JpP zy)E_Z10|X(*rQCExdMEyLFy}S@KSN`^uAX?)T5#vej}ZHq9I=K73}AdW*Y2YB<=qj zJNJ00rv8twZ_`bYNf(taQ&P>DbEa|jl&+f8Lwcx5lu&KyA(afGT*^o;B^kN(xNcD) z)u>!5EgOk+F%pv72)Sn>BoBVy^_ji*n%{YT`!&DyN3Zw#%=fcCd*;l{IdfWPeai(5 z{k7g?4p>6fgKhksf@{?GV34<%4mdUM)|Crrx^8EJ1C~?^%oKz3cn30(CwRbQ6CUVV2l9dK#T)pS70bhUB;NA6o}aKLh^o|eT9 zeAlQ0u1vd{4!A1kYUKhxe0a(C9I%3_XA11Aca1vWT5mBOFeBvFl?&(+GF8Azs-B&~ zZh6{~OR~&rK0t z>=~-QJB^*~u2BapNV=L1xXW_2a`&KNuR2{hU=>y0&rj3uOxIU(4;Ffh>41M1+`@7J zQ~zpxEibm3svqU2>5tx8B>|5F-Nkf(Wx86qfXcHAukd1PsCp?sO@B?fzLJ2&X;;(% zOLDGOE@1qD>-gQW*0WT-B7_~|u2FvtmU$0{4p^RW>&gWjj9$Hx1D>PmHT-{XwQSc{ zat~H{i|K&X8Mm-pKyrSY2E5qwycs)>-QBKH--8#juBHQuJGYfyF5ttl7trG2=a+N9TB?5C#!hV4r~_W}9u6I_Deu;m3wU+U!L1yy zj;gl@vA^0i>VPfYVme@3%&jXI(6#l{hd5w8Rqsq=*R*TY0XveerUTxwT&-L{n|Qy5 z9PlDyKGm}0lR~)RxY4r?%+-i*g(~vnb_g%8g;;@-ov2-@@coOT)>wH z`>Wr+ORD}Vi+#(kQ3rhKEv5tZ=G?k+0i*2Il^pOARqqR7H?nKg0pAr|O$YptaJ6y) zTjx)BoCA0t?tu*U7`sLt@RR9kI^gH5tCb7rG2;Er9Plz#{~@q**fr{agWkiT0}h4U zx^e-R>{vd6170D6lGqRI8g;6VehVM^zMPPFIVY0ptvtrY2^Z%Uvsb8X!9BwO)c!mRVEDg zo4&K#R%tq*iH#cmr(D2}uP;(>*PD1-YytahU8BASExg5aKyeSPsx23=I=SLpu6mt} z_9k}E{&zsTn5*f4P}i{F`)|Crb`1oi0 zIbaJJy#;oqx<(z)Bj;*5pjXJ%$_4DKUUd}*Y$an@7JE%yqYmhoa5Wu}$hca$fZZF{ zRdB#IGR}@+2dQh+0s22ib->7^TURdNz=Zfs9I%~?F=^}zb&WdUJZ~`_FxqnK$^~39 z!v2*5-r~)f1?={8jXGeQ?P@w;e9+a(1w7PcvHIINM! zG3^$X3s@){{K<>GO~z$8?96nHI^a^v)pS6r;A-UphS$D5zyUkRm>$A@OV_9aihC)Q zt^=ke+`4iBi@WSsKRUic#>@htCb75Xyz>SlimAdER11yqHELv_j-~JDDFH|H<%)jNyO}$XFc2PD0nH@4=$HtLcE^Enq$mDKdgi>PSP6&|rAIG%J zR6?zf$XI4MyB?JwN=@ByHA;0%PurM$Oa^}zD*h>|68@K|cODY|2lu+vvGFF&= zrjxwqzhhdNhUrr>R@#21!7){%hGWX+G0BthOvukPtfpyI0;bQ%Se5oOO~|PlHJqf? z7AAYhSe^GXmHwU!U#Dl4N7VY9jAs*mrfESGsk-79_c>DuwZ0(ZIm^#9qo8Wka7@qJ zn0!gb3&PJdJELmUa7=4MnCvBEt?6gFEv0JIa7^pcn0!UXI@`~*pyqvApNHvdGF}Y% znI1q5>WUA1Zs{Y-Br;ZSwO`?M*qgj&1<{q>NaDTf-=6-{p>FxgMW8)-k&`!Q9chLg0}!sI71 zHs}3JAE#A~8jfj8aq=@6TM~YzFKRybitnDa4v?|c@-uyFVr8AQy_ob18QXo6eyW-D z7FMbCD;aP3CLKhLTHU!%IUAGT$aq`$UEW_=RilPu+7ZI!AQ?MM9}}OYt7_D6Oz)&I z`JIe+Y(G=OjH*$?F})kYz6~3Hswtv1o(%8yya&)88xcT zN&3uIlR$usJ;Kj)T2|Gl;g~)*)g(}xjL&_Oh9^{w8jk4;TTKFWNbQUNzkiPCIx3@T z)No9DLuwMJOU7Q)&onl#YSeH{U!~O~P>+nSY(LY5DOIC}WBNL;CV~27d=v6BO}15y z8jk7Pw3-ACBja1&q$`rDMh(aGU0zKB4aoT3H|c6i)u`c^en_ZEpdlGQr2Q`M`hu!a z!!hl%)Ff~?8T;~nrkm5MMh(YQTqJM=8HI$OXqR0;UxWx zN#IB_e)dheKZYXyhl(%41EvxJ{I@^{EWgXMGO9)m$MlP>CV|Fe{3`rROKT?m7J}(0 zGJZ4tOv`H~9kgL;LdNgDNo#5*{h5TRDH(re{F2tyOe%gy2s9((FTalsUG0KeSdHQX z5f?!<2{fm;NcttcULz?^DVUC?IA#4z+iE5Sib*Xf9`H@tSu?42F{vfRYx^eY&(h9) zs*}UzwW4_4px@;+&Z-(U986@CS`Wcv7Q@no8&$KV8YSeI&8WfY- zP`rU}(k~_)+En}|9B51NhQ3LMQZ-D67nBfaNAV+KK9|R*NYyYkO2QPPc%zJ;sh;a` zUr&oHnA%hP$gH2~h>YuU9*AH9rVbPjru|G!%^If07EH%dym8*obd2k9AMz#;0v##d zB;jXjkA+pCb9u$+z;P6BYWbPEq_DC!HM5lv=tS}6!q3z-sA|-3l8!djB+!}SNBbsq zE2tVZ98(KhO#)pg-qJUzPg>Qe;h0*5)Fcq5cq`NI@&=$r)j6hP(rOZ@p!hMipJ}iv z!Ej8i^J*4|P`pjZ&y=kBjA)yNsgmMteUnC-szwbbsa;-80#y_b`6i97`RKJzz!ar; z`?TNXjZdo@HJqdlmYM{*QoKXn&on8iYSeH{9We>SDBdyQXSytpB5gX(QbOQ(iXZ2j zG(D?o)NqnIV-l#QcxT_F>k}x_rY@!u0tUsqSbmpxQ%=>W;UtA^H3`HiULpKU^DI@P zhGU9^)FjZ2;t|u&bXQE(sNt9@Z8ZsWr+Afb(*3Fg!!boeY8E(w;!)qEnm-c6yQX34 zLGiA(-{mb0sTwt$q*z`}0zD~ye8|tVyr62-a7@(+H3{^hcy-#(v?i@;)No8jUQGhM zDIWJtTAx%kYB;8D2{j4yp?Ejnq#ZF;qlRPZZmCJ2FU7m({Vs2pt!mV8Og%6OoJjE= z2|v@P85C(#Pg4njeiZL%`I+{HRE-)=Qg2KG35xgjP5QooB5mqpDk0FH;(dIReom_z zHJqfrwweS^qWFoz@A3`>RgD^ssb5G<0s|=C&-5`JUn`+%)KDg8$Yu!;3E3hKswHI0 zBq+dSgK7)eDh8^M^CE)n_Vz4imDq32MNU12q(~O#*Z{Qx*q$j5>L6t2B(#4sFEoQsuHp?1d1|cKwX8b0th}d zpehACo+%Hi7BZRy8B8`PE@amjs2h_7>Mmp~2s(i&4eG&E0QD5|coWo%DF^B;WOV}6 zhbar{E2I$uoye2{^%F7<5DBIfus>5Cbdr$WlAr-hHfW%b-D9ACFj=6Jg*+h$I)y0> z8pKopohoDx6Ev792l}UwJrkhQn6jYLh3pjq4PnZFh6>pmz#mRz?-XztQyz4NkbRP% z;Y>E@Od& zDFYfSg9|KuT7U)qS#|1%)n9`uf zmJtyR(1n7CDEa(LxCx<|5nKGbt zLS6z8>zPu(7n$;)4MI*yf+Uj-dP&GjV<4N!0=+C`DhPUoDGhp+sQ}t2DfwnMNpshkq4}!Kar9s=73ZS=yyxIiim~x=E zg}f#K+QF0sy(8qcA<#~y4Cq}UuLFqpm{P#^new1rLe5BnK47vzyM>$?1AWM3fj$!Q z`XK0IrZngirUK|wA=4%(&y)jwCgcqX&>p5N=yM@wg+O00Wk6pFc_TpVWl90RV##FnGmQpQwCH=$U7AXs>_rD)nm$o>I=Cb2|A3)1~m|} z`1y(&GFi|L7xL~P=m@4Xs1Z{Ebfl2?n4ln24%Ar4dlR6en6jWILf#hwHD$_xnhCj3 zfuQD0DbUeOc~A=>|D6Q2WU@i6guFinI)=#twHES$AgB#f8q}7l0BR@XgC;1%lmoRF z@}UH%15*}stdI|fKpmMfpyPymM1i1AOes)jraY*NkX8~DX0kyQLOvP;MVKs5rI3q) zpei93XF*XRmxMrFnKGc5kV_Q^I-V&7s%FZA3?ZLLg5pdzsGE>a#z5VfEYJx;J{1J@ zU`m5}G8I6*gj{BVdNbufeS~~E0qVWMJI!DO0 zCg@zI9OyhD*CjxsnX;fULaq;i#xi954u3e4N1^=CL8oGA!Q77 zA(I8VNXVChpb1QA(8Wvz&_r%MZ-OSF2|XiCMh|#Jm!Ji^qABQruIN%UAXk(^|8GT? zq5Za^%hCN>(G_Sut!OHGKP$Qtt(O&Dh0e!{rlIk$qUq@StLSR9-BolAy51_f7ENar zU5B2pie{kYs-l_bc&g}nG#piwM!!!*H=y07qFLy6spv*Dn^ZI#y&e_agjS1+Zbqj= zMYo{QprTvR=TFfbwAoWM7hUcY-G(M}isqrmo1)v%VolL}bU0IV2O5ki%AmiOqC3%E zOVI*!w^DSM*G}qgubb07UNfb8y z`eoj+@lSiFwlDV%O<&=iS-#RcGCb>@*!_%mVD>8Syz157anWnM)0&_44oiN{JFED4 z@2KDxypwv@dI#mM^UkSV?;R8SqIXK`2Jetm>77yfl6OR??VZs1vUfn{E8h8(uX@Kr zZuCxPe9b!?ag%p8;p^VffNzWK>x-}Ccf|G$8Ge!o`a!(8H4oY+-rNQXETb;3rj!6+cwi6|V=91pQ+P-Q z)Q7@DQ=n5QJgQhXh^bgNoWd6r>&~R`_+s5y3QsB4nM}pHi4?vjk5wj9_&N(Tjlwr4 zK+`FFO9*r$g)=s2HihrBKzC61fdnW+;Ri#Y2PnMQ20cjOB^GEYg|i9J6BK?X1X@ku zbv9@Xh1Xl4mni&N0%TKoQwX$$!aIsrx|PE3nWf70VOQ)>Flb5a@NPSf2#F zK@~3+9XqLFvkC28s(3R2`iv^xPl5JO#jc`bA60yvh4v#=e3JqFK^4CjK>wkNLpeot z>rHxH#d**|iY&1~k5goI0koJRYl@C%DDsMuMBPF%1)}aQihLgfeL#^PijFTSawq|9FGcPY$C#k^sH$tR?tQ8<^3e8B)u0UMbE+B~1nr}$F-g#mR5dmS zIz&}di?{wkRaa(|R=+M)&B3kp>rvHhNoYq=)gvKL6RNT-P+O{cuIOk-RnObdDyV9E zQHxO3Tecu)|1+OkzM|hOUc8d3wwWdJ@*&+nW4Vf=v0#b3|KP1nS&mY)JHHQmi|lW( z*JQaXMSG`8Q$DHr|7ArS5muCq8ZE86it@O&aLEe`vJ$Z|i5ZYaILx!1kjmSsL( z?A1(3b(gyHZ)dqbMK|Y5%{~Y&ME0#~D=r@HDdEoSVOIbdJqWep$cO13y7M2H5^taOL7W1#T zo8?m}`d6Z4k(Vz&u#;tOJXSkbB2U|RlzPT;6R?J%5_#stRqwKV8bzNdU3-qUN%bd%bdcpC)U{o*L>_bY#Zy=wik9{ID?coH^H`RXXdkcT-wusaPy1nL<*wx> zoj!h$o(?Z&lSw|V{TET4te-&)R196X=p5op7$ z<>1ssGguypme^W8)HEDm`E0bO)^hXU`de8Zg;vp8ZnNul^$4DWw#{1JKHi<$;c`RD)YPs>@H`HO- zMEhG$rn8eByRm#e8q;cdjJ5D@mdBw9FW=pJ zEzAExBU3H6&EA+~`9d@m)$%9ZTWn(aA~g8a^3!J=IECd2Xtt^4okQM?uzWEZUut<) z>qRfHJP}POwS3{^SJc{*&=69~uTNZ{-b^Q>Iir^Mn3F46z66aDwcI8(X(G#0(6ms? z<61|rXZcbz5Y+PbQ`TL=ath7-w0y>zf2tSBWoWFY<>8Yg&*4O3UvZ z?4cgm8EEvR<*^U5Z)@re2`4%)-(ejad7OJ=2ThZ)9%LlfuKAGh?Xgs3j_RZ^BEYC#~5G}Vos*ie1 zZbL&3Eg#zXi+Z`vLvsu*Pn~|wRF-c?qY5oYJA62f<@snDq2(pB+An7L4m5Dk^4)*+ zeT-%PJH<~+zGcvayDuHh@||dGpsVNPr}Sre0h$bG`9$k4^(DRw2mWh$%DDE|vV1oV R-`8@R1*b$==EDgo_dhmQ%+LS; literal 0 HcmV?d00001 diff --git a/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.ngo b/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg.ngo new file mode 100644 index 0000000000000000000000000000000000000000..3d987c6947aa275b58146555ac53b601d6563331 GIT binary patch literal 112810 zcmeEv378bs)%G1WQBhmOCAbwzj2hHt5pgdVKv0Ah0dZprP!t7e5fb8p756RLDv5@; zlpCP9w89K9YxOqv7?(B@HGFF7iv~5(*wGkw{oiw!u4Ssf$Nn??e7{fE^K|z;?|JXJ z=bn43>U34tZM)zUhp@qiGrsj=EgO<3)m$?3@OU&jpRwlkQIhA(owJ~B=

    YF05Oy z@Z9qlYe^IdpD=#ZZXi8dCaL( zMxGpl;w`+fn$csXjjtUen&@6b^r_pL%e!;aaHBit!S0B{-Dt4;f3bD9@;2h<#OoH$ zoL?8M8(KGb2xGZ+i_HCe_C<^8E?GRQZg7;b^wXNa7Ayujcqn731pvT`FIrfKN~x8u zID)bEej3*)74oBC0~S%+(Etc(2eA z^$3W+v^kZ;VGwVIBwfM)q?VW^ksLnD715*A23MpWrk)2`R;DPV{^-$D^|bBk2SYyB zBO_=tid;RL2MEsO?kIQw_@3KfcmTvG=U5&9J=wI$PaSwnlZ2m&^k1o}v$=zRr{8WmSsUkNpsJZ=AnP3iOzy&lW}HZ$QXZX^Y0QeS6)H z5$|2ikD*ts>*5@%n=K}`eUED%KDAHQOI5Jr(zI)z0YtV&5OtB?xa^|ujM zjzV6gxiVa6J67`8fLKUf~FDkPb5^C5gaA^f-5AtR&J- z_mo`{X(r!LEQxe1nd*|s^#da%Eygaj$tj702iMJ+1L#fLg@$k_`v=$LV1iIH`%coO zQB7dE4;&XGd9bG*#17L{MFN6xNY4b!-hM1Gdm>+WI;|tH7Jr_F<_JUzYz*t+~h)Y9_+`b+fV;j~bc`cdL3_)Au!6<;RB~c_g zl(aNnA3%rH!H*4J`z*lMIz(~(Lz>sZVA#c^*l>twywuuY3dn|q0dxq3ZT)*b3qxQR z6Jz}zj^FNJo^Y+7;UmLc%8k~&lJJwGb%Wt?^S2hkv(BLt-Ygmwez40N=ny>roCS*) zk%1_J_hykpiVui9^tG+r2R*4yCDgw_Or3FWMe(N+Q~`2e?`&pDHNj z5DMXHy(E&qYmJf!pW1%!HcRcKOU({JG}>Cs5}AB#cS<68u`!{xyfcQMMj<`e;WPx8{4K^y68ZaXq$Gmd_7}4x zQeXdWl|<^z7m1Qcrapxv+^2M`?OUxRM&Zc~LaQ7`U^DldoS`6uz#e3A2+mQRJ8d6< z`i=QRji@^CD*I5;2hnh6o8o>9earqkfT4q#DYlP?US*#}%bpf!Ze^cJ`Z4q`YZiUj z5b|z3Aa7mJ?hdpH>)BiU=4ifV7enExN;5Az*I#!CdYd^`_wztIDqxPhn;bAly%-xX zM?pEX=r>2f+dsgAsmxz(ACC?UnQs7jT&Ckqws(MssAP9f`g!PX=AXJBqprOez~EnI z6KLKx(2q=m?Z@DACT;pL#2_PgTs2qdbedff@$iP!QJ6EQSw4)K_>CW;u{{7Jk0&se zdC>4c{P>+&p!p!`)=iENq84WxJ_y0g{x0T&hLW?-B>Wf+>~j%6Mg#jrpf1^K4PZ3b zD+6_Duy0HF?9p7zoaFd0s(N+72l3hdou9_Xf4sk0ItXTsKwWZgUZ7dpk*7xdZO}mI znjZsgNPtGYI5uF8A|;QXV)!xCQDEC!K67*^&Gz@#r9*M%4ad(TSN>)AF&f+VEI&rO z#RkWZ(e!*-^J550CTI9DnzBy@cPp1ovhbq~aopX`08U7E4TXUNzY zrVpcjEd=7BQMZ2UXVLV3ME9{MNVfv#9s%zrMjsA zMziVBKwTRC%ltfk@Vq1LYlBbhiM9_TbJrz(5KZjtgn&8X%?|L$y#;|LXcC`R^xLDZ z4GUo8vL3KUVL2%3uM08LZ2K_E2D9ROgFFc$9Yf@^JDPqT^<(e2AEQD0v+c)d27IRb zF`5E@H~kp;o7o7+GvJi(W%290B%AxPiFF%?jn6K$5?8a4V_pe6_e!JSJ_?;{7gTqb3|T;KyT8EG%p8zPFn!n*69e6 zUgh{8s`m>Yg#M-(37ju*jfOns_*5sLjzmKUKg zrrtNb5CW9?!0;jz*Ij)GkK!J>m&Bvr$Miwex3_H{gzK(517J1h@sNPgda~)t=zHsh zjw_?*tu;kg79ngsE8#-uRO?}eE5rZRld<%Z*XD28#K6 zVjcn}Q2v2}2f+NvpPKLhbQveV(u)xAnAZsW%1`qG^esDodcw1twomu@=rv(902Ee0m}LEbG?-)fz1EhhfwImnOE(%ZYX#wQ7o_ZA=KrY6J8E==eIs23gk{-Eegx+5pON(@^5?`8i58-N|Ovg z$2oBY%)N+4tz$~j1E7~3>7)x#q#8X0^toeQ#MPt69jygdMrS&n^VBDM?Fm%^UeWO!B`_4Ksuq)6d_>O0a9)1+S zQxOk9UcTzJ2ze7&Dx4^0e&mo$_R#C}YFkODo34RCI@0ttMH&4_?;RIqIGEY9D9ilD zZ*N0M(6uitN$70)TvO@D-V+5Sp&#j!G$oYjBMuK(S>dpUEpq?YbuFs&a#w5 zHXn49L^j9iN}|4OYYB-oUrGP@jgXwJG~B-7NFKkBQ)erQ>@U}qL{`QaN+SCoM1(Yi z`@Fm)kk3r%%zmmFkc@q%SfQ#4U4d z3Ls${*$iyAA8UC4@>R240J}neos07>M5Yo&5kDBhG33mlhaVL^`@o?G0o{h+no}e+ z1W^5F1%ghiojB#p!9$5S45agGBm*F( ze#-^if`^Itr#4#wCf#6cInxfH7`PS@0Z)C5QOizFfEfk2uO0vqK>IW9sHX#{o)RPG z0nt!upiR|1AUWF02!QC4{hl5G(fNj70An@NCrutww+M3UrA1DnLA*@oMIKpH?{HjE zWxDx@&rh^GB*9q}*N;VoD2A?=26V_7C@m#0a@v?t)V7IoH)Wh8#I< z^7tuZPM$P+OdTrJzeHHgX$!|thTCEK2_r%HI>HI=6d6Gi=SqV!#}MNiExCR~FBNJA%;9odEZ6Li2?H8YT2QJ%A1#Oz@}40LcFaLP>ObO%0BbIBmits1A&mR8fcnxrzpYzTM>~C6$(xCnb~w zUH!jINIMesKsrcJsLltAy)otV*ch~O7-vr#Hy(<{2ow?gaB<4`T8PaBE}lLSLVX_# z!ilHGfNvj1v2-ZnGvna|Q74}|1+#MPcO)EhDz*Mo3&nw_jz(we2Wg@VyrH{^a?ND% zdylo>IgpMyHA;#CSDeFV^o|w@>X=6pv*BtRP`AmGP98jb`e2&B4?6&;We5!~3t`L& zY7c36$>8cSr;eFI7&se~0udalwFCKEYKHcZ2JtOdwM^5zp||d3@u~Qeh!5iPaNC#{ zqa$*|c7_+Cy`$lvs23W_X$R{*jPnlEd>C)_06?z0LuuwV3@rLEKFs@CUTg@rH^BB` zykq+nd>HTl{*Djhq1Tc=jK;lTZ_|fy|Mp4vFy6O44IjpR-Yf3Ic=YHkk=~joZ zv198F$FBC&;0Ppb;-twZkDP$v-$8?T<|%Mxmm<;BkPYU|?nY!6<1X(J^d_Ed@;+c`_z{{Z1CJ?y=D*J`zPSGO3oIc{U~> zXp^Q+nLOqM(wS06yT|1*2sPs;PNOuxp^>Xj9&>Wtgjj9e*zpr+pPI=j6HgdjH+phy z-N_?QJqf2f=Ahn^i8y?<-HZ|&c=FWg!)WKfT8C6KVd|7&lp8hNK#m9zrG^Vl5~(>H zFB=wWO2RR?VIBn53p$i<)0KvdTv>9G&YgF}m5wZKFd#+7PaHp`ZuCexPP8z5 zbsOI>m4x#_V}~K7BT=QHhX$$JSpMUhhUZac7oKqHWYAtv21nqO(wvS%L;C=P##ePI zjKB`j_>rk3M5yuok`B>p{EMx0@T&1^2;9gB#HjJpk`BY#w5u+4N5E!t-jEW6rrx#^ z;8N305JX4eO4FXElF+rL3oR)Phbv8E9HparM`}t!<4xj(iT==!#+rU?D;=C}8saF4 z91~v+h>1`(O*W)%IC(ZfQxe7K#h8@Hl^Kpw(RfU^ltj974JA=?SE*{l$;~C2(vh1N zJ4zx~mKsVTS8lMRbT~D>QCB($iwaVpukX#wkkT+}U{gsV$JSa>cQ`e-N>dU=<#&dX zi23W1j=J!Gqjc1TCk-W$o6lNO8V1LjQY9U=-sni(;pjs1rnr)zdO@j%QD`@6QZxa#K6mux)dRacMg@1jZ7P`KH=ucIWm(p;K3L(%i*A(qn7m<%$M1UH*UI7%Wn$5>LLYe3DL zV@e0%d?nD;zUDI%ib$?ZE$PUWSrMfpS1u|s(Og51ICR~{&~;?!mMb0I32PRYb}-%P zGEVa^m5we9HD4A{Hp$HobR|K!RjKHbQuDHyRMCZ;=4Mk#WF%=QiQ@3EsU+&qeI<#U zek`tZ6r+ZcO*G!LZ<6aq4?cD1sOixDTa#RB;$yt=Lj*Ao&>=*|5|hqKO@j)MyFrfe znvER}YbTYseN#U`DAj};U-}XG0 zT?X^cBVF)Ea4_%uaZV8C5j@5Y!aOENCW0{U&QVqn=A$#h48jzX`bWrb8g3jJ8h#oJ zaCnao>C_J8iiae@9Y{S>>0$%l3nQargNL7lU8H_RT$;x5qJD)Yg%LEzB2vH+p?-xe z1stjBR~k~FsrXb>2qWp6YyE0zZX`Ude@v=I(O1>_RYh5gej-u7DyAfAcohWRK^&Rt zS6NC$jXj!B64`%LR}!`TsG}sR_gFzmB$!HwLRzg!iG(MLLKsQM$oj{VQX;P&k4S+u zt8FPzG#)pkKt4SYlLEQ)1j^+5Nb=|jOA6%BlL;yC9zUroiA+4{D2b{)ZE$J)C~7Jh zS1Jfk6_h|Re9BZ3*-vUpib5J%gw$>HBq}FusUhL%2p7iF?5TeSWq3P&6gly9QVP`F zXJS%7AR11yA=HeVPwDBD^YL`X`DYFuqAoPnH)?`9l5Qx}HyA=1MUB-<)hN1-QoqKL zDmuf}uhFGMDt^;|9HAJlNhlt9ver}*nO_UD++!ZawFM>h$sod zdPfK&sp)l=6v(M{D3ke-6#9mw6ev{dVp1TV*6UIrm(~@fKyzk&LJH*222%>W!yBSX zA`=@5N}_5zk&QEH7i>m>djNKQlOct{BsJPfBEK8sN}{HkB1)p(HYJq=;V>P-z|mke zye8`4%TL{D<3~>!M;8wpHjzF;--slLLtQ=pW@L;eWBkg{Kz`|lnV=eUP_A#bs8;Q% zqd;j$OJVewT6sybL1b37^A^m$kk~QFhUX1gT?mp*&9z8~k4SS-lBu1Rgb+rOD=m%` zNK<1&IHr~tHC`M)5pXL2I5y!V^sSy1xB_2jAw+ZtXV#uXBojp@jM>q}r4C_wb2h zC!ybG0Sw)Z=mb;GbKPDvAZL@Iu-%QHaZY#2X!+UejA9urKP^o!l+n`JsXj$@BO^zT zqJww7$qaI7C(d_tf-##LrszSkJVNlZ_*AQmmY@BmCCg~}Nw6+jM$4}lHyC<3HMc!O zE2pOOWIhY6KhoIM8>W=2#Ot)%!8$lrJ!I-#tek|}!Jwo5? zWCJFW-_poLMxKi6!gZ7B!YbY;j2U?3{bsoNP!OX zshAYdT0M^t8K8rC%90v1Ro{(FAH$D4IoZlcs*#Ng(nxA06O}c{nRG%4T+)?9*3yoW zP@_Im5E9RgGNx3KCaXyext)thfqIv+2*$h~J1N+Lm5LX;#&N+cYiLqJp1!Qn+wrus)vec<3U^dP)u=qzX7vQ;pIE&4x`HG#c%e*Ak~&bji>m^uvz&=TMK; z;9iDkBW&{5e*y|P!<1R??T?o3aVQUsE*U<2B)n|EYLp_>VL`%HlgAt1k4Sn$0w~gr!Jggu%@~|o zun+{!ytriAonMON1-b$WXQ9HSMih>HOka+QSUdvVD>Xns9~sm3uM*S>Z8f0qX&l51 zD1%s}GsaJ{?Mtaod?S1OWEjABW)Q@ps1m?xG+_Ci@g_Fd6SsZZFDojno4R+T0DJ-y zCv!i>4+k|Od^k*u?tAt7oLt|-^oOQ96B94ZZ<%o;)D?cxO^r_|s~poHr6$A`hNk(| z!ET}%T)9Bud5rr@`rU(Wu%U(V?O;reHATIr%<0ngB|Buhj|F;KBHeg@12rVaNi z`-%k(-*~+CjWQLleUl}>HV%4tDmVzv9;V+fxXN?j)M-AyDvC|Me?+=K(}iD*Qa&7@ zmwf2kn!{kRUaWo}J=_P+RHV3v`M~24Ha_`X9Ip>_2SQEHd2G&A$s3^EhSd+${7rY0 z(=)f5rm9oZy-3RKWGIZfMbB4ClenL9@Hmq?-J8`P7%+)e_)eKqmBqn;C-&K|9feXe zJj2g^sUUvP;NKdupJ@st-XM~)e>J?=Pc6dS(J#53pQ0-sxlOlsV6TbZ_nzFvHZO$Rd)}~JSw+i zR1l2wqx7qf-98>m^8<%*J>sukI($7{0D*5j&=!iR*OLMf1I*`4`%V#sQ8SGzY__9p zh9AB*7<8AvJ5SR* z%MR|SCs5d!lm&d(#K{{7a!M5T{q~+s@MH&8Kicv4Z4tf6H`9?f`HKF5NO+vhl^$w(txw;o?BL6z(YhJ9GgpP|9#Ov~8Fx41UAhpqM zK}sdbBffErq|{2X$~VGzN|?IOSC?l#sb#*pSq)WEH~Z@HggJFpTv!wR&DzBKh0k6t zLf8UdU7qKr=J@L7h>ikGml>Z$?S(L>nP-2Dd3%NG;aKBUT%dSbD+*8AR*N?`7@f){(37=itRU&L1=eBzu}|D^5#6jrh> zbe!jO=JDGY9+-GfnH~T|j+HzUI>M4#XCA*1;(>{`+V%h_62|X1cwmkh9zgMuov|nU z5Vyx~O?Ys!$8SmmFn87p1@V%$hlC-I%AJTLol;5_DG>K zwn78uNo1)XE8>Jb>)@Sl9J5i(HftQf8(}LWge46hMpE`+%ZDWi`>p21R*{hXmEpzs zO{wfJEiblOgOpwDcrd;IWiRA>1sy-%VxoX+B(l&0*%? zf5Oy`ZjOaZ58@HalpbfPV+!)=##Akp47vDOYHSiET|VeY--uJ=HMznReHTtmz=`T) z3U6v+5ie6d21no5Ql|ku-6Gu?F_av+j3b?(Q)k(76-GLRr{;jO07QP0Pc6{p`j3Dcp35m($rT;lyv!EXzJSpOMR=!$7RwrNtAT? zU}?HXf~9*v*UN^L^fqz4@VTu;(snVF9G325lC(nNLr7eWXZ=-(zVcyf^LjK^~ORs2D))NN#lxmneqXi^hpJj4EdNxdSVhK zouyCFh;3! zfR`cHMNI!ZiIOfK_D)}#VChRWxfVhC3h1tY?n;O1nb4WgU1gJWbsR5zUTcwbT?{2h zK7f>hI}X~ zy&Ssb(A}$1y?dd%7di_9-jjIg^6{wjLkX6CNRtn%r&q*TdIdPE9AZ8S-J{U0wn=&% zy2tVPM1iCyi+GuG-P`oj1(Xc=G&fy$OV@+5&LQUd1YVk41TWnLT@%n2i*&6qlpMLd zUOEM43e2oVx@-Y2Lq4LPezu5`DIZKqKL_1&(7ga6-jjIgOe_$XE`V78^A(eHuNf%W z^6~ieCg7VQ@{y_Zo6x-h_Ma2Pbaa#oa^1o7+hD#8=H>|LHrsf`0-9L)N{K D%Uqme+=fwV161W-M=-Ik}Ul>Z|@5orGk9?Ed4cfIF6*hjS=%Z zyl@;5%O+;J=_nQC4U$S3tPy)BmM9hDEsWwwWId+2sZ!b)aG1Er!|-!QXt z3?)ZCsh{bWV3~fJe7HZedlDsGKK_^K4_$xg_AHW>y(28Mm&L?NikW?jD4B8<#mxRu zlx(@UQ|5pqN;(tE>SYc}u*^Xk6HAw52E|!s5Ra_x%;C@t zgYF2ES|0)35zrlFkaTneB}=a2l{vPElF2f&8Mi7P|3J z;XR3$F4t+wOh~ZI1dU}T+N7Hl#|xjQI3!JmZZdRJxNa(RQ-Pjlk#2enB}Xm^lsPks zk}Vff$;^nLWU-845_2YW26VFxlFor{Hgt1zlI9fgGUaM3nYjg&47p5OW?m8{on;ni z#9RQ~0_YY(z&mscp}Usru7&Pe=x*S;8=$)Zx|+g_cR2&C-Ktd3L%-b36@!_$)(FO>*6f4 z4x9}RF&m+4gs#~psRg6Gh3ED@SG8BPdyN1)fX?bb080Z&1DG zq5C~_FX|+{Sj5Yet59WLE}&${)qFA=lPKwOVU)~k(BX(9mR-wif^HLZZ#ZP-%>-VW zTtO(~#90QgSUe^3b_^v)E)$p84Bckv-m|FQ`_R1y-QP`;K8T`Z%hhf&A4O2Iq%RA28FC4_%r{Atbe8$ffiBAucxiH#q-^&j zO1fNHCff_TUeNWniMeeYFMMuqk<=%Kk|S4A%Jwx-vRSrYfpoi?ctx04$}YPHbh{Us zSUD`ar;buVuC|ojM?)#e#L76?0Su*tTv9JP5W4-LJ0M0je}dNm&>a*d=^zKMI1{Vq zWCz(O#h6&0FMF89vWG>PSothF1iB&64J%T;!=XDIx+4lCjWF?w$Rz=@M;j;=nOGey zdz_9^fr*u;vLm6>p{q?$z0uIsLN_)}(g_+$NtPWSBk4rE#wX;eSlI~?6m)I!9dqx}_0fE`@F>bY_vHUs`xYO>vT%HI$Na z&B1INkk*7;ye*q@P>RcSwz4_svd}#nrFzdo_bhbJMM!$i#w#Wlhs(ZTvFr;`Cf4H0 z7EG2cM3`7vn(i27UxDtOB-Q(?fl^Vf?@3?Qv;R&qu_hwjm&$&TU}AAOx^tENI?lve zUT_7a1QScS=ejv4#c|<_e4)!vvrgTEH@`k%=0vqk}Nlu*Si47T-2KvCEYv+uee-NJZA#A61p3Tq`T3= zE6T+GBINEgS?oV)=tyDu$B7#NQRDEF|3~ z=Eyi+_^h=^8Vy}7bYo4DPKcsp%l{K-KQV%mCI3pGeL@i>Q!dWX9)oTYbdz9*1rLbPEltcS!^#i?uJ-Nm^XQ%Vgr8 z5Zad%P%`A>`E(Dz{W9p5YQ$U$-BRejbV&LtftSX_L;Cb%lzb#1*SV(q_xW9L>#_&c zqx;AC-AIa))IY-VKeFTl+WEb8lnU}`@%#V{rKEf$JO5*_2g2royxu|39S9w=0pN$= zH3+(Bl%%MGS6n_Uo*!nT6q65`=SNs9KO!n09nT*F-7(M|U!;0EbUJjk1(HUactzx6 z<@s?2N=5kucz%M8Qb9f)o{vE{3A$4gRBsA&r$RR^M$&0`O-sl{obspJD8=ORR{66` zmOm>Z7iP-WL8^mnIiE3Qc*O?ejR>i?x{Yi*1x*ay_T~64YCQdY5u580GwBkgniXmg*=KF4aU6AgA^jmIaxsFmnF20q&m!Xu9Yjx%ET_t~iOs>O~e-QN^M7@W( zl}8{w0%;|;vPws(AXneYuVyGE@Z1sE{c*Zf99Hh zzKD`3e+rv_3H4sm<e=-4&RI(EhFrrk~GI`)X7WXly)=oVB*f0K#zq39-k$0-rHJXOb3 zi*-x|dpgCMZp?R_4&7NvlFl|zLR}+4(o7wtf?Vf{Zo_w+la$Lt(GB>H^P!s;C+2+U z=0O*ak#sR$@q}C_s$-FZQe3VH)v?4zDJItp>$n`c%b_zPRL_LYgzoAhN!M6-Mdd0_ z9XFV)`p0lT>dRbaz5`SAwLwb(9Knp{I^}G?bEZQKt?I z`uh`dRj7`Kp?e6rl`*Qf3NOq*v2az#Y6qpbT+6BBDI2AjTK2tESvFMX*)@mWl zUGv3Za|QDTQ!z(HLYV!Ip8Q{b5=`v8*vRHc7Q)=+tA=r_1rs~AWFB7(VfGz#LHBzF z6Fa?R9_NHGcV505`>SB$fFPO27DAYjhmwnG1rrAg$wcbWc|Y1|*Q25l!L;}X_2}qi z2y@5%mcOuBFmaH5zkP5H!A1usY-DqU8N%Ft z=&D<$5CaD-n?8tnL_CDK-N-%mmXXInO)`fYAGjUsr4aR2(#yD=e))lj1I7p zIXDu+?D3O*PTh+bj1Ioo$YzvQ$vh+x z!mOEc(U%trCJyM5`O{bklN~x|t{kIHj$#fnsDw@a_5>c)zhrX+7*YdlD z%#@h?O$qS~cYLN8>b%kC;7d<|5*wvY*oavdE&1p&w?88B#bd-kT2-VEV$N{K$7OD} z`P+djh=G(VNgu>KI}&1Z+dY={7rO+dWRiK7J3g+>-pMadznd65U6af+iXk?)88O)r z`#Pm^l6krl!tC|btM#*qffTRQBP3AjsNlBVv;H4Lekzzq0kKh!PP0RpJzk%2irCjF zJ(SF8$q;7uE8>S`iGj2+P9J1*sujZQcF@oObvcW#d^CyuIo7z<$e~8>18+}>BM$7~7Au}M?=DwdFJXf}kFLIJO zuo%MJr|-PTqr~7}@+5PACxp58HOn*S3+B1}gIeFO5W?K+f>F&M3g&q>eG+qk9m3r6 zp~w2~A($7Kim4?-nEe;VPXC=?&Mz_dwL+LbnlS3brvx*u(uVkL7=BZRr@J~QSR#K4zZlRn61 z|5ymK->d%^@ONUc{8F7hi1{Nugt^Nze|zi`Vlet*jE$H$I(D8ReNTOBuEr_!r5PJB zch^FgJ3qSU)t3eHI#V%si-a&E(>6bFpJ3itV(tplo#y9GdV20u!MsJMPilRaVhD4` zXU65u7R=lD2Qm9PArKms z2qrFwNSocl`p&NDJ#C_3;$n$p)|C2Q|1VP==Xdz#y5TXw+*?;nR_eQl*=Ntk4<0R; z12oB`#V_TY_b|7gyy@Q01oOxI13jWuD?2l{`||M{FBi;%H2NgwH(CgD+dJ+U$otGM zI!fl(kq~C@KE}&$k}ZBgQZm1SX|Zp5{M_ct3Ddt6%waZtQtMw7Lzum8zUN_SbA+Xs zpF1JUp1Zt0O8R+>t(cz`LYO_~KY#d-h`}#_;)7cMw;jUlUU+8uD#09W(kC%LO@=VL zZ98h)mBe6l;S?J&KM7;j+%;?Lt;Aq-Q5738^I?9n4>&Z>h*EpL|DTGf#;Fb^J;+yta_12`kATRxXA@t$>jOw ziu3n*7s{Pk!+fKR=ew4wyPnq;l*y9ko}Z)hW)`~5%wyed`GOMLzN{gh^fy!Tynm#V zN%~2w+_=fb?!$ZMo6T>1a}<=ju!c(lCRNNmv2;Bjn^Y!Cp40tCx$$Oxf$ zKRzZn(HHz9beIVEa6|%_=fP2m-cX90=Rs8N*KN8dda1r&{R^BuSi`Rh;n52;BX*4O z)EM8m$wA3V9?HXG=7>vHr{~R4Xzz-L@;+LAr~`j~#2W4l%<2EHAIcLKS}4#ooVXf| z<6)agGHo2QQ0T}Qc;_3(XxQat5&{WZuM_rr1Mhs}7>%O5^g$rU9Kv4F@Xj~Fnvy8e z^=Y{g)=Xu(N-a0S+Q~oqeYzL32ul`y7)kUScezpBXLZ8v^VQ812wO&Mz7a30p_sba zS2r6c>?(Ta8|T?5Rv*ek3^KmBJnSGYfrpOPB!C4TVp>DI0Jb(7f|(&$p)*#mg<#}a zppAOFGxl^O1T#XgWM}LdK*2ViiG^TR2v+Qjt4n9~`1EEov{@fF!}|z+$aVs z;)LCv@L@J#*On|0Z)JqAxqi$bYzArg#(7B;>DTjeBaD9|%#8KbeT*dfRlkR~S|jYR zm)$`}5BKuk*FLbrokiUWt6|9?geYklZgrB8Mvy_OOO` zzENF~uklfKu>^|pCLw{G=MeUkjd#8gCi1m4mV`J@6M^_re^Pjg`bi%%((c>G9Q42}cMHD*4rJAo*7g3=7quIj#QC&oVA1~A! zo%bI%lq5bmmh6mG^EJ7?xF<9eE1s{>lUH)%SR4X9#pT6p!aj<4F_Evm9rIxZ@m}-Q ze^dz_3h{yA_tlMdh86eOT=5pg$lCTk`7vuRF zJ^3y-;_-Zqo}%|)JYSK zk(-fJU8J;nKQw`PP`$p^DHo_-U#nhUqhn|F`damVDCIPdIyg>xHlF81JphUvGdp8t z?}z4GF4YzJTA^ftV>URn0*l-T6ZzT(-G>>FXk{3=aURdt9xZ0wWYQPW9we2C||=Lqln+Hi9&l<{C>@RtDz@c+MBI=()t|ZTen|OR_4jMl>uZ?S)$41W^2F-(HCEq7N9&x4H?dJZ z)Mlex{nN%y^QC$}w0b|3@|P`geU1MALvB1bqy3WpOT>%W5a@qNWbg5y4{q&g8~1p0C~K zcrku`jsAa4Zp0J$+VzGP6ZzU@jt67w->WEJTmQZxe!nIP?OpNvwGY1>z8EeIVCyqK zqc4zGINC;+xV3Z$tHnLY; z&*Ohs&x8J5TW%C9aX<9tgb%YJ(Z7Dnjdj z|IsWre(#f{oT(uGJJmLuup2D}63>nhHo@>=B+>s?%Z>ArgdJ#mu@njP5884gUMfad zPuquCkOJ4aGSLvs?2J`^zgGSI8s#tl->#RNWeLn`zPb6@rx71!L(05Qdk){+eC`P!4dx*}hD$Xl0fSh&^mwV_XryAj>lpKUn#hm@~X zf4^4E*D$TC`C6x3pn83+dVP&@$Ljsi>i-*1?z45DH<+(`b188@bf)RUY)ILu^v<_Z zzLq^vLHs@}&)2fY=?dh$B&6&R+lz_&p+8ZOxQ9!bT6RxefmB!A5A754U~I#>itlf2wOj2 z`%+9u>5ItMp0gE5{;eP>+om9qn?(p)qbrc-W(Hv^Z6B5->>dU2x*}go=nAB|;`eLU zm|jfeYwjBR;IR!~SCp@9_@*d+za|RpUGe+1*H-QMTX^zgw&6AF2XsHQ`unwNzJ_UC z&DW~=nuB>z&DW~=nudAc+2}l7{r%cjzP^@O7FR<;;~{>(b^~QueB+pnVrHHX6Te@Z z85Jy%cZ&O=Q+@Wt@7Kor>WcfJ#}>VH#r@DBK6~PR=mFlktZ@{pb*oYnyy^MZWf;udc|~_^&~Eb*d}!wRJvwB41nWt1I#~E9z;NHDW1^ z3jRNIOXO?$Ylgf7mwv(;Xa11#wd(KJs`(nGbv0kB=4%e-K{a2i=4%?}foH4cYyS)K zwd@6&8WI{0aX)m1<-=@9*~yL<6YF`Lp!qNZQub(i=Nr%Kk|<^mvjiggEAEF5)Vvta z*Rp#UUQFB%-PW7evBnOAb;B*r^P?y_C_K+t!%KS8`t|#w>Vd4W-S%Je>dESNqAW1= z-!WyIev8n@B5WdQ_(oVnM=|i5g?<9Ee zUI#&+DC5^Vab>4#{FkTu^ zub=cAMYNx?#!Xrozdnp8J6+?~Xj9qae*MJ`^Q-rWmHz^55NmXdGJbv1#eV6Q&#(7G z$MN$y8>!htSmS1^j9;G?m7T7M*D~X(74IRga1|D$*Xos=JPs)wsw+UsZYy28!D|_6puUXjq`g@<->G*|%+81TUG2Nq! z{fatXznZ#*&ae3&Ctn3^Fl+qI37=o-ZQ{yK*Tm}(WsV@?D#8G~EbFDut z{iYtY5v(a{`eRe_Ymv_fo@;#%zslxXx#Jz5Uq@3vGNh*6hUNb`H zSM8kJMuB!bYdXs;6R%}OWv6TWYK$m*+^=&($MLgUpPmky&YEVGn_qVol%1~e%l+=j z{kkx89Q8eh(z@OwS<~Ed<9Kfu`}JmA^^^N`ap*Ws{_@Brpp9Zp3(Ae7l~i`RBwp=3 z{eCUc!sh+l=T01sW@}l~;&S82uV!%9y2h`XQv7+mmWIx+?XGHCfi_38rpsBGdH-ml zOXHP(+V9s5q4R6asNYROvtwA(b>-$4{})1kywU?>USGrViL&SIbgI-(9ptm)W6a={zrbjkW_u*e*HRhe!aVK73E(iu%<;D_v?Ysar`7cl=83ftm*!8^J|l->~u}M z-Y(hWeys`}$6qcro6ziutm%<*KIR0DfTED(i=0v<2L+978n;&}!ypvhe zdb7;D|3X)Wx+GqiZY6s>Ua8P=oZ$Rve>6LhHMN$RUzwgJ2z=`rzjidFIT5dCL&x#R zcSciQIEgjo%8X;Cx25cKjbA&*lsz7=7edGJrb~bE4QMge^!qa7nCX{LcDlx|ef`JP zjat~e|M|b){27`(g*ClgZX9<{cCBCgN0mJuuT7!zYt7I8+XihiYkHl9&wJ1J_ENn5 zlkc^j|J&t$IichDlgC>=hhL|%rnkz>FVFY(uJLP5+!wERL&x#nIh#HLZ3=68r_4C! z-0$sO_%)R^;WQa~-p zBF(QeSo3z}#?k%W-Zg%$^pE2%TG+gwf9r2I*xB#bXi}}X0Yb{%Zy{Y`@Owu{CeI$zXpYlx?{^9;g`Xhqh-c1-5OJIFZuPKeBbu0@5<->2q(<1(FXs%J(D#LH^b+b z=Xyfd_=Nz;jr(9M*h#w9Nc+uP1bkU#4-^Ah{IAA4_XF*G)_iWcadfXIbd6st z3O>Is3LVF>uV08SXlyQPo>yiZGu?D$r)&Ir#qSqxyN28!nt9yX^U>@DtQl+dh918% z?)8MO@$0#$>L(w^%R=W@w^_SB588#Sc}cR2U+(pUuJP+Lf4qJfI*#ks*4_rc=CS6b zW#(7Ly`IoDetnqm#q0Xeaoqi=WdlK*&zi3-H;(T0gf8(b^?K6h*R7%B*lL~KAGC{D zGrm5B&aa#s_lo@rtOLJ;hNe>g<&W30SlGP(YR5HnA9(?5zP-#i2L20g(`EBjYNc*Vr={$W$a%ln&`vxYa)Z}u)` z%?}3Vm-@|1uA8ImkY5oU()aznZ2L%mY#xh;`LKFvdM%7DWX-D#e{4!V?5|wl|4~qx z52ItMG9RC0Dc|$P;WP8&%Rsw?H9r|E&4+s}Wv6lc_vm2(-&s^IPTS!?Ptj zmHRNiRF{v%=Fs_2lb?SWe7Kx7zf*2LyjxIxsoaNnRMq7^d=NSx4!OgoeeVj^j7J5+ zj}L!KDm#_?u(+t|avwg9hRw<6#vS+|XiHghvCMpE-@at0avzoyd_H_@v7X}DljiTV z?yQAH@xntW&7UPjp`|9qTDr%f>ctC-`u}wVEL_Q&zl``p)Q8n~C)MGX#rv#%eUej*Q`*Z?L!aJ4Xug14Fa+yF@3f zo)v(l-YwOoA#B;n`99&>nIcQ!+gUrmZ(%Yjnyh8}z@DbU=XnZ;hbjqreu9p7zH!Vz zF%YV5k<7(7;D*AuP}V~H}7&gEc}wS^iPJ* zzWg{tHQ|PDwCTePNLwv@ZV24);b^uH|xhrAe8rCvSD-*t`qndETcZh=AQ^!^dpBsWw_&iTBm1dvk2_iRqyF^t-fU%Y{ z?Fz$p_v>rsqT;oz<+Ny-@VO84xZ(S;YW06X_}mb<;oHF*7BTxg4-~oK+s8X2S<3~5 z3d2`F?@Ic z7;E{(_exwmd0+QA%jj0g^{i!anZzZKr}4Zmh#4>u#Ha%SY>Ol=|4H7L9uS9@eB(Rs zjnN8c-{TLq9f#^Su$F6#@Yxr*N+-hiRYJDv?!3YLkir+p54TA8JRvB}KII%fOS8|9 z$=P>oRKYxacUPMCZM^)}vtZ#y)^cZ=yf64|A_;mBGk^v2Lms}-CNzAboqWrL&kaH4 z;nT@WzVYy_tTgYtV#&dARKJO}JZOi{zF?@zhA)sG^6>fd!z~j&Hw2Z3uQn>9$FCK) zG#C}`ygzyF%8{^eGi!OeOy2js!xzX8dHDSK;g$)X8-mKiH%OUH zqxvnZ#diL~*%!zUdHDSK;g$)X8-mKiH?An7CuZNqO7p(sADj6eEc}YKyj1)T!xzX8 zdHDSK;g$)X8-mKicT&L@zPBsQ`}#zlRv5l(_SpO;DkfOVH%6J+=fAx9!%CZ{c*D$EcV^!we4Z;VUp&0~ zz6js#thG-(Jbb})m>LYE!`%#Efrkh9&KtnC%`R}P@bFlxR%zb1 zwEuS$k2_fFo@SZweb39Kfl&Rw3!fW;%ENa?+!wyXD$V-_-PfYS!kw)3;4*n%K9COc z+2>D(x9;q7Lr{76&W`!QcU+};-`r`rpToj3)_SBSeBx@ZO%6zL~rSJvPVIDqzI=pqm=Z2v2@Xd*;4)et2!ta&$dCt6R`v3DnSh$O| zo);+-z5$wQ0`ArG#3hgpmknQfE{zS}sP2|I^SU9ZJbVjE{_*f#T4~-lbmH}Qp?i0; z)}I;S;R~d`JbXdSfQcYRjs>tS6FxTtrSPRMETVP3{f~)@BH@#V@22mS_j#^1U)i2~ z7L|X?TCXjW_XR>A!WT%T4VVb>c=-IhEfT)}=xX!Nlkl8xJbb^6e4k^VCw$NJUU>(q zFK4aG%<$Ql>#K_fng3n@I`x%UkMApW33M*%7pJ2T{Qvs#IY~%sO#1ZpBn-oZoF@YPwW2{(LqX+F$=6qsq^TZex}ZkgHV zhQJNq)p6yYJNvGSc`zBiJ0c#8wYGn+yw9`qu1;L~5iH!xT2p26zTBOrYQha)OVWoK zkhWU*+z`0oyTuzSIs0ylDxclix4h`VSnD6ZSKj9d-~N|=Op&;cwZ4oQ9hUdy8Y8L+ zH+=sc^I-<0trk8v1aA12dBY;ZcUM9A?1m3VEV%(=t?yVB?z}U*t-KQz?`N$}nY=Ie zW?VH<3SZzc*=`0HTP=KU2;A`9>kX9*pOsYoaKrax(SxzpPm&de?@za!u>uw>*7}ha zKKlYs?()PX@YL+q4WAnVH+(BfLyl0%@I9LFgi0R!9{2KC8-5R3*{P%TnbYXZ`v7bG zHd-cp{=+{HU*OjR<-_;CJ@0Gshp%s?dEXh+{vJd49%OAhnBn0IJO?diU#c{c81eEy z&JFC)TjtE`hQOVD_1>_^*|)yrv%B*?SMbb8);6HhyszKp%oDKi5Nq43Ox_oGELw!G zP*lxs{qVUVaKo4NhDC<&*^F&HKKv zetioptYB^9?K0u}PPHI@O+~XW(@j?(pOFTntrk8v1aA2LtttQ9@O`0sFcH4Y_NE78 zZD&`S_ig@q5FHX$vbNL97UA1Js+!&U;d4XahREDGLG;cy z;vJ@=m^mOR5OGW#q(SmL985f%jexyE?|dVymxf|~L#Yiu>bDslw|AVjLmN1cv9?=` zGHxFo;r8(6x;-N5#f003$9$L$DKo^!tIX|&q_W4|UKaJZy~iK69|P@b)|M!iaeHh* zRd?O4EqXEGw(jGJXdmn2Rp$1Zq-uk^eYfp#`-+9x+0Z`D+J0@9aeHz?Rd?N<6!&7n z?Fl}faC>~IX{uY9+fUf44es{6F^}8V4YNLk_6gRuJXXf-84*?8b^FYy7ZYwzkNGei zQf6x0i&f_KYE_kAf^U1k@wmON-@8XZ{UmF<&ne^f+=8m_x;>}p#f01EM0}VIDKj(b z#VT`q6ke#ml&TZHU_olhPvJO@-SFe7wVSFf#Leyvp2u z#8ho?w^!>Pw@1FU%}{8QtZfx0pZAJX=>T|pLREL8eXGwM;r7iwo^bmHAFndEAN0HZ zRKer+d*kjI2CtrGZI2hqxQ%^5Zm!$Qqh3t7eV30X++G&<@+x!tep}V$(OzqK-2V8g zZ^(mZSliP^8MjvzRCU+wM~YrdxcyMXhv|?q78&Oo)ve6!dsS7jORg_^+^+k}LnlGK zhPBm~J8rK{sQRwkPba;YaQn%E57QxKRu{ckWo|Dg3w-0zZZSP>UuBP)0@Yg9)@YWA zwjGnTJ!i?5xEB*{Hzs_T4k?3s4|1zK+IN|%E_XZaJ#OFq#^tl1t!Hg*kuq*SA5qoa zXm>=tm~gw@#}jiq`M{TJ_X`++&v z&4;#`wf)&F6YT-2wtL*p?xQKtb$d_Uhmqv@*HhwE=Jqd@Ik8K=8}ZETH3v+mg^XHQ z+dE~B+u1?3s!vVx?~>Vr94{uK{SyU=tWbxT9jGa=a<`X~1-|iU|K0MqJ^$gakovJ! z*7lxNCfXxRQ5grIlG}${UQD<>#P(r2B+q}*R_^v?rn1M~E_#pK@9*{0)zG%FwhyCa z+#ao~>aN=(4KF6#KF-HGj3m$B>Q?Ud5)&5q#@+rDVJls5=(g$QIZ)ZG?PG*8?6{pR z?P;E9PjG}mF#^Kv6BXo{BqwMJtlaIzwyMkB{=$3QKB?i;8PKL!+rP^kx3g!Os=6EP z>6RB0Zcnv+m=4MFXUCPheTgzBcFAuwPqbhB&FbGmon~!cX=S2)9y!1_*X?r*35m!i zAZ2HoK1?TUhULX7JCel70^cawHZHljljQElPB{%=hP8c{EaSG@YuD|##_i#aFcIzf zx(_4C^B2^WM|-}h>~XiZF+Fa7_`%@o$Ud`s=w;kqYOCt5+m|_BOt`(o#}m8cVjr)v zXkTEeHn`h;yvOYq*5_V?HplF3%N@6GDphygzTQwK-DqFq;|aHaX?b~-xqY6k>T@?yg6m9`JlA!Q%7yjW#!*O{s=cYA>Car>5k-0=pq9n9Xx{6D>& zd6*Mby2jt2pbiQ_S=@=@f{sf>98p1{D9XS{K#^4`1VIobqKqgmaUB%JAP9<}Q3i20 zZm29$b?Q`6g`#m^88b6nx6!e$cO0G3(R=FsI-R7opQrn|Pv82Z`PJ_`l{$Gj-CbRE zs?tQaUr3cVuXKC0RqdqPPuH|NJX+HQ%Y5Y$ZQHVLkIU9?Dud*JbXB*m8YJryW!ds>kG9L(GVOy)Ro!0M^*PxT>EH%;X zVz#__v}-v6+?=m=((O%ljZ2v|y|HAza%p+Dqu(d8u-m;d&A5hSA6bxRgBjRm(Wv9i z_AkRew9#8D-?7kmqD#RvZ|tmJaPgnJnEeYb^K*ynFSz8-T`Uo~W76g;7ZYF094$)sBpEe2pL6QZUWEom1uAM*rh&tjKkYzH*_9 z8}!=RV!%P(D)CiCVD{T|d{qyvH6u+f0DA+n<^FN>4Qtlqm#X+q|G58l<`rInZ7!hH z95pw@*S}QW1!G}+eN#2gGHYJ1e2rs#J?(1OI`LH^Xo%12QQmDds$}+tw|}WDEAdT9 zR5{pIvnIb(#g|-nrej{=RoG+mO~f}bRo+DN|<-SznTWVK1*fW|n`K2np`1C7gnO7*no>pigzDD!IIrF9^!+tCAO)r&YB|axzU^;Pi=NqiI?I=u$_ zGP{ZR)<=PHVN{H7O%zVWSq8mAMvVNNYZJBb9w=73)){@32rBU{&zJXGiEl-r%GLku z+gexUVBgxT$uCv$_3W^9lX->LVb3i#5#OKFFhZjuq#uK3} z;~G6yUq;`TIaA>hMc*p%RYg#V?~`JAzm@ntk9y9f5?`mfDhKoO#{!`w9y*kxIeBbBFd#S|Nxme>Yv(_joj=n|@K4_iz{tt)E{_*MZ`!JEh z4ol66uWIzoN-SPxHhdelmu@1y6EfvpRN_0SVYhUaX%TEG)U=C7&Ri9#U!r!c6JI3) zvyY|^o68+B|2B+1|CD4|Grsp)dfYeu(TjVVS9k~Zn^qI?RX!e}65o)TSlU?TC4zSw zy25tx$i0;@U%5oCb>gc;VD{0%_|j1?xiG#@TYB8r<(@C1(f2Owzcz8)=MS%|-vf`` z%t>7HjXI)O<1DilydG7!RN{NJ#8QEF}`nGdfeA8e$fNwEx!l*YrBcj zH#S$FN>zMkH|&;CS*Atsa$QZkc;x;c4qW_71TBwmLc@ED;&a+rE&SPcnSLZX2lhUk zSQE#6{-jJzECsXXPf6D}%d7<(Yum*mr=!+fqL^D}^i?8gd3@87<^D0gE}0g_H>2~d zqs=RP04H8*V)R{*F7JXPEegcXH0+knGA?kU3KzP#!QjQZdewS=wE2|?S{~oUQ7^eL zzWrKy+_%kS{gc`JLpa^*nuu>!O$73@Z+5YMuksCTnYCbDvc^RnYjiNvI-{==L5t%H zI+eT3^L<=#`pSEY!5LuDw1G1)McM|=paf}KI70|%3+J>fu^pU|;2dBG;_ z0>>qwGn|)G#4d2&vPoUxyeqDo`K+%5-L7!{M8IxvN+sg%aJGuu1J1|d_Js49O}Q7G zFY=_l;e3@Pb%*n{xCESU#O(v;JB!tQ;e4MW^?>tZg0vr0Ox*rZ+lo5?YC9HjAk+>e zVo#_YZPGzd9mO3CwX?WiLv_isdI;36S<<0Udsw8yp!QCX4u{%@U{1uV9wp)tP&d-uggt}1N0H}+^odk7>#p=mWm!?Pqp)OC5 zPJy~o+^JAmaf6_)V-dfFx}iiI3^ms#4S|{`?sri0#hnJVAkXShsQQtZhFW6L4TD;m zB@KsKF79-we2VT2s1*s)2&m}rz$|A%J(M7ggnE=sP!e{*-%b`G#*M@BnxU|mNWrslelxBHmB$&LKU;5NlxJlz#=yJtyP!rj*9U$%|xC6!A40lk9)p>A-BuKZw9ZE3In01GX zn{RGD5O*8gktJdd?iic20Pb0N((Q09aSP#26t@WOa?BkobS z>%~0=cSDKzI9z3uo`CD+Nd>rpO?ndUOX8k_`%0GXX}GUhq-Ws1nIb(4_Z@Mo%`{hW z&%xc2VD)*pC4!mj>HbA9+lcd^+llkdR7DoetOMP?L=2!i*rX7;OPsU`x?3ITCFtFY zq?e)hDv&lqCvv1$pnHgW75V^iMd*VvtiA?)NSgGznf;a|y#d`z+?&uxiF*sWPn^}a zp^vR2y#w8^NO~7KCGI`w0pi|=9#~-Y1L#3H(udGPGNdigL&g2cOsEp~5%h>Ot0m}B zNz$L8$Hqy2F*BIN{ngBG61Nq4VjZjh1wFY)`WSj@f%FOVba9_T&k*++^voQqpF_{e zkp2ceJ5BlmdXBg+p|2A6cj#-9tbPT3eVkMWePbQzzoBmy_cin_;{FHvwj!(lfWEyz z`Ud)r9O<9XcZ&NK`fhRGLEn>M^wq_)snTsw2=Ufg!jo6@Xq54|}_ z+5x&4C;iIY2q>;Sw45`K?FjwP0;@Yg|0_r80R2OT)Dik8adCKU#C0;4gVU_;46j2S zX%~2%ilol)x)exV;B^=33UA*WT{n39XGpuk>zO9)X0DkgNxQ>4u1MO$JdaD-?Fnx{ zoNh08C)bhohBruDcX)%vCE$(7vAPeu@k!FY@Xjfcdcd1pAngZls~MH5r1+hMWJu!?bQQ7??3SmSfMCxe=^O-! z0%;5@Se=5Pcbaq_f<8&oR0Ms+O+%0pcRqrX;;c?ba7rEN z0tADLqze%Y6*mLH>Ebd7&djlT5rWZa(o6*7;-rfaj2F5D!Gt2+ECiEsq~9Z$k|te> zV4Ad>jo<>I%Mi>cvU)iJ`AHp{gJ70yaRq|e((XzGbA+x!aHVWu%+*M@tCIriJq?-}kn1;I+8TM?`(vN|8Z!#UDz2p&t5atI32ZUKVTDZ1OuP1F$}wh+Nuo3zNBy2+C6 zFei3Wq{ZfJn9ve)t}IfB-HD+7`}{6*`Y}tl6v3-0(%t5auFx`b8az^nEl2Q(0vHIL<+I{5q7glE6nFQXGwoVI85HhN`xblbPpgLEp8RUadmVL zBD9L6hY(IGkRC>Op14O4P8atm!c30U#}HnUAw7;zemlpWKzN0?0>W&X)h7{NpCmnn zaBiIRG{Sjxq-PN3#662}p}5rumlRoj4&mJe((?%K&5>R}xI)|-gsa4@MfgaD)pZD; zNR!qhd^$;b5#e*h@)sYlJzesWsZW5;vz9P;;_WrEn, B=>invout_2, Z=>wren_i); + + INV_5: INV + port map (A=>full_i, Z=>invout_2); + + AND2_t4: AND2 + port map (A=>RdEn, B=>invout_1, Z=>rden_i); + + INV_4: INV + port map (A=>empty_i, Z=>invout_1); + + AND2_t3: AND2 + port map (A=>wren_i, B=>rden_i_inv, Z=>cnt_con); + + XOR2_t2: XOR2 + port map (A=>wren_i, B=>rden_i, Z=>fcnt_en); + + INV_3: INV + port map (A=>rden_i, Z=>rden_i_inv); + + INV_2: INV + port map (A=>wren_i, Z=>wren_i_inv); + + LUT4_1: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_le_1, AD1=>wren_i, + AD0=>empty_i, DO0=>empty_d); + + LUT4_0: ROM16X1A + generic map (initval=> X"3232") + port map (AD3=>scuba_vlo, AD2=>cmp_ge_d1, AD1=>rden_i, + AD0=>full_i, DO0=>full_d); + + AND2_t1: AND2 + port map (A=>rden_i, B=>invout_0, Z=>r_nw); + + INV_1: INV + port map (A=>wren_i, Z=>invout_0); + + XOR2_t0: XOR2 + port map (A=>wcount_13, B=>rptr_13, Z=>wcnt_sub_msb); + + INV_0: INV + port map (A=>cnt_con, Z=>cnt_con_inv); + + pdp_ram_0_0_15: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>wptr_12, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>rptr_12, CSB0=>rptr_11, RSTB=>Reset, + DOA17=>open, DOA16=>open, DOA15=>open, DOA14=>open, + DOA13=>open, DOA12=>open, DOA11=>open, DOA10=>open, + DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, + DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, + DOB17=>open, DOB16=>open, DOB15=>open, DOB14=>open, + DOB13=>open, DOB12=>open, DOB11=>open, DOB10=>open, + DOB9=>open, DOB8=>mdout1_0_8, DOB7=>mdout1_0_7, + DOB6=>mdout1_0_6, DOB5=>mdout1_0_5, DOB4=>mdout1_0_4, + DOB3=>mdout1_0_3, DOB2=>mdout1_0_2, DOB1=>mdout1_0_1, + DOB0=>mdout1_0_0); + + pdp_ram_0_1_14: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>rptr_12, + CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_0_17, + DOB7=>mdout1_0_16, DOB6=>mdout1_0_15, DOB5=>mdout1_0_14, + DOB4=>mdout1_0_13, DOB3=>mdout1_0_12, DOB2=>mdout1_0_11, + DOB1=>mdout1_0_10, DOB0=>mdout1_0_9); + + pdp_ram_0_2_13: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>rptr_12, + CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_0_26, + DOB7=>mdout1_0_25, DOB6=>mdout1_0_24, DOB5=>mdout1_0_23, + DOB4=>mdout1_0_22, DOB3=>mdout1_0_21, DOB2=>mdout1_0_20, + DOB1=>mdout1_0_19, DOB0=>mdout1_0_18); + + pdp_ram_0_3_12: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>rptr_12, + CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_0_35, + DOB7=>mdout1_0_34, DOB6=>mdout1_0_33, DOB5=>mdout1_0_32, + DOB4=>mdout1_0_31, DOB3=>mdout1_0_30, DOB2=>mdout1_0_29, + DOB1=>mdout1_0_28, DOB0=>mdout1_0_27); + + pdp_ram_1_0_11: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>wptr_12, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>rptr_12, CSB0=>rptr_11, RSTB=>Reset, + DOA17=>open, DOA16=>open, DOA15=>open, DOA14=>open, + DOA13=>open, DOA12=>open, DOA11=>open, DOA10=>open, + DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, + DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, + DOB17=>open, DOB16=>open, DOB15=>open, DOB14=>open, + DOB13=>open, DOB12=>open, DOB11=>open, DOB10=>open, + DOB9=>open, DOB8=>mdout1_1_8, DOB7=>mdout1_1_7, + DOB6=>mdout1_1_6, DOB5=>mdout1_1_5, DOB4=>mdout1_1_4, + DOB3=>mdout1_1_3, DOB2=>mdout1_1_2, DOB1=>mdout1_1_1, + DOB0=>mdout1_1_0); + + pdp_ram_1_1_10: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>rptr_12, + CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_1_17, + DOB7=>mdout1_1_16, DOB6=>mdout1_1_15, DOB5=>mdout1_1_14, + DOB4=>mdout1_1_13, DOB3=>mdout1_1_12, DOB2=>mdout1_1_11, + DOB1=>mdout1_1_10, DOB0=>mdout1_1_9); + + pdp_ram_1_2_9: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>rptr_12, + CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_1_26, + DOB7=>mdout1_1_25, DOB6=>mdout1_1_24, DOB5=>mdout1_1_23, + DOB4=>mdout1_1_22, DOB3=>mdout1_1_21, DOB2=>mdout1_1_20, + DOB1=>mdout1_1_19, DOB0=>mdout1_1_18); + + pdp_ram_1_3_8: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>rptr_12, + CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_1_35, + DOB7=>mdout1_1_34, DOB6=>mdout1_1_33, DOB5=>mdout1_1_32, + DOB4=>mdout1_1_31, DOB3=>mdout1_1_30, DOB2=>mdout1_1_29, + DOB1=>mdout1_1_28, DOB0=>mdout1_1_27); + + pdp_ram_2_0_7: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b010", CSDECODE_A=> "0b010", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>wptr_12, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>rptr_12, CSB0=>rptr_11, RSTB=>Reset, + DOA17=>open, DOA16=>open, DOA15=>open, DOA14=>open, + DOA13=>open, DOA12=>open, DOA11=>open, DOA10=>open, + DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, + DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, + DOB17=>open, DOB16=>open, DOB15=>open, DOB14=>open, + DOB13=>open, DOB12=>open, DOB11=>open, DOB10=>open, + DOB9=>open, DOB8=>mdout1_2_8, DOB7=>mdout1_2_7, + DOB6=>mdout1_2_6, DOB5=>mdout1_2_5, DOB4=>mdout1_2_4, + DOB3=>mdout1_2_3, DOB2=>mdout1_2_2, DOB1=>mdout1_2_1, + DOB0=>mdout1_2_0); + + pdp_ram_2_1_6: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b010", CSDECODE_A=> "0b010", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>rptr_12, + CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_2_17, + DOB7=>mdout1_2_16, DOB6=>mdout1_2_15, DOB5=>mdout1_2_14, + DOB4=>mdout1_2_13, DOB3=>mdout1_2_12, DOB2=>mdout1_2_11, + DOB1=>mdout1_2_10, DOB0=>mdout1_2_9); + + pdp_ram_2_2_5: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b010", CSDECODE_A=> "0b010", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>rptr_12, + CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_2_26, + DOB7=>mdout1_2_25, DOB6=>mdout1_2_24, DOB5=>mdout1_2_23, + DOB4=>mdout1_2_22, DOB3=>mdout1_2_21, DOB2=>mdout1_2_20, + DOB1=>mdout1_2_19, DOB0=>mdout1_2_18); + + pdp_ram_2_3_4: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b010", CSDECODE_A=> "0b010", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>rptr_12, + CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_2_35, + DOB7=>mdout1_2_34, DOB6=>mdout1_2_33, DOB5=>mdout1_2_32, + DOB4=>mdout1_2_31, DOB3=>mdout1_2_30, DOB2=>mdout1_2_29, + DOB1=>mdout1_2_28, DOB0=>mdout1_2_27); + + pdp_ram_3_0_3: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b011", CSDECODE_A=> "0b011", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(8), DIA7=>Data(7), DIA6=>Data(6), DIA5=>Data(5), + DIA4=>Data(4), DIA3=>Data(3), DIA2=>Data(2), DIA1=>Data(1), + DIA0=>Data(0), ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, + ADA10=>wptr_7, ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, + ADA6=>wptr_3, ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, + ADA2=>scuba_vlo, ADA1=>scuba_vlo, ADA0=>scuba_vlo, + CEA=>wren_i, OCEA=>wren_i, CLKA=>Clock, WEA=>scuba_vhi, + CSA2=>scuba_vlo, CSA1=>wptr_12, CSA0=>wptr_11, RSTA=>Reset, + DIB17=>scuba_vlo, DIB16=>scuba_vlo, DIB15=>scuba_vlo, + DIB14=>scuba_vlo, DIB13=>scuba_vlo, DIB12=>scuba_vlo, + DIB11=>scuba_vlo, DIB10=>scuba_vlo, DIB9=>scuba_vlo, + DIB8=>scuba_vlo, DIB7=>scuba_vlo, DIB6=>scuba_vlo, + DIB5=>scuba_vlo, DIB4=>scuba_vlo, DIB3=>scuba_vlo, + DIB2=>scuba_vlo, DIB1=>scuba_vlo, DIB0=>scuba_vlo, + ADB13=>rptr_10, ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, + ADB9=>rptr_6, ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, + ADB5=>rptr_2, ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, + ADB1=>scuba_vlo, ADB0=>scuba_vlo, CEB=>rden_i, + OCEB=>scuba_vhi, CLKB=>Clock, WEB=>scuba_vlo, + CSB2=>scuba_vlo, CSB1=>rptr_12, CSB0=>rptr_11, RSTB=>Reset, + DOA17=>open, DOA16=>open, DOA15=>open, DOA14=>open, + DOA13=>open, DOA12=>open, DOA11=>open, DOA10=>open, + DOA9=>open, DOA8=>open, DOA7=>open, DOA6=>open, DOA5=>open, + DOA4=>open, DOA3=>open, DOA2=>open, DOA1=>open, DOA0=>open, + DOB17=>open, DOB16=>open, DOB15=>open, DOB14=>open, + DOB13=>open, DOB12=>open, DOB11=>open, DOB10=>open, + DOB9=>open, DOB8=>mdout1_3_8, DOB7=>mdout1_3_7, + DOB6=>mdout1_3_6, DOB5=>mdout1_3_5, DOB4=>mdout1_3_4, + DOB3=>mdout1_3_3, DOB2=>mdout1_3_2, DOB1=>mdout1_3_1, + DOB0=>mdout1_3_0); + + pdp_ram_3_1_2: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b011", CSDECODE_A=> "0b011", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(17), DIA7=>Data(16), DIA6=>Data(15), + DIA5=>Data(14), DIA4=>Data(13), DIA3=>Data(12), + DIA2=>Data(11), DIA1=>Data(10), DIA0=>Data(9), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>rptr_12, + CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_3_17, + DOB7=>mdout1_3_16, DOB6=>mdout1_3_15, DOB5=>mdout1_3_14, + DOB4=>mdout1_3_13, DOB3=>mdout1_3_12, DOB2=>mdout1_3_11, + DOB1=>mdout1_3_10, DOB0=>mdout1_3_9); + + pdp_ram_3_2_1: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b011", CSDECODE_A=> "0b011", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(26), DIA7=>Data(25), DIA6=>Data(24), + DIA5=>Data(23), DIA4=>Data(22), DIA3=>Data(21), + DIA2=>Data(20), DIA1=>Data(19), DIA0=>Data(18), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>rptr_12, + CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_3_26, + DOB7=>mdout1_3_25, DOB6=>mdout1_3_24, DOB5=>mdout1_3_23, + DOB4=>mdout1_3_22, DOB3=>mdout1_3_21, DOB2=>mdout1_3_20, + DOB1=>mdout1_3_19, DOB0=>mdout1_3_18); + + pdp_ram_3_3_0: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + CSDECODE_B=> "0b011", CSDECODE_A=> "0b011", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "ASYNC", + REGMODE_B=> "OUTREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 9) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>Data(35), DIA7=>Data(34), DIA6=>Data(33), + DIA5=>Data(32), DIA4=>Data(31), DIA3=>Data(30), + DIA2=>Data(29), DIA1=>Data(28), DIA0=>Data(27), + ADA13=>wptr_10, ADA12=>wptr_9, ADA11=>wptr_8, ADA10=>wptr_7, + ADA9=>wptr_6, ADA8=>wptr_5, ADA7=>wptr_4, ADA6=>wptr_3, + ADA5=>wptr_2, ADA4=>wptr_1, ADA3=>wptr_0, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>wren_i, OCEA=>wren_i, + CLKA=>Clock, WEA=>scuba_vhi, CSA2=>scuba_vlo, CSA1=>wptr_12, + CSA0=>wptr_11, RSTA=>Reset, DIB17=>scuba_vlo, + DIB16=>scuba_vlo, DIB15=>scuba_vlo, DIB14=>scuba_vlo, + DIB13=>scuba_vlo, DIB12=>scuba_vlo, DIB11=>scuba_vlo, + DIB10=>scuba_vlo, DIB9=>scuba_vlo, DIB8=>scuba_vlo, + DIB7=>scuba_vlo, DIB6=>scuba_vlo, DIB5=>scuba_vlo, + DIB4=>scuba_vlo, DIB3=>scuba_vlo, DIB2=>scuba_vlo, + DIB1=>scuba_vlo, DIB0=>scuba_vlo, ADB13=>rptr_10, + ADB12=>rptr_9, ADB11=>rptr_8, ADB10=>rptr_7, ADB9=>rptr_6, + ADB8=>rptr_5, ADB7=>rptr_4, ADB6=>rptr_3, ADB5=>rptr_2, + ADB4=>rptr_1, ADB3=>rptr_0, ADB2=>scuba_vlo, ADB1=>scuba_vlo, + ADB0=>scuba_vlo, CEB=>rden_i, OCEB=>scuba_vhi, CLKB=>Clock, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>rptr_12, + CSB0=>rptr_11, RSTB=>Reset, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>open, + DOA6=>open, DOA5=>open, DOA4=>open, DOA3=>open, DOA2=>open, + DOA1=>open, DOA0=>open, DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>mdout1_3_35, + DOB7=>mdout1_3_34, DOB6=>mdout1_3_33, DOB5=>mdout1_3_32, + DOB4=>mdout1_3_31, DOB3=>mdout1_3_30, DOB2=>mdout1_3_29, + DOB1=>mdout1_3_28, DOB0=>mdout1_3_27); + + FF_90: FD1P3DX + port map (D=>ifcount_0, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_0); + + FF_89: FD1P3DX + port map (D=>ifcount_1, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_1); + + FF_88: FD1P3DX + port map (D=>ifcount_2, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_2); + + FF_87: FD1P3DX + port map (D=>ifcount_3, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_3); + + FF_86: FD1P3DX + port map (D=>ifcount_4, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_4); + + FF_85: FD1P3DX + port map (D=>ifcount_5, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_5); + + FF_84: FD1P3DX + port map (D=>ifcount_6, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_6); + + FF_83: FD1P3DX + port map (D=>ifcount_7, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_7); + + FF_82: FD1P3DX + port map (D=>ifcount_8, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_8); + + FF_81: FD1P3DX + port map (D=>ifcount_9, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_9); + + FF_80: FD1P3DX + port map (D=>ifcount_10, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_10); + + FF_79: FD1P3DX + port map (D=>ifcount_11, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_11); + + FF_78: FD1P3DX + port map (D=>ifcount_12, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_12); + + FF_77: FD1P3DX + port map (D=>ifcount_13, SP=>fcnt_en, CK=>Clock, CD=>Reset, + Q=>fcount_13); + + FF_76: FD1S3BX + port map (D=>empty_d, CK=>Clock, PD=>Reset, Q=>empty_i); + + FF_75: FD1S3DX + port map (D=>full_d, CK=>Clock, CD=>Reset, Q=>full_i); + + FF_74: FD1P3BX + port map (D=>iwcount_0, SP=>wren_i, CK=>Clock, PD=>Reset, + Q=>wcount_0); + + FF_73: FD1P3DX + port map (D=>iwcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_1); + + FF_72: FD1P3DX + port map (D=>iwcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_2); + + FF_71: FD1P3DX + port map (D=>iwcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_3); + + FF_70: FD1P3DX + port map (D=>iwcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_4); + + FF_69: FD1P3DX + port map (D=>iwcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_5); + + FF_68: FD1P3DX + port map (D=>iwcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_6); + + FF_67: FD1P3DX + port map (D=>iwcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_7); + + FF_66: FD1P3DX + port map (D=>iwcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_8); + + FF_65: FD1P3DX + port map (D=>iwcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_9); + + FF_64: FD1P3DX + port map (D=>iwcount_10, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_10); + + FF_63: FD1P3DX + port map (D=>iwcount_11, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_11); + + FF_62: FD1P3DX + port map (D=>iwcount_12, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_12); + + FF_61: FD1P3DX + port map (D=>iwcount_13, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wcount_13); + + FF_60: FD1P3BX + port map (D=>ircount_0, SP=>rden_i, CK=>Clock, PD=>Reset, + Q=>rcount_0); + + FF_59: FD1P3DX + port map (D=>ircount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_1); + + FF_58: FD1P3DX + port map (D=>ircount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_2); + + FF_57: FD1P3DX + port map (D=>ircount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_3); + + FF_56: FD1P3DX + port map (D=>ircount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_4); + + FF_55: FD1P3DX + port map (D=>ircount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_5); + + FF_54: FD1P3DX + port map (D=>ircount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_6); + + FF_53: FD1P3DX + port map (D=>ircount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_7); + + FF_52: FD1P3DX + port map (D=>ircount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_8); + + FF_51: FD1P3DX + port map (D=>ircount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_9); + + FF_50: FD1P3DX + port map (D=>ircount_10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_10); + + FF_49: FD1P3DX + port map (D=>ircount_11, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_11); + + FF_48: FD1P3DX + port map (D=>ircount_12, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_12); + + FF_47: FD1P3DX + port map (D=>ircount_13, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rcount_13); + + FF_46: FD1P3DX + port map (D=>wcount_0, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_0); + + FF_45: FD1P3DX + port map (D=>wcount_1, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_1); + + FF_44: FD1P3DX + port map (D=>wcount_2, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_2); + + FF_43: FD1P3DX + port map (D=>wcount_3, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_3); + + FF_42: FD1P3DX + port map (D=>wcount_4, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_4); + + FF_41: FD1P3DX + port map (D=>wcount_5, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_5); + + FF_40: FD1P3DX + port map (D=>wcount_6, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_6); + + FF_39: FD1P3DX + port map (D=>wcount_7, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_7); + + FF_38: FD1P3DX + port map (D=>wcount_8, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_8); + + FF_37: FD1P3DX + port map (D=>wcount_9, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_9); + + FF_36: FD1P3DX + port map (D=>wcount_10, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_10); + + FF_35: FD1P3DX + port map (D=>wcount_11, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_11); + + FF_34: FD1P3DX + port map (D=>wcount_12, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_12); + + FF_33: FD1P3DX + port map (D=>wcount_13, SP=>wren_i, CK=>Clock, CD=>Reset, + Q=>wptr_13); + + FF_32: FD1P3DX + port map (D=>rcount_0, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_0); + + FF_31: FD1P3DX + port map (D=>rcount_1, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_1); + + FF_30: FD1P3DX + port map (D=>rcount_2, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_2); + + FF_29: FD1P3DX + port map (D=>rcount_3, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_3); + + FF_28: FD1P3DX + port map (D=>rcount_4, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_4); + + FF_27: FD1P3DX + port map (D=>rcount_5, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_5); + + FF_26: FD1P3DX + port map (D=>rcount_6, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_6); + + FF_25: FD1P3DX + port map (D=>rcount_7, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_7); + + FF_24: FD1P3DX + port map (D=>rcount_8, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_8); + + FF_23: FD1P3DX + port map (D=>rcount_9, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_9); + + FF_22: FD1P3DX + port map (D=>rcount_10, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_10); + + FF_21: FD1P3DX + port map (D=>rcount_11, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_11); + + FF_20: FD1P3DX + port map (D=>rcount_12, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_12); + + FF_19: FD1P3DX + port map (D=>rcount_13, SP=>rden_i, CK=>Clock, CD=>Reset, + Q=>rptr_13); + + FF_18: FD1P3DX + port map (D=>rptr_11, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_11_ff); + + FF_17: FD1P3DX + port map (D=>rptr_12, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_12_ff); + + FF_16: FD1P3DX + port map (D=>rptr_11_ff, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_11_ff2); + + FF_15: FD1P3DX + port map (D=>rptr_12_ff, SP=>rden_i, CK=>Clock, CD=>scuba_vlo, + Q=>rptr_12_ff2); + + FF_14: FD1S3DX + port map (D=>wcnt_sub_0, CK=>Clock, CD=>Reset, Q=>wcnt_reg_0); + + FF_13: FD1S3DX + port map (D=>wcnt_sub_1, CK=>Clock, CD=>Reset, Q=>wcnt_reg_1); + + FF_12: FD1S3DX + port map (D=>wcnt_sub_2, CK=>Clock, CD=>Reset, Q=>wcnt_reg_2); + + FF_11: FD1S3DX + port map (D=>wcnt_sub_3, CK=>Clock, CD=>Reset, Q=>wcnt_reg_3); + + FF_10: FD1S3DX + port map (D=>wcnt_sub_4, CK=>Clock, CD=>Reset, Q=>wcnt_reg_4); + + FF_9: FD1S3DX + port map (D=>wcnt_sub_5, CK=>Clock, CD=>Reset, Q=>wcnt_reg_5); + + FF_8: FD1S3DX + port map (D=>wcnt_sub_6, CK=>Clock, CD=>Reset, Q=>wcnt_reg_6); + + FF_7: FD1S3DX + port map (D=>wcnt_sub_7, CK=>Clock, CD=>Reset, Q=>wcnt_reg_7); + + FF_6: FD1S3DX + port map (D=>wcnt_sub_8, CK=>Clock, CD=>Reset, Q=>wcnt_reg_8); + + FF_5: FD1S3DX + port map (D=>wcnt_sub_9, CK=>Clock, CD=>Reset, Q=>wcnt_reg_9); + + FF_4: FD1S3DX + port map (D=>wcnt_sub_10, CK=>Clock, CD=>Reset, Q=>wcnt_reg_10); + + FF_3: FD1S3DX + port map (D=>wcnt_sub_11, CK=>Clock, CD=>Reset, Q=>wcnt_reg_11); + + FF_2: FD1S3DX + port map (D=>wcnt_sub_12, CK=>Clock, CD=>Reset, Q=>wcnt_reg_12); + + FF_1: FD1S3DX + port map (D=>wcnt_sub_13, CK=>Clock, CD=>Reset, Q=>wcnt_reg_13); + + FF_0: FD1S3DX + port map (D=>af_set, CK=>Clock, CD=>Reset, Q=>AlmostFull); + + bdcnt_bctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>cnt_con, B0=>scuba_vlo, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>bdcnt_bctr_ci); + + bdcnt_bctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>bdcnt_bctr_ci, S0=>ifcount_0, S1=>ifcount_1, COUT=>co0); + + bdcnt_bctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0, S0=>ifcount_2, S1=>ifcount_3, COUT=>co1); + + bdcnt_bctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1, S0=>ifcount_4, S1=>ifcount_5, COUT=>co2); + + bdcnt_bctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2, S0=>ifcount_6, S1=>ifcount_7, COUT=>co3); + + bdcnt_bctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3, S0=>ifcount_8, S1=>ifcount_9, COUT=>co4); + + bdcnt_bctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_10, A1=>fcount_11, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4, S0=>ifcount_10, S1=>ifcount_11, COUT=>co5); + + bdcnt_bctr_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_12, A1=>fcount_13, B0=>cnt_con, B1=>cnt_con, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co5, S0=>ifcount_12, S1=>ifcount_13, COUT=>co6); + + e_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci); + + e_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>rden_i, A1=>scuba_vlo, B0=>fcount_0, B1=>fcount_1, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci, S0=>open, S1=>open, COUT=>co0_1); + + e_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_2, + B1=>fcount_3, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_1, S0=>open, S1=>open, COUT=>co1_1); + + e_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_4, + B1=>fcount_5, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_1, S0=>open, S1=>open, COUT=>co2_1); + + e_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_6, + B1=>fcount_7, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_1, S0=>open, S1=>open, COUT=>co3_1); + + e_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_8, + B1=>fcount_9, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_1, S0=>open, S1=>open, COUT=>co4_1); + + e_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_10, + B1=>fcount_11, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_1, S0=>open, S1=>open, COUT=>co5_1); + + e_cmp_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>fcount_12, + B1=>fcount_13, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_1, S0=>open, S1=>open, + COUT=>cmp_le_1_c); + + a0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_le_1_c, S0=>cmp_le_1, S1=>open, + COUT=>open); + + g_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_1); + + g_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_0, A1=>fcount_1, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>cmp_ci_1, S0=>open, S1=>open, COUT=>co0_2); + + g_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_2, A1=>fcount_3, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_2, S0=>open, S1=>open, COUT=>co1_2); + + g_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_4, A1=>fcount_5, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_2, S0=>open, S1=>open, COUT=>co2_2); + + g_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_6, A1=>fcount_7, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_2, S0=>open, S1=>open, COUT=>co3_2); + + g_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_8, A1=>fcount_9, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_2, S0=>open, S1=>open, COUT=>co4_2); + + g_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_10, A1=>fcount_11, B0=>wren_i, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4_2, S0=>open, S1=>open, COUT=>co5_2); + + g_cmp_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>fcount_12, A1=>fcount_13, B0=>wren_i, + B1=>wren_i_inv, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_2, S0=>open, S1=>open, + COUT=>cmp_ge_d1_c); + + a1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>cmp_ge_d1_c, S0=>cmp_ge_d1, S1=>open, + COUT=>open); + + w_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>w_ctr_ci); + + w_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_0, A1=>wcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>w_ctr_ci, S0=>iwcount_0, S1=>iwcount_1, + COUT=>co0_3); + + w_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_2, A1=>wcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_3, S0=>iwcount_2, S1=>iwcount_3, + COUT=>co1_3); + + w_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_4, A1=>wcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_3, S0=>iwcount_4, S1=>iwcount_5, + COUT=>co2_3); + + w_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_6, A1=>wcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_3, S0=>iwcount_6, S1=>iwcount_7, + COUT=>co3_3); + + w_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_8, A1=>wcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_3, S0=>iwcount_8, S1=>iwcount_9, + COUT=>co4_3); + + w_ctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_10, A1=>wcount_11, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_3, S0=>iwcount_10, S1=>iwcount_11, + COUT=>co5_3); + + w_ctr_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>wcount_12, A1=>wcount_13, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_3, S0=>iwcount_12, S1=>iwcount_13, + COUT=>co6_1); + + r_ctr_cia: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>r_ctr_ci); + + r_ctr_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_0, A1=>rcount_1, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>r_ctr_ci, S0=>ircount_0, S1=>ircount_1, + COUT=>co0_4); + + r_ctr_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_2, A1=>rcount_3, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co0_4, S0=>ircount_2, S1=>ircount_3, + COUT=>co1_4); + + r_ctr_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_4, A1=>rcount_5, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co1_4, S0=>ircount_4, S1=>ircount_5, + COUT=>co2_4); + + r_ctr_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_6, A1=>rcount_7, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co2_4, S0=>ircount_6, S1=>ircount_7, + COUT=>co3_4); + + r_ctr_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_8, A1=>rcount_9, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co3_4, S0=>ircount_8, S1=>ircount_9, + COUT=>co4_4); + + r_ctr_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_10, A1=>rcount_11, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co4_4, S0=>ircount_10, S1=>ircount_11, + COUT=>co5_4); + + r_ctr_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>rcount_12, A1=>rcount_13, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_4, S0=>ircount_12, S1=>ircount_13, + COUT=>co6_2); + + mux_35: MUX41 + port map (D0=>mdout1_0_0, D1=>mdout1_1_0, D2=>mdout1_2_0, + D3=>mdout1_3_0, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, Z=>Q(0)); + + mux_34: MUX41 + port map (D0=>mdout1_0_1, D1=>mdout1_1_1, D2=>mdout1_2_1, + D3=>mdout1_3_1, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, Z=>Q(1)); + + mux_33: MUX41 + port map (D0=>mdout1_0_2, D1=>mdout1_1_2, D2=>mdout1_2_2, + D3=>mdout1_3_2, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, Z=>Q(2)); + + mux_32: MUX41 + port map (D0=>mdout1_0_3, D1=>mdout1_1_3, D2=>mdout1_2_3, + D3=>mdout1_3_3, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, Z=>Q(3)); + + mux_31: MUX41 + port map (D0=>mdout1_0_4, D1=>mdout1_1_4, D2=>mdout1_2_4, + D3=>mdout1_3_4, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, Z=>Q(4)); + + mux_30: MUX41 + port map (D0=>mdout1_0_5, D1=>mdout1_1_5, D2=>mdout1_2_5, + D3=>mdout1_3_5, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, Z=>Q(5)); + + mux_29: MUX41 + port map (D0=>mdout1_0_6, D1=>mdout1_1_6, D2=>mdout1_2_6, + D3=>mdout1_3_6, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, Z=>Q(6)); + + mux_28: MUX41 + port map (D0=>mdout1_0_7, D1=>mdout1_1_7, D2=>mdout1_2_7, + D3=>mdout1_3_7, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, Z=>Q(7)); + + mux_27: MUX41 + port map (D0=>mdout1_0_8, D1=>mdout1_1_8, D2=>mdout1_2_8, + D3=>mdout1_3_8, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, Z=>Q(8)); + + mux_26: MUX41 + port map (D0=>mdout1_0_9, D1=>mdout1_1_9, D2=>mdout1_2_9, + D3=>mdout1_3_9, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, Z=>Q(9)); + + mux_25: MUX41 + port map (D0=>mdout1_0_10, D1=>mdout1_1_10, D2=>mdout1_2_10, + D3=>mdout1_3_10, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(10)); + + mux_24: MUX41 + port map (D0=>mdout1_0_11, D1=>mdout1_1_11, D2=>mdout1_2_11, + D3=>mdout1_3_11, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(11)); + + mux_23: MUX41 + port map (D0=>mdout1_0_12, D1=>mdout1_1_12, D2=>mdout1_2_12, + D3=>mdout1_3_12, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(12)); + + mux_22: MUX41 + port map (D0=>mdout1_0_13, D1=>mdout1_1_13, D2=>mdout1_2_13, + D3=>mdout1_3_13, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(13)); + + mux_21: MUX41 + port map (D0=>mdout1_0_14, D1=>mdout1_1_14, D2=>mdout1_2_14, + D3=>mdout1_3_14, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(14)); + + mux_20: MUX41 + port map (D0=>mdout1_0_15, D1=>mdout1_1_15, D2=>mdout1_2_15, + D3=>mdout1_3_15, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(15)); + + mux_19: MUX41 + port map (D0=>mdout1_0_16, D1=>mdout1_1_16, D2=>mdout1_2_16, + D3=>mdout1_3_16, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(16)); + + mux_18: MUX41 + port map (D0=>mdout1_0_17, D1=>mdout1_1_17, D2=>mdout1_2_17, + D3=>mdout1_3_17, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(17)); + + mux_17: MUX41 + port map (D0=>mdout1_0_18, D1=>mdout1_1_18, D2=>mdout1_2_18, + D3=>mdout1_3_18, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(18)); + + mux_16: MUX41 + port map (D0=>mdout1_0_19, D1=>mdout1_1_19, D2=>mdout1_2_19, + D3=>mdout1_3_19, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(19)); + + mux_15: MUX41 + port map (D0=>mdout1_0_20, D1=>mdout1_1_20, D2=>mdout1_2_20, + D3=>mdout1_3_20, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(20)); + + mux_14: MUX41 + port map (D0=>mdout1_0_21, D1=>mdout1_1_21, D2=>mdout1_2_21, + D3=>mdout1_3_21, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(21)); + + mux_13: MUX41 + port map (D0=>mdout1_0_22, D1=>mdout1_1_22, D2=>mdout1_2_22, + D3=>mdout1_3_22, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(22)); + + mux_12: MUX41 + port map (D0=>mdout1_0_23, D1=>mdout1_1_23, D2=>mdout1_2_23, + D3=>mdout1_3_23, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(23)); + + mux_11: MUX41 + port map (D0=>mdout1_0_24, D1=>mdout1_1_24, D2=>mdout1_2_24, + D3=>mdout1_3_24, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(24)); + + mux_10: MUX41 + port map (D0=>mdout1_0_25, D1=>mdout1_1_25, D2=>mdout1_2_25, + D3=>mdout1_3_25, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(25)); + + mux_9: MUX41 + port map (D0=>mdout1_0_26, D1=>mdout1_1_26, D2=>mdout1_2_26, + D3=>mdout1_3_26, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(26)); + + mux_8: MUX41 + port map (D0=>mdout1_0_27, D1=>mdout1_1_27, D2=>mdout1_2_27, + D3=>mdout1_3_27, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(27)); + + mux_7: MUX41 + port map (D0=>mdout1_0_28, D1=>mdout1_1_28, D2=>mdout1_2_28, + D3=>mdout1_3_28, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(28)); + + mux_6: MUX41 + port map (D0=>mdout1_0_29, D1=>mdout1_1_29, D2=>mdout1_2_29, + D3=>mdout1_3_29, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(29)); + + mux_5: MUX41 + port map (D0=>mdout1_0_30, D1=>mdout1_1_30, D2=>mdout1_2_30, + D3=>mdout1_3_30, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(30)); + + mux_4: MUX41 + port map (D0=>mdout1_0_31, D1=>mdout1_1_31, D2=>mdout1_2_31, + D3=>mdout1_3_31, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(31)); + + mux_3: MUX41 + port map (D0=>mdout1_0_32, D1=>mdout1_1_32, D2=>mdout1_2_32, + D3=>mdout1_3_32, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(32)); + + mux_2: MUX41 + port map (D0=>mdout1_0_33, D1=>mdout1_1_33, D2=>mdout1_2_33, + D3=>mdout1_3_33, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(33)); + + mux_1: MUX41 + port map (D0=>mdout1_0_34, D1=>mdout1_1_34, D2=>mdout1_2_34, + D3=>mdout1_3_34, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(34)); + + mux_0: MUX41 + port map (D0=>mdout1_0_35, D1=>mdout1_1_35, D2=>mdout1_2_35, + D3=>mdout1_3_35, SD1=>rptr_11_ff2, SD2=>rptr_12_ff2, + Z=>Q(35)); + + precin_inst474: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"0000", + INIT0=> X"0000") + port map (A0=>scuba_vhi, A1=>scuba_vhi, B0=>scuba_vhi, + B1=>scuba_vhi, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>'X', S0=>open, S1=>open, COUT=>precin); + + wcnt_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>cnt_con, A1=>wcount_0, B0=>cnt_con_inv, B1=>rptr_0, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>precin, S0=>open, S1=>wcnt_sub_0, COUT=>co0_5); + + wcnt_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_1, A1=>wcount_2, B0=>rptr_1, B1=>rptr_2, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co0_5, S0=>wcnt_sub_1, S1=>wcnt_sub_2, COUT=>co1_5); + + wcnt_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_3, A1=>wcount_4, B0=>rptr_3, B1=>rptr_4, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co1_5, S0=>wcnt_sub_3, S1=>wcnt_sub_4, COUT=>co2_5); + + wcnt_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_5, A1=>wcount_6, B0=>rptr_5, B1=>rptr_6, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co2_5, S0=>wcnt_sub_5, S1=>wcnt_sub_6, COUT=>co3_5); + + wcnt_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_7, A1=>wcount_8, B0=>rptr_7, B1=>rptr_8, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co3_5, S0=>wcnt_sub_7, S1=>wcnt_sub_8, COUT=>co4_5); + + wcnt_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_9, A1=>wcount_10, B0=>rptr_9, B1=>rptr_10, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co4_5, S0=>wcnt_sub_9, S1=>wcnt_sub_10, COUT=>co5_5); + + wcnt_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcount_11, A1=>wcount_12, B0=>rptr_11, B1=>rptr_12, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>co5_5, S0=>wcnt_sub_11, S1=>wcnt_sub_12, COUT=>co6_3); + + wcnt_7: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_sub_msb, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co6_3, S0=>wcnt_sub_13, S1=>open, + COUT=>open); + + af_set_cmp_ci_a: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>wren_i, B0=>scuba_vlo, B1=>wren_i, + C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, D1=>scuba_vhi, + CIN=>'X', S0=>open, S1=>open, COUT=>cmp_ci_2); + + af_set_cmp_0: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_0, A1=>wcnt_reg_1, B0=>AmFullThresh(0), + B1=>AmFullThresh(1), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>cmp_ci_2, S0=>open, + S1=>open, COUT=>co0_6); + + af_set_cmp_1: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_2, A1=>wcnt_reg_3, B0=>AmFullThresh(2), + B1=>AmFullThresh(3), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co0_6, S0=>open, S1=>open, + COUT=>co1_6); + + af_set_cmp_2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_4, A1=>wcnt_reg_5, B0=>AmFullThresh(4), + B1=>AmFullThresh(5), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co1_6, S0=>open, S1=>open, + COUT=>co2_6); + + af_set_cmp_3: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_6, A1=>wcnt_reg_7, B0=>AmFullThresh(6), + B1=>AmFullThresh(7), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co2_6, S0=>open, S1=>open, + COUT=>co3_6); + + af_set_cmp_4: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_8, A1=>wcnt_reg_9, B0=>AmFullThresh(8), + B1=>AmFullThresh(9), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co3_6, S0=>open, S1=>open, + COUT=>co4_6); + + af_set_cmp_5: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_10, A1=>wcnt_reg_11, B0=>AmFullThresh(10), + B1=>AmFullThresh(11), C0=>scuba_vhi, C1=>scuba_vhi, + D0=>scuba_vhi, D1=>scuba_vhi, CIN=>co4_6, S0=>open, S1=>open, + COUT=>co5_6); + + af_set_cmp_6: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"99AA", + INIT0=> X"99AA") + port map (A0=>wcnt_reg_12, A1=>wcnt_reg_13, B0=>AmFullThresh(12), + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>co5_6, S0=>open, S1=>open, + COUT=>af_set_c); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + a2: CCU2C + generic map (INJECT1_1=> "NO", INJECT1_0=> "NO", INIT1=> X"66AA", + INIT0=> X"66AA") + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, C0=>scuba_vhi, C1=>scuba_vhi, D0=>scuba_vhi, + D1=>scuba_vhi, CIN=>af_set_c, S0=>af_set, S1=>open, + COUT=>open); + + WCNT(0) <= fcount_0; + WCNT(1) <= fcount_1; + WCNT(2) <= fcount_2; + WCNT(3) <= fcount_3; + WCNT(4) <= fcount_4; + WCNT(5) <= fcount_5; + WCNT(6) <= fcount_6; + WCNT(7) <= fcount_7; + WCNT(8) <= fcount_8; + WCNT(9) <= fcount_9; + WCNT(10) <= fcount_10; + WCNT(11) <= fcount_11; + WCNT(12) <= fcount_12; + WCNT(13) <= fcount_13; + Empty <= empty_i; + Full <= full_i; +end Structure; diff --git a/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg_ngd.asd b/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg_ngd.asd new file mode 100644 index 0000000..c265c78 --- /dev/null +++ b/lattice/ecp5/FIFO/fifo_36x8k_oreg/fifo_36x8k_oreg_ngd.asd @@ -0,0 +1 @@ +[ActiveSupport NGD] diff --git a/lattice/ecp5/PLL/PLL.sbx b/lattice/ecp5/PLL/PLL.sbx new file mode 100644 index 0000000..5cdb2e4 --- /dev/null +++ b/lattice/ecp5/PLL/PLL.sbx @@ -0,0 +1,661 @@ + + + + LATTICE + LOCAL + PLL + 1.0 + + + Diamond_Synthesis + synthesis + + + Diamond_Simulation + simulation + + + + + + + + pll_in200_out100_CLKI + pll_in200_out100_CLKI + + in + + + + pll_in200_out100.CLKI + + + + + pll_in200_out100_CLKOP + pll_in200_out100_CLKOP + + out + + + + pll_in200_out100.CLKOP + + + + + pll_in200_out100_CLKOS + pll_in200_out100_CLKOS + + out + + + + pll_in200_out100.CLKOS + + + + + pll_in200_out100_LOCK + pll_in200_out100_LOCK + + out + + + + pll_in200_out100.LOCK + + + + + + + LFE5UM-85F-8MG285C + synplify + 2015-03-17.15:29:30 + 2015-03-17.15:44:52 + 3.4.0.80 + VHDL + + true + false + false + true + false + false + false + false + false + false + false + + + + + + + + LATTICE + LOCAL + PLL + 1.0 + + + pll_in200_out100 + + Lattice Semiconductor Corporation + LEGACY + PLL + 5.7 + + + Diamond_Simulation + simulation + + ./pll_in200_out100/pll_in200_out100.vhd + vhdlSource + + + + Diamond_Synthesis + synthesis + + ./pll_in200_out100/pll_in200_out100.vhd + vhdlSource + + + + + + Configuration + none + ${sbp_path}/${instance}/generate_core.tcl + CONFIG + + + CreateNGD + none + ${sbp_path}/${instance}/generate_ngd.tcl + CONFIG + + + Generation + none + ${sbp_path}/${instance}/generate_core.tcl + GENERATE + + + + + + + CLKI + CLKI + + in + + + + true + + + + + CLKOP + CLKOP + + out + + + + CLKOS + CLKOS + + out + + + + LOCK + LOCK + + out + + + + + + synplify + 2015-03-17.15:44:52 + + false + false + false + false + false + false + false + false + false + false + LPM + PRIMARY + PRIMARY + false + false + IO:2;PLL:1;DLL:0 + + + + CLKI + IO + + Inst1_IB + true + true + false + IO + true + + + + CLKI~ + IO + + true + false + IO + true + + + + pll_in200_out100 + GXPLL + + true + false + GXPLL + + + + + + + Family + ecp5um + + + OperatingCondition + COM + + + Package + CSFBGA285 + + + PartName + LFE5UM-85F-8MG285C + + + PartType + LFE5UM-85F + + + SpeedGrade + 8 + + + Status + C + + + + CoreName + PLL + + + CoreRevision + 5.7 + + + CoreStatus + Demo + + + CoreType + LPM + + + Date + 03/17/2015 + + + ModuleName + pll_in200_out100 + + + ParameterFileVersion + 1.0 + + + SourceFormat + VHDL + + + Time + 15:42:59 + + + VendorName + Lattice Semiconductor Corporation + + + + CLKFB_DIV + 1 + + + CLKI_DIV + 2 + + + CLKI_FREQ + 200 + + + CLKOP_ACTUAL_FREQ + 100.000000 + + + CLKOP_APHASE + 0.00 + + + CLKOP_DIV + 6 + + + CLKOP_DPHASE + 0 + + + CLKOP_FREQ + 100.00 + + + CLKOP_MUXA + DISABLED + + + CLKOP_TOL + 0.0 + + + CLKOP_TRIM_DELAY + 0 + + + CLKOP_TRIM_POL + Rising + + + CLKOS2_ACTUAL_FREQ + + + + CLKOS2_APHASE + 0.00 + + + CLKOS2_DIV + 1 + + + CLKOS2_DPHASE + 0 + + + CLKOS2_Enable + DISABLED + + + CLKOS2_FREQ + 100.00 + + + CLKOS2_MUXC + DISABLED + + + CLKOS2_TOL + 0.0 + + + CLKOS2_TRIM_DELAY + 0 + + + CLKOS2_TRIM_POL + Rising + + + CLKOS3_ACTUAL_FREQ + + + + CLKOS3_APHASE + 0.00 + + + CLKOS3_DIV + 1 + + + CLKOS3_DPHASE + 0 + + + CLKOS3_Enable + DISABLED + + + CLKOS3_FREQ + 100.00 + + + CLKOS3_MUXD + DISABLED + + + CLKOS3_TOL + 0.0 + + + CLKOS3_TRIM_DELAY + 0 + + + CLKOS3_TRIM_POL + Rising + + + CLKOS_ACTUAL_FREQ + 200.000000 + + + CLKOS_APHASE + 0.00 + + + CLKOS_DIV + 1 + + + CLKOS_DPHASE + 0 + + + CLKOS_Enable + ENABLED + + + CLKOS_FREQ + 100.00 + + + CLKOS_MUXB + ENABLED + + + CLKOS_TOL + 0.0 + + + CLKOS_TRIM_DELAY + 0 + + + CLKOS_TRIM_POL + Rising + + + CLKSEL_ENA + DISABLED + + + DPHASE_SOURCE + STATIC + + + Destination + Synplicity + + + EDIF + 1 + + + ENABLE_CLKOP + DISABLED + + + ENABLE_CLKOS + DISABLED + + + ENABLE_CLKOS2 + DISABLED + + + ENABLE_CLKOS3 + DISABLED + + + ENABLE_HBW + DISABLED + + + Expression + None + + + FEEDBK_PATH + CLKOP + + + FRACN_DIV + + + + FRACN_ENABLE + DISABLED + + + IO + 0 + + + IOBUF + LVDS + + + Order + None + + + PLLRST_ENA + DISABLED + + + PLL_BW + 8.185 + + + PLL_LOCK_MODE + ENABLED + + + PLL_LOCK_STK + DISABLED + + + PLL_USE_SMI + DISABLED + + + REFERENCE + 1 + + + STDBY_ENABLE + DISABLED + + + VCO_RATE + 600.000 + + + VHDL + 1 + + + Verilog + 0 + + + + cmd_line + -w -n pll_in200_out100 -lang vhdl -synth synplify -arch sa5p00m -type pll -fin 200 -clkibuf LVDS -fclkop 100.00 -fclkop_tol 0.0 -bypass_divs -phase_cntl STATIC -lock -fb_mode 1 + + + + + GXPLL + 1 + + true + true + GXPLL + + pll_in200_out100 + + + IO + 1 + + true + true + IO + + CLKI + CLKI~ + + + pll + 0 + + true + false + PLL + + CLKI + CLKI~ + pll_in200_out100 + + + + + + + + + pll_in200_out100_CLKI + pll_in200_out100_CLKI + + + sys_yes + + + + + + + pll_in200_out100_CLKOP + pll_in200_out100_CLKOP + + + + + pll_in200_out100_CLKOS + pll_in200_out100_CLKOS + + + + + pll_in200_out100_LOCK + pll_in200_out100_LOCK + + + + + + diff --git a/lattice/ecp5/PLL/archv/pll_in200_out100.zip b/lattice/ecp5/PLL/archv/pll_in200_out100.zip new file mode 100644 index 0000000000000000000000000000000000000000..c8b86e5fb849eaae15486cc04382dab9d5363983 GIT binary patch literal 136545 zcmb5V1CV9WvMpM+ZQHhOTV1wYU1pb!F00G7ZQHhOzv}zqo^#&$H{Lsc#m0GI^_03iClYj17+!@`z{f#HXpi!&nw z1HGAvt%;+7v&j!5J4X{bXCvz<6-B!_9u#j|pMilfVdWZDY$G8d(N`7_p)?WDc9UF3 zcf3n&1QgUsJ)Wk? z0claCP#kRbFl5@fizy*vH&IV;i9Xqx?5>DJ)6qJ$Gc(tRL0 zt3@kiZK>F27SB5ixSj0J14RoP71@W_9MPbUrK9|JS2O_wK(^TMZ%KnU+fA6u7&XrD zab;Uhsbw8_5?XZowvt7TfOe=7!Aji8-hq>&P67ew>?z>R6RZl5_;`BjG&dCq zEm*1d(C60CpdUI_8jQ;7NTDno$5eih6F%vrfui!3Bk;bK*|U`n`~G9EeoVA;TRitn z0b`2;%Yz8ha`5G!yQY4cNIoGDO zeyi>T?djKUX{H&~niS6_=~HV!*`Xo4v8{rUud3+SD%Q+`4I4RDg$InjGm@Hbpwhes zFl#NjawyMkZ`Qb0P2GNC>+23ng8 zz*Or&MrD*R$kc2)m&2aFbTB$8 z9@}hv*dA{VheXE?<*ary`v;gO1w)2VEmZhPhEKN3>;3uqWUTEt$fL>YYirg4N&dBK zH*-Xhcj=&TT}fl@BzG-|Ah$Fe zGGQpk5)%Vs??~b6)>z%J>(aey z;`=E1LA5gF5qb9+Q2UuyYEnc@5;KE4Q-^bwI0n>VH{*FkGWG&=Br)Vc;+iaXB!U=a zs7N&b0BZ&sost-rb)%t-ORu=(4mb{JlD1F5B7d}Z=H#i~H$Cx;HL3mFqwMUhnracg znGU(ALl$#yH!GaYpI>Hk;0j^nu-)`v&Z4j`JT+KFDgCVFK?#et4c;tKSp}4Gfbubl zxEE_FxvRck{2mFgJ;$&PU{#7>dc1Z&XAef6a+oJrOEo=XO;&F0A|~lfi3#;PL>k*) zqH;Kn^*Wr75_98S`>nY)3c4^c30tC0_%&2GldQ;kC{MD_Z8?><6kG>&DsT|nQU#EH z+<9aMRfB$}jy>MVD$5{jYF{1Sgm-= zkz{JZRxS$w5`yrGJwvE_2|ak=_B6bg>0F6h<{W5U4GCI)?J{xnEz{mK5>am<@NPulYz7JvPR!DxdD(m`5CGY+4D)ShF5{CQh)AOM^DLZd8fv!FYYySQ06FFl39~sY&`>q7y=M&YxaJ zhO{-sXxkMJowV^`BeNR*WPsKv>7DMuZf-I_Kam9Un3kXhaf}~ujOu(gTL>->-cbBO zwCv(kdjF(r*Murjn)Pq_K*}4zh$R@5NOGG%ACL@HZCjfImAT9c* zFk?aNcg1g!)OdD^forDmBog1GpaDBN|4dt>ZWs=M6euVgELbjAquwx+H*-PI8#w|c zaAoMlM6*N`6EUgD^Dt-}8Tj3dKIi6K22TvNs}e^k^U&_m$<+1Xwu42tkQ^gEqJ_dh zN)^*XSFOzSsnKq#l4KWFkq#|yv7zli;X z1`X=MSVOALrMD@YMTrT1rR11&RYzW(TB(5Jppj0Lov!aRbD6!=oLXiekV76sFa?+D zm+j!vUyUtD)jzOt7JkA16K71mPk2AfE*{};e98MZ?EeX8tSyWTUCij5-JQo|$H$c= zJby!LT1SnR#BwV1udK?Yyb;rVf7EYF$9pk{b7azfTr5Vkw950 z5vi6ilA!BR0si^yzwF}D5`QTW2mk;P6aWDCe|ol!li3eb3u_ZPYdfPcm(vSn<*sP-O17}5YzbG)WUrtZWx=7bjW=eK(}B$+(aCxgbqw~VaIxs9=VAD+`) ze~Z3??x3S66jZs%SHYeOO1@to3~*ijnMp>?BdqaGB!LE9>^M3(=;VQL(~1j%C65p(lH!(Rk4vRj#vPN_d`(X{*J55KzJxtx91sw?9v!A1XnGz3}FiZ@JYG znwI>*b0)rC+_1W@*dwaqB#5TmN+fZm z)nLkxgG^cg)h7X0+An3Fo59P~5l*>Ou!lxur{PJC`jyxK8|66TNNQXVbZ1qeRuyxjCScn`{zZtdJH}4B2q) zs1Y6cTvaR|&SnXLIel^5b?c(N&lza`|o6#&dA2NAx=;ph!G)p>YAEu zy&=LFxmFwz4vd)4-ByZwqr!EK%SQd>X);`p6z74%Zgbo#p=pJ0lODaT6=KnG&vrEa zEu+wc6EA9$rxRo8nm|b#9eME;?)SK?Sfk(<43lVMo3{Pcv#lq$5#$qdBYK<+9wAd~ zu3`E^TIQAM%{B*Tt*nF4FRrTE;0PGroSM#^RgLY%{`)3^qh6P-QvYIn+rdBX@*Nhs zB;x|L_jF_^g9XH~$hhy!v1*P0;hI)=pDqZA#9=UmUqCI1glQl=IeZ^EGAN!{j9Kl7 z447APvKNX#c0`N8Xly=G5LK8NJo5t%1!&QW${3lsETw`%5-Kf+o>ad9_^JGjb*qvW z=K2=ewP4{v0S2&9!o8f32J!#TMMghs8N2$XL4M!(@SiQRiLvd3rgTak2g>GC)vyC8 zG-yNVPt!0zRZdYz;A7_pGH^!ARs;?|wYMws9`Q2LX-KEjdT3eO=Sw$y4;@47sSzL& zgHVZibrcl4+pIhrZ!_QEhZ3CX+~#dm&UUaf}K|MJ%!xU#|vlCO!rKuuqfkVN1fKU)a2eR}6n zSWS<(L7KWxYa(EYg~0Z*Gp*71aW3dm6>e|WnB8?d4QPd^|_x?uVA59CSU)fILK;xXfh zy>n)ZHB(8&>8G4D#vysCwMn~eD8dKPE$mV?W+SPamv`%SWI={|!t6}Lr5WMS5Ou(y zcN>ZnNq>MT;wl6rhoWvtk4eF0(?8gB>~sG zxn91OIsQ3YMTm63q5BNT7q&bfK8Is|$jpPRbd&LiMc+ESr-t{2Wgm1!zSOEY zWCavdB4G|QK2Htds2`Hc|n(q1rzQJVW0T3|~+ zbti!>0Yk}{cO97?=P0W1!+<`p?QaWt zr~r;-R2$1H6;{Vh3)!TShhA$$q!#XXK^cH&4&)=N6s13<-`7GGx5_c~9nDkBt&oXQ zide$hd4qlV{$S6t8#SfZtg)UyRg{AIFE@ti(de#wO!?DGu**C&q(ZGRjiE%Uw9-f7 zp8?G*Bek`V-WSj8pqz#T>XB{T-v)D5{795-3YFHbhtjTJ1zns^jx%~^&b6bi8V)hK zzX|16UZl4bUp{iv#a3%(D^K@Y$hr`3!KoW_erU!m<0vV#+|c>#!VQ!~0%L7el*Jjs z@HDafq!Um7P9{U7tyIS-1AQ-+=qRunX4mH{{lK=tG}@rv6v7IE!x`wy1Jpo%8e_a( zW3H4wsf5tAw^r&Bd%c;wipvo3bg2zM`KaAoAadvkVdB0;YfYm0^raWNCheYpGN?JI|&wYThj-qX$qrpdjy< zkhW8wi3wTfEYtH~j|$0P>r-;nXe^N)!ftxRF*C;8=yc%T;7r^Y!u_P9o{ZVWXD(EE zz5NGb0ssVlA4`_*Ysr7f)L;A05YyDyh?tm|_xmDHb~bQyCJ?r>HMKBv`POwT>};W+ z{u_}2{!0@2cKeU(Kl5*CZv5Xa{#(Av=`RaR3-6X5A>uc=%+Krd*EzT^Hjl9w)ng z&_Q}AxIE2vAlgx>o|~jJQwwBFRSkHgD+GhDYKr{&d1O1;LY*3-6#{KrLHP+<55~E zQ17FSnC_w)rT7~7P>M-JQbwb#;w-I=XQXRB5cvU0$za9fo|-x3bV$K*Su z)T`9Fv4xFdEv}NkF%hi(kkdf6F!xMyCy@x8i!z#K4?Mt^mDcKm9JQJI;c zpC`HzrEp#)f`%jvyYPpSaqVb)Gl+bLM)dE7xICqzNSft-NQZ~WX7)>qGdYlS4(YV&i-J>~xJJXKcqJ};HGmQS;yK_>V_XRIX}a=Boi!2b*>v+t-c z;!}y!fdl{;NBvJj>RUF7(m0Dz9zyNfYxB#6KMy5|5+Nyzn^mz~G&Pu02(z@=I@>6F zpnh6ivleY>i!fL*#lPfp=Z6W3RnPwbra~=IqRv$Xk<5XWEg(gZ;8$@eF1-aTu|+Bg zUxhEs2~s*~JjzIIaXWWWq497#beqiJbkcJg9D5L}6JMJzn>nIRV~_Rw%59n1v?O9I zVC?YYD3gOGmlVNtr zD70bLWZH;NV$M`z0;ka<4G2JY73&V<5 z0esQ2XbwGcazJ_|jPw!7PhAOfV0c(>=dRO6zJzFM5x&}v#;u=N?zLsl#I0v}yxwtw z7$1L(cH)vU6J7zj6loVesgFQOnF6CxkyX-f&m5E+k$`P8Y7;y=?vl#i~VWjFyIw=u-k*NRTt-^1d9<3>)j+kv@THa1wGedSX+|8)pnywMP zQXWhu=;Fo-3WVe!9Ym6lPWuO3w{M)xVNa?KbP^RtrIIMsHx`FQ(Jsnn*(K!7e7R=U zx%8&nBE%#gFC2Y>=9E!SHBQ#=95U9L&|=w?KjWD!i$g~StD8X(CfISLI)tXLenaQw z1!bH6(hu3!i8T5&I^W8r+rb7LuYLrY;;sxd%EpUDY`uAg#3u2IU8hg3t>q6h1mPV# zPkWYpi`pimP2vmC$T%D(ob{vGDh+qyBx**M9!hV?0L<&SqZDZUlyg#Z_as)tSCUiC zOj$l^)Ps16w|>!J-V>JN>IAGXD*?{DALi`bXbKZ0`Uc1}%1A%O^1haPvIR(Md4P0S zKij%cu0dHWm62*{JW}&jW_%JJ$q0&`pOY#(ix>xftd9^{A5HOX$E+y3Zlet|eB9e| z6NN~*3f!@i$d#Kl3nrFzGO$M=k#jT><}ia^F%(qfQ5dyR`c%?{*Ux2Ip=IGj^yyLj ze9%@V6A5BP3^XpMe4o38$$)Sy&-HNi8=uopBj(J#hUJVvlC4x=AX$(-;rASAr~SV&;2NQ`m)q>!YMP_2Z|G z?&tzHseHIUUI#ixdVDsg3?~o!SBa$`$l;bNH&VCxXUgh|@eY4f5FK8azD{tI)qQ+z zzFpNE6h(Aj-jDm2912x>X^Uh8SEaPzQPlF6^`mX@$dD4Io40dR$#MORPONbWtcc6} zOY)OHBB<_B9GwwhzDX$SQ2E(N5KlgC0ek=*ay}P|xhY{^m?%QTi8owP*R?;-VC-J% z#dqFfF{~7yE02WRf9}cXRN|jHYYW4jIv?BtqG%S=aW&AzZgMrSc`*=sY_}c;0wb-+ zK_EZX70!KtmsHLLgM$hbr6VzE6`jG}W`v!KnhwiSsLM3t$0-LLtE_ZC$@F&)ijeGW zhvKwTfDe&QNpf;ffulf`Igip*-a(eU8+aIp5@Il<#>#~7C5%)m@B5=W-!lK!D>??W zJ9Z8Wuybj(>aOEj@Vw5GTfpThpY!(j87P!48tz64Pg<}O$CtBipH%h9FKkBf6EE&% z2=Bx$+v+UwxS|772^W_0vO9TOW4X#Kl=`zc*ruY+Xz90FeFL{V3AdaDcRR?XpCsqy z3}uev%l|Sg#O>hw3-pc405@@U&Ht*tespE@g1=Y|@`h_LEb7PSIq^hWbum(maT*LVS_b$rPWeR>_=q<^~qEg9BCG-L!Hd-!L zspXjlkjX8(!QTF>%&4$#$Q!s&Q9h0N_N|8-xOmY@VHQ0^PGXI221Y| zXw$?MNT3FlrA865@<=}g>x*k27Ega%^XQc}4cdolQC@zm4}N)-dUREQs+5+!Z#@J~ zdFN5$7v7}APa2O&vqCZ2Vqm~b`h0TmnIzIKMQCG2|3X7RhZFN}T1RN&Z{29qL7}B> zooH*PxfHu^eew~~sXaEm`d|)c?x02-FxgB>b8j%P%!>j>_f!`bISU0m)vbs~!Nlr* z%aLEV-}Kn#X&SfFKzk_$+k$|nK>IQd8o)-hjiPg4kj0@3b@k7brx2W!h1k_1QrQy% z6}xDhj0zLh%g>1zh)!EjH+Zfxh=UiW=&mQ=3&c>Kos410rWJ`%(FKWJL+dd6dblxj z@2h(@t4WU4j3|iJo0lpMa;KO8yLDC3K1fPV&h8GM+I7RCyu?42`mwP^_}8Cu6eM{o)saC+FNF%>Vfgxjz~y(_8+>K@Tp!rgOIWWZ5(*yCWL4Q zg4Q2DL@eaXneY@8y$%fByC+EpK7TcZma9rfx&9cB-v&f%U^2pZKoOnQU3oO`E82U6 zNHKn&s5=E#dZcYa;qU*Vvj3%LoX5C`ub|)b4E8^#XLc^?vY|MlD3@uQ z?^p%E4vfXd5MToc7rL6h5OFUjSsSLUW~-N}6P3e{gHd7r=3oiyJTL)I9Ujwt6oP_| z7(_^M2uq=4c>OaS?g*H6wk&5L^x+_0TVQ`Nsi$6bl>e*QHZ?@ES zDzNW=)XQZ@%XRSCq|Kz>9TmzbBX80JdvSPL7n-D1&2;|g-gJ=?WYp+?!n>k=l$qnc zKbHwUuF6$T1a4cd&JWpJF~~oDx!=LUH(a@sTUmUT(e>+S`54ybQrY9c^_qS=18&F; z^A&Xme~X32RmE3Hd+r=%QfuOiLTbZ^*CA# z>s$o;xP3uZT&ds!neR#Sj4M7cF--?`2sEO&jv8)TuF6&P696|Cj=hoK*x&IRD-VAt)_8!>{b*=O$Gps z73B6}gqr?T{H4w>=yJYUICyyT{ASVTz6(Vh zya~s|xLI}Ms7Y-?8#Ii{39UHPMB*w8C9!t)73QTXf>XKW;sp9l7Ah%@h7cINW&2T8 z5xZbm!Hhzpq9BTHWL%m12aYqh)40;8zQS20O3`8T=N1)6B_e7Z&*Iz7Lqq#f9~E0L ze_dh4afjHF<8|)of-#8R2gt+9fw1mnZ_oxo z?{oHfFo&a-rVU3SRIR7z?0IXgTKGfdYD5mbSq0CJHoj*U93MOGU}|d$|FuP>>T-dn zsz@NFu;obWx&GcuT0AjHKU>szTF~W>qU^XhOIOnclA(_cscDOW;sa)d-5c*fn6&2V zzVV!}*@;G`kcdn_!QIX(xD}H>5_vZAe4umNElt~zcuVX1+jL~8KcBYLUI{Uq(;4iE z=+bF75=m>+^mMA#RvzKNuPk2g&8!hTYgUw9a|*#T_Y;sjvtd2qI>y4hLvD9F#t4d` z0i2wlcnogwPnsvtCq%xMas~oebZ2 zXpmWD$(0>VL)=u)L`hU7ZI=$DaZRYf-XJVz4d2P?z+O9!iqpj&xL(DGvx(U`i!sFL zCe|>6IgIJ4SA_9SjS`f5Xu0OQfHAPXJGvk&2RN6akJvdx-836SSOk3(C5*7SZrOr4 zS=-0(T9IP(XgX5qD!+GLKXm7NZ+=L~?j~%P^5IzG^x%c2cR=>JT_aBSU6g&QQfSrP z8yz@`8D=ZN>Z1y7ZwOu*^Sd3vilC?W_569uBbIS(Sw_73uwdZ8m$?aA^Bn(mbF;RU zn-YYM@kN|P7CmsVr_+%&Z?4In*z1!2wd2V@`~&U;AYv^?`fS%R%}eWo<)VI^C9bz^ zU2;x-OafWnic_}gy7F8;d{wyu+M~d;s!FFw7$i9gtF|vJ939UWzC#I{aRz2+H2sxCF zktO)Lp(VMF;VEA8-M=V$f6Jt@8e$*cX+6MSBm1_0uPf32`wcoLJ4fgL&rMfz<9|qb z5CDHGSX{{7K{4OeE28g{=|7Y5oE+`{)?wEe5WEL})X3xh4x>#cQMgU!a30oAgMpOU z;%O}BplbXx6U^qj)u2Ogz%&5g*x)&7NpH4vvSH2T=UE7w0(97JUQoRKY>kqOsK|i{EU}bI$jRXtm{N%<$hD@DJJt}SaWs>GLEo|5u-IZo>gVZH&<$cC z>m1CYr;(=Bc3r!2J0GT?n#LIAtmYUc+l(T0LzT#Bub~?_J_yV;nFhw52B=D-jXyBJ zZ-BVb_`(>eQXeJ|@c1vjZ)!KMwg5`mLI8_y&N!b08q`IAl9NVu1mrYUP$!8ayo{0; zGNij8VE)*939wbVv&I_~TRP7%M?FIc_PblTk$1Yil>r>a-bDvA&$! zDB?#T@1>YprNK7#O#rtuZ-w*`miWSrc?mfG3 z6e{?h`03jBpVA6&1-4mQZK5}x1&n>L&S`AzE>T+`Q>mPDs{63MoTOF$kcmHInoXLy z9tlp|l-RT$D$F(3Y`!unPx%hEEf7-F`4uQJYZJEZ1*(7ZuF*vu(4uMY6o_#}d7cx? z2Jrt=2K&3CPcT9}$G*#j7;yjTj{c5{Of_w%QV9f~Cw1o+XC+jT@q_c-G%8S@T%knJ zc;1y1%I+|OKp0Tr$=9o&&c0jhGV*TB@gPr2t)P$x4v9`0b3vwjMbr?D z+m>#1l*e&h1|v|Nx>?eK{qoz^_Iwy{KG=^WkhUwOp_JXc6StwHR5~!7`MRc*DMs0M z6n}0@kz(V-KRb9*@_D>vmO61n!f?bPwQj2+ykdR)69uq_NTkI22n|5hLxf`u`8E5- z=BU6Zx5(Zhui2yWhiD~y(01p$B!2b5N_eF!^?;u+O6ij{8@%Iy4iIZRwMLj`)S@W3 z5M(SQ+M@wu2|zWLWFHx$cI*=r$ot4i{=UZ0u{%x0>V$yKjzf)w)mBunZ-x@|F~p|& zHPAY2%x&~F9eY$;WEDi(?__GmG!?+iDZt2Sj5%R~Rft||qj|fQd5H-o)J5KVj*4RY z0h4%@qf>ljR+_i{nHZr*A0040)u@jZ!gz_wNh=5jR!~ExxpVvaRtanImY2d{cCro99tPQlC%CS+F+@zUR5A?&*urZ zt6|=DvsHeOxBGtd+MY8QRhk3O$bTe9s9QtkV;{qh_wq_A_-B_Q91ry|##7g7pn--+ zrzlQL^Dsp-M1|Nd7DlB5xa<48pgEcwqv8C9XYCn=$C}Y<{Zjiy*P-7=H1nAR=Re07 z5I?il_$~*D2o@M|A5%yr^s@5c0T|B!r24HgCP;7atbswuBH~@V!>jySjNU!WXzIZY z)1j4YPpI|Zp!M%K7U!+=HaMeeuA>8KJmqluSat1A+KE-KFqFGx94ir=LbjLT&1q^& z=&|H|-@_lXty%{}q5o zA-_+H=5e%`ZP6ucu}Mvp#UtHmpejmvnO|l8 z9}5B-PqBxLx8<_YLx0Y&?9(XoHPTv10n~BLHQq6`jvM7?Q@P6inXFz%$A$X9gw~+7 zTXI8CRC>@uz~C-&b=qrKW>eTXtfO8{2VO&(!UXE$ zvqJ7n&5%ugP^X;sJnY5$+&W8(#+2mH zKCkej+UJ=q?N5TG&u@=+&Uc&hu)o$fKjzCMwjwB&{CV8D#E-RPmtJkgyM(eA?lpzC z4Ak@8hFu;vTI;Me>sXDK{S(zTocVS=LOQ1El9e|Y zeQ=JFMb{5BlZ$^o8RWX0FOx-&Z9mCc*8>+@UlOhz6O%rb2Nn;k=`Z#^R9r*})d5Lc z%rCA zshBSZE9%$L-VEsEm}O%7T7JTtaJTj++`@op(i~&|WoQz^+z1Tx1hh<|5cr*aFSPhg z#Mf#x!^(S2&pd}VKo#rg)L3mVlVdJ0TL6B&qA7jwm2lUf?2$n&x}3iEy*|D`Ie*B)jvR(!eZ?ycl2)Z;Q|)A7 zR5gjzBQfsmi%}i3i2~)yjGaU#af+z1S8A=}e&wT%;CT?cP~mZ^KRKdf)7Y6=Aw#E9J?XgS%Fq2 zKkSfy)$mg3u+lAY2Js>`*xU8o9!9vC7T2S8$7)ppyyP6;>*ztub9Gi+bpKyVRnU!<@cqo6Xn5u5i z^0_qQYX*C0$i?py1>2CbNIqmn zH&;4Q`G%8gD@I1skIj+oc-A5uk|)%ef!gbTs4In;y;F_#WJ=&!h}Ll)eBDVF@YYNH z(crr!vCLB%0$Eewg>oCW*d-)X%rtg7ijbqL z{Oz_ja5B~wG;+3ZHBol4xBuqa1ajgcy8lM5jo-_J-oIUTeYc2F{YS2yZS1Y-zWGR0 ztfF)u14{7L9rffMSR;w{8HuQ18@LHJa;Yb*Cbn3PtW+A`aTR;b?zF#qHr&yAy4MpK zBwWlHE!SFPMF3l1%AnOr11kq*e#U)i&kd~V%d34%Lvki7tm%@bC*M=ptE zbvj;;U<={6)BXw7D}8>i99K1}#$+9-84UEOBT}Cp*9v7-h7UZxx5IqnS%u7|oUqo||e2hG((*$3JI4J?s2VL5KK{M;hh7)l*(v zf7tr8-31ie``IP$@@vbuRiGjQy~DrCJSbXg7jxJP6^e`QeSO^4)IA3Ps zIQ4wDydWA-A|)u{K9@bZ zeHk=IagG0L0ox)y@5ijQ$;*nxW2XnN<&4&;`xpl|f4(J^i(~q&!uUwRqyN$a)V4gt ze%+0r6RBEOLAB^xyX-4ZIjn_Do*$uGY*%3W9Iutz9S%aSa3|DJ!Hm_AuFCCfpBxGVQjV_GwG#FQiX6YsfaU+RAz*=lDP3z$6Ono z!L*1|Mb=_Kviv+7(PntYT2o`exf)}{N+gDn{_=KBbRtvvvv4lK=-s|MG zHw>dU?H6XSZwC?>U=t$Ev_uq<93&Dcno%(1G?i5TEz!QL$u=bJQs<>@5xgBwh*lO9 zGRQ!iP8koK8@$)k{H1xn)wx!6u%&wChht1%sFnAQRPfrq!?%bRgS`{EUeE>YnR(?m zXqKt4E@ZSXf=rGUC4ZdWC5Nl!`{tZ2=QQ9jd_l;|>&>bePTAnX18uiG3Rbf3c4Mg> z+1p>bw-`rctX(22XF%A8gSJk}`e7S#H`Mm-9g?t4PknRNnggsn0#up8H6ENI-<-3n zwrgj#P527G5_s^BAq{~4N}#{P`X3j`_qTt~x#^ueY=1bKIJsCmJJA~&7+Ja4)Bh8I z{w6H{`1$vj|EGt)GgcP=^zgrv_#fsW@HXG^0s1{9@;~CtzrKU94ZXF2hlwKtox8Ek zg{HP$z66?2v@fV~QrM~zc6czX1Y;_rDj6##T_KOFTYb0`+2bHs8>}?4^vi?x_VQrL zpScd^)n6Or;FBdzFO$JeRQzA>b%~!=FD|#&E_d9RcfON{34>J|>5uexcm}@@rvbAH zfN4zuA91GsjP(PVGg$i%(l14+y%i<*Tq=)VI%K^8o@HU9!1GGQ)!ky4fK(mIT{aE?t^2$M2dj` z88@KdzQ@wHmiV6VmVd;3Vfpf8j5|ev@lLa1URN+8emVEo$Fr5!jiOvz z+i#uE6Glmy0d1jEi{eDPE`k`X*C3o*Mw4XQt42*-D5E8PVqmA`RihIS`V$vOW+?g%Du(~6CPU7vakT#FB;6$L?O z^k?~)W4%Y%9D*{D{UzXmgBu*s1VN?92go#9fm%F23LY0E_kHfw*vnK0W99{B$dMVTm7c|)P!kCsd;)* zHzt9Zf1ACs?#OKWNSEo4JMPd>YnI1J{&Qyn6IqNEPUF#NMla;J{~4ZvY&`&ZCpsY< zV~laoNqa48bXoOa)!nJxZL)@voX$8fk(4iTdX%h*Pw4*j6wc+Q^=)nW3Vzr|2bs5A z1dF%byCDJmZ@6_TJ(KlN(z6?Zd6ve`(6mldZhl*qNS=&0awQ5SGYKUJxiBN z{nTtnHOa@^*>8Xe`t}0;DQ}HaX@6d3A-q-OWLHyaK1Lz7y18J*@~x7(fk#|*lfYnP z&$vkyqxM4dfGo*5IO&4Zk3{Lzc}eznC9|}GMwJoFB@5Rc=m)+8CDW;Ap@zC$J@1_a zXxlC6)K?;-_JX9=OLft~`G(4XDod~1QZl3VTuJJY?MzLF>?o>E#h!E?FREoCS`!pm zKBy>ix{ZRBw93nw1upM;ceY-8L;I4KL|)%b&r2MhHSnnrceIv)V5pse1Vsq$xkUPA zzaKgF?1rV)ca^gby>0QUWlm_bFY9L-9&zK7-Nn`pK!cp|DO zxc+m~?r@-2TVtCO2NRi+C2i{cgqhj(E~}V3zaBlOZ0Y5K*XJ$$J(KrylKrKQ_wzh< zt5INqxL5H`a#swhwv9=Bm#grh6)2)USz7kNii6kRVg)&TMwxlPgwW)?GOgk4x2;u^ z`!00yrL{`Bfji^JPn0Ds{N+RcsLIhSN2M?KT(u6c;#o;wwvP}=a+|gL!Uq~;{Flc= zP0#krs9!C&0S|@zokX4+(m&#K+g%#(Th0#%k~WpEk}Bfgc^i~g)E8VWw%AOGszi9P ziyW=)yi3+tI^y*X=skUhqeigWdJtXhrbn;Vu~K>z+hGvoq&@-zc{DI_@oGnG`8M^D z3+pm@KKQpJ_r7}NUU}b!?UFHe?&?C3g^c8*kuP&JVptiUNF@Cn4c5ZAg9TlR0Gu`7 z!Th|7ALATjXr3fr^t12VJgV2=!MNX!1e`)sw>Nw^o)u*}*29ptck*=+E$rnG5t$dx zo(nkUp98+^%Ku<@^M9=uNrqTy=RtBNdR*m#4Q=VSvC7+sptJv;*7 z@2oBTt3rAPVtYk>(-*uq23sh1GvFBq^#Ft)-KKsG-^JNSaaBJwoo2PgtW6k%$$C5$ zlHMV>K0dicC;|w>2XkGq1X&1ul1IGw!S;VjmP;dUIl_BWTp`lY65y{Bt2Tz9X{_HU zDqf+BaVefPY*|w`Gqzu{zuCm4w|P4=O2S_?P|(~FI<(9Y<0!#r)>~DZEgkxt^&R>^ zQ%~$beiiI~I+YfjZ2HLe{1l^b0O0YtIZNPoUm0?_E@+hp-uBnbW9vcJeFsyOO?*-8 zQugENVL0N6@xmY9Ve4wA4u78hfPFKI6d^f6FgScE#CIX=Z*T2F@eCf>a613V7sfyw zWG_DdhQm!JEN7^+%FH==Wa?P=goDG=20qp4&IcVDNPynL&+aWV2?-6diZJ0cD!E^N zGDFC_I(vfvy*8Ow8AGK9H`F)#D6kAUg~PeqNB3wTiYbD$`kE<9v>b;_!N3&>%8zXn3&#i+i@rya zbZcwgdgk^XnHKqY%BpklGzv6fb^?E5Yk6mZcVciE4}en9yt4(=X_AXOty7%Z zdvm4}XLg=_vLvMa>!NZ+=`u6ju2XO5-2{&#&0rMsK>x7hdUYJ_D%GI&D!c5IYw&6% z0`7fzGCYNxp?YDDv)(qJ);EyPCqXaccia;LcShG);~4qj9hdhzm@bkFGjiZs@$jY9 zvl?3mQcOadSnKF);D?Eo@6D)OeN0#DrbGAun<=$(Qm_SA$H&iFF*Z*X7c+aHrQjPL zs3=JaUe*`p=k}&1dD}XpRB$&8&lV@f6P$wyW{t>x9c(t(Fkhdajb|?wzY>UPI?cK! zI&0{=rWYoMo8Pi@==~HAF@4q0W%au{)~xM4t~Q3yhU{Bk=MnGb&uv}|3vH8`A50rN z?Wn<|7EXQLmN;!$e3G!f!2U`5|0cEqRCKHG-<1Eak$>C&HF5ao1g5Nkg{`2yy`-&$ zGXX2ZzX%S0eJ-Op@AiEATKP_xS^r-?|NVh<2972MPL7ThG4jv>0tf?FZ=9APy#9USIP_rwWU+ZRh9dFB6@t6TT1w^(Hz(JskV>mAMsXP7BKz z*{ShpgV2O6qXoMtE_EiPBnS2-f9wH)sk`-7qJhD(CKOTJ1(;HQ=r%*=>tn)M4VY^} zbS5tyDH2haaErpu;FBsxok#qJlJHzTuJsOZ`=lfaid47aX`9*`X?_zf2N$Yd0M1vr z8_35u4m$qor?=_)`m$CBvRzDSp0RR~Pf3L}Zjgsj)m2zNo zZhnSGsx!^GBAju0(xFzH6m%mw#~hIvF={nJ!R?;BUa>>^_@PQT7ILpej1JxT1hZET+)tHQ6UJq#1X|t$JhV*n|~&Yg+nN{xdQc zm${l2BV!r_e*jYsy$&Hedqz-l7UuYI80f+yaW}TbkaLqmA+fA`#}E31_aPTjwv9MQ z?PVt90J<1XCI;W8&AX2szXe2JAEwZ&)aI?~b2vy@{HXVhVY@dK?uDw7+%K3&Ll$KH zAXC)Dcf&yeI2cGl7GUk5Z?%$dS6EA~8~$OOtWbDr~D;=902{esSHPr~_A*^Z@z zV%kksX;ZBvzRxsM?n^54w*5b-XI^G|fE1xI_@%Z4eS@Mfxl+M8`HuP`%o}YW? zAKF@2uDfKn)fPwX&)P$+e~ zw5|zWj%5Q!I|CK(qANSPahZPw|9IF*CcaLODzT$7b!H4I0#`{HTIRk0VPbDzS* za}9P;z>02Bc)<$k3!+0M6q4u~bKcsM8Eyoa}EsZV}U^q`(>t zU|fTa#<%*AhX4$!bohf+R&a83{9fdU0;xE-%xDftU>K@8v2L-duF?31i`v*nlgZ$PMj==^_wI7=7Xn0RJ{0VX(c z3$76Ka$J&pRMCz4)v}8C1t|p;a`U}suHZW2{o#hI@2|8c34&45vuTFbg>mE!W`19UrhNgIj1!cWg|}MNaPtl1RrR}w9w7fU!T$h@Wad>6H2*vS?0=`< ze}94wPQRPgChh+5e7Y`aF`kL9g%eWLEaOccgb)#M*zCN?EFH~Qi>2J8d6*)cTl=XRiGuCA_vvQN0D%%VFa+6FaNXDZYrB^~z1coUU*VIRt38>v+REi1U&Hv5aq z@E%S;ElJBJVXBpy;R1z9vEl0W;j5m^)bc z*W)%6dXdLA8o|}*Q&OSm27#lwH{O)HQlsG*YuhBmTT+4d{K?C&or@0*!AaWMX4)L; zZRax1J(Z-UAca^n@sd@mE7+|YBB$idq)W1qOuao7JzGZ>%TG|S1j-TU(jg8b(keo0 zL{=*YLyagR$r{oson3`{@Qq^OFdX_N3%^^-!dco9Ju{!OTa^qrJU$c*|580d86x@E!8zT3M?VUVH8N9#<;? z_4r*H=6wz4Gi6N+HbDrA1?y@hXF4-#L7a(UQ~ZzzBSc;6f7N4mzWM_m$voCY$;xem z?U1_ERjB7{A58WZ#do|XVhjd?3IR;7VX*#nN7>d5X{X-N{&i8E}KJKMjy_mFF>yO~cm@YZDNI^M}R*(AC^n!ikanCFw(nG^_e` z7en|iT>#~n+;dP%a45-F535gq4{>725}K-jPlv}{n0y<~sW#8J|F!V`vr&H@2gN4- zqpHRHYybU)=j>z(5`*q^K^O(~zqUKrQu3q>7&BTZ&^MAvrQ?Du!=TCKu$oAv*b>>@ zh$88Hs6=|K*mY+|O_yvb1Q&bjC_eAw)Q6j_bn_b2HrZqepOjX57~)cmC_skS61Tj` zmaYq60fnf_b}q=sLgfL{>Iz*=yNHxT&9%Atjp> z+yXLf0e!^3tiwMr+Tp{JjWAd$BpsOZMCrlzSwzFB08Ap3B13-jB9tMe#M6}Zae41Y zd9DpK=RhHG!6kpsF0b@}o&Ac&&eeGL+|ZqPks}v*n5P|B=)TlO{JnlBm#bv$Qp%?) z)?6NYEz^OV$@PD_Za==iuQ2893p?C=A86^)#pj!#Xv@UvpyS8Qkfpd)Sf@!EEUjS4 z*d(HZ4E#SE<^Pg#da?ql4Kexa<7R=BSB6TnpW190FG_-v5Qv0X zZv135-ad04@}r3|qtimaO_*VqxUJ45N>F1ck;E>B!V5xvzwOCMAeSBfLJ=Psh*P_x z$R2p?u6vSPY>FcNxCKewJbmB(IfrVN><7l4ogglj>QA5jx~sP{$dwHt5bV6j4C_e| zP_goGtup3mdCWKEC#S(v$VKf>K%; z0=I_R2VWt3IP#4qDvT)VH$XXE!6`y)zsr+)0QZmC3+}Brppe~){FuTo8p}RtC-mJU zpWUmBL=N`r2HJ~4!R_v@_R|M@f5BdC_94c6RvQk!;yz(S*C9Nw?&aOS7#_h{F7=g( zuKCZeR3LAKzE|G%Ht*x$Q8(O}zf+kis{!1!k7#K;n5R28Ea`xi1aCM4zVPSF^#NJ-{iK)}!MdJ1-pOXj&;;lp~czSc*X_BkQe|$*i!n2J((j({Mbb`ZefW z>cj|!5lh*ts)R>0gj27kMRR74teAhZh_US~C8FlOfF!aj_L&e0^w)6rZy}v_E9hsF zJ9ZcdW(7^x@FYJOz9!=K_0;FAcHrN~%IZ}Aiy^Q0NAMj56ehsQM`0C^W{Nl@#Z~3x8e?jPK<&A%fdC_eS9Tr)j3t*)7 zYf{gP$bX+TwE+LZf_Zh1hV|W8xGY zuoMO`^M~-`fBj)*Mvv+x%M*8x++Op&=sjPaX4h>x8{TDD)z)srEW`<|DHF;)0rrun zDvAf9jWFKfG-n;|V(&DUmJBcW>Fu3WWv1NapU@{yewY$Hkdb4Ts2hlz$+yERw)^%y z`O4-ph9;=M}P>fcJAm%xcow{6Up zV9pSIsLnR}e;+CQlV@_2)sR)mt1Hltn+c}m`B9(KGy73(&0>d)qjlQ$^ppHsi_0MMde=_M$$@7|-Ly(M9NIqToVdr@|!lDaS1IFCWBhD1ta3jNfpW(=;d2h=yi3P|DWn4zXM z62I#<~wh^H*b8F&jKcwb?dcjd1E(bpvLI37d-@Cw-CedRd>+-ymXcc z9aXD~{t@tOgI;bgfc6VB(H^`KyR7Hq)5mB9lW^D8sB#=?O>Y2&VlF2x{&c-@sS>V~T`!td*?a)+xAbYv39t8XYu!ue%^u)v zI7`94Bd~_@Q^8_d6yA5zJLgz?a-H z^V*=%Q=qZ$w(WA;r1lpP6?1KARPZ= z)TG#sQsER%ibp|eW0VM|X0Wy`+`vi~pCucJeaewSOKQD?EfOi=86vsBT9ghvg_AcE z;$vnxk$aw3n+A&;o8LlexQGguM{Vd!bKhVD%}xSmC5aI?K`iG`Tmbc0k#}89#JHA^ zf&$+E&I4NNe{S|8-+NES(^gPS&Qx4*H?CJudO=C1YfxS6HxWEeG+iM}pv_*%akBxv zw4a%Oe*O~ZK3vHuu9s*~(tL*2YG+Wc!zV{o5ELl-T#K`rB?Se1A-G)`@q4<{;c(C_7r*;Wc ztxmdj0A3Ynf|31-uMga9>5cDWx(i_^*p*pCA21i6??5{Uf84Kgk7k`zy_iii5n?@M zrhS6gB;KY8%XdTHu{5>}%N0wpC?ytHfQL7o^jY<@(*%g~{bM>g-uWYJWJFn)9DSr~ zKsgxQYF;W*FxpeMqs+nK!SKDZEs!OqEK&!-u5`fz@ALI^%yP!UtfK}s_ZK;n@Pzbm z@;E~+-c&J>{|9xkYs&IhI1yW0Ka6@?xfSfVwwPsQ8TdvFWUWP*KsmUAaxjiwg^=ew z#o!m)l!`V~Z+-=*x2z8}F}~{|QRyYJe#rVgEuRXgY3U35S@(tK z6XeblKxgM$&ptzhmVic!MQ}_T7`K7*!~tEj_kTw?dgmBNn_6ox__=I5n0WK;Pc{rD~sc_cnvCbKVYH4!vQa&tt2wK{wCteWK50y6nc*D?6(m z@BCcIGm0-L5M`&6GV|)e0yzt2Mkp*1Ue@eCa#|YD4F&uQCVJ*`bJJl6laLKT=+fx? zFG1EW>xk|s21Ng`IGEgtaQN#DmosYslm!i`rVMld#Ys5Z5-~X4`os@g3~z18X{74< z*a~@8Z`%WP9!47!E^u83q@e!L7Xv3j726;bkIa+abnase$fR(tI!wuf{^Dw!^=u^? zGg8OQNiwAISph;uzq8)_beH=r+85pSpB!lx)@9EE+WjKSdr@ zV4PSKcD$MGbRL61X-eY>AIKIf+ivu~HunVxI1_fV*Lz1#d2z?psXnUwghH); zw{MH8qnaTE#CGyV<$a;LRqbzo4=1sHiBs3p-grj>>Yc(J_VC39O?5)$^w45$q@mK97|O-ONX@jHPaww36Ab$J#f;^3+cap~ zUe4Y#-xBIkFHnv*Y}vf(izHK7#kuM3)j514KihrQ?q7NORRpxUE1!RunC7rK0CkR4 zZvZG2(0nz_TJl^m$-_xzwM9gYxW^bBTF*AexYsw;I@Q$UT$?bgZkl1^WJTpXQ5eL= zqSCXfdfsQ&nvB9pnxVdz&Tp*FhACs=2-0MX8);;gI(*h8n{}t)V+#tFH|Kp$w&7pm zNfo{&n2BsOFjKx#uc2+*GMw z?J&f6`CPCG5c>&UTl&xYI^pVT3h2A$jof^%*cuDe0j~Fdy(rE;yfB55k3{*)?aW{> z9v6{ba`~lhiN>-VjN4+x$(o?<&a@oz$t{sX1`bbFzfo8(-cLfduAgR@*5&8}T~h~p z5Z-?{bD>1@k~lDQ|J1DAxikP8hG*5RZ}TS)I6(GY`6EnrL<=i^j^WsNAQiW3j{%NX zJH(1@X1T6pNK_2X)eOvtM|k26t@DCMY`NF*Mmq@XtP9V@8&EH0mMuC+sZva4QQ*qpPQG4s&VChP0b)Av|*E_(kS#wD%34j8z9;uXsD zlQ8@R#usZ|;dV>5I_Mw=*6l$2ddx5X^BUvSW@z>&8{6&@-Z(Tu&d7pDd0s_rzy-l6 z48l1yO6K@p_I98D?N;FZmVrb@=Le*vc%%OqhF%kb4<(gGQ6kE;;`e}};j8qYJ33ns zKZ}hu8I6j51=FR5{efHx>Z^*j{bHI7;{Y67={Jhj1*iEeJ=5MhH1PzfQmTQ(BKo%^ zJOh0br#lGTA-En7Tmm0+C_d5{#x(?k?j9&|(sqrgUGO)ks z>rWcS^F6OVS_CqTKIMn^b$fXtz%1cqJjQivB z-igc_owTqBE162qSf9(~8Y@GOo~DC{_eO%3wv9)`dfq$PMXysvzNOvmfY(rJ7j;%% z2Jew!Kg(};+b2vN{mQ~z*6A6P26IED-MA;=4s*9B=+AG=jSH~p;19cx2%VKMz-s3k z{t5Y(w2a{|$V;u7$rtHU`FAjGbVlCUl6zUtm(=d_wr|zZPu!fOEe665tY>#sUta-y z7rp8*QPjfYXYg!D!;GBM@$<@P`mwvB@!=IgdXsNrjy&EAvffaPHK^u1`lM2ibHVib zUGDPJ+EhkgK|c>}Rd{KdK?@=3&&k)cg{S4C&E1pg)SlRSp7zaHIQhw^!ys;I-|Nzq zQ)=GHW)?(3YY+jW*j~jYVLQK-0A55RW=$vtt^$<(>jXVIji_M;Tqyh~B7DfN+nja%9?zUK7x0vebwA)JGgc=d+=vkE4_m{ zP6W~+donnJPAbGm?@W0AbDtSctT7#uZavUC70L#VpeKKPN*{SMA`R8r%{^fSS)i0X zayNG;$HHiA5c(FWBWEPMlqt%`98c`dc7Spb%>cjar?q=4DJID4hwdC=Hw9d&-k5Twl#+tR_TTAZPT;2p0Q;*Xe zvxYWBP$>ly;fe|;by><6Vq1Hzlga9wMSe|osWpX$L8d8taP#fjw=S42*~RDu#E{_S z4|jQVvU3U84GTMri*B+p7Sc7#Iq!=r#6N_7tvzouMS@_DFY2~Cq@v)%cEgpIx9u!_ zLhU4bY7()`nutU)mndUSg+o>CRIZ6Pc<+04+6t=*tIDhRY zDihL2R%}9z_k6t-!rhh9!82_kJ$LFzM^kDf*@c)~1rgh`f86v?zie;6BD{V+pFJvB zZ(k(W=->W*5Iz2yqOlN!?1hB3p4#G~_?gqlC9@z?;C4kaCaTG8y2BP7XelCXHtz@P zx6IOySxj~{!(o>8{!sA4d9~hs4tE#wtksX`tycIEj9rR2+H1lt`){4Y2&}1e<}iyQ ztky)X;i9`ctbD2Dl1p|!Ww%HR@iBvB&);fhNDXY@Xpcn~zuyWsO(zyCA!Ep?K6?_E z_)+p6%&}Jxlq&2Ly*cZ`pjall)oPS0>XQhI9yvTEe`g~Y&||Q~ZsFQ(|3+vbPG!=U z0d`yYPXkA^=ulh|(i8UQ0*ov4suER_nT|@9ll)DXWuRT3Aa$i7EAm1tQFyX>p?zu_ zW(J*x&>!^!(Mgpb^JjPQQPdpo7;IESbAld{`TbeOL+RqHT>Bv^uA^Mj=A_BUJdD55 zlt?A=;j@@`*O>rTLSvl^;Vzr-foGwwMTg3%zlOjeC5qA`cu@sy-GxhDokod6|L8aV z5P`=&na&?*>Vl4~U`09DesHetR&}a2p&7@vXLQ2Gy;vDG6g1g+3%|_%eA}1>d2@KW<>ZPQcl9xj z8}N-Z5+vG190L*^y*GlyY)9sCOxp*srq0(Hq$~Gxr#|8LMYkZNC&IE-x~XrR&C@A1 z85#;7`88s-18ejN;>`!p3eBA|NE1W1?jF~vvZN|J=v?`bMnRx*i< z^KT{X0tNibq$;ewy`ZSu9=4J0v6$B{S8}kxI%ub%6CgzjTv^HKK{jl12ol=5>xm&r zi#qD>h-H{6JN{10jf^;^!dMblWfOG>7y7A))UUMXE_t@=rCwBurG}HWhc!g%gU6=F zU)*nH8iV%N?bvIyg1L)|b&2KC?2e9Ul1V-!?cow1e60(}>8F&(VS)ZaW{r#E9#F;t zpAx5%d*w2_;o^)Nfl5_{u*E*ugR!T2(jc~+UVBX>-9MDEYh@D07 z3vKML^I!O$-Kq0;gE^H9V*Q|6EZT)196An#$L`R%r$*HAieePM`j+YXDY(DdM5Nj) zDO$7|ei|az2Q5i*&7#$@H#SCnJEIPf`_U)@%y1ce88MRCWS(nJJv1@mDDNJ zc3}Q|pY$cfv-mE+1qXT;cKZja*X{Na#Kw%?s+)}}Sz~0)@#E2Ok!VraiB?ifbq@ZE z+!JsZet#V}o?bZUSNm7EbaPoHfa#)~p1b-JF*TySkmuxVJ|QotK{c+WlONL;OH=&| zu8}JMZQe!zw{wi5%`GT8fnD1XF_r1KQLXxqbdC(dO1-t*09~F5RRFBOBxp!Cnmq<} zZ|yk^RLc!zdaO2o=!V&~hWzi&%wKWbI_3lg`c}n=qlTBnFjeo=b(mCtotY>_I~Vmw zxzlToUC!dncCS(G3X%jQ0se!?u~tb|Bg?P&X=e&Trp%cb{aC|T8H*RyY#YK^9jkDg zp>SZ3BS^mvnN{e32d7-pBdlqFFJ5Ob79F-;=i_FBpdH-Zs!@wb)>6QU@x6I&RGIvw zu^+4O=uUY-J!fwSA8(5Frrdh+|#@J+kw<|v22ZSc4)@_4#aA6q1HRf%e3 zomcb$>GhwLY_w3@SI6aIB`v>l{Whab4&*QD&r_Kh5vJBB5Cz&u}O|qMp>7a3b;KNIg%-28D%DsN9~{9Kq+ zyNitNIw|QBg=5%SB`%r&fu|Lqziq(sD?;evIER!T{JU|NW)+M*-`Ft!L#Y;?UyZur zJJECXLRLz#jO$pl``)EP^IM}@blFd>x4J>-srZSmIAxR9lC2oVGKFjt7n4M7S&cU=ucTNMVGtpwJDQ)qJ(SsxCZaNYqF z4zeMiW_gdxujsO!MW!z9-iAs*+M4yKIQcfaypFMi4`UKuodZq1eS1DHm=xL6?M=E@ z;sEqXhku&0riXrW0x*Ju&dw>$1#>*YgRBOUzCphYe7m!cu{ZL0UDV$my0`H0#j&!F z)htB1AlzK@R^Ws*L4n`^*?9~V&hVxCZoM{nOr_CAxNj`tIO5{WkBq99!=HzMkSQlSm=7>IH(33f=n2K zWO>wl{*lJ`w=#zd3rO#d2o@ghDlmo%c1h)pR1h+Z&yc0wzJcZs{FmxOT#V2EK=A(XQo_x-!WLCsF}@ zd@2gAdCyQR2oUkMgR;n=i{}$Os($Z=EFe~4!i23fs8!}})pnt-p%KJ|Rg59u{c+_q zG`m=)?<5#JI{)&)a&20^rmSLXZ_9e*S0>=rjRb_0c}m*2V~b3*q0p!C)R%bVfa7;xvb+o9&U}x{cWRR++`NUU zX5A`UAMi@O{_p?){3n__ilnho#rp9>;a~gzq3hU!C}7o}{uf zVa)a#Ge%JLU2X5hh?|Xl1FLsOr@mFoqMG}%DqvY+*J5HN#f>*;g`#=Qz1*U+EG3h1 zKdg@~FkOPijIN0$XMAdDv1GwA%2*^k&G`-$a^y9Oorl{2M(7+AWb30L3$A#79dGiPnc;X z21YqYnxVB&o$S#aAuSb;^b!?Tc>g6#?)Z6SY z?Gbc^tTPnZ*V$iI73A~_WH^k5hvsjH!}14~(@YDD*G|CMHSwAQs9F;X%UFC8&)p#D zVDBUG+rk7sgiwTYZTxR4{GS2N<^IA`WOj9aG0Yr}I&8i{M|^Jj>yl5_Xa! zI1*K>LY#t{K}+46S5^Ytv^QZ&^p{wZ>Yc9;zhSSuv@Gkl5Z{rES~qGhlC@9hy*ZjR z;F~Pb_Gn`2Yl_t!Te}`FAN;p#MWtbz$rUPpZ?oy(mV6I*F<85uEo$Yz3NbcI;HHpf zkLe_ZVomB)pu1OVtU|yCh7Z$JT9&cG!fAu6#Ta9-=rC^^$8t-@t*sMt&K}Qp?cmwA zn10tkJF{_WHf~Jmn8^xw{rX^z?LjJ)T(jZb{hR5tHS3!!n9(T5yg9Y$M|KFWH@p2dK!gNbyWTa%%<5X8uk_@Rkb$>6x6D1g$ zhQyOrz$CS`yU`t1E3$!2FId^6#Doz zOELB_WBtoZR2Yg6+Ygh=9(`#oWSlf0efL zx(7RI`5c#BEz6YEU!4)I^XiO#j?+@$2W=$4Ig-OtiEy^C-$(Ub>Xv%e%1>7JFk+S0 zUsyA=*D5c1kh{6~3v~kvlHfRE#Ek!X1D=^jn|?bdN7dbeZ-Ny5I$je(tO%GpyE zJ=0dVp@KUO>KTBoG~& zYYc}Kc70_gL9Kmc6=Vki7;ozEzpo61p@H!UNs=%Sq5iW-8LRDWCNG{Xb%YUh#8kbK zk+ZL~OJn;>6r0lz{h?nixAA1gFkWs8h9?mHa}p`-pJr&qCf=kjtZweGmuaRQ^4?yJ3(gWj}x-b8>vSCm%i z1-i5ca9NhSMlZ*ym>hnO=`d9U>{2`x*5CgG$kS*K#U$x-Rlj(eIF+Z)7b-;*W7H`v$taDuk{_%^Id z|H=i(+X>-d#eLm*=S~mjWaF$C_7W+&b>{zMNnYMLZMR&KnVFrDPF>vI<80Cn=kaQx z%rM2>GR&oSs!+e!5aP~}#Wl8PG5NJBwPh7CeJu_A87(f52*-PfWkVpj{=yPgEJ@-+ zORT$e;yk5!pA2_>5>BJEbPt<5l71A2^e2qRN7#Ba%{3G`#W9-Qs(-z?7eWykH^Sb# zVS<>0by(u@(#?KTY@$dz?6RW;q$T4NR*%*jk=-dZzgh%%boryk6pX=}z30)yqBLV^ zyf+&8dF`pA5{C|3CRO^uzP72x4_5z$7wjnS!lSd8ww%IYFx`6>yd@`Q?ytTQ)s7-c zFQ9qhF1DVwGn?zsX$`!8r!uu-Zltp=c z`R7egz#R_Zsjs?7nxngcMRH)GbPH#mdSfo5t~mcUbB`gdDkh7TKx``$J75Lfw8-~|oCnMz!p zbovV*)2>_il$GEAM=5Ef^xU+}X(J}IKlk*PY?z%s7J%T>XzWvSNXXr&b zg;ztWmAFfW_^q2qPp`ZBvT==W#gvNv_i)Hb{2hTyx_Z{%e52&5!{T6Z`$Pr+f0TEP z`dgPO8g zR`|C$(p`JHvr>ll;A&#KyP_RzE3%q_8{gz72K&&`;2(}VqZ0m!hxDb+xUufHss1_@ zU!=>XZf9JR>O-z@HX_kDvJ9Z?o-osxc&&VZSDRqfR zpfgRA|B$0;O(Y3UW^IJ*n=JQON39Z|M`R`p<#(kPav2!s^zs7+3u9yhW@)w?4y~YU z0QYQuk)_2u5$aFGZf*1k-FwsjvQM5DigzJLg16nsK9i!eK6&=9Nj{zbWcM&ZO_don zzI6Fs-FF}h2krUuv&ZJ0@CQ3}TL)I#XiM#=HGVdOm`nJ@;jOg3m_P9u_M_^|d0Zn{ zW%oV!#vGd2oFAcqEvmxF5yn?u^-=n0Jav2BX$a*1%Tf(>l3w_2#t(}9^k;CT^UH&d zyR(wlzpN9u<@!;@OIXtPN;0`y3CO0n{^=jk{$pw?(A>xubSb7%)Bo2%_@v90+5soM zN4q-z75bl`JLg+PSHToiZfGV_|29+!6uhwm_jYIuf5I0x-?B!CK0F$fX*J8u}r zg+qfX0tkQ1TGzbGw}CB1zjGM=F*}Dh{GT>7JKcOOv2J_LFl&`u$E>JN(Y{WG2%d|% zyJ6aLTgd*p^q;g-KUbZHq>dGbe3rI3{pgZbi7|^bOxd`P(%NMAKA%Z*Ja6>I`A-(& zn4+{o3MqYS58fq#!x^ME=;fa{RgfQFD2*x|B&l)fDbUE?VjXx~6Jp)(JO2^Y`hQto zTHp2uJSg88ALz=_E>Q}>Vi8i{6-`4FuDkoeZMEyy+ck7sZm`l+!gf0NalqbPE}!$3 z_nkY@{=?Ukj5~{w!SZ*$W)i9DZ8f_2U8Q9aipqF{_E1{q}D5JQKM>;^e}vpvUy zV!k3QTQbxLB?L#5uzNJ!^dnJa4LAREL2QiMY3}}HX5JH^#C#342#JDh$mkZQ2Mh27 zP2N-L!_;Pq8aSsmC7O48w=cA}xmGEn_fOGgASO$L5Jf+A66U#z_NjWX z+JmWDg^y~v1aBzjEiOnE4GsetwLYEB3;26)${ZME`o|&CBxC_3b=pB`(o2X6xvCC! zf2dfHDl(4wcHs39x3NciV>Q`3c)v(Yg^MG#z=`VFW8Uvk;Lt!%X85BJ5F18wfh2}s zO2Y*FIEc}_OJ9ij1&*;YO0+{UFp82Qv6+Z$Lo(Zc35j*(I<5Z|n>2F# zh^326PVM2Wt(+Yk{F=pS zKm(OhW!8%aVqDrUv$87jHP`ST_i23F6!cVuezD662KFz z(<>7|qfWk^_sRQ~|N20S5(UmZLzv#`PBt@+zGPn(9328aTU3NcXq+yJANf-85i_5- zfcL&ntT{Ak;zVwYCW}0)7G`qtJ;dl(faMx27cYb>hJE(#nK!Vl0i9YVWdD{(n?MT* zONZ_e(6-SOE{O}@JKO0KIE!udw}$QWh>Z)(k5f#IRNInBBsWY{F39x zS4<|6_`*&7M$|f%KAKH(3iY8_wzog ze-yJU3_KtHs6M88ls}C8%>ZhPJYtWTcOEl~%;XOtY}GBO`!P#j?8&}l_Cre)xO&-; zG=A7p4yb8J71s{wi6{7Pi4wB@@}N=~gPh|6@kBPV7%&KddG73dOJDge@nni3M`Lud zbQk{u0822fgTDb@z4^W;^iDp(-r8%`kja4bM0zpvK`c*B5J>XSA6^wE^xxg}WdlhB z=blheNDQAP)uX8VcQnxI$4oed-%i#!>`_ma=YE&E-q;mbpu|9jFf#>9%~iS`pf=|} z*3H$i!LV=1goEO8938Be)2`z4M0r^4$&4TJ;Mb*rTO!-K{q5v*Bmb4;yF66NOA`Q) z%)8C#(}t_?i3sAluTv2I7e_(7!m-0|0n0 zG9nw4;%hvibcbGs2Lyp^Kx4qjefsojeln_YaglM!i-hD`C8)V5ZJCKpo@G*C%|^sx zJ{EmS%GEr7CZa%IqhB2AeQI2R+;tZ~0e!P)sB@7!(t_5`5q|iatbbzSJo zTyub}5f&8P=fG!cArOr?YLYAweoXez`qUcobp(x2fbo#F z$z{0wRF{ItJtfGN{31+Lw{_k7eqVu!_k z>=B+o&b1S8TC|sH+??H{Zm&v2N!pMuM2lQKFid18t~;6->$&ZYozz3zzebNCX^I-@7+ z+GWd5z@H!{*QYF_bY9T+y@r6DeIk8O{BDp@!j^d84@srbcyH!zR!7a|1NooA#@O&I zS%XF%(Gb$;Hy)kohA5T^aoef}>#=uhw)V2D6|hm&YCh+^((WE}Ic&>h<#~BoV&J=; zDGTIS@a8|<@HJ@SnfAi2W>|s5PiU{&UhYWIHpsHw7TC1+0a^pgYE!HLgPE6V&F)E( zBd)Zymv0;FIkS6gg;9sCMg~9w;n891+30Am z;=`0~2250XSiag3)2;}z+R;ilCrW;X2V+)_qMp^m)p6bpcfauSw&(9qgAWlzJyupP zCC0=_l2a2ao3>cMfQx+LYl>ea@0KHVds-`2N5YLM@|NFUcEby=%bKapI~FgC)#L7A z$Q_Q8L)V8``|)Yi+>Zh4TK!wZtzdU65~1 ztGf^OngQd*m{xaO+RS;I!Kd+Q`YJ0Ue{Zo&bn+Ykvro=c_t`(rt%n?`MQEp&NRH6}`4k#i^`62#(x}n-9MVaMOhlq#DB;R^*wfV@kF?1G zi2EOnW>z{tpTkifubfcO3G@8>eVVW5PS`0@?l{5=Ku%ZxwcFB{lJ9zr8D&wA0&i!* zOEJ<12EJuEVUn}QdpfP>uK0PApi5~%OhGx4J0a%~_amfIWtK8i6>G=Ny;rQI)!4l2SjNG4?7BsL(2@Dv z255`uuN?^(1bGFP7XzTM8k#=1OIrfL3*;=IV6B!h=&);DIZxH{tyJh~@*(&1rL19n z<}bOka+a@oPruly^Jgwz1beYpiyrb{#dkK?T>_icx^L#S77esAl8;$ir@lSmW&mW> zXim@jSy~pnqk&J$m+Ij?fSPJUUv=TEGMvEH#xp@0%-?^~&$_X&Y>Y2$B>vpAUHF-&k)^|MazFO8DRBB{cedl`er=f+!AEV*l#PI1|;;$4MW&3G`1{voCJ?oHh(F2$WMv+_7E%w;Ng2Jah&mwbwu+|EQ7o3+>ARMJB`Jy0?Uu&Fne5uOAs}n=No@)O=NdFTs`TJtqGca$JS>2#&lJlp zzMxI&UH2{rjZD@fGaOU9G}a%S`Rp?{#UZ-s-y-mKbo2p6ep>!D_4ywyfq&(j;p&>s|_KYX))_-6m`&Hmw={lho=hi~=|-|Qd0**|=<|DXA0 zf90W$8ZmX(zNDcR{}1J%9Dx>5DgQ+t%2RP88i^6X=cp=0K!OFRRnN|s9My}%(P6*) zXu_tc`o1+&mK&9QC)zd4mCRySiTwD|arM{YPFH>*f!uoDj47>KE_NnsdzU4) z_7_@|U{CU>pgZ`ngVKIFi$9HIas(etBfF*rR(B^tWaHH6L=N2QkAll9?mpstbq3?` z_wBnDQnxxU=wo-C@jaD<-c5LKut!z8?PUviJb9;*48Kt}0ov@%{CQOJATZ-U358)S z=^_YC$C;|iEkF>T^vf#1rp&WJ+AIz1!itr*>>i|imdI`!$kN*RriOi+{Ga9P^9i%;z=`@rtR*Ewz*NaV)* zRhQCSt3&A7(s(YSAEVp)OguA(4)l%!-kmo=g;%-x@njqIve}G$c_BaJq&z!my<*Fc zg1yL6(7Y2=TBwNf>ff-NpWNcnjxADA$KEbf3I^mLF(-RMJNj(j0PP&!>q%x~dvn3s zF=dVlYFUDR640d{q-%WK5IPtO8#Uq*Feqf>(h-R{3Zrj9H*WcJ6b+Z?4I!iADpV!2 zs^(_e|pX`3$9^nvpU)h}XEzK8(=1>qBlDO1weViQaCz&pTi_Mm$JRNrYQ>Hr$KiuG&i7m!e0d;Up+{}Ag)jd~ z9R8=2HBo#~A8v}TnI+p*=t_{Bn5_W@9n6yt$m?gWUH|<8-msWBUH}7lhm_Fh_XQQN z??LPFNB(eb4*Y}`pIneUvt499`@93g=r6Sw=3!f|L-`-`P%k8yh-kF|Brgk<6I)O0 zPi0<)*04s5v&Cq`{-&-Mb8r-XWVa*pxEj-pSa=^l_gm3!eSg=kGY9ZM{xSHWuwY6T ztMUgH=GpZeciK>gaHetcxGVRzRZp2PouswP=2rI&yS>c&&*{nUiN}Yn9u2|oKH|km zd|2vCPg9Pr(z(DpI3X9zmRt_t`XSE}**V-5%+Yq!si)r{VavKz^xok!TQcr=?_1@+ z?~*S0#CT8rxEW9shpcbqcX{WVJtxn%9A#u8t!lUZ0NT{`sn7c1bXfVv!}*?{Difg& zpBw0Qnc<>HMGrT6&O3zCK&T^3=7pui_SIPNVQW9xJ4^2n8P_;28=RrI6M9Excv~J4 z+xGxVJeBNXxM{Wd=qA`*v4q9!)p`>gg;gQc6<41{`!SKn)Af!{3FeEpqa~2Vl_K(+p3+V_)y@|+B#2{zd5YR8mB+W9Ed(&W+Nn`NyV`}p z$UZEt$@RH=$Clw}l~yr_V+k2V}{Y8{2wXTJ_o6wlPEi=(VZpHk0UX zYvPKSIWwVGm$)FI(8~KpeoH~t8|&6_uAn9+U)?bLq4bNE>I$nqXzaS(nf%g$$vmoC zXM4h58>--&Rkua3xesVp8?G|qByiiSnXvX)CasSPE za^k214C9G}zkroSnHAfJ(C;MthiUc0ah9*A?MU8n-I zEIJJ}pC=3sIT~~8^`uwBf8>Z{sPv8h3;;*y4B31eGhXuKRnR6PpFdwGCeO2X{jM5y zjKf3Ut=-YyR(Gpm;kaEKY->j{Czt52i7k`C`O)vn}P!i2aS;=(FRiLI3-_%vd{k_xu7o|4BL)>oXsh1EXY zGwE0{%ZkdC9l=#gKlDwV$ybi5;6Ca|;ni|UyBO8On1TC`ondzG6S4Agc0V!gI=b*I znF5Eca!cE#hNXM-<^JD3^Q@!~@5jbIjQG0anc*!OLXkn#ndJN5i0Q?ReAIAa8zTEQj^heZFup%32S#M3pB z;2w%8sU8NPsLN|HlEKz_0m6LQYx3F$rC!*g7{D?dVK|Z*r=#-koM8dDoBdkcs&so5 z8r&&yEahre@Ynv^YsU7<{Dg}P(hCyAVQunA!s?fopqUS?4=!Fto&B-T7?Scj58t;Q z(QkJK)>~52kJK5n*=8xD#!=%h#&}aSc@i$LJtU`jt0_*U;?TDIlO*MCdHpF4P3uv^ z)nXm>{70;Zb?ar(aY`O{s>;s}f_zvr2PRXn;TH~{bygQ&)T59tT;YIzvH@`>lMP+B!)Y0_GaS?n)XatLWE+Cp9m^dgV}8RE*ZVksn2w-dxOW z`YLDPX??4^CmW$4eV@C5uV+wELxoRvWPWY85Rn)f>XdT^Orc+8MH{&z zjGQ}4d&9jh*4JmE;so`wx8qj|sPQJN^jz!uIl=SDX_>+rQ;QYqF5@(%`K}}xA>W!) zzB%-K3Rc=DlZ=r1JYI|XgezCKbea#|HI~qZJc64&z>U7?OzHa5JRK;8HXRtz({k-A zM>IY39y*A`tsFSX$cAxkL?7%}`d?soppD8zG~GAOlnvHT91p~iIiKjAppGfNt5&V; zxy3_wJRA(Zm90(pjs}S4y)KD2+Ef|wyu86pyeHgvg!I~CuoDFj<)r%WymP;r5!`-M zTVp%b*yEsLTh3(9dJlMrn!SJgfz$icNb;~I-55)CT$B~eyiy#1+_Z3EU(CVwDFA;+ zw#J-Bs54~&=WYGc2Lno0IcanPWEk~d{=Ii>Ag)0{OkonkKVp@CSxq9j=18SnEn%hCn4&MoSX=D5VjS*uv>eJvoy2HI zn8l2(yl@Jny@^yCVn_1#6q}cHr)2TgKZe*Nm25w_G8a73uv?tKs5O?w_FuY*xp%>P z(n^WC+{xDqOKK7Jh(^z?kdx)=zcYG^u*c`8+#WQbEV(=QqRwH0^ zchyxA^YtP;I7Mk!ie%hy?bi_8L9Wc`<6@jVPY7#3d}0sT51vwAN&~78 zaBH8`o^{IKBgfG%&BEMF_iWLMT@ffKK3$&KiUS(mUJ)+IDw^1?U!VAvmWj|ChxymE z0+)!-oFnGi)@mLZ<&0)WUoj$xrl{7Y9wgztZ8C&+#=rR83gebqV{q8{CdEyihvmbj z&uI5ZHOqX_HB0N+Cq?vJtGmLf2KkV@M|-<&M@a88msj)N6uTW}FavK-jWc(uUAfHB zne3kOHTwlV^o}O%>b%F;6Fab-3i(~UT{Y52Lnk!sUEJS_AG=1zYhVseXm0K4408r< zD~X-CKL1}?jH)`e`BF$;Y+c`H01#NPV3?R7wJ3AeMUDqxN?CT~tJsM$8IiCq>uAF5 zHx{3-Y$TJ*Ep?~p^9%R(r6*2_wjk@xuD+-sOO?i;i?jkT&Q$_~Zj|M3W> zXi(AKTh>5g^s6X9CwCs0zz+wlMcA!vCZK>-&O(pXPEc0Izs zx~8iLK{L?VVUEh4>OIxq=IaP@*{?mR35Z1GAvlX}owoF5X9b(wwQh3fwCKD;>W0D+ zvaSZ)%mzQM-=wVP$s%LN`B>KBfWhpo<^48N)K~m5_^uSao3Q~^&heQ)>Ln*g-S?TX zi@c9L+V$*5+7{nQphkglX|MSz%<#sf(W+N`m-;fLH#cxrU0CBB+#b{;Ci`^aa7v+JxN8% zYTw$})QZ+d8if%g39z4J6tnAMVsV+=Ag2d)di}Kr=|5~4doWQY&OL{a3|knKJC;?x zi|pm0FcBBdF480xe-nzwTpb0()!`y6K?T#V%EGeu*#z;x=}_DG(~qG=a}UD!`6L-b z)i1+P|FT+ta80W%K;OGd{}qvQZgN{QctD{!#Y|f%Hm7Y^zgWvK!eMo3KZC&~aWukw z^25I^J)Hn!lXnxeZ#&$hLk3uJN7>hqQH_y)*E*Y|zFoG6Ci8)TY>Pm)lyZ=Hv1850 z{8mA9_LxSnXu!Y=xKyJ@`YP|KVD@R2#$V|J-P`96d}Km$XS%K3?7}{erhJ_O>H$TA zGn*O@r^ued80)#g<{7T|lK3p?T)h;=H55_U-R95ojzBsB#?5gAQU2W+I*566uo&qL~L z?5-CVdpZ8h;_S&#u17_*H4sZy@ArUOYB2LLO^^Hs80y`o*WFvMYkPUe((3!L(6rAA zx;W!aLwQuW+3$A7gh^#+<#tz%3;C^|%X@rRsWT7L z0sfUf{FMx?whUU%p0xtji;rHFmnD)9zmy!k+nviY7{b8lZ72hp*e zty9$+4YxzX-7f|42J<3^GxG)w482EkMP|+n%p3OO186jRt$m~mF^^2+<>Y@3Jzqa~ zSz$Kxjw*@~x-zme$2^>9;A_br_vJevJ#T7lKE-iXHT+IUqXkdIUhn503&OR;@v9yb zCng@NTrVD-j?S5ndMhLCZ;stobn&IDDt0J0h3C(DW`a%a^IRShUO+FlhLe2aak0)! zG$78s_jE3?crcI+d2E3ef=A)Flz~@#K+s|))EfLK5Ubw>9oN{jaONBlyiErJiYK!KlobtSfH{KnpN&R z7n*d@w|1(V)%IU>w!UB-sRA6;irK)3(}I7RyEI@fLy7CP%bc%FgHOR4Vg$p8>-UFyN=y0RUd% zl?#xAWVB>^BTuB(N!2j|xE$vKh*Pa>hdITD-7L z!SB~GV&>i!YtgSM+%=5-_=%YL=k}hPj`X&!hE&V_2fjve(;N#biFlJOMWqPY344TN z{FL949?yC~2;_3Jwh~UgyT7>{BN35g+48z}_o2+WUGD!{v93MO=7?^5<_zDaeE|;M z>Qx4kyQbS9;UO>GMR0aXX=I$SRTLK67^ofBUBtw7R2rOE$VjqP92Pc>QWwKVZ-l9! zE%QGy20J=7-3H)Ud|U9V< zYskH>ee4$c&zdM@89vQ8`H#{jF>BX}*3);*^z_8vI->1p($|i{Bt+yhfu)2|Cp0ES zM+_Z9=`K~Q-ME$Pu#M8KaC=$AIjZ)g41LY44=QSFMpC~Bfq*i@xrd!}z+iw|4QynYEbx0 zCDofu&7L1#fZYqi3E(j521zDXbgx zD6MZM|V*8rcJhZHOVVZenVx9&wPO0|S%n z@_tSo8g9)Ml^D#2kvVorj~i;Vf&hi!M-Na=;s7e+6?i2H&!52K06>{VMVwO6^dKF5 zZC(&~yR9G^$+tZ2)7qyn^yfM1feRTjw1>d+qv_*Ba1~4n7S2&oXa^fLD{qxKR7oM= zjM)ML@L-l813-r7jpFjn6I1~qJ!D~wA#to9_z68!gUD%hYM+LRN*O_dl3`Tcj}%co zY)?UgEu?8ITfXwj&wv}sD5SU4uw@w}gwG&z)n3Ew0*xB_?GbN%oQmzHb1bxjm$V3h zvjnCprV%I~>=^NfEk|3pKp$s~PR#pxs$#AZ7mn!OEcgDBN|zRVFpc`txW%4rO5H zxRS>_w?hQ8l7##TS`Ka?-g!;3yyWZqt$VzcJ^0>?yTbRk!AhOSj?@!{h@@)bm);8~ zku}d6r}Iotq2jJrw8Q#|W_k%1c!Qk00r5eA+xrOmrncp~O2c(Dx>F>lz1F2m zZquOy8!_28>9Hb%Y`j6+B_G%jFH-HA@l44QI^i?2J)YLPy>#gP8WQ`c*{0#bY~eHx zowU1t{7Z79K)WKa@d66tYz!1;@cNrFLdJ8!wpiksNEwmA4YgThGy-@ko6`J!L8fwI zN9*p%^ykS96wKl3pN)NcAx>B`vQ5EmL5^58XC)U{O*#mG)(0m6n$xknO#%6E4RpuY zrIkTHm4#b{EwvY*2I%>hzD)~W4?NF8IEvs9O>t$kTv?VJ_(N75R2Zu$sc+k70S`Si~J7-5oZ}3r0{YusVCmpk_|#oNz~i1ExF&WkZ^xG-Cvb za#|hF+}}&xqlgt>S`d|;P_A#7r|Lq{dcT|e3zARvbCvN%ua9t)>;<9F3w84jgK{k& zJDKk<{`Axm>2aw{vEq9UHB#_o$L;Sv5LIF?-W~TQ>9Nx9rY?EkNjRpr?!3T9>lHh% z(G(Mbm$Oz60#9MN4FaFSdKNi`9?V$|`&8fGUPf%Ru5W>5vi^!b6L%f-MGjwIae5e| zX@l}|KYCQpC{OpnyPBBt;oB4teEJvDs=v))Rum&c+AsCVU660z*#37TfS#j~ktLmj zol}LR6Ns6I$d2J~#Rz8`zVV74zutH1!dbjU zjaWnShet2d*H(rqR+Yv^1~ThR$wU{1Nd>+<&YA|7V|fCd`C>$#+?p_!1asq`AZog( zm>KZm{u&_Ea5yr5ASaQ%Uxa6jyGz+D;<-66vxPo)4c*W_M0_ZSo9Al5!?iW4>s{97 z2@1G?lW*5FXwvzzKw=F-Lj276}mwPs{q+7+)-?eU^K(5rrQztXTLzC`|Gprw|wZa9O4y;xB-9 z2(e*k8Fg$}+k}Snvyf9_=n+3w9_2*r;TawtbZ>M+$4K)J3;(ZVRYJj*Uo; zl`q*!3{;7xq8fV(YVuaL$Xpg%@UMxh^|AABeelTlqyP8k|LYpJ&4mp=_XR+lFJCB{ z|Jn09INRvi+Buoq+BniVxj8kc$U4q3AauN{opGS2^w`I-dEQCnz{qf)*9SVxQQUAL z#*z|_n2%SP8W$t9rS1qt&t7zTx!ZQ&8Dn-^=SSX0`haFPV36m#J;G)ti}fX2v8853 zkzdxXoob-Bx*URseJfOkcwSXb33pd%^TfY;-UtR|PTSaM=O6Lc%G#H{pd8`2*J3O@cB_bSFb`Wuz7S(DianDJ__8d_)1gIrhuR^+r&ta%@<$X1_= zpPQF6UCuXTGM)r;1=yQ!N3=(?XvIZ`VmLv&*WVsn;*T$| zTY>;x&V;;rR6l1$7@Ry(`cQ%eRTW~q3lmw;-^*q>e5o&U_D7BQNnEW4fC0U%&wf}s z9!R4hk@!ySEqdh&R+V?KRbaWRXv*lk0tczpz+mbFqf2#*zcpil!F z_+WRLB}H>nO>CeeDq4yodKJy$y!WyVEK*RaFJaEY0hRt5JXw`d^Snhaju<2w3~rEK zK1F1lsd|p+3!m&1Rl-g+B+G?)@aAh~;N3IhMD5FYbP*l^r^U9B zy+vHQ(qX^294&Z(C}f9CI@SR%2|QQpMN+3zI$VAoDfK^2(Wt?l6Wmt#=n`sgGCm39 z5$)7UZtH$T!?bW{`?miX9Li0kdM#hzNc;lFU$NwWPeK1ve(GrCVBlnAX6ImQVQl0? zXXoJ9@$dLR0^>lU0FIYqw$5E=32T=V`6(&kpm}?$u>#v~W77P?bI!ZnYqd!;x)x@; zb!PBouZGSQVom}S;Z_=yqk^qPGCzl> z_pNtNgbBOa<>bxwqUm#*2NV;WS5)uh?Sez9R$^pOAZ zDxAGlrhHs1FVz{cE=qY}%z0CwpUepBI%bpq9liq=)}S&qFO|+JtZA6Rc zsKxcBkdFrY!*0s)=%+xVIC%*)1Mfk&(w3{+Q3=n4;k$U$vblO3Ma|NdYR6O9R0XMyV1WG}FxVo!TnPf74X~UAWo>;RNkHW0 z|Lx}7ww$-Db3&~PtKC3LL2vPEl-U3rW_RC^P!lpbDCN~ zc8Py$6oo|1T8h-Cae}v`#J03SL9u#PtsI-k33q(gu9YX&72^DmK_X7y4%ywOsOU?a z`GC&l^D?I$PGKNUe<>_$aCIHoj4A9PEDWOhrx*d~Ul{PL<$uAz+u^^$;Ie(#&+jh` z!oFZ|A^rseI7{x+@2wN5d^6E|Gqe3d#qc0|(iY+PTa-41pJT&qOo4q#Xo45^)!jb- zPmcEO+utQpnw*92@6z~peC^fxpP&F>V`XbfZ*5>l_hl~rH#z(J@h?#Re*NzN(lfC$ zHPHJy!_L^@@A)+U?RPY?26hB8wx<7Lc>Z^>3i!njB>(lkNc#1@DEPm4C;!7Gjcl#$ z%&q?G_0Cn+Y_Qo8ytb-Rxac7X%<1EtS2{V8fa`r}AR6QNP`@Wj12pVMKsoCxdhxe* zq+Cv=k(z`2QGS0rr)g&92{sL4KGL$rYI43B8-Xb*vME4n1WxYdGH0>V9Eo#^kk(w2!dm4&vjdE!lSyp0N8ZVZJ_*Hk#u)hxnq!YP+q{SogQ=~3~zdz;1$5xIOEYf65f1jopJ~v|aq11fD6Gyk-E5pFfs=siz`)d0iJ)Mm9CC3L z+Ny;>gxa>j|6J)(GSuh8+Tm9FEhl9K!*c7>B+TX}2HMICe{+kraVws}31tLTjoU{t zrVq0bEy@|?2xv!vy@Y?Aq2=@Z;LrZd^~9TSOv#Fncz7dVgB`Sq#`du?J|~U8cqR%@ zq}d%SjlfOftpx|9-QPN`r_pq9qK6QwQCJGDXDDzJk>tAo?GseW&>CLQw@0Ecz7cY? zx&fGUFgrA}a3788MfvGch{=Vy`~BF1uMOG4u?tldO(koY@a9MW^>)$}_<`a{8#J=O zBnAD}9(KOIL;1gdS15DQ*II5TZe!bJIqs~lmSsPT!n{^6ZN>7CX3d`MXA4eI8?kqY z6DCNFwimZ=nm$LqQOYL<(X#7Z+SUEcI5e+q>U-Vx5LeJa242X|R0}lptfLxd6$!U{ zFG=##@9~foeQF3vR>Xab@2+QEi5Ho5Qd;QnXz>$(BQ)HiPmy)OM&kt#@(PP--1UEwjOd>N4FA9tLjB^ zYRiEQs+2oNbML?tnehOT!z=WIUt)>e%z`Y^$K=ZTQ4T3gP;x_3a(w9>bi6y4%%2su zI-Oun4*s~PSn7aLSUfZh(U`k*cK4)%LhE9v_{x;t_B5{$Y)n^L#S@!J_xXH%zeMCm|82J3EpswaHvUB5{F}C;2m=dHmH%kxRQzc7 z27KamXW(61)w)F8`jH9dkN?B3>tAdG{-U*%h|K)2z@)<0kodoW*8dhw=H}=t$HzwG zm*|H_LZ`-=nHWN*=xG^26i1$0K)=K%McoHPMY*1gGYN4(v)`QP{^hp*7M*0V?9`l~ zzJ2SW{`T$5(BOY5oc#|$-mgik66e(KnE&wQSM>1VLwg(rtY;m%;%k$g4KsNWSatCDI_S1XA7cQsfSC zX>;3Pt%Gzrd)8{iLr>eKL}8(fWsn5Kk|#-nVAE~em|euW?=y^>gi&K+W4oYkWDF4k>Y#w_C}j}egASoGRj}j|=;=;qcVU!A5S$T#a74EDaT@*(~ zhAUH>^-7E*Y~(1_4YEfxT-?jTS1pc7d9V&6#TGazs4Rl0>0`6+zJGFK2`a*t-`58{e9CNF}eSAD<+4)>YWpBfU?H`#W(WpLmvK7eM-v zOe7pa9P6q?z71PoY2Jy$i%BI*8ZnAQIlJC7L_Ic!8VbQ-k;o>XLm~_jGuqy5m z#JnH;pdKGxp@ZY*g-)7{N7D-Vk>1n5_&TUwT zYlKL5|6RInHy4i&gl-6fEV4m3OeI4o%8XrJhBFM(rX?HdhK&582P)SywoDB{u~^aH zd6kvWAd#LivAY3Aam$dNIz2dpzwwS0!v)XuX=7!eu34wyqCsP$3~gB{G%K~(%%=VB z`0bQu!1tB|{2UzT?I{;JdC%qOTkBSbK8qUyg6+|!>jrS~S}wob>qmgy9LWpJ(WUoS zZE^l5Q~?uQZ|Eh6GFHANVnmG}BdhhIR6g8l#uk(|Hk1rbim-r8@h~HTr+OR-=agc{ zYj(oxe*GVBV(f;-8Ss_pCA%(-si_20FxzB@8x!5|2oaoIuv>2&8$Pk(&V5LZE&sZ$ z^E2m6IA_Ag6|z};amIBi`=!7a^(1s`g;C`MFptoYtczYA;aaPngSM>|@KlI7G)6y4 z$P)^&>e8bG17@rN*^^(3ekeuOj<>8T{P)^mWx?lPf7&W-fRAcUC@RGbEpPx3lxUyM zn!jvJ4#P^>HxF+V1Hvj;Hf`PCmLMgfiuZLos#cqo_1~Cx5Pk{18Mf7T=n9KR>P`v# zRIvs|&(!o+ae*v@5%tpoB|Fh878H2be~1nSPF{r)iY5ONVwb6kZMAKqt^XC#@sK;h z^m~vX*Z@O^*vVB>G*Tm12jnau6!V#UgyD~Jw)`&oq`o#jm@eYP%Wddpx{>i%*Ii< z#Vj>0b}oINMOCsohQ0L3l3!PgzsSQ>w$3k7OessiEP2ymo-0&3Q+}&31ixWx64S}L z%hFF$Cbh+=g3HC!!a8u(>m{q<&Ypacp$Iaw&v3x^!l$^O0>PKR_ml!RF7#RocI*~g zT_&4^kX^I;V;^&HEd_HCLcF)gi#54M^Zd6-Zt2N+)&~hvDnZj&Wv%(o$)>pn3xK_I zqqgNm9V?c_MVK94+8`I>mr%Qgy1UiObKTjLUFX|L=Y`=js~mhDP1>Frij>#k*-LxW z&LLL(YkEd7JH{ICaoV^9{apay8RHPg#2P7GDq;@PHl#%c;zV5yc~j;$(OVa1{2b+Y zT(jINk0{B2X(>NhiEJ%ZQ+jPMT{)03@?9r_Bjzkh09Tzka(RMe%=-_cuma@`c-bPB z9FN4K*vp-kSG9&wa*xz&8r*8;+?E10Kt2*EhU)l(^GfBhZMZsJ&=WI z$qSOd;6QbKgLmaX}$V)YF_{iBP3S5KoDBi@b9;@#1Ii`>- z*Jb4WzI)n)G(_LyfV^5?VVQ;QME3%;2c?k)$~)KI6Wbhtc2L8wN36zcuEFcw(2M94 z@ynkhJQ-?D@W=w?oIi|JVLi_j;u%D724|VX6t9>l$YuN?(a7c4)np(iXr(SH(L7u@ z(uXQR->LjJjh(U;Ri20WnLD(a1D^?@-xyasU7rz`tlrP@ z93I5+qM}tXW@`X=ueDVWq>HJT)@NJrvP!R?N?>CBg!0{KP8GDCJ(8{ecwegnm)Xi} zd$YvT`R!}%92I(;tCyqk;hCA3C+N=Af9T`!`-oKNxwpF-;^7}r^e7U{+XVOvrO{X@ zOu8ReeW|r~MGy3uAazj$Hc=F5>5AdRE!3Af4N9rkMWog zw&3^Px_JUpI^N>UMdvP!&cSJXbcY&m+?y7+HOCI88pdL)`Q`i`!=^-nIvMjxC6=FXgepBMz#@4Tu_gK?F9JF6!ao4a)8xt=Z19XDym@qVo0K!WeC43ZQ&t`sYs|1KGdCX^5{ zpIEB$(?hBuy?)(j^yM|FqjYmU=!3+sq2#?i$7eolA-*Vsj$+Wkoni%uC0>YE zwf7!V4CmmbWdpFTI9`ZnzhY*L8W{=D$2jT%MFh$KX)rZqp;vaZY>>++{|D|r^{eDR zqY;q8U@kb?;B)9S;$+-b%^S!JOI9n;Fl&60Xw+zevgRjPG*ekQT;~1C!as-6=`czB z2CESmsZpt7V+mDmarVW;8Qp{?MbfAx0i`)Z$SyJXX@WjT7HUZ(WBkx~D-=OJy^g=gARO*Eh@K`JM9YNik&R~2g1Y<=^Zwf@LS@e>O>+Z<92(=juf@l3;*|I#|JnL?%T_hP0Vncu+piQ02)vXr$W z5@{2&m*UNiwJ3R0nKCQ`b@XxL06=kVv^);>pI1jmQqCD9vuO^{VcD8{s z&0UNJ_^}L!vAKvM=KIoN{Kta={o0WmXs)n=zd0Qw#W=rz&iBxUo6^vCJA7uy7c<0b z=rm{)s?_>$3cXCsGAVYBV3tWKA=i!Uagi{0M{~_^$N;Tbk8-nH&B~+RgdR3{TbPM1 zZOHM>s01Hf=&31LP$ij{-}8)l9my!PBlo9EM?Qp5?spqQ0O;gU2AEKSWMJhrTbZXj zUcWWe&6^jNcODIsQ*t`!1wz04K@uK`8ja}MFbx#a580_^(jRTOGVHbJ7se}S$B#{> zb@Ov&@>&k1-Q^;8rvK>Qk+!@)z+dVUvn+cWk!B9*o5Yt6&z6Sr^68;=e1p=s#xlR2 zoqymBo#FN(VbmpNhA~?fnU+tcT8;&e?`d~H;Fs2(|5a#@F?+BJW_x-5P3FgyX#7lS zrvH*E8qdC?2g}CG!T|kX+oV(%0~F1a(*@W)sa>~`SiJCVB3AookkMVaYr4zU zK9trQl!dAhEk?1?WoAhL$DH4i2V)v)w;N3&vG2&uhtWq?j-{tmT&Y=?3TA0cj}@EX z7UDPu6!vF{VT4+qeoH}2DSt;rQj-x(j*^Avs#vhkJ4%-6URis?SyyhW|1J0jWFY4Q z?0*iI3#v`-1z!dj*wIowlW#R4Mj~gq0s=y)?MT zIGssi;w>ceP^7pKPTNO(Z@A*s;9)sJt{pgZp&^h^6IglysfhufwSjk5@nr@xZ5wmk z$7lq=eV8*M&X5SN9`8l>^hDCqRXCDhf5xc*p11>Pt`(l}+FFE;pz9Zm4Co$dA@j{oxr!{oJh1 zhBUGD^Xg>^*ywA2gt`yIs%gA?;DKeDct12|f=?{+u!Pc`m0feR^H3i&Gr44hD4S6d zY~O--yXPN$kAPA>%#)cn#%-R_U>ZF!LZ^tCEN~tM;SDCT8x+M-UZz&UM609{Vs+(* zOufmsn`Jd(<;!B3)S-BJznu=!E>h&o3Svsf52~|5BjdtMZ%>U5q~bEjy0zbE7Pc z$a){*DD1KmO>Xa^hUQRMAteTq>D>^YXgFULR)9#4v%rT*D zS1u@32HteXGbx~H?GT{uYC?mo3o+T($|OlDoD6x;OCmieYweI29j@(kW5rV{#ofp> zroG~wm?QU_q|X(cV@(m2qtYsago}Nm9fLa7)j`59mP)?+goarVw2%U6q6HZ9H^kka z_;KRB9i0`a5E%mTi#8lCKoq;(nR$wUd?{1;Y+g#+wB)@K78D;QzVkwV1oqB#3y14_ z%wvVJ!HLkwfk9ee-Sb(N)kTzPLQ_72SL?%wuEG+>X!KkIw?adkm&x;TgYig@Xb(Xg zmt-N_tC@G|cBu_hlr38q{Ef>Ijco_)p(OLXBtOkG9LS0`K)v1{NJJA(kv6{5k719f z+*B;rL{kdGPw{OSDw=xO4$Doc*^v5dnV?O3^}1q=0^8fm}!k^5DOs++i;)|b%; zdMMvddt#+-Ej2X?r;igo#=~tCA2UM`GGCBGA9%GX3MzUDVUp*TUMym&5o@p7r?$v6 z;;!1E{cJ@R^jdl!0}Ic&}O7>yWG*of3LTTjlG(ne09O_pV3_2Layjh7JA5- zAgX4oP$5TR3E4D9 zmt^*<8{B!m{T?+V9!B|Fur3ui?M-9T6Z?w?6-;wl>VDvKDIm~P2XE`&WI9pTe%lUL z#^=kA-w4~terylw`Eww&4k;oeC)futnwX?20+pwXR*2YZ_^Z!=<0fp>;CKINs~P2$ z%WeB4A#R!rJlUT|fy@Yy1=^m)84~1Qois#rKz3AAvYDY)tx3TG6-b3n>%Rs*6mk3) zwK2c3*22j#P(b@5%eJu8erMsLG%q0MjU;RhJkKA1&-VANhaKT|a*-PD1pY5y$p_XE?XSJ{SrF2InX;v1O_5tR$H1`JY*2~w=9kTG zx+MLi=Z5cVNEkn$DB7ryLla;*y39l-Me~MXJ%zq=UPd7 zTkQaM+2%m@{rD%Ze#MR){7uXFZOYN}5)!JBmfjngt0K_onAkOQP;(9Ir1|Wi&Ca zl$Q^4{Dl3AK2>!bjysp=_>cnw4EESwka>n#kHV`FS(2f-gnqgre%iI{6JAhFcCjYK zsFm#8t9g&x5Y zioi%H+V3z0j#L9T=Rl)->t;5*Sw?Tu>GQn7eMjP+Knmw39=+AhI3g*7{&deZJPBie zM(ytijITw!5<2pX#qp1@`g${&+C27@Zj+mV>XlKO7P-wO*&I2d2WAoU_%<@HU}60|qWqM6s_@~19Cvp4gv^LFZ^BdZckb$~Q;kJi-Obm|mX~_W za@dma@|lLLtZu5fQR(^UVv^oz%`S)GNMf@=zAiP}MEPN4(LG}P1%kND z-QTXJzTAWrPYuJnV{8oI5>L@chE_L7o7*3cN)CXW97HV*^iVES+E#6!6h_}{@ZFS~w9?cRrR_$A z6DR%?x7y1%tV)2;*kDhE9NcmKww7$9n6y;rTXdR*kBrkJl7ykdZgzOMAfU%*v2i*)J*Ay5?|QqnY|})$jrv44XK6f6fTycCm<;ziEReG#koW@7mhd< z;Ui0wBUTJtVQy6N7U>aGx@8vaGNaqc=)j6_E`Y1Ci8j@VhS zrnYZ|%asPPp^wSA&`0HejELr3hCs)CJrdGEN%QS=m*fuScp-KF!6Z(ir1n|Svfsnh z;pr*WRbx5nv&~as7*=p{56@F^R+m`7Gw+>p&Cg2&D!8exTcO*tMV4J(y=~Jz;TL@1 z`qF~ERdlw1?gYX0xje+^C@Gd8s+%d|<#TlHlBVGqBFCx36+f?ZpEHFoeTtA@z^?%c zg8E)kE@4f4BRriAA;C=;KRM>`fK+sSb2Bu#0$Sw(otWrZ1^mU#*uqpRaT+D36*Yt_ z7>UMxNcZc_Ew{Z|jA>ZvynR9J-tNQaNQodn*)*Fzl#9z~FGs4`p_~-+ZmM5d8LTHJA9a#yOPzi65Oo<7arb zH#6-qTVhtAqhDf5lY+wiy)2iS$@{)rz%NB>n8`~&BeKNownq3K;UBmJ5==(8Chl2~ ztFsVJ%Zq^1t-?#uZft9902#gdR@&`bi^sBab#rrfm!Ngn;KJMV09OlLmL5{^jn}PD zCh>RM*cZ+8WR7b?hAcC}z`<+m9oLd*nVz<(=&lwVN*#uv4w&02V1;I=XwYX2iFcnk zu0mN`Z+RAq_AYG$?Is*bn;L)b^MD~oh149{FLAJy$YsBeLYmW^Ry1(GHXB@NQYx)O z_g?lMi2y%v9k8tNeKZXmP9$HCkvm4|94#eJkDiheY_C-kkutAil-tE7L-itrd&iAa zQzNkFC4*|&A}h5R5~ag}QoHdvul%%Zy|=3-y87Ly(t`+_jFnldOUNio)KCwOQ+$YS zk(FkESiQQVDz&Q`)v=gRf8DB|sfejwNR5;&AR(;&!`p{zV@h5n)UU1(CiZFlqIi@c z^L#&bGAf3ef3|g((fG$u-H_9@Kje0A67_{VMXkGlF(%SDN8Mb8U}U zsqv$nrRH(Zk~^JX`-`@@^oxROFLjiOP?Dg!bxr(Ha6I!tE9=3B$1EJeoqs>NpdSC| z44D!zERuY*QH9W2g+p}x#lgu(F)ukxT^IOsrB~0F)=df5m0v}^4amRAjis= zgTJKjzTR*|FqHP&hF{7`W2`>=bv(Z}&X;HWP{$<9xAONZwcM=tYnW2{{2DXkp3c%jm^e+ z$30%PT4?&LWcfjmzXhw-2Kq}KXQnClah!qc8fh+i%6&X}rY0%)v$!mjoLE!f+enyCwTj5U4cdGM;3dduC zsis00ZB)vRAeu;*SIHWss8WrM`B;g^OgC&GxPO+6VBHhvMDT?NkoQsj2%xBqzIRQ%la(>+Zzq;N8Z#NlRGz)*Z;r z>lDIp9+#+3_U$k9u^*Ljm=lQ|PvZw99&B3ubY{3&z+IcCzDr_QdaU8ri?a9z#IFKn ze%|H*Ic1pB(u{V>wZ%<9D9g zWpe43>eK}|y|)%++Mh4=&a~2CgUJHLm!|{egPJkg8HFdCf_63uj|mu4UH$a&Zl>Wf zLE=uYvbIE|Se_%z>slgT-&Tby=cJ3AbLf*=SAC{Tn?sG?y>d%iUKMbXTgL9{d9z*arjsGK<4(d9pnF|zFN#iCG0QO^_C4x{Y%GQI7 zShPd48s-nO%1!K?&GYSyu5X#wwwY~&|L!3mar<1WL<1~=rrLooLmN6rj}u6=fcw>p;N%q{FGiA^9oE!NOuZe8GehHF=| z6pMC%*X+-z zC0u!4a_nus`8ygEHy}_{I-TbmzH12(ZacN{o{c#AJ7V2$FFrZbZ|YFVd>u!x`b02T zO1VNFo!UE)GU2)C;5)xM{nF)VBEkCLkTT)ZdiA)PDN~MDK#0|Dqem0Owa-ALgoO7# z%UOX5i{Y2DhHE~*D1sD3m~H7^?tnut)UYsWS=$=W8r~3g37s*@jQZl@hdSFA@iYzR z)2<>*p^fXk@!6{Ga#ysth!{q2b<3U|JGs?R+tdtFN;DSd_3M5Lr*nI+AG2N!mTXY) z7k@#CS+;)QPmFe7td0+V`WKK9OQ!zr9!;gx`tS(Oz zZEa^vxIDqt_eR)9##0Qt9wwG>gp@_7=a~-#VHr$e?De?Ua8$iQ6gV=7#0#R2wsiQG z4&?|-2al*?@r1!+A(KIP{Z1dB)|%)EZEk&&txp3#fg@IidV;9|^k2En5Inu6Q$x2A zyXyi5YY7;ZUiPBi$<)y&51`k<$pn?;y35k7OIRz>AqN{MoBVPkH!A%zFXc>liSf8Q zP{ZBPIM=X`FMjTGTV8E&loiE3P6;e8=EEslAVG>jNJYCBs!Zc7Kb_%R^e?O}g({Xv z*$ng!=112m*KMV!6wJn))G`i!u|QLbU#O)kEy$wX*_OOcqVlZ zE}Q6Ud|_zgGgVv0xcn!^v~)GPTF9FZFxERDab1H7TU(#{=xI#4TnG3#A@LXn(XRFz zlHcmLBGP&{XOL)g=`rB+UNrXfZ8lrdo+8VtOXPnaNVNRw%v01Yr*~sPT zN5LIIvcCEH?1p@IZ^%;oeW^2fYvNrkC$s8doiuLt8S=?O89#o6IOn&vT~aSO--SWq zkduaWO?tG6)#0PZBwGBT6Y{V9VCN_5^HjKFY|=~t_#}y1#UA^K)Qa7VriTNu2Cndn zcl4$a;&hI%&wwdF3Gb?g``{;dJ)qtg&p?9EH8*Vt(hr|ZqV)q*N}49G2Cnbz3P+?6 zaQo%S-Zq%>pAOQu0Zz9TXZ2=dLu$WD`iT7-U&^;MzpP04$ehP~4(n7KY*w*yZhL}+ zZ=DR04#C^JO-))$+eS*UT)qPe2#yFjt2|ZhJkGlZStMOUuuYktKPw~r&PWmH+dS-l z`?cfmM00NaVX5K5vecxo#Pi8v680f!2DXOX11{j@n02`XYR)pmcdgkpfX>CzVj=y<+HO9+uEf;-mI^A&42`B!te|CLRwW|&Yi1Wg8Su{4Xk-uur ziPLLsr2Fy+)R~zFide%>4UI-)uJj#<-2-E#!<#TL9O_3>HocN7;_h2=JTH29q|#=w zMjk#c&G3xBvo&qXrpD$YH%g|8IPORouV@};dSac28cufE9A|h8A-3r?cu$r^)X?AH zP20I8zw}+qFScg*IJ-Egh0L*ZzA0ZA8ZgZKOnPP3I|qt1CMg55n?0-;!W{ds>!fhY z{~-JBY?8tED8SZy4&yS|njTJ+?JVn`Fgc;8xavb^cAuTG;A5|hw`2SfU+@0I<%yoH zJl!Vc!^z}p^On#$Tk`#}3tT3=&(XBo8Aq`3k>zV<-}4JcaP)%2W(>uEGi!UZ>{bwc2Waku<6~cZQn_vChA^F=QUnh^@ZB<}uh{BYOXyEby9jGC+ z=$7(iC|_`8E#p@B3Z_G4)=ZW3Uh^U~E%RB;o>tf4@A0jzvRjAXj-Ps-<&y(b^%_p7 znF}n}U^oU6Br?JSJ{H&(mrfll~@7@CK}19v=&}t$81@ZRPFG z^@m7(HPU2FTw7I4FT0XxdF^p$GwUZW>Hb}c=xHN=rEq`6}8zXI<6WOiTF z{$kqylL8360AN#f3w=@IYaMQOiOfxXK^&_kY^9}E|6 zPnFg8KoOfOrV(>a_G%mO#k)Kt)NX&;?xDLi4tnIYFmN+w+!qIXpP*kN`ibdF7 zS}sB0JbBj-YcqbeCxb!5{F;*aM(TluDqT?nAMndTltpyO$Xlxjz&5HLvhaB%3uAB(9IS9%=1C0B<$#rLQu#nBridgA(c)p{hYuDF^|Wp6vtkqoRC zs$U%WV-4CEbq$PGqO_U%>1$=YFvvzt9vB*58MeE>p3f!~=XwgqG0B|-L`+2erW!ML zT_`yvWitnSHEA^gPBg^1>9pSfw=GOn!*RF$cO2IG>&JCM+f4thnFTf?;>Q#LYe6zu zj|-wOQ$=+J_0X&}==NuqV6f_LQ>7kE0#^I)Bf$uRhnjP_mxWskU$|C#7j^=Y$ZSL#{3o3iD1J#vflvlhf_b4BArHh??Hw=k=kY!?ZeXjyjdd2z+_t z*&bx$Ld`;$8_d*{*v;uPj*JgBe3Oq~5gR-os2EyKh!a9Y6AXnXk(899xPz=d9R5aS z^wT#i`TA{yMk3Dd<3c25-245lj~q1!vPulON1fdceDC@WSbV>E;*QAxh2VRp;3_0r za{rhx#duB|1DLbWGWZ(FlTXf7RhuV|8XzfslJ-T*X6gMRjpN4;R4p_iwzJ8q>b638 z%2E$NR(emd*v-DMNj!|R_j?7sW}%89B8nTUSBpLWy0hiUPb9wY6;tIAEnv2}WO;Dp zQ-d!hRcKq7^WkZ>x<@P+lC&QF3{Q;k>LM|qCXA->K{<46&JU#dGmzX;=5Gm&Z5;)& zw~cw43=g=O>*li#7;h@`NSQl)l4fy>=Ins@M*+&7gojz_Gm>xK33QZk^r0>!S+wpI z8ff+ok#`laFCJ;0 z4p&@8Okf+XhlJ(UG|3&J-R4`Mlw;(;f0Id$!8|&%=DqOCyr-{vLP1~3{uHh*3G6jv z!;W>PBdFe#UxH<}e|)RBpTbqcB9=N_e%_VU@aSBI|Gt+~?LK}z#Y;DGIj6LD=!gly zp{}#qA{JhzJuxjFk9U@&6#9AsVR1Zhb)5TN=ub_-uRaf;l9ASZxgB~hizgl-i1T2y zD5A`@Zbtm-@mlC#n=kY2bij{zpPA2VYMBH6Rr_X6XZ|yb>(wSmCQfF8d+>X0+8P9e zSp{rWiSLQU_Hj|pq4?vngeo|33F<6M3|u`QkHqV>hWYUF*eAEQiO+x0@6v;-RDVby zAa6kbxAhzFqSzbq=ObP?;LH9TAcm1#FuimrLIB_>)kZ%WHI4K@bfswQMXpq~74ZZN zZD`KZUZj7#?atyf=i^oNu!-MsuRPTo@KP0cYl@ zVBJcL%g2*Jf{CVk7aT3k=`8^D_W9YbqGf}{g6g&S7G})`ztBr0pHwkUkuFp)mU|*d ze4wG~1sV2}3=K|nanCPy9sT**W2mYvvdwK}Q3IHn6%+0fVv6mt&RNWlxtdcYZ-1Qb zV5~VpWlnBcONyTf^RhLTeRZzsV5tq%%EXc~5E&Cx*^>5pU5QMtGE8roCz zs@TJs6c?C^BDoqF{PhA8vB`qVR%6NyD-BA@CrXd0hF8fC!BwT~=1vsCz$~RE*-OC{ zf}XUPG=G7E_u)lmk_svd`8Me!t}0_K%U0Z-yAWEubU3T2+d8eS8K9JBB!&DI|2eMy z49630h1B~?yyVo0h{WAYoy%N6c8;uqJbekICjPyeqa{Tyg}+_$i&nR|X#YiJUU zOy@DQGy_K3c1?9TEo0c|;~7URujyMWm-G_P#)RSzjBt?gzkVEN{D{PBSn)H-c=xev zoBkz|o+{-@VtY#p5@eQR@b#F20Bb+&JHE`XjA!ju7yPD(F4?g~*tK(h&6{zRWXhTd zlVTurm-VTKx5L3^`BPnCf+q3ltghS2EX(!m)-F9GiIh;uFmG?>CtB4It2jwX`vF@A z($FVv4`Ymh)mGf^;~GVx40KCVAq(|q5ZM->mG|U*1;!Y;WlK4kf*rg3&)ld=?t>H` z&J8egV&AF;J$d3ZtBD0ocx}<%sdfytDlMjG5xrH2b+qY}FkkBS^P81YBwh48mCg@B zELwMC9R-OmnC!gge99BfMANBcru@6SFGhCfnCy4kUNe#pX~*2E-rA04Jx4d*KSpAd zd1i(was(H+%4xW@_5`M$_iqD?q7y~5WQz41<#exQ-j+OLg>Jnu=02V-K*6p@l;J}{p*EcP|%i_VeeDE6|#g)CTu`bGO{l-Z%ankdWEv_ z88tqFx8>uZ8;ZA!t++R{_NrQh*3VoZ1&hF7G|E1CPO$(5$rrF__JvzzGqH0hsA@@Sz{eHzXI$E+w&> z?qY;6PLLCv0gUj&+PEkM*rka1TUt>Vhr-)Cr{-Udso@S)!pr-vYsh%lR$Jf_>8P;E z$!W1d=|1OJ_dtAB=PbikJF>bu-DtR6)!WoXzGh?_)(#OOGcu-Kbo%5!P_HJ~lX@D- zkmoou>+r}-c$SS-8PUL#;tnifl~FwXa((}x8(IsWf!iz2r5AST;90o04pb+Wz2CQ+ zxW8u_m5~Uv-d-F9`YHdDkLVma3=`%7n9=!=Q(j26MG_Rj4wyB8E59kt5$UC=z&hlT zJb(>m=Kwd^MW=UlhveXUY28sy`H9XX_<*N7Bq07|%%%z3%e#X3ZFXO+UQ{V7aqF2< z#EJLjhhPCA%I`sjIuuAYm;|c0IQn2h-5_l|hsZ&4{bFd^d=t)`)Y7%)CtaX8y98^C zi@WZDfnEecHg0t0Geu)O+xVPNv8%TOq5JxL{8U3`9nOzDgSGlveWkbbT0=F-quP#m zoJMe96{#Hc+SbdNEaZ--;wXIT=`Zp8#fk{q@J2rq#ZzNSfNHb29aylDZRh~vgesI> z46Rh~;xSF&of<~SOp3B}%3re?^d>9Ex18<4C4Jn|J+R*5SKR&UG#@$bmqnBgL`n1O z24%F|mW5RWGAL5;f@#=L4nH{c^mp^w-dI>kw|JADm!12$PUC)x-&DK~mN6sU?s z-WVEW@9+B{^7Qi8OJ6Cd%6M3znQ2BY=WUjL+dtvL^E|@u>|D>FAd}$e`!owtkv9=* zu*hmfReN5a!bcIJdDJM~4F0AeBq}9E-0JUo7i4R4&A|fA`8ZXCjYSzBrM=m;Zv7-= z27)hDY^^?V5={QObDGXJiOW{sXLigc&{?v{eNRFGi+jaD@`f;1kwi#<&2{5=itdgh z^a2Ui%dF3>I=ag;w_)k+=2i`=_fSj5{ZXC&^c20j1#mAuKmQsB0E1E3UbNn)&D-^Oco$nLZ?*1-;Eoqi-8;KpBWT>u%mAHn6D~9c8(3ecuMF}|Z zBHM8nT{|?!)o2WO1JuUe6*p=GFPDTs{KOhzwgh2hMnMhu69H@JFR5#7&`F7I$QbI& z<0hdqBgXgGz{+NjCyUHtMJGUnl-atis=TF&giOVZ^l%u3nUS;t*UPjS*(^oG{Q3Q9 zq7oInm-z}#nO-PO^`H42PL=d%%HB`N9xMw4#|f$xnn6`a`)y-7)}pNyscrX%VKB*a zAp;TGPIoxoQYg=*b~%1U4sm5x&Z6=TFAQwZr4Y@%Hulk@oM|UIBpt&z#x!{28Ipuk zmVq0FqYdHhj|3js|0*_yySm*UfTvXh4g@6spL$vVju8h(Jx3=88dodJ1;sVy zBb3Zd@h>cp#cA{1 zr6=GaNBCl0xQ;)l6KbHf_0|N=sI)jIk;L1TDWy?$!KN~l6KL2;;9E`q&^)?a(dRa4 zMKCLh>Z4l-#0ns&FBFH8gFNMR$#sdQJHc7%WFpmP@(+p_%jkZ}Q)F*046Kf4i_oy_ zPctNma++tdrnz{Yj zzPO6(z0Vy}20)$WqB8wWm*y%GPgAvXKI-S(UA%R&)k%<Me$0=M>-0OI&%L>xzz{gFHp`|BDC*vIT%*;$iM^)6oTuUj#1l%Hl70UQC%nupo& z!TyvE;vCSk>|^WE5SLLh(!D!Zd)p^PXWnZahaayZn4iG^Ds8UuK&4RtDXReVKak@8 zh;4Auv$r<0Hu;-*Fbexa;DZ9>;ynPv;Uy#}^_?gRwm*iISr$v(zq$ZV^21fnxpn8F zO6H4{MivdeJ>x~bTp_vJS-XeDH;{)%VfMK9J!QQ+gTOt6rV%$B+491{Dl5BG6SI@< zR!8!rj8CYhG!>dtb!?Y}Bby^+wX|;e5D@aOe1&!~<+b)IvM9VX))?~B-RS;Qum9v= zfCTyj@5oJkO6Ucsnm+*Iq4ID3_`je$boA_v^mP7iLI3Dmqe74Q-XBIEN@Fi7V*4hl zvmPcn)EImwu@g?1at?JTzebLl#fekP9Em|T(H z_lUvg1IY`kim$o5@VugTj8O}9go#>SB9J$#7hP)F*Qo0jn02hYg`YwANxt#xF+!Dc z$?^sWe34ffWb*5+k!73XC8`jDFx>W)6}L%b)rac!{}$D)SggIp9BC_JYZ{qv=XmdC z!U(N*&z;fF_f^5}cY$486u|*{gQ+d%R9mL=yY00_cHGNJU~PYlFErMDVU!{?62pTo zrlF8VbCgx4TfZOYSTc+?<5c~ch46*#1Y9pfoZT@7>I2qV)zUb{K;cIk@p=QJ7}8;M z8ia7UAAbv_LjC3$Ek(5@wJV<6lD@i%?r)(;0~2zi;*q=rV)6_lTBl`~fP{O~ggO?9 zps6cKJvsJ{Tsh)%#>uZxU>J+DE>C=M!HWjZ-y7>kV?=*E)$`rzyihj%1WsgM!ky-G zxv8?wb=`U!2SL@r+@Mh4&I-$N?pjl69J8zYN@a+G|&Q`UGa9ub= zsxhwDF*Owle#gb~@bH)-)b$s!`LnRYTnOG*1H{DuAUdl5L9qd>t@>uxMus{DmVYN; zlkI`-rGxEwqy%mwBfCHw%%Gch8qY$3Zyc0cm$&-e-PN4#hs)_oSDgH)t<8@f&fgo_n+awWh2+cP~R15MV51YZ9#+&N-^H>jxb&K1B`3}v#LRzd3}6B`AL( zvj}q+&1YtQ?hq8NnuWO92xM6oOBaFvg5lQ3EiEItVEX5e`P{Fm@pK=0J{(j{@^dwy zP;ifzL#(LguAqhkZ^1r79)r05Ff4!PHv!DcKaYQR8T+el8QI(0*#FJ4{A0Yo>$1%6 zW3E5COasv6f7g)xb-aK2Ip^{dQ2<`9&RdjQ;L2I~vamUG6~WyaUtN-x+)^$xVW**< zEJqf3yezvo>bCV3hw$C0t!f+Yj^J1Kj+LGR3))_LAk<}RR1alVA(=5279zv8jot$q zK^IW814(iR6#O19e0ZXgkJ?r1?VD+&e(AAV;p1s*Vs=~QHihAZNgnUcKvV`%#bsou z{U~e}NpC0}U_H{|f*6SB6_ed%M&Gi~$BtPf($=-h@=;DNtOA%bRfv}GI3|K{{)wO6 zxux}atbW?640o->ck{Y!x2xS{<=-b?gPVVk5?+;VBRSEN%PMo{$_LTp$mP0< z&SdzC43zI6?9FIKJ%50oQudOPnyS)`eMbW+j7ab50nb7fe9DSjKA4*=6EDk9V*o-ckgrJ)9QBX zUj=5E&V4f*ATay@uUHu%s{hW)_g8@dP`Ulp_RIHJuK{%Um688vbPkWW6yNQY--(hq2@kyCDWZqI|Ten>~WSH?P%H*@GQoDsZA<17I8 z=EmHXHuGa{ z7Sl~x7$)U3w9n+85o{WH*3dyD3q-J*5jLdX6VO#WLxOe+r#D|F?D%ceG5ucJ+BIlp z{{!Tv{e^FLGSv0f=~fX~neeeJWs&;NmpX8Ry(qUPaS*w*Sn{s4SbjWOSZL}lzY$6b z>D4*gIzyZ5$mY%1m)4vTGc7M|B%5>+vlN(|t4YMov}nVwHAEtkZ!ZK= z=34A!D<6%NObmsEFfUfo*6bXAH2OB4Q z10yE~Jrkopd@EX`69CJO0J{CkJ)jNB6+*8{&h_H|6%>>3n+;N56F6Zav6l}_p7CXOLmAKxT}!#mP(0)o4|{ED0k9^PmeZ+)h=ZIK(bUcK)kk zd@uWOyZhr60Rj-E|Ku$EOAL~rWwQ#18ZU1tC+%y)#dQ^anV$nELM!t7!ObYPMM$#p zs{4#^ucZ0#2%zKfm(7c3DsJ^(KVQAJhi0y~lR&h6|#aj2Wz|BAgqIt>O%tLX={nl_EZ5a}eRo^)2>ySA}olC;fQ z#CpYqac?m3-ZaDbISVsJWJ=->$~(5BRPxdRw%f!>eqnNfV7g>ux43xfGkL?tLa9s5 zUS`6)0O+`7uabt^&Ko);L7}J^{mxlZ$FiIt3Drytk~3dB3sI{?v?Fgv<*jGO(B`HA4t-{2ChJF zZmyq}ja`Ut+ZlEqx*u-7cKL6SEgd671%ay$R|`x>r)kY^onvMzD1n|b8=wOW5%jDo z=bxqF4^Iz`d7bzH)%+`XA{xL-%!1@pfdb{0*zB1)+BeRxr@I9>9ITUPPtIq;|C<2q z?+bP_QfOu&K+K{5_V+)jY>sADfY{@&COB>kV1f~Z9$&)9lfM{JKmj`vP>NcWf7?bQ zc9xo+UpA5og%)Fy>*~A%acePk+41{T02k~K9KXrKg6W;>G}>Tb&m|72ODR>kx{=~( z;`Wx>?S)#Hy^CH2kTN&=>>fvDsZsrju!SG$Att&-{v0vNZX|)=rIG6#uJY=A;63^# z6+`Zzt+jdwoOf{44IzynHoc3?RQ@S>XyOK5+(=qp>mhrxMRQE+;gP~6iN71rT}=|9 zq=V>N38urqhXP7T717H&%>r#@(0@TEl=y=U3zranAtG5}(*y+R1$?$Kg-W;=uLz3U*Izba(Lwrh^L1 zjG;rV7^(!*gznw7%g42`U|X7^A#W;ivK|q^e=&F z6unFz9YP==FfB!|n#wApS#}()pW~4@`H=k-3| zqs>*cup;?B^=(mEB4!=Effq9gvV0b!$3pXqi*5_a^lzMbC3$-df1!M8C%^C|EV=!T z8$}0=(sjh*(Vx3W5IdeCOm{n6k(vpo*9choOXQr_UL|7ccDn*i3rK2mRup6M<#;vt zLv`0=OB7S6OJ2gxD<%>bo^egb=%|N85+)4B0mBjt;d`1Y^N|LJ=83Nn;!n`tGDL-7q7pIck;Hnv1@<6zG&VCTt2QkH!bMzLG>0`mC3VV>R*8 ze1Ihr`UJ4K&z%z0y%J>Ue12s-47kdYxV^6+k+t$nc#G>Qm<{NZzr6Wz@yPS2aj;zf zdu;MEsBin94AB2w`DFex0`^zq^$&CT_rcQoQW;g@0GknjPV@g`Fh?s}S_4ZP2O~oo zTWgb0S!t24(3k-7KA^8+qJn>dbwogig#;|jK=rRhk$^v-CVWzSKtQ!op09H8fdBCg zMCAcCB^VwEC<4&m0T=z`1p@MN0|Gh;2Lj>*c+eQ*4xE85KtRxJWTvZgkUHV&pX zw)kQK0{8$rUt<7UD8OJ0WhS{ODlVZ0Pj$Gs=T6W8%Li??bpy?M#A^%brC{iifxs~^ z))M3aBMJ$o5sJ$8`_9M`D+|^lpeWWr5JQsDqYEig*$6L&S5>q>-rT(IRoI-@KeoP} zbxf(F0mT*5CMSbSe2E!FzVheHI6;h+b36m`{er9OOAaKdEc{ES#sd;zWNacZi@+XO z2lUI8yJ|bI?c6kjuQbFbphU!p=g;F5AjI@~HVi66v=CMji5Qxusjw8xWGZ8H65~!X zKE$z5Hoe%d+^OyFeJ81bhBsdI=U9P!3rcKomb!ky zOL5YM0`vWfthG~CPC`uX`+5q&eQ#da;J7v@V-g+)nCA~jrW zQox{w{c9&X_z4f6V4xK> zboL2R)*!-n-idu#(ke*^?5We`+R&{ALcI$qpm zP-s5-WvB;Vb6E&XJ_b{;A-?adV3Yn5+n6n1to>hgQ6zm$bWz*EVGuy|2!06u+$Z=Z ziaZ-Ujt_1K-^$l1i+}<(zz>B0kHqgbZCrv*;a`^PUV`z0%MO(pT*d1@tz`7%?hC7Dd)_I;IhiK^;+lA!z-y=5NlY&9lk>K3z?Z4*NAf zvbNV%pO9WGr7;C*oLdqL!03g*5S7}eUwfnKRe`gJH`Whb6Sz!qCU>TGhTshR3NF%L zx;<`t(L#m|pB!D@jnk9a^L2;R4#t(dopclYGTLO%;i~XS*puah-5a=zH-^*{`3#aE zfVh{GpCmWYHulQ*Do&KR46!owryz|TQEi-Sglj6Ru%|>E!AY#9=nOG|8N>;~3HAxi z2}!EtA|Xdy{xI+joIS%c@H66bB&blYXs?7HbuC|>aGrp^=x!^qw!Ffun0=#Rm0{pM z)X48R26!lzu8Cm4~X(l@BLtVq|2PJQ6fwJhnKTm4s7Bz(}2j zMjfau&LNFe)S;2r&~FB1GV(xDDG`)3K1QABkgzxWZKQEzBgx~tOtMS7Owty~7Wt>_ zm%^N4gp!!T8l9cI-f{U;`L)7|LLrH0+KysK%2RT1@+u|HlI3dF;)06(+=ME{LPtI` z;nbqDl8ge)DoRu&s6}Ix51R4pKyy9ufla4zzB_SmlrCjrlQ{Pj+v?lcu z^-lG401(QLU4TowL$gD~OIbjuPliY)UuZ9jR69O5wWL+0UdBONLW5G%qFlLnF`+4= zDcQNoIs5?xr!LBW5;GG#Q{xx9R*-ew1@{J)drelLcAj?RrjwWImV9=3myFLekd>}g zH-jl1Iz2H4JBBf46M7WJOoV6TO(c6{7M2CN2*%})3x*F5jN*0yKxTbf(6vAbluq;nnTAwT^cliG#6pq?7LPmadE!%RHjtM^W^#DEe$ixZ_UY8!YbS< zp(+sTAJ)0n3)a!=3+u@18tW!pRGf?M8MlHSFRm9i*w^wm`ff}f6Yd&r)wdV-1ka$a zim#jx3%3Wa&aXY*o8E2jxE0fyJsLA7@NXS&F0VYW({QTDR|fc`boe!-S7I?{vf8pb zbR?{#Poh`95sqSJV_tLFb9<+==tgReYYuCkZI`aWQ$AK?gfxZFMBr){c2IaMBrZUgD=242#YX52W`qb01r6bbdQIJ#Fw-6Fw(pwn z8LQD$bX1sFia4`*q!|p6?@11>4I~f9kSvp&NvB9VidKkb?EJDVZ>5dnj6aP#jDL@Z zC-D)7k};DQC>$)x+8?=!bL%i0HCr+BKLNbhImx%MTs%`_7<=4dG2+E2|M3e{ab`-f zOID;sh&9P9TP^;Xl#=SVV3Q*=Z5xjWt%=8^VU@>4vxS_6nuW)W;w|$*WTk_;N*As= zUUR33|4WeHS7aYu3(giB z%=63_O+U{M*UFoGsPxgasF}&D$h>uWDOz75PgoMSJXaCB407h{96zPUr8g&cwBXv{ zlEsn_k|Hl3);8p%X=y2Z8owJ)C59P&bMk=l2=ox4^rCDlV=Qx4?x>co=+xTNoKr4W zZR>FM#`D;k_8xk#bP{(OaJq!Eg!gg$FUMXvR4%v;JMQssU{^7;=avXW7+4b9;$+FTWzwsL$$WXvgmN(w(`;}ex z9@lsD@9I9&Z@EpGv)c1toc0~Zok^XeoQ0gfX5DeG%vr5%eB{qW2TPY`m9bHK{JMkP zk?cv8F>#oh%#`3^ap2y0bCr>tdWS(ov%Uv^fYZEdb$yO|Erjus_A;Se)8cj-I2;`* zk0{SvqN;Yf)3|H?G`v=L^*!Jnaw`9=#ZqD82v38@$*@o7_)+wGLZZ3dTC1&N_hH-i z_$o8@ICh5Pj6=ai;i9u8+0AC>V-VsPLI}PPSD91ZbN;ISV#+E%DZh9~bLa&Rf~S*b z64U2FVvzRoJ9Jh|wxVR2B(qGaRA!oUcIUO@!Ca`x$rP$Fv`^wk<3sD@EuOc-Tcr=P zzVj~F=Ron6g!X6`=biaVc~+}$t4Oti4(&KvN34&-6ZM|eR@;?JJdE09?Ik78vG?)E z@$Gd_@w`m-2N+1o?c1;ZW57-T2#~C#q7Xg;0zN(h9T`3^E1fJaudFPuuB@!CuB1DfsCwC>fk?I)lu+s1_WrlzLm#Q4_a#MG3;EX{R& zF8h9N`(ACwd;;2W%++?z=W))}an0vd&eav*T(dAc@_E($`(*FodjIqD6W}%f-{b$z zz`rvCDvjgxfa~ML1o;%vRk%j2uzu2^2kj_r5)tUnhAWncs#%SHerjY{;l2xoWe2=%RVpJgFIE+C0VH`q2`l%7#f`Ag3%n9U`lvDZKf(# zl7{Bdu#b+~dR?$(dm*BD=Gi%I?_r)K*Xg&f)ONOSsW{F@iQA>X5|HEsA-DsTmyAom zIpS@n$C1wlPi2F$USHiCNGYIOu)`q=At)Azza(8;UX-v1o2t;0;(wo9CRfNI#B%Ju zmx0{U2?+rG?LsdID!L8jM$^r{i=kQ-8uU;dZ}mhiIe-C1y5JJ zTqPhUp2b}oEa_?hr2~hU+~ggdS~ym|O$`ijA^O!n%kHvQSFSjEvNu2@gxoMS>h#A| zbEek(JvgIU1RXoMys?*l7KMD)^{yV|Dm5rJG;EKz@F>CekYdyhR!PJaDf2~Xsovx3 zGsd{N?$7TRx4m!>ykYn@7@=z7-}b0!H-Eob*IXB!vMVofZLR+5Am6-h*PflvxF1M_ zs67eqkJ@?cvb#nta@wzv@&Gxvo zv6y=Z*+Bhkp@Kgz)4Ig8=wkq7er5q13;$ycFhKg_Umo~hUZ?);nJa6^tkI!(PgU2r z+GMgOGjm2oiXoeZ&|jOjPKsfg4`rPvD33;GZ;0m?!uB^LB@eSLO&w)i4y&34pZ`)= zt)l*5CbWx-+_S&i3))s&(-XrwF43KA#74k0%cp2w{5Z~RF#XMRPgw06mEP#Af&9tj zrP2saz^Q^ncbUGqjg^n<%MpIbts}cI)3zo3*@NJQQ`hRiu zj#0LBUz%^)wr$%dZQFTLCvDrdZQHhO+qRu2^X6OCRbAEH4lwy<^9Rh#7OO zSifgJvzgdVTID951CF7ruE%ak&pGy?Kv<=enQGg+Mqw2Q=0)>bQl z-ml74tlcTZO=TN()(-MwrR@v|O@6u*pCf?TJs{}cr~?Aq?fa*pv>wXFcB?d2{Lxiq zpPzln~AW5{x}-_*jpOXixP<2JAthKb)t9WhLjb%8-Nubi6W9& zY{ZplhbAUg{5;ER6bY^F{pjx~`&$yP^m?W=IJ0E2|5Xj74vD2r^pn`MeuO7H|96S)zZZG_ zdv=orCj7~64=A0zkN3>E3q|crIxOqI%pg@S6S)D&*8kvbZwwb|eygsdg2;9|(6OWU zcJptGTt3hZSkbnbl66+yoFJ(ZUe{Q9Lg?Iu)wM(02}$*3*L7c5hQ>QY2q9)Jyg8pr zj#4s%#k4-{20z8>W#5i<#OvERSTU{-Z6*1~t3s(xNE1o(2Do$uzgdEntO@}sB5>Wj z%%rmA2rut7=ip>okj#C9ucmyVFPA|nV zM&`#2+ZJgunitVmI6N5-8lrHTZ{447TD@aPV7h1GgT)WqxYkKoq`x@0x$5gTS~j+0 zfU-xit$)J32NS|F1~LfV<71Nd48+ZzCQ~skQUCWrg_Q&Ruyk~Uv@3Pn5BnA#vQ(63iF+#waxeMkVrjpQutL0wE(? zy&!SAoOIlDH}p{agm`2@p|Ttio>}u8j&66f9Ub|0&UN**ZW<1bPrvX? zPV`D;H0)50M@L$Y>@_755m96V4IEHplk~OA*Rj;uC)yo54YrLG8k=p$rkbCQzdVQ6 zK1(_&wZ6AC;Bx=0*#k(qElGDhZL>r#gflB({hoVrHr06Cu0Y#l5nMVwG>Rz@@!0>{ zAlZ4G=~i>K-pum15z$lb@}0GJAlSlaQ%-A7DHDwvElFP_a$??x;l5fMGSDV8)L^<%-;lYyqHGMrz#>SC_ zyV6O|mOHdfVv9-}^-{4$ecnn;k948Z$}+f^>74bsa(neV(9twgGT(h%z(4>Ru2_hc z@~x(VAwH{RD_~6qohwz_I{r3(o*(0Ei>K?j+5F#jI}-)=HB$q4d@!y$eHV8Xf`=+D zGv#l|+M0&)daIZM&4!U$9%!K8C_fU&KLmYo!nY3)(RC}xRZAuByrbYC@T zI8q7Cb%SX=tT%9K&QhB5JX>Gvt1ukIc5rGjBzLKi-`VF;G-h`0T!SSF@u+U^*2!5t zi6sT9!Uu#B4ZHuzNdI@jK>shszO}xUk%f`lKW!%e<3alOlVQ~sV-Nl$v41w2fAM5C zwm+5W|8M-@=fMPk7VA6x*#SBziU|T#Pve~ZeE1KT5Ci?G|1%r>518Oi1_0n22mtW@ z^Of(_k;~p|lzk{0Qp?rLJ&(2HZM=2h8nSLQGjn&hj z_7l(?_TFHWZvZ2-fAWXGG(CEKG%;aGB(g~Ynw^7zh~aZR!9m)>%A48R3TGAeHY4G; z;xN)Zv$4)^k))#LA$Ct^?$gJ#*iCEYz&(>W56U-Zj{9NY$!(9EJ zsax!d4{*iLuL3`JkmG0nucX!g^%y!^OOq)1e`;_Mwmzt>7X^OmB{YTY6#T0=LY{l| z5)A0zo>n##<;y6Yy>wk}iX?%wv3|TEHB;DQ32dW6CDxhUCj4kB^(Ok%aZCNPNRyN%}X4MDrhX)Eo1%v-eBIx|~Q&)yC) z%8@DgHn_8i3^MB`c~*8S6+@7ffcnQ{b; zAFk#5!?^y{G5vqN06*pp_H?F>R#)0?4jZkg-y5GOtFG%!T2e%1156jD`%+=;yvkA; zmyRYQLUFNy=|md-jaAaTo;$#<=l6(G5-$CiQat>-ds#gp;BU4#vYE?!y$vN*Le{dM z%X#>-$@Z@c9baL2OOK*LRjTlf$@N}1j3aE~Hs|kf*s>Drx1)Sg?d413=K^IbOE00O zf-GGcUE9Pd+qiQo{;-Kw$M>_2I04E$e3iFl7jK^9)Il#vR~6X;(`neh5R5(oMub)} z&`v;G zE;LcJne3^2WoSLi>X4O2u2@)d6l@T&nM9iP8rT69TaEz zQwMdL2Icvg2ke7{3-A@tI0A^7Uh>gy-uY4G@vwK<`B6+-tsqZPShxkXqhz<5 zYtPYh~)bG@HIJwG!12f!_6EqiNTHYg!v|Kr&aKaNW(?(oK_0b_WVA}&QbFm zY16N+E@%IZVqVVWLYy627lY40h{2gLnm^1?QIv4*!3(J0U`rJ!B$PR=O&(s$vg>5Z zi(nyLrPWV7k)H`qd{W|e##%0N*7ub8$TprES%_{2Sqb2n-7rJ(?Lps4JM#qK4r`3y z7vB?!8$;26?B^Ej4>>0+Ew7f5o>zAF^5w5#9OY6ww_uFkBRm4nAW{;^=(d}>d^Ob@ zz_Bd?4x1d2Ke8;u@TOv88Hz4*ilR=~U0%TnXq7z_%01!%hJ37P6ApN~qx|-S0j%2A zDguMiaKfP1{1l8~Ydb3p7E15M3Y{-S&(Kz{M%-IWcflAmXDwwO@1cy*z7!&x1Y$#vh*C} z>y>X*;$`Isp8j+Yc$2g?5fv1$G)>^SDZ+4H=>bjlDdIp! z|MJL2(>w4b(P}=1qKyGK{|Xt_6@0jy5Ufgw>GA#`hLpc89q>pBiwj_q0lQ<1Ls9fD zSEg0y6yEp1{mB(^Og6SBa|9U)Dd1u%>1P z@4WWn&ECEDo z6%Kr@_}j*O>GgsZ2`+>ggf)^8jS7rr*|h%q2G< zJWj!SvHB=R0&sBRZ^uATIS|;w%-ihNcOWFDNW0Ljx{^mq_PP2>L~68ku9GI9->q1% zg1q`-iX!5E#ZTSy(Z{+|_W3(AKZObi`U3OK=Z_>*lgXAKs4*XLXaGy1} zieZrnrL#D)S$H96hy(!b?pYCXjR-UsNWxXuCyhK|rn*64k%*jRw+%rWh7qpGwsB!r z6GV+DQs({uFadpsN8wlsGtvn$n`3L8$AhI79b)C7rbQoYDPdQQMF2s(PMPWEQr zwmg9$Qrj5N&XL1)6~NgU(y&;#au$=y zq6clfZ%oFSW^+`Z`4Fc9q#tf3U7A0mz>~Uc!QGOsq=#3~!KRv!U%e~k442#h!}n`0 z3!PcO7qNp%lIOF-{IrvMz2)qtswhv79BeSYe;l^A788%UfBredclAD^KGejJzM;6X zmA3?~CfKN=#?MIq`LO12T&(0itvaybgL<#>&jCEi2k;ng_#a)k0CNj}fuXZl?Ly8YF9Fzq_N80zS2>Em4Uct+vt zU9vtY(mGq~1pyW$Wky}~;DMwnshOg}E0O9flVhjvOxL!yTUZ$aQaw}6Jao%whqGSd zNMzD9LZS-M#Ug?0-_y{R=}d)z8e#tELrO$C5Dvl{2);6?Uz0Ed?T@4qpjSIEgp@Ab z*n-(aWL^vH2f&UDL7afYfB?;A#etYOnU?d!L@h1N!X}}~EzP`cfQO#HXc(-mvcw3< zQGJ_+fZJOJnIqRJLJ<0x>U_zho>H?N?>A zYkIA{dJj0r+m=SbHF{ADFbU*^JGa}o$PAULf5A}nI$SG7z+(Cu%{!Gs^5d#u*wO-> z8f_!wdQ$kY=Sm6tQ3~0y3Jg~<2DBooADk7hpa^HihiNnfwc(sS#Z-SBmdnUa*T{Mk zs%?3nnNB}BON=qKH-vYcRd3FExk@%5f=wrSIl5p4LR>SXi!nZ#03=gbQF-V(1k7VF(NW2S?khm8doCKn5Jevhsj^|zoqtMJfZh`(WgHX}{HnC`9sVzq5_(04>7iOL} zOc;&3m1A)Z4^*M=?il(qb=L@{H#Dqc;sH$q-~#6AZ9U1=V zqc651qFaNS?0D z872)45#z~V8EB_SMN|@lPHR_XZ@H~tdZ7s6gzyA`3#UJxugF9!+PInK`K=pwmOBA( z(39-#%)Y^#><_yZCyWiA?^~x6h=ajKzeDefxgk+crYoBw>ev2;dX=s{w;dd3H}iyB z@B&7O=TMYgi4mX75h++F@HPlUwHyUUQS>1rQT5GK?u&jtgHW9Wsf z+l&I)I8pc$X82P{DP+ma}2t2Jw}FP5iSif zU^;mXuAv-7lHzG?h^@(JVAnEXUyQVr!K)$~Gz#UqU{^Hiz`v&rn|1lOsdP9-@Zq4N zDo3OHN#d0&x+S0C^~Uxn6B#LDLndzqCVNERmRTyZCulM!%5B>;>-5?+@<-BE2ERmi z7^R2k*a31MdX4mK@rmPVC8lDu#gxU7nbFL+IfxvkFjf^`h?l9ZM<%fhHwSrTm{@Rc zb!H17=k5S$7X2_NvBo6{9}PY3&_EEm&H`3l;7sN#QH=z$X#p|unR6^OrOKW5uI+OO zu^u|vJo{pN0n<#U?le5HM_mrB+}JiaeAq3hv#GI_zXyBA(x}fF#pUTJLp9nipBHYZ zcKQnw8t6p^WTy9?i_k;xI3&yDb6i~djWA&M(2E8jeloW7Xkf9Ee zm@t`T<6=U{?yxf zT}s?*G$NF!XqB+AV4_9UF#jRJ@kO*q$~`sfP^}*$vqbKbuV_+yxNrx;f?Q0{3jNJ{ z1q2>`$J20Df+Hr-YfG+92jLR0TQo=^7|PH+Ib=N#3OR8QA3TOfB8?ACsH+jq_S>&23{=n0w(1xPlk0b@zG3VQUDT{Mr%cPzWHex^K!8;EAk5lHzHMLQ=hO)EE z;Tq%89nB>Pw)Krk^2%byvD%|b{?%^I_)pEd-`R-F4U%!Alby#VDw@31a}w)9lzg;j zQO@!8=X8vCS*pz{>vy7)@fn#3K_&f-iT)Q^36qm?Zkgear29_|qy}D>PtSF(T{*d& zYrx04{+zvqeT@i&g&`lfiYOR2F^@*G5ZW^y+Y=g%rHB3e(aS92e@r3}CW_QVc?*1c zSR5GtSm*iuiID>YiLfF3yLP+4relv97JhV5LN=6I2V@8U$_zn_&nVeewRc8+7I$J| zc3s)Hi%ICdd~m=ryjOV;Uo!Xlel}~lg(azOgO35~cuK4$+yg`#xR(f&gjSjkYX0c;*1h1S@d(U+9 z+iM=P$H}Mpv1}*zOAsHGYue!X5~p9+dJL3#&0PV|QLX>C;#DotcbBHfS4~s+>xjkp z_kU4z|FdRcCt!tV{G(W{|Ix1g|9)k6v@!hWm3>Kl&U%9l#cM_lVO$DIy7&+I#W@#H zyCX1DVJc$DYJq@)8WM6iia1r-`%_2a(Yl1@l#T+w85q}o^@4q`op zpAjTmtyvpl6LEFb9*^zq*qoO03|kjqU2qO~TP#CK;`zG529?gn@_bLf8A^_9KKq_I zXOUvzB7arXg-;>f@-%?7wN)(5>9N<}M8#5W1O9CAOLqb z!5h$-2ga_!1aY*>up3Doz$2MCjCPiHwAO~lP`1yGa}5OAAL{5x^`$Ks7XneMu4uWL1l%Ws3C0Xlc_%iI2a^;e zynpNsCD#pP|WdYge*2dJ!;*n24xjUmN=PLXGv*}Jp!J3Hy0WwIZ%UC z>}S`i+!kFDP)^sPzTcP1>B66d3*UYJizB$TvW3&y?bhQgz~lvoZyl8~`)>>M@t-A4 z@FmkHb>&^#A`OYn(BYDRaMfSQG^|)7@!)%s_c3hCan`TmGH_HMM7FMK4`Lyn`uBJ| z1EdZ`Q6cQC*pzs0QBd1g*4@ik3C4qG!?v%ccbQo5H*VD)G;gPYC^_(me}8xsjF#&> zUGN2L<<0~GPijKKtED#=@!iEOFmnwaEjjmghf>AOdR7^?jBb0+hiEPUXfS8*NWw|U zXV-@Bb$I`d)UGA;y3W!k^#5FP`=_t{{5q_}!q4in@ef90`WM$6e@ZTNf68smY^?vW zSx8FQuwLg!2)_A?qNKZ02`gYlY+H!bln+Gi!|H^p1xLQ0YVuK@WQW zTSgy*zs5js4fd8|*@R=@c{Yjv8{9PLkUu+Wzc4!EboBS_A1}TF(S@gj6-3BtthjJD zX{Kn8ehY50i4bsuqC!FVhs<=0a2|5+5=vv?Fpy99aU^tBR<>OfU~MpuZ)YNqYQPaq zF*xYs+*gpH*Joo#Efn8?=pT#5dTaWP7$FS^S!G6Bsv!8>wEcz0+QIM;-{9BAOP*ev z`In6nT#PO1AW>K9wdiiK&&$Sp*DT00fg{dJdDU#AX@%YJi{9T29*@|Dbcz9W%4uZd z$d~Kt>W|~!u;xL(wy+HqO&w`z>D9RL0?+TGA!0&am)vdgD>$EKCbNznxhkLCHM9>Y zo2}A#i#-jovV3n8SWIVL@5R-}sm96}O!H5w6QccIyx=Cs=sSyq=9AVm>x!_^T!qrC zPlGM4f9p1}Jn8yu8Z3+G8$W(^*UbHuRS^wOZ~i^EP7%>^AseYqi_o^R4McwUNt+~b z|DOd-|Gp~wrJ5L$_Y)oyNdG$R`*+tH#eZ`%`X{wp4yjwo9dICgNqY~CmL#phlTy;J z>xdK8)lS&A15xR0jZn!HTfvdTH4prLdt#TJC!wXalG{`uF&|-$Il-KXBzG#|+T&HP zZD~1?Weoi^NX&)PD|cG%8{zT3UkwsxEpBH{H5w(LF~M7SZE1!b#0*^Er&|17DSYy9 zl0ctD2fWb&lOD|~_AMLU!?0*u1Dr+OI+H*W%iIc0cDi09PB?Y&2uNZdL;35Vv^X@~ zuQ;mWuV4{|G->p&i5`TreL6@BccMY3~@wq1+o;au_ZlG zxbN6Gj6Dd=+PIM%%lm?&6mm*&`0@Xu=AtB?SNvc1?SXV)O)4z^azg5so-uWKXM%7M+or$k9YA zvQp5ruKK3MhH-F_7nmSC*$5ybb;VgH4D131vgA~co}(Q2!)JL1FkvKjWhu?Row7{P zkU=tf!pU(ATG)MPGp!H)fh+i1B9 zY#!hj@1+NSSQnGuB@dZ|TT4K+2hYBw61u@DIYF!MIm|mQ|B!dk8LEJMH+ey?lnI)V z?DV!m)AfVrx<3XgRt0ZHA9}^e$I~2BtfYaWd8OPL`?(ooyj_dGlOeQBTdB)hKjhLd zdvG7UpdY_HG?wQY8Dn#wKpOOYDj9{X^;gZC&JZ-|$Y*?=0YCVl7r2SaR^)e4T(z)O z?V2aJQ8^y$#46&Ds#qR4#ca){8+h+6qlfnZrBy3^CM-*XYL!GNLbb`hWoT$C>JT~F zy&!|#W!_81@q&3KNW&u&n$$pOgJE5viF=6}h5B)SYhpI>UWTY7dee-iQn1=hC>Rb+ z^PF>CNpP|Wm0&t+%4Hoc+AWT=x=6Qhm%gTLil?;h{B_*L!NuX)LSA8$BKx`$DHDq4 z;{3&%4xOm(Y$2ia44-ChF+**W zv_BLj5W-o`Rr~!tpIdyZZNOmDs-}%yjR&2_{-|u#IdA8@q_UpW-n5#Twn{z0=xRy8 zk~GgNYan8TC0eH%OC7m-oZ&7|)>f9`slGnQf)hmCvX!f9+S^eT+Ok~trs+uZ_hEaP zn-y#MYe61tY=rhzdwa*K%P+3!nK%hHsvqi64RY(5etIt^C!ngO0=3f13L%(h#hkT7 zB`JTbN0o>6k9^0Gd(R^#3ha9Z>FnM*h<%1JvboSQ9uP_iz~UH+zDkRYmif~iVz-p% zrpQUgJom+>gZq+oCYVe^&!XNm#Y958GCkeA20q8^$6crK-(%0JeLtnHEm~0Zv$uNQ z9vjas<73p@HdlJpn!q*crzg?EEJ)V$xU6&qx4V4C-oW7GP$$odNhnW4u z#pND<&c-opa<8Wzv}(1hW0VN|y08EAngIa#XIS)z)e`+lc>w=xwEx1e=wf4UL9c6N zs%vI#Y~#u33H9GcSt+x$@_)?5ynnds4_^KMBzymMI!k>wBYOrq<3C2WO^GrwKPD)_ zH*ct_9)3dSB!BrgupNUy%1xWBW|A*BqBKU>@H#9>zFmto{8XW-X_dA2U6~#xu|153 zn=D-y1soj0KS@$fnwQsH8@7DbJ=bW(C|5dDelL}YyVcThR;4kiC@^3PY2+@sX@zW$ zfppnvvi=g18n>{;MW?h`1a?d%JQ`=2XO7)*t}?f^;klWW%aSp%*wlpJ4Yy6^J(`Eu z>0p>u2!LWbQqVDVO1dXR(7aCKB(<0oAWsZ5aD_p;E#ShuAdLX~mc!Iwu8KyVX7pp*8XN)=g^}a3F+X|8Gv-spfgrOkiM)<97GLVbt7w4 z+Cqh?OrQN-&apKB*t0s3)N((6!9vhWG#xN zRZu2}<9^{7RD{neGi$Wol%6Op}RpDCalo1Qm^x2@w_g zW1BCEZ*j(`e+#Ec_iJzY#ibp2epBPu&lSr9Und;&7h9|Wzjqi<=x-m zmgCRmpEk|H3BTXpi07A9R>1vg`>`|y1%QJ*Gom5V1d+@4cXqOJX57!Ek-t30y^P*5 z$OYkbchEyCjAZ!7%_+LKB0R>05k0I_30;QfJG@Xk=k&zvCYq57!tgOGlk7j|CvZOd2n5Wy&5UWZ!|J)F1KA^o8h zROwm>L@av0*F4Ley5gzsQ!gW)DwT3cwgN||tmyVw(jj=2H5saETgpVg6VkKsp92Vc z+_#5%xgUzIZHOr-_G>~ODlg`Q1HyDDHr$jU?)rQ`PkW0_R?TX+v_Q7?RIb@qO3KA9 zp32b?pq4-gBF=XCyV)K*1!D|&@OpndVgW~pr=>^v+VV-ZrkJv{BG@>J#lu4p-Nm9t zQP1Pk@Ef_>;LFzqopxBT5IuWd4sqkqqrZiZ%uBcEgwEy2Q9a%YUsoq|gty$l!=>(8 zYEWf4v^PXqD_JUs#r(!gas~j^y8%qBWhimFTf_D}v3~RBCs~sv zab>Vlae6F+o|=U=dxNt^nApUFY^BL0w864KY%J99qIz?iwEMtdUO9GCNVG0|aV#5@ zPYdup)~%({TCm=TRN z_wnW;`m(gOeR*r2c@>V#t^$XeN<7`5)woYfifyulRX9Py)^h(*e15^x*(OX&t$3cF zjrjmNfN3MAPZ{H;jDc687tu&0pS2Klh~)O2Ka)1!FljvMc=tpRn3LJ%TvU^XcEC}N zl=Ag?n2i=?|C1~1N7544jv!g(Ck!`|jiFhNu%IT*>+ll^0P$a9@k%)F zL+`U+UiMaoSoc(B9(uPU-A(pGn-^zuvtiBQAG$J}B`Cm`q&G^?__iMRg<+0UU=DWC_4kV2s2H*Gv2;**LM4} z{whHo+lNu9<+>HG3SGcoSQ*H`4!d2{BZ~Z^#tyU{p-7tA20ZEWTU1xA+6P(p^8WLr z(df8)z~SX>U8jUrV9R;3-IT+LV>{H}zq;S!EBm01)$K#a=Y^ux?Z;WP>vMHR#z-yfk}0)X zh{AOaP4;{AUy~tlP2daGGS$Yc6$un|`{s~b5tAxZ(GY!QQt@b{jA~>qIetu+F6%n!Y2ipG9y7{*ITn^}vZMw3i4s-&}%owg0&pFXCJo(LAk%3Vemy=lIQ$e$S3j z-Rl+g21v*8oqV3^&ToUekd{AF{rI2Tyd}`L@~T`TRvkAzQHt-@!=zm*2sinNV+U8c z)*K=ANUhg0WqijI@))Cz(y3SK^{;z6m+!E_axtJxwB0VRB{%poALr|ef9yl1U5Mx| z0gCX$JtuAVQ1e~kkxFV`_FxLQ_D&=ir4yD(Vz3hDQhvcHIMjq_l6Ik8j>{8Vra~|X zxgbMm_E4B9Xg6;6e8!B2gwF14?U;;eITOivDETCCN&O;S!L@{dg9TH>gl9_}G#1Lkikq*sqri;Y1`bf z?t;AG(9}!-w(Stm$P3hMv!Y*?Eh>M$e>Q2W*bL=grV-s+alKlB#tHaaC;wPuo~@sY z$ww_yz`r#M!`ZHgp<3SP?;<8nZ&FvrZqHX_ywE3%(uu-u+|V@w%AFKsQ)*$c?9>F? zbV=rt)wR(Mcw)MyYqNnryozD8TY_H#+MXHZ1<{^nd7HIc;aFjJ`+N|7RLu)ieJV2x z$h@M&R$8?5xy2cj3_g!#BXo_Vb;HbT)>G()4NJE9Yd82Z>N&nkeSIm(4}))KPNyaM zEnPCA*lC0t)q5@>j^(G_w)d!1vw4391Yr{;$z=T(ndks{r7n$j#vyG)P=KeO0`!B2 z>_C;*r&XM8DLVtm^W>A<$X%Aki;=7?KW%b`LL2AQCLO0h>~i zXvz0Vy>Y-^_m$DNsoe`*s!29e>T+wf7dd&CXLC#PJL@QP%z;fjt^iZFufRy$?e7(}gJY^|*Kp z2H>RD;m1p<^PJwyg`Wj0;Gw@RP{_$knqyDcLH~3s(GVE+Oz@njw%I<-EVn zcmcC4(pEjDdJ==g2Z1AIt-v7JdRp$8q{=n8$D~xYIihP%NE2#q?6@JlJ!k7))x48V z$&u&p9kSyDTY+L5RY{vyEt9WnN5oky~G1G}ajnRp%naqp*F-1mVt+ zlJL8_qisU)3GFhz*L7Rd4%6=#(AuE2+d1c*>?UnqQy6j2R`=(&^Rg3yWpkJE1m1AF zy4QQF+)W#}_!5iX@dvO4%)cpjwKTyS3~|$i)axoHVPFq*HSj`>QkHiRClfI^|N3V4 zJ|z#&rPb%D&-4ytP@K_k)bBMlrFs7fr@pnU3Crh7bJ7}?fNp7*h`^^OyEwQo9|rO& z&z>2Ql6@>(mUtsr!JA8=$@sFJdj8G~T5WKn%Z%zCPFRz{DPnxa8EWcsq`=^Z-8#SD zDuIXaf+@Di&OSXd+E0zbFKG1O4Bs=lfDSuJk31`JL^lM6kr&ZO^R(1!EPf{wOcK6A z_MfM)N(TVkpmo3IJ$Id#3BXD!$USWmP)+zKZqf-dqr>4@!~T@D|C&iC)oqY$w5){| zv>n=+Sp|sn1@Z^bqv$7rfVVIKZ?TGpXv1x;EAY@~(gOeq?*$k5;)(y#@q7#Ci>U+L zxe>he#Bs#)4Gq|nS>5QpBC!_CurhrX!cZ?pw+GA_8TkuDz%?rp_%JizJK?Ku%K?u7 z05?G$0PJV$3pumnl_+qZ_~^$E;R|k)|H!^f0OtZZl49}#KJmJvG5j55^hu5O#}~(U z;B!n90G#k)*GQlLP;j;;+5!>Nn$UzWuSe(>9ifA#hY#jR1jq*~9Hifm%WAyKWB1W#UA2#G?Qq?qVDCG8`4J-OW>nR6x44^9@9wxXP zh!`2&;9p6&m3I8*Cl1wtds;nMB4kXAEM-7W~llnRajU^*3-Bbx3 zIkBm_BeeBG&L1_$_c?$VT<*~wdoM$P-z*jYk=&n3__d(;YaFx7J<%ALom3<+Ck#Ut zZ=o(UbmquQLD7V7R01~JI8+}3{M0w1bXI;2*!l{eN}EGbzsEm@8F~Za6F-H{^x_%? zVKw2%DY;<+UrGEZH01GV3ARTn`}MzpDr5w@W&0;9`jt&WbZG)!j{?^2gEabks=i)s z4Zrk(U`~L6Qu83Xv0lG%nOyGq2-EpG#$Fu0m-!!heR+hZY7Y4>9OtHX3`4dK#R}@I z87_yO6A8~m0_dODb99PLX@RNc=5^0=YIkZ2iXxtM(6F50EhopKR=IYv{scSEZ@lg@ zzCLKL>{}=hQrc0Y6x$P~mp`Z&=M}^8Ks5{-%P9k*D7-~(sUAvVVtF4`2>aZ5SnyvO zj0n;91B)%be)DpC`gHB?;11kKdJM@7NnCJHR+q>IRb3rT2IA}7b%DqXhQLPV~fTYsf zrz6k(ZMOkVC*hEeWlU`&Ljr&moFxFY?GY!)8RaJ|S(K8g&v>&WZJ@qUL%&y3Lu#lh zQPa?}s&WVL84{%5zXdY{$QA?2(*pp41f2$!M)1jDSB4Uc&DPcw1^hOMoVW)wa zpmPYl1}%_q{bJ=bKuXW2vwpdC>Y>>BD{vGm(45#tl6`S`!vY*72?h%Vwl1M;S*%wY zhHo56ryNQk?3>yKnLz50BKYOK5~6)_OjwrUUPoC%TrG{Y2ek zMf#p3K5nLY7{Z_@a10+pW%wRSHe|C6BL=?!j@J02@PLb=7@kK91p_Te|DGZN&VTJ< zk^fDkzb%9HDd6^F_9a@yCI;JP#I;y{IwC6ye&$>}AbWIQ!SEzR^qJ&k;_tKOIh5S> za-YIH{t%vgdq^Urjb=VkTGJ=afEav_$-R+t5BH^8!6%;jmKjNhN6wRj1>*Jvwuz(-EB*JK5>*&kTL)*&mU^Pf6&$8WW;;W|qO2xZrg8gM< zN|3^Z*Kd<}VQnZajjN;wxm~wvi(6kLb8apAXz= zl}PtxI23kL2MHATW#r4y;(fa7QjFl6>u7h!36ZNV=%-g3n_l~{i$^Pcik{j8B%dp2 z9S9MspLE?Sj1;zn#l29xLr~7!n^o$oKnh`!?R;S<+Z$J#3`A+L^U zIo@rgxV`YkNCLU#KgK5vqnQOYoR$0s1iB1xJG07Om z&Unz-XNppYrU|oZ7BuUSQ0el!d2ll%Phg5M^Z543a3{CP*FV8%vfR(;In4n1g)Ny-fe9umjGTVd? zwc@x}d<3IK(vj;LJcj03A7|@zpOXKGN_Rf z7(6uAcWX}P^5Q-!Ps|IH4j_*@m6^}8Px5h9Z12+GK9dX1o!f)!LV;bEI)fqi%l4k> zz-@lQbtcipOu9U4!}uKVTG`Ez=inx|R@7rR--JDEv%wQ?bJcvARxuU+tV#_4vv3pc)p*>5z< z1Q>cs>_&0b`>3s=s&Un76WuXa$0ctO05bd~?(GlG3z7)Clw)_s`ZZ5o} zF5Nkt2Qh1;rfzd0bee?ab(Bqk{$NrIVccw-$0at6Dvc`%Amb7ZVJ>D^N{yLpdM}T# zf25B&VGvC(vzN)u)+QD!!eT1U+}A9!dni1(2V}9+Iuo(p1qB3tSM@3SN^N7P*EY0i z$DVy$xfP8JW?U{zGhg0EY%j)0*W+1dm{sBNdJ{P@ZyqIo(BD2~oa~lA5G~&*r8O_s z3?^{`6w$Q&U3;NPB+pXLl%?P9>elDu*2z{3>3$U5E9Sd7h~wsrBX_yEb$9zTU0~Dl z?lfyKJCcxV%IqG-g5bS@=F@WB9YX2GMViN|rP(a8l(i~ueYp!m=nbJN;GJSOWvppk zQ6oE`Q-`k1CDXZoIQ5u3y@j8SHL`a5i%~4lhWLi`(ryNBYM6!}3Nh(8$a|90it(bA-ALB^(^00aEigAep>`(9DkT+Y~C zb8=of0arqQl8Kt7lSAk8mO3j$W(&=*9$F5_XBXUWObD*HK|h>-J0NKrV)XAgwmQaN zs@4Wu&J4w>)B8KRpy^ta`uS!;tH2!wN-qxb?32s4Xp5eB{6nNUV_v|6WZdbq~74 z3rp{ZHhKZfX-2N)y_cyN0fj3~fviSqCDjy9nY3-c(%EIdVN<$F*fVr1?<_lNRw;#8 z=OFDsYYQ*Xdm1n1*g{-}M10?*PB7Q>Zd49D6TnqePeh6})b54bp-X&tj&zRr5x}5tppt za$VF29rhSswwaozseVyVs1#Dnj@u_ycG{V-$BX`hSxY)ez8ig4xl*?Hzk^JpY-Vhs zIK##N0x}20iC9~sBZRS@0CW}!5sk3UFh}V-R9RcuvRz7*$QRbIQqcTkh%yW1gt7KK z(CHi>bN!`B%9*R^klRQEC%TwM{=h;x3QCy*BIG?7gqU#1rq!<@X}+94PJTlYWp%mm?@7wRFB2aP&c561PoF4AZ=UH z4)_npguBxNsE~qdi$~iSl0x3)6t&>~0Z*d;13Yzgv>7kgc5JvfV14S#!sE#Q8^>(P z{fA>(&Flc}$kC)sc=Gm8{XW$c^Yf-Z8PxUpA|}R<68+wCbhhrldlcmg)3FlHsX_&D zZ32f(nOQmDAs)&>#jBTjP_pgrv$Rnj^`F|Nrfq2XA(>Jo(RMf@Fj?dx&x?}DF>UBq z%o#DrH11*bHvb(%_1>!CKcD~rT>osm|0;(1KZni_l>)p*LA>~%ZD&0dWo)n*5xO3z zMF|R3fjTGXgl)~GJj9cbP)^;Bp0Jjq>eLSG&LrRNFc%6H9Y)89-@K+8Mh+MqPbH|` ze>o}PMlA)*>49j;EQ9z%V-a2Ta&rj7@{tI71HT#Qpk45UxFyqLblvEfL@_M}co1qH z;IYc)Mh`3I+x)c&CKu&293OW1m98XptN(y_zf_)CTtRZwF&hH8$;BEVWB~-?Qy3u( zJI~5bn?vYoL_!`sT;aMLO@hfLL9kscR3Kphf4GCrGm+h}hG!1TSevO2Tg1aQPYH`I zbhQ-Al0C5W+ifNF5VaRy;EOtRWrfbR5!pNo=r3uHUC7L`zln&nGcx~_Sw?C6E(nj{ z!2iS9S;fW;zF)cxHq6jq!_3UkFf%hZG+|DrX{cf5OqiLOnVFfHIhnxrw|nu|lC;_j zOSWanmgNh-=bZ068#yI=uK1kUpSy*rRalNDl#PX)>pk=x%SJ9;eCwNlr?Z_o_QX$i ziY+AAyosrh2c_pIF^>__PQ$WH^Rg2^E*!)tAgwmTSYR>1=T`&w=E*UimXzf1-9z>K z2TKMuesN5``>y9PD97j4lJ+uW6hn9sEu7pWe-R>AR2^4@nzl9!P(z~Q9etTkKL1#y zM8w}DERRkPA54u$ygKdfnD(PFa}CTgpX-w~wtt_(=V!Z?4Iqyj=VSd19xAbLMy~mr z8miAP=A3d)VQ!ULjE>TBR95NX$iD@tCsn{`c`50pjnpSzl_8eKsfj61pYsKjp1?^l zIV{5t^?aqe$yd51(Qk;5^R}h4+$)WMdeb30qFmQJ23;k%mA1ik z>%FKIHg}g!7ahPEQmaNQ^Z~1E8E5j!h}7n?sL58K7Q7N87N;^OND_xmcj8-sDJ~C@%(Jmy8jErt3!Qt{mkyN=X=)FNlpo7goozbL34POjSFX9v z23DQUt0uSh-s*>=F3qCNaXH)CMSe)wI`FhV?(iw0Nj#_NnI=o;CND(?%z`f(E)RhD z;ASlWAo}n1p%coL(|28ccmduBD<8JEeIed2LK0ojP&1YXWs|$#rh1DK`k!7c+NQ%n zVt_v5b8#*~Lcv}tST1|9KU>|WziT`U2%#aenkN)nuN#WPb^-fik#DzLpM!I%O!@wD zck5i7){F53fF1R$Jt32* z2$w{DCz(=DoBU{ql94iHd;nR5b_rO-MaxbcB6QA@hw~Q2T$n|D9_}HBi8A6y0!`Eb z9R=4uxx5Q{F-BnZQZ^uQ?O>jD$=HZG3|L!tdjlJt4BIeTDq! zA5r{rhw`)qv@8&%_RbKz={x%`;*_@(4<@tE&J9#YfMh>0)%^%|L}5&PUL9NPvZf9EftXzl;!HSJn1jdAEcT6K=*ISZDKZeEHajUevLystK&xj zSYYI5PzWl~pe!HTbROc6`I;~?*~z0HWSjWc^^hDK6hQHo=f1b_aGs9Wj=AC=|NF3^ zGdA~pSU=C@O48adH4bjT0ZS4_w+J$21$Jsvzf6~;_O6ZRB%GMgCh{sU{S4Cgq&^9E zeBiD3GugLt_H`xFk`n75Tei}fci%nn`F@I;R^8-W)ZHvEb}&$cqRS#}M*xE*uV9Q# z62I>6ZoJQinV4>}dENN=aS=UT2KRYxhL;};Mm@QkMR3R*^;DTMjx{nwvw_H+9Ogz1PlzA_#_qV!hc1*AtohRUSO1 zNA&JI7K1^NkHNn#wKB zmGJ)2I4eCa;ZPK8Ff*G-aX^<_HPlob`kuvAW|d4ig>?>3nb-SD!je_O%nEFpAYoX*sLaQ~e1c4*?pbNXZI=bzHm*rXug}Vg1QYej-+lw!}#_X)t zdL3Kz`R<^3oMOLC>Ayl4f}6=t*f!c`9t0O1b^bPd*hK8ly_S3T_2e$fqdPtvooP*M zt>eIYTsZCAoTgs(LpTIAI(_Ung5*SwHEqK7-^ptq6eu`t>Qyz4#AKt~;yzz1Mo=`y zn)Aw>sR2I5p1zY~V_YvFz=U=(Le&SSrLk^N%))y2V|G=?Zk}&tSTD!rD*x?=)xf##l+-PIHsqyfu_+i+JQNFqX#T4c;{S9!q~UpiHzi#ZnYJCSW3HU2 zPgcK9me#)3zuAN{$(cUy!d9>8&f8uRhoyI){Ljk!FcIhCqs=6(rR~*BSDhhSxm624 zeN1We{y3Dp(aYxT*~j{(I?q!Xv-=R^H=vWYB!BJaIsDZ?tAJk%hH3vz`NdvLjmv|kI;WTSWjgiIs}N%`+IC{1 zaG^!juRN1>U`k7V32OUbNvMyGBT3D93mkm2d4}j0ou4UdWv!H7H{D9RUaQePCzSP# z6&kO&56$>=SC06;%wKqecj4&$=mEG!>A_3X`D_E9dT30_u#nEgAXW(`cg zT~%v#*WV22(^zJJ4HTx})Ew1I&;&QKU>I~8S7_TQ;1cvk7&umXF^0%hmkMZ*yC6$l zWg4lE1h*zS6Cr3;+O(i>TTofwR*fVcID2c3O`Pi7nzpPkjS4iS>Xp}Yn>H-BJ$GNV zZz4aZi%`GB2Ab+72A=@D_8LP#D8q~IGv zVuXwF7!b!(W1Z_8_!^nI@+~!q=+sN_A9(|{qdEU$)E5jgDlZ4jJ8TQ_g zd$)K^83TWAn%Y0dC-Xqc${&Z=0nqZF>zn}6hqs8k^UV)>F28G6d|U-B zUw?4VR3jyFgeHNt1K$+E#EWg#T9$sx$@yD4+>lF$0k}jV zN%8#MGNo@2H2>mS8R>V*Hh0I+wz#%GJ7p_q`mhTKI4gW#@!~A1X-nxwE^_u1s!26{ zS$j2MyK-OZcFvx8*>(v;{s2?`_u)c@PI_Xb=FrLEpJ?%K*6`8L1?C$(Wbg>%6j3A_@{)#--YL~$Q1jx z7g!#3pHGE8t9+P>hLAmulm6X1`D6X zq%tN&7>vICQuLY^%9guobStSbDQ=`HGYT?$1}i19i_)pW_NGbV2A5{P7L7AvTp0ffqavU zdD9P=jKi#in)OxO=!_ZA65ZuCLVw1sO^%csw(Q8|ds=VDRIKa$W}-8`fe3bMiY~Uk zprM7Ssqy%Z9l-!!1RML3FM6Q+Y;F~=CCCe)Gc?|bwBF?^ z+W{D_VqnFs-65v%B7mYmw4bh0s;4hFRwusb3!)!iGsydHsK;nqz$=G~+BiK>x&_JO zpQWLW>)3+x?^mEuSJ|lh?NMXL`);#a)sT;V)J|Wt87WNXrMIozsd}U=h8?P`ylEh4 z{qF7M=cI3 z%y^G*v4>f*9JF|=LkwEWlb4au739xv!yV{napb)s;~FwmN^CzIWuR3oYb@iIiS8qg zx=scPHzB?ys=6dNwHSH-$RxbaL|P6f2-2i7r->=f{HZ%Y)2d2JBfi6|Ck0U4Ub!sM zQESESkmNWC$h@d%uY$Z#+})uL03ut#IA%V&kx2_>27N^KDxu1YScOHYnf2zz{ekzW>6Nn65#2eKSdwH6H28TYK#570qnpm zyEH!eflHr8cnZtK^8RSKI_n~@f->cZk5c!VZ5IC6!!=Tc^6GT>+Bfs=JwEE@N&UIL znSL1@NX+fNXkf7VZ6fj#Ec-d`lxIS{?yg_RvSzE^hpZ3gs$bxfKN3Bgz1OyDpPXP` z#|z@~j_*2Ui`1;EL+?B5WQ^3#>A!!&P1mlSue*iYYluwMChDu;u_aEtq`Vq4a~_xc zcU6=6)}6)FL88F|)InujU;qWB^Og?l6J8V_u zlMgSYGx60RW#}<_Vg&KbTdo)BRM(`$+bgIfUI{d+>ix^UyEYSVwWfT=E?s(uam}#1 zw!x4j1idK~Wn^{H+h7ZhKk9zX8;H~3Cj@NYpzG9cZhnkEq_pw%qC~%6s^W_0YBLKs z>>)_3u1Tu`Hda)7FmsVn%gX|NA3El}4_o|hwe4LOQ?)vEi6ktxb*~<+5g#rg@}7*3 zNXk*N9~TQgrs@{}R+sOBuSfk^LD zXCf!y%sW790uoi4AwGcr<8yp|?m8*`gQxvx5Aw?oP{70+-9KQOEF{!F?exq4DE8hD zqqSAV{qjZO-^Tav?Ek8iGX3jz{zqeUcC~eJ{?GpZS&6q}bat})s(@Q_^j})4in_Ww zHT5Wyv^=B#ObN}+uT-8AJ><%N_xSfX{aSW_JSp@K{tqmMYIYH}9r5hn+p=<|Ni%rN z3Cs`C(gksHe_*Z~zcRb8ipZnl70Ht*Ip{a=l9YVHfFR|^tbmZOV_ZhQfYlnu-7 zC6;Z)iD^tbA>H(UQY2{2>Fa4hBjfXPg|m(eQbBak%EG3Ftfe7|(3VM&G)y~!rxO>U z68TDWE*e23G{AtF(v)JHWTz1! z{*v@H#A(08AgQLwQnh9)5gf0`?Fa4{Ne&l*6R z6D1mz7FimA#W0iI5@7977DksH4jW`8M5n4ouAMW&<@q9$izgCq>$~i7MAs zJv%@Tf1NVY9>h{eKSq~*nKJrSO2IHoj>lxUXYqPe482oUX*B=6?ZQxKE$lp2b{ zLM7p%IGpwub+QX6lwyv2u-W>$R3DA7ar5m=8Y7n>gru_Gr9xdETt(UMRBGdAuZlP5 zS%O#}yO|Km8K+tv`f zW@?bYPbAA2)`<_s9n&era<9->f=2rDcYwCcs+bJ{Q5#Av(gd4Thh@Vgibpznd4+^) z>R_sUlfbUg?6c<4nVn0sZgpJ8TvpJ_=#3?+6SYWk*_LlBJI!Z($~QqMwN8#@ZG6p- zeD7)-?~S6i8#8^y2aFbrck%9-lpb)#c6#>RCm9<{Cr$BTsWHi>PAKfxHHq{IQ{FY% zK3mV;aX93Xg?R|m+jD{cqXQw8QvuhnJk4kHEA~n_G%XueU7Gv}FI^OPoY=1E+!O2h zWqQ&Ul9{-r&>FdMKY}^yW;u-6VJ?9MSvhV+@J*b;zUx9Dw0o6%Sy&q?A3Jg`3YvXJ zniPm0!we2ouz5vNMLa+p(L$a=ev5}O3*O+{@ggw9vwmw>934B+1Mf#2KSRX90Gj7A>HAx&Lg(af@SOqGH$MQdd)w45~hI z2Nx3jB$o+W)aCT>x==?A8IrzncH%z(_P|N$gWo#=nKxlfVF{P=%(kfXB}Qh`)?Vdaac?x{Fi@nFltQk0~F+P+taNt}m^ z(CjK#-KBpkD83R>u)j0k_8RhLe8pu)W|%sCLvU5J@1+$84^<`H_v1P1*h3w?;n$%u z3oWQRh9jSECyK^LmzYTty<7NMJW%ZWsw3;l@JwNWN_Ey48&6R}0W`K`zq8CIqIYw# zur%9QqHDY8{2sn=g3qCrZpH>wXF_Z{KW3QbvJ(747k1?w#%ZNQJeAkuqY9R~rkVQX zC#$;`y2J+*(G2eTl@-y)(@^k%v5E^zbPzgdE^x7m#KNo1umJ+8x?A#(k|ABh$is#| z{`v=D^OVI(v(v3D<%!0&23i1Uyvn%)wOEa zPgT^fI<^-%C zfU$?pfu_Fi-?{c*Bi>Sv9Wg4lbb-M`K+>c0D1sAtxy34XeC=q#p)>cTuChLFx-9P> zSASg5o1|yylkcEpS#RpR9LFO;0v;0~s>t7q2vpdtr##A&>Gs4V89+8 zdADL1Jlk1E-JX@jALhvIvu?>Rjcwyicl@-_cCakm!jC3D*Vcij`xY&=`pVQSe$B%=~2E~?IaXN5<-`0Iw53{Iu$r>nv|AX$790IR9dlGOUI(1{D_CzuFv!8k;|JzQHN$(3i; zkOE0kA374<`9tS%&D#XTi^IRPO7ph}nS&|&(Wt*eczr}{hLT-_(GndaIDYl4G;~2L zqTz=EylY2EIN1gy?$6u+Yht7M+97AHjb9s6j}Y|eyiquul5#3UpZ3qbRGL9DdUJH% zn_3p7&X06Opgk@>w3gw~f0s#;z5`U%SNb97Kl4HEXPtVq70{JXI`pM@Z$UMJBB!(U zm8drr(Yvl1W^bZu=-M*4_e{^{g@AmAuK%beAWo4YqrI2=hU)8ODL}^#Vj#KaR zpd(i0l?9l~0RJ02;v-*m(PT$=1If+82FX?Nal+for^gWI^LB*?B3@9mbGPG`!9{c( zWR~)CCL`;l;y5+jICZ?s@K)-SPgh_nmjmtimMoE{;DmMapHv@qbocFx@BQ2*`+rVp z8IM(>tEE$(uhQ&0M2^@5fM1Hp!lb7sWRB`^;D9_6AF?TNR%Bynmz$MKY##`*DrW@* z8>Om8FM};0Im67cwLpsJB>6ct!i^eB8c&{yB&^6}X>T5S^1XsUaX=j7 zl|Z<6rTS}|7t6NbqZ?#5cB)9;9JTG@7$nKfqIxR#D~Yzk-UAe0zpo=iX|i z_oTPVCEUNC7N|EZDb7l%-hE4PE$)i;2u)~e25$Ug@7Nsu^L@WLZ;T5CM(N}iykpFUsUn`%V>+=h@O`r9Ei0<1kybgwi?bc2f4v+N(nG+h0yf#=g z%eltQ^_Zs33v6xY{V>|Cy&IJI?=KV^?L%F1WsmWJpX+T;Q>D;;DzcSXuJLWzYuRUx zfR!Q6TmL5yd;I2!{?f+r3a$r0xTM**E-p$m@X6;U)1MjP2Z6B^`ql47gEtsLsMo0$ zdx}8BLrB2+R>ezMPaBKTNDCZVA(fUL_!m&-7o0#LlYuPiL_gr@+{Q>542071%E z6T)L9;IOgp7*la37~z+BhAs7vGNuikq(8_U7sEe&QDBSoPdN=}nt^|LwSTaGCt zUZ`|`kiw;zCH$tq)EbQ!8cSad+A&?|vr$jKOqbK`$Lolxzm4qFZ!M-z3nUx@k0GEJaS@82<( zb+uhC29FkXfpe_i0XNRqimpP57(8&yWWZ(&33P(tUH29^Y#{Non{RO)bi$@$!7#Ka z!;Lq*^1Rd= z5jdQUW)9a1%A@kF+67BK4WyD?VwJq(mBYS$px3Fik|sr`B*LM2i?tGPkBW7GH@p6& zh(166S7}b`6R^vR{+arQr5xcBt`H~|Dg{+q-%shfwG-G}wQ{jhNx$xfAWbb|uR|F9 z)V0O!bJ7Ujy!qL)_i~teV>$Rf{%rYaVHPcs<+Db#qM0$I=bHZ`5PO_a28}Ps&><+J zR*uko+i|ymKOfhQ93xZ-*%3YD7E?E6|EIEs8!$!aJNESiPfr31-{F(QOeKvdse)|K z&^ng~>(e2oyr2bp50; z_{Va(hl;)m59SILe(Hrng8sCmy-wt7>L9<_zCLEN; zyo9OI%BvA}`U`}p!=hQPdtdJH>pHbpmlC`Kck;zlxH*$@ov0r@X8i62_YCx82Hx_n zqC#j-QN;+0Xqlhhb|W=!Qf4EKAb4sL@1oswy;+{-%ED`dc*xa1%cBkfJyWUUfU zBG93*U?Cn+lW>2H`bbb6ClJ@_eIb5Rtlqe__7?l1>Vx%1KYy3?09X4aB41ik{iloL zt?F8Wia&3lPM3^7tvba<);r&8&dVJgdN>r%BymcUJNe`Y);yp%Fd_(QDnFlq*d*mA zVc2u&TjWgKECG0jM6-X))QQ3bQx`vGfr)Zva~ z#$97LlzMT$G-1d*2*NC=oO_e(&=bm9qL93&B%nyf0CbQm9>-1|2?;GY!;_I?Jso>0|@q2!F6T@jKJ?{$7d;LGt7fVyY%s~O^@K^w59ksS`t!qIO&Jh_i<+67RSB)# zd*&uPudcl3n9vN02sIwiJA%*t`UiYng~NuId-v(md}mVQ<|gNs7Y)k!6|3f=v~Dgo zcAQ3uI~5v*bD#e%DOdUU9)}Klfpxm4_pWjN^`;~D+mmm`Buyq-YjVKy3Gx@9>B>6} z-o0;Iv-f>i=LIL^GI4%>Kjh)IG3}V=!G)txhG|-y00=2V3;D;OqbBJ*@!MD@ollh^ ze`~-XB_uCdvs|hRjJgzb<`GebE$(wqANVe*T;C8V zkQl-;v&`J}2TB-l9sO=ki8il=n`NV=S6G6<7GweuLocH@G5!v^Y4a^bmv?Pe*bo>D&g*i5mxnyfBhXmy^lbH{wMXvw>s4@hu_^>L9EEU+ zv$t!6A@3SpI{B`A2Qm4hd5Lhs{Vl`0=nu^Huo-R310!}!xJ-}Fm-jz@hgpD2iH~m< zwS3QfI^wPxcUIP#OXTib6q>E zw|&&UMh%F4*(N@Ooo*rGvTP~RxI7_0vgUaL9IV%^|2vu+HjF+kh8baL9>F+8JNSlI z7CGsS^qjr1AN;C}Nk+857te%)(r&=T)DZzYCF`h<`)Odn4{L3EjplISLC7#pF-6pQ zSANnV_Vy27?f8Cu6+ZlTuyk8U!nMbJY*_EyWA5yR(#GJK@hr4phq2Oo;*!+s@8CVR ztQ5=-W!4SF&5_o(mPB8_olEV?t4OghlQo$QcI^4j+HZl%_u*PGva zgdq4WbT}gXjDGYK%csgRcl_Z>qic8(;jVE9MT79T`E(gB?9dF4$cy-Zf?eV3#fl?3 z%)l9eO=@TQh0D6VAdo0B)2BGKXhz5vTuH>iF`CjRe$&S!VMo&Yo3zY$q$_PJy|r@f zj^cM-UDV%nS%W&>pFw01ue>@Fwc)Iz;&$b=Hp5^W_Lk!Gg)|(YTD0+e=!n+Ar!$MQ z);udjGk|Y`~8B zm*?48p@Hv8n(Pzjthd14s;@yk?}XR)3dTiP!q}GbjfK`kZG&{X4Z$^l&y&qlaaH24 ztG=`|wFdWi$w61Ts-qHx)s=MFcljg_I zV1qYN6g@UJFD0h9G14Q`U$)IrSG_Lsc`u26VSMY3G%d+ZxUI35W@zhv*&K$aUT2l# zYd5T3mP-d61F)N%hkLGfQGk&NjLf%Qn=1WlnjIeQZ+BCJ2|k|PDPY6Bdyy;u!w*q# zvFeci(M^CasL9>u`?3Mk>9AHubn@g$v%$N`QOXh{s{S8VzjpSKSW#-F$Mw0f#Iz;M-(h&&`k{)XWj&=PNl~{g)0aUn>5K zWft@~JxYSjSue#fA9$q3h1fB!PVb52&YObAHKKNVBp9adl~5Qq^oGL_g0!lRtzr!Qp;BW;fR=!k^;{CO$HWX}tY@6W%vo;QbYWVeT#x(1}&c5XnrZ@8#*{3HNo$`iL4>q)t zCo||u*KP8Z6|e7j+6CLx9aL&(*g^=tiMD+TvypU_8IfX7JbL#tY&`irdVX~dFV2a# ztyuEXww&SxtP)*^+Rk{hh%4P)*xgX>eN;q%aaj2}4fvt77ZK!c9?Y8-92?SD`<0aKfTVR;8ljw-$RSsA#78xQl5>p>D(MVAaoz3YDE z(2>c8lqO@ESLQ~8GoO9GED1<&`?r4hIXeR(F`k!yPyGk3`#*aXga%f|Zmj?1Rs8SF ztpAsi;QxzP@el1|$MiqDAV-bg4c4%}d|CXr{r`u`{{sa4XM{L^UBsd}{VyZLM`a@# zodp$mRFxtu#s0O`(7~Sy%a_~PX}|ku!oI2cp%o<0hsCuM?H=Y%X}zmTb#mprdg21# z@lz$vKye{~%5L6@HLY7Ab|!Osmp!)jH+GarPx7dU7wn0X>V7(V0KIf_gdk!gmyR`F zcPDCOKA6cFjvw8UY_I(S5N1ZRiiI@KPo_a#>CW;@-lLq7VvNZ~k zqDx7}pHa?#nexrNc`T}681v(Vf-v@UG1R6LkcL{z*KeOp%j%GpY>@Nte=K<>@Kkbb zXz8%@*c-Ths#M-_d51R6%#1;1X1{j)sH`eKva&2vL%a`vOXh3mf{DS>uTS?^&1i&U zg`fOF$llIZbmm~w2XimE&VAQFt}x!Ov6SXs{g;U|jsG(GDY~uC!Ur^TV0aYx?z##6 zbCpksNWRf9i__F!0PZtR#;23PH@5sJ#FsJ!+b==Ag_bn8{tdtR*&`nN#5xsg?CnxL ze?S55`(#gOM<3wLvz^;-J;`cpZ!SbHrp#GcGgIU^0Y~Ohwg%{d+QCxLs2!JpOD!Ll zjz-2^5Pb)|aVM0mVzR_!0v8omp&^}FH8<0yDD>Y)%>P8o(`A}I2tMKa#jML0aiQS9 z0Yksbl{EHPNI%-UU`BT54{jr1r#FvO0hbUPe`dI3Wj@(n$V_;oq`LYpnoD^3oeQqq z?qt`fSW0}*yUXd89-OATADo8bGaDLvUVaZsi`UbnQqP&qjl>3=HGE)?WS! z+j1Yu`_008L=4)byWXdvIggqQ!>3%tfO#O%QZe*TNdzuB0 z=)-Kk75mP=xOSZ_kRR^YSV?)ok}+2O7zy#k{ep1XM4x1)aq^@q=dRUIjU=7Cwafm_ z;0?dM%@J%FxkK>xlFf$K3D)TEi&R!NUjK&t{Z`m7=O0 z@bPui09c=?L<>T#Bj)>hx6FK5sBTCYJ?HnA##po?OzxGv1OPTydEDAh z_RBOp#2_?}%YtPt>O|O)`&YpZhwp!YCz(olIo!0`d~_S)rBcFf1-9FS#pF;9btg1p z*LzA7@@lcCcd}b4(=WJc+w(({D;_=2-NFWVGJP7h6KBh$|_!X_H+cjt_F_ip-lFAd?vCmlA_U=SDSCxD#djis=>RPzL94#E4-rR}jl zb8I&I0Y{mIm)a$Z;;Nn0m0#69`{{O|-kRPlcN4HarX5=*QF)rL5-xpHk~@6h`8rWl z+>j_r)m(R#B4JmJ%?Ud?X-$y>Ve=UA#bY?cg|k8?+>{ghgI)lsiNz8&!_7xn|$)iUZ|{otosP& zsvzptpepx7YGNS0luwNLXFdQTXTXt_BHOSE4Y{1Ozo?Ysx8CyaHF}44x-1PVBhO65 za&v%>jcuPJpp7}glSz-ZZZnDTt|qRKjR%CVy2J|yk6qq3@-L}eZ*EY>vx1eFe0|G& zO!FsNrYo%apt0+2XHsjm1$0!m&iPEdHdG-vtNt)rk#ZE$X2hU+J;Ss~xXv&j*w;AY z_w0U%)cv!y^HL+_TQhM$j8`$w>8Z0mB%%*GI?+2Hi+O{uR#6lviLOoeC|(JxY3w9-)F-bp(AH>6V)$5=bp$Uu5itF zG2|DY;1NcVm9XAgnSx0!| zH5;RlswiV3hgYIns-AaRPJD87*FM0Z>9f)h*Jou$u225VJ0SKH6{0b4NKMp}k#`Tt zSx-q{*|2eYa1_ut;53_#JLtBkJ3h$O;do_%wt2X?Pnn@)!xyjEEJ=^4MX2PMi`W>> zb~)lT*{-kt3|EIK2g;bz1eJcR5Y1%E-g6Yn1z=J%Sccir3Bg|95nlRzAYG#0J4g8) z4l5&q>#UctFz;bS_ac}QMLDRgju?BIx%1BJCRkki^Sbe|eQ~~Fb;XnUC8Wk6Qq&85 zvfEb2-a^qozr>F*-4^uj1mR+k;p)<7$Lmrrs=Qx!1lI09N)!cZ65#_6IQQNq`4k(y zh3-+(#;2^BgJHE_4NM9@sojZeeqZ~C`x+CT_qt-C!|umbkYeOY9w}7@qp=aoI%wFc zh6B=_3P7DS_>lr>XyqABef9VC29|QGvVAH($?Qpq;2f9LuH;&hgt%<7f+`!St(7H| zG%}Hr3eNMMlBaAAFhS75YM;TmY^;P$Mdiwl$g0gBoK5{nFn3i*A6?|n)pBWv7>&c2 zfrpQsVJ^Q@iSlx;KN5O%jNzGb`A%EqHh`sur3akl{$k)f2gT$2i8+vk*kBwK-l8oU z8B7PF+J`7qV{;j{-m9J!i@YT1l;nwiJe8OwiPzGEq*=@WpWh3ZXwy%M>6~|;Ztio= zlQ%jVw4xUgy9Z5>MAi0XNhugelSX-bqJ^B<#2MiZcgoP@9wI>Ty+JSFWWk(XB1m>c z&ot&4LU=TVJX>RRUncKeO+N#kGp5wU9=f2~?zFL_8twuNqdtR>iK7BQ>-*GP>*}t! z!DqHe3B!M*j|rGUc6f*kOr`jSVoIuqJu$Tuby+Bp>U=%J{JCm!+XrP{Iir|iGMo{) zlUb&t^6p)cJP9}Zb@?ww`eAb_Uj4QqqsKShD`Dev2B%ioYBaNYUX>xWxCCo))O4 zK9h;V-U>*PR=5)gpgy#$#|qbsbv6tbu^Tq1m&YL}xlAgc9H0+>j6vFi)O~MB_8^KZ zta8SvhFo|opid<&WL|Ph#g>h^FrSF-KtJHJv|iovXuGU_eH-7Rgydadr^u!;UQ| znVOThVEDYVy6CDFuJF46d<&y9ezLruOQ7D(A+#ouJf&+^Fw+*#=TMK*b?1Fs1pDK< z#N<7pgxHo-Y5-YF**li`?b#{|Fpu%YcP{*2g*;Na%3`ZBxg{`^-rf4eRsV@9yEz$$TBAM3#E2_ayGd=Gr1=Zu9>e8M5hkzlr-?{r zUX0_~sjjdHyLlroiYC3eh|dzNVC`dfXRs$9p)C85vq5ZVTv0l>Ft>^+m54o_!V?5 zk<95N3JjJBn$rANl1wq~e$l)+^?Zs{Iwq5kPynB9#DU>z)h(UB2Jah782&!NT0J6< zzUfaH1kgVpsDw5hm@+Z&?yE&KJ@y_tiN&oPxX8(e@oq#P?AQcc;&)(=%0)CiG|rR_ z)=!)a#8JAQ8lGZ}sl01ct?hZlBXm3-48E1EP4|ulisyotBpdCkO!;5mkSE>~ZoU8Z z0&uxVLx!?b19sl|z*ax*KC12TU1}T&u<&hWvS$4Tyv42FKaPj{2CT+@Z%vD=*P`G-VO2kxka8NpebbyB8>31N64i+f5^GpQh#R&F>o`rbsUJ()Ardy4&Qx=XTT>#+&`NF}EdFKEF# z4Zp<&hE97~V*j<9jBgjVC#{sU%ZqBgprjUck973H79&}q9)iVBj4M7b1HS5h3pB z%fvsH!zccb;7LPSLJSJxZha*=PLR8UGhN zP#(9@9Ye;?vnXonJS-o!e8GM|uUQt1u31{oIxS@4UETeeYMciraJ0AUafJRpb9FuM zN4?u&g*fo`+&FWu(Urp%4dU`qtl7^8GC5mtX$hR*PwXIdD(7_xbk)cn4V}_+b@6>E zdg>Y(uR%OGrN47zG|3*gt0Z&f{ro@LYa051JQ;Lf&Mt@<&u@50FyFsJ)nd-s6*?cl zsAf7)t>P!jWke#mtz-XezqS4ZbCORkx73~C%r87RmY%vK0-)BL-TkqkmMYDm7a4>R zU8{r#J!r~ndzTqE(5+20)vcHCkm7p~p99Bzl0~@Z(0@Qiec1MjI&er?1hAJ-(I2q~ zwq2eBc4;jWAoJX%izmJzRyz6POTp)LMtZ}%Eo{k|=se8nca^3C=UFI9HlneKOz5#? zo!7HgA6QQmrDeb@CBM3|Pm~_Zh8gI`mMhL{slgF!m<8&&gqoMx^p-$*pT1{V z7p;{a1?r^m_;3TgwKQA8+O!N0HZ^d#{p!jH$(;ulD8s>P5e{pc379aIvj}6g6Lgyk z1aLTRQ7p`R=#pA^`j`Bb?&<2H2+WKQ-$&)o44-QV^Yle{9oL>Ug~cLrQC-Ei&RTl2 zGD9rxTQ~W#TlC-I3__7eI93C1XG5OWZ&TKD9_-u(JwRTJ`+BK%B#WY(iK&v+!64&&;P27IWvMtgzWyW|6J|2F z0&3e}&)v&!?OJ_GR(uY0{+p$ zcPyz3pCNRU7G|}MWpxO#y#;~LL1`+=Nlg#1kml5fH+pa&lr`6`;?A@pTj>x{SxT_gFpw^jUW2lsv(=(}G ztYseIw!Lzk!R3`Y8euzC3TR7D|AD(HunFC_9q!#B2dT27=5NBH$-=a2mqlLRE?-Cw zdSs^D`XOIRGsw2su?GBgrz}2uLN8J{VC)N7s@)@do%@_W`#eh@p!$L12RufJOi1ob z2RO_w?DOj=)+u8hP&c@8YVz}l?J19OTo`Yj6G|>g&Qi?P%ivD#N~8-N%jlfmLQe57 z;vE@Rr5ikQrC!pfx!fl;4eq{ldO9?=uAgPT-05BNgtN2|gPb&)@mfBdtEw`<$9e!` z-Xj*5maxSrIvR zqYGq}L#Prw&SixRq;COhDT;t!vydF#*5sVfvCq;hB-Xn9ztmOn|3=Tz@nXTzQ8O1( z(ie6hMfxf^Zyfm?(q-Xyy}CIn2!V>SCPR6jRIt~eY&iVh18eDEevRpP=RG3Q?KXjT z?|g3@6`f0~AHqV@J}VgG%r{LGu@q**DCwDc^*x8(0;4NFoH04KRg%D$tGs6i14qfe3VisvhZ3EgN4eIUM;1#Pv`ck4 z{-(PtHlxb;Lb=&9Pbawt*1z_8X`3cm9fq9V*_>(#a}LJEUe}U?*>IXu+QMclGS9o9 z>T8rNtOGd%<(rGa=8Dou^+IaAPtmkX5rywgDx{3BAeuOW%^qCRiSA&dVniPKoK{c7 zpItdKbZJLZc&V3c$L)8}1|FZ*kCa+EuIkx&Y;YZr)3W0_SK&3|VMgxIZR+KX;0<;L zdsUoZ<2t|4vJq1qXdJcUdocI3Uqyti4ypS!M+FBRYnE#l)h&)nB_yI3_lY^~Ux_6)Z@lZ&Nla+7EfMzqtmaMFK0q3q@Fmaq^aG=o$)}yg zY-7IYyO4+o6HrR)cNl!X_P-|xv(={(tjccoeBVkpoD;)+^&PQ(ScqYvj@)=LW%iu{AuUQ)jl$$d)hiz>bCC=tvMq1(6J{^ zClTL5BfDqBv*;bAHnf~Tt( zKKe7?ecAg8GNaMi>27q%eDK-oTa#>FN*_*^_w1B0q&u1x_vPmXS7KG@>R4$wR9wgu z6xoYhUv7kXal^%j9bKr(;)RjDW`in|k+OGDI@u}g?KeRQNfPnMSqLoO4sm~9F!7dH z9p}skRj$>i)lXoN_kH3(Vc>n54<0Kq>MpP{*l@#+toW&Vl}md&gR8d#znzqq^&Mh$ zE13=i?d;gSg?|f`qY}3mb9~(5;NNexyv@g`o!~qB^A9~z1UpcM0FV5yum1e<`iR+IhW&tZY@s&(o4n_KK|4(46Ap*Kc%5o2eVUnMqL#=vBBy`8+kEuKEn-k zGl)3HT;0xB0#K!h`<`Xi?^h?NS48WCCHhw%-O@SK_ZkQru*KZh!u2+hA(T;L!{Q6e zBJ$lwppD@T6X!#p!sIgVjOM4^(P=WeXUy_l9$rYAOZvZGM2`aOcFP3p)GCRc}?KuD8Wq z?*TT4u$I(=Q%JGwISKc2njNbn1(xBht(a;W7ZW-M>MHy~?_5z`bK|!xGbT_@X#sxJ zG3hDLi12Rt?32q{mm1?*lusk#tGB$G{Rjm=K_Cqt|i80j|t`_?y1H8vHGkDgGV5@vplYq?lQsau=R2+ zL{t_|QKV2YDNgD|3s|8$?1fSJu6-?>9xrh{2SvqQdB~Hfq@l1>`Px4t+uoAaepM+W zTQ*uRm%uk*r~0BG`3o-oAf4uC-v$l}YP0^2$OAEAPoD*~&olc32awKF2`Js>O@51& z^HeSoCBADVIV=2_m}q4$fIfStz+j5yuxo{${ZNDWqcx9GQU{o!gAns^3E$idio>G|n2p ziVFvBib-nud>MiDoXLSz-x?P@czF-ev-zv@nmtge^57Zu`Ik(@Nka&ZC6oDyx>VXw zz2nlaGeW1NG?(qH8@P}ItJBcmln&7SKJ6l@^j@je)3;TV>`~%~x^2If(Jg};jD;2^ zh_$`!|rC|F{>OG10Ag)grkj3bOo@H=+>=$@;US?C8 zxZIe?Z%;NA-#xV17^Rp+QDbEO`IB+jmy@S~f4JVLN;@^XvkWE54Y6k{jZZ%Za;Wm`v+UX^yVFyWHTF^^ zxK9@!oM{M6HYCV2uesGG%&3Ul?T#XAR;4#umJ@$^e(i$lzL|G^;KMC@P?Syjct!E@`6k?$ur zzq}!B?$h1h-&HM)fb1GN7?tlrTx@31o2RF3feYFV|M5pg^8BJ zbQ-@a8EZS3RXw*up!>ieJr$dbJC$R-iVc79soP+!roT*;Vwb#LYcDw zCYcS%!K92^mrsljBB4T#{A-`pq^=(!c^h>NF@Nh5 z_`=GeweOQBP})9!iAdY%^yZ9NT0ZWbI9*Q+H?GT<2RZ8VQ0|a09q8{vTl$>53ll|I zHo4l4TV=gzi%cX4hoaooUm^<$ETUO%8Bbhvnsc|2JPEZyrnw_6wnU;eR(+WD#oHbA zD@HNz=FaKxMduREY@YDslDW=m6JL2P{WGx^SXB;F$=pyC)&U$pN6fW{<3$H-Gn}U! zrG~DIJDZPYISvvMn|L~ET`@K_;;jfjI~x;iMwZ7?M`KJUkvVqG&C5{JrJ%~+xg?mk zk$}pd|i8S=(f&;#Xa_5r$j&eZ6EGy)QUvr-iDQnRFr1Cgd;CtvQFW<~ln7E|a(c+eWS%HU`7Cahc?z@V7vOT1TSv02GB? z?X;46`sLe*ek6QzxrYI$Gjlv+LoE$5 znzNiCwo_#s$Ro%#5SL2NE;3YdpI0qj1Stj(3IG+F{JY%eD< zuNQW3sW!Bttvu{Kt9zS)C&>w86-Qt#{LErmPVB_7tiAC!&6u7wh~5`j{x}T&j^B{l?t%5q*#Lr4FX= zRDPjrxKc_%iPy(+-s6cMb0XA6eqQe^K1H&{$Z}OndL^qmr4J=<)s(s63 zRau=_Jl7u@eV9*2S@u5c@{pt5&@`pLGFxC`cWTwoV58+=%>sR@T8+Zw-uhhUS4@OU z=-;{hAA+H8Jlk|=eojV?gpV$@=BEj#Aj|(%eL|qoZzsW&vBE!K`{P{$7~TN)2E8kL zZDD?fmC4mf{(CXBOBY?CE@WF;I!IpeY~y%i!w~oAFzz%xr+TL(9nsTAmOxOHAu)zY z9|pvui*kI8Yw`8BE6j=Jr1~OANg5rZB;A=)Aw0Io8S=TIR*~m6Dh}0Y z`{GB@)OI0T0ok^Xp2^`^I?&;k;wnvSyy9adeaX9&?Yl|FM%2;gPqR2F4E14+$Ro1& zT?e%87(LOZ4EYx5gfabCsuyOf(Q5T0LhAFG5F^36IWjf93?EEtxLrLSOC#bRSe>Gn zL_e4k7@;PkR4Xcf5{#1h(eAVAJDP}>Zf<>a>5r-Mhw_m{BqSfnVoZ_Bo;dC&v2(Ca zo@&T+lekT%h}){&T6i0hKuo)As@a+QuuJ1UobeHF`*ZZpJ9E+y?wXH;7DYHY*`U_@ zmvUFQFHdInTx>NdM`gMZ@kWXYV_n9_VLGg#q6yRQ+q{n3;d=X-Cgr1uQJq+zc4a@| z=o6`|`}a~gkKeo=-S#jGa+mV6D!{|(NV@QIZ?0c5SH4a2>K^T($T&Ga(w^t@-aI-@ zOcmm%Eyhh*(1@e2ha88k=x)o!q~1%x2swbI#;eghlBBn+z z6k^u8cOREx9no_h>QC8_IJx^+I#8Bzi13hMi%Q|6htRX{cEAL)qSU1yeHIFW4GnQ= zP4=2asBQTetAkzgmc8T&rb6$CcENhAfl^rxvAx9L!!RbAh_oP-^$>vyD=9WbG}9zO zzP^xr!dS)d&W9>Etwp+0cTPHm8?Ox3xZ0kG_LZm(1im~}-r>QM3o^tG>-e%Qx*G^5 zfHIWp5_c-tdk-rK+g^a{j&7GzLyle|SHf#eRLBR3aRp2^g@unYawM7VNIXt&+9JGV zS=I$V;;o~QJFcYj9y`&p%#tog**NJnChPVyS4#zLL42!SsI-x;3Y#{z$y+FLF*j3unA&#M6*y^-it`bz#coS`;%{!= z;o(KwB`d2qVcGZ88+MR#_F7BpD!SrLahUIUiwCt5FS8Ctt~&hhL#z zA(`c8E?kvstvhE&I>^tAGNPVBA5_<$iDhomRs?cUM`g|ob|wj~njA0N#$B{;D{4E3 zzOKvDa4$;hK$sX*2@#{;PvPp+kDSfzhw3WY>w8~d$Ii0m=V!~VjL*x$xHqH|TUjl~ zno^3*ev2q@1I!G0E2h)fA_qq~`RDl`Q=29MX z=AEd_Nn>)x{&r5YC;3Rl-BG3T0h0?RHFW!Im`{N+!+V2P;(UXrkp9{h)k7+Q9jEuR zEvuy044$+2Ie%2&Ty<=H*7qq&QG(&2FGTm9Si!2ACzuU94@#d=%Dtdg)x(k`)_tU@ zA?P00RHzGo%F%02_EqEqbs7_bmLIgpyJ(fcV|%1j{OJ{YS*5$r#Xm9`GT3=$jK)0P zc}MeMS1rj%D0?%0tMP9IrVT!{W}^I@7Q)VE(xnX+E%#qip1cZ zIHSnR7upyRH8r1kM0UMd5FS;3Nj?rTvJGhUrDTwbp3M@XdH(J=d5!%W4Ft#9IlRIA z$~q4xoXrs)8tKG7A?F-Z^ILSc&*nDA)y8;4=9bZ_l^OZbx?vUiN{+_znpkG^Z#uZI zjs|=E#uPddL!T8NpbH8qea4ltHs9sI5#BqRgH0MoFAUveeWhNTF& z+DkuyBVxoFJ+iR)bo*%$Yb71$m?<-6m-_t(f{7S(&TXbdZWrY-wzJ-)?1Z5OkUsL^t zcD<9&Vm*F3Y|CWv>%M7~(bl1_k(m7WVW?HF8h+stdP%Vq{^7x!l7h+oV&5ev&tl`v z_Ho7&xTPtZ80T&B5?3J!Kc*LTeRBi!;8M0hS^%Io1KHr@-2v&jW&VKS)997>xwzr2km&(<#Lm3 zo(ujvGQArmib^vEcf@KgDYzg#okLg2KM%6^ujN-dVmqFVtomU&;Et^xo{;Q$))Ao* zJS1Bs;5q2^7$nqh?(tfe+q!G)7x_F&yP|Yp$;wA6r`Fm@twbrJlwv}mICl%3eJUte zj_sakDY;h$0sJ7dSUTEjJUjOCEJ6(o)jO8ZQEGp{E4bmIWy`ziZfvS&x6uGbND=yEjooMRajS z*eZi{JFg$Y<76vnhqMJ55vr`D!l7cH-gicEsLSuTJAFLly48a3(N&C~Mw@AgUA_D5 zJ#X=3r_PECT+R~IHlfk2tD^#K`A^pL%pcve&VVE~J(Ro4Eks0|-MKW8=heky{otis3#? zgnvl1#>rgnV8|oEuhtXmAQXwZ%%ol25$5G9T~rf@YBmm)lcHJUtq;O?h8fwmK3-N+ z#p>UNW0GC-a8Q|6e>;S)+$cb?=G(}ht8_}Zg|_f+`3ae1CoCG{XkvVm>f=HxX@pJ8 z4Hu4%Fv};a9?W+s-LDAz(%kj!(|08#A~NMPANvK z4BKaQ%@V0ErC8hG3?ZGWq8T!iicya({BC(!mC9FlQX;*;c1-J?>LWmRity|+2<3ql zr&{oGW#RpD^rE4)mGiOh0leDMw~GDF`Q`W?;773Umgu-d>s!?}q4%d&mum^gAM3kF z)m~JFA}wM6hRmi7r%{YMS1Y9;{-{&i0#&Qq3ga(Rz)wCv{mc^}#7WosDp4 z)Dz9okH<7FEh~+|v95c8Y|bH9H{yt&;qIfWQ0=@Xn~C@4&*JR&qYyAD5U89-xs@wL z_H=M;**BHDHU`4YV}@0YwNd+w7Y!g+lBP4M{emeJY#%Jr_VG(-3PmV=U3}TYJjF

    hY!qFGKV%7$i&7Rve z@1BP?npSu8QHJJy>fu*$2CA0k@eb;rGP}J_wNUbk2kH^1ymof7!spX1s&+vU@KA-5N@g1WAoJhk(5E)`-u^$y-PWc znB9f4CUrY4Qo^Cgpm?*aw;J51p|Ye+LOE&S3%pKBSQo}O zS*E)7mOmInS!oy@$&DG{AvwIss`O)gDN1A*QTH+1UlI?|a@GuZ^EXxh?9~NoH3kFcjod#fPJFV z__#F2Bvti}5Uxsoio^##RbtLE1J)wB_>nyXeTfz12FIGNt!+H34sRk{#Wz#3tc!f3 zV|$;Ga5t=4^tTS8PW3iM*1CY7Mby#8o#ZooLh>s-E?dqV{w)W~gnq!q0^#D=WL)9A zlNAAL?wcbjmWMKXi)NE*&}&Sks9~~&M7-X7GcT%a8`!AB5tWW-MTTryR2N@S^e508 zbRQr0$v23n&_)&r59*eA6wnEsA2rn+=v}$^dxiL)69KhO&lUfA*x=k+sy>bXX$3F^!=g zFOaXkuB~Mb!<3(75?|&V|MOijRrU<>hM5_qtxfMYD+dE&8xd0_j(5$MkjDy*q+ks; zhL0m&`BnI-jXmO6I2V+-r`T;uH#}Pt?z>aMxM9kSqDB@IVE6%Q3fs7?{z!3wD>vPa zs%d<&d@xhMyN8VA?h0M)09h!%dHV2%>bWFrHN#-rWkONW{a(Y@RrtoErw;M8RGZVH z$M<484(g}GcsYylZE&s6kaE}6T^j5s$_*PHCgBd^4efHaXm!~`$6Rc9Vop`aozc%3 zd%Ukjj^fPFQ}1YXm$V`MCOII`dFQJ_QtI@$h`>}Ir2Tw3yw3YGtGtxtXVjD16kqtP z2dnyAhjEl;CKiD_7H7ejQXh|eN}OCVS)250(IkAuyA0DZs zvq4IqJ6t7MjW4C)H_&DU1{LR@ZgJo8RdYDc)h+TPk9Ago=W!5yca>dl102i8)Na|t zi(0)p%{-+%yyRVk*Q8`tJ#=Xpp zMTvMUGF^DIO;?kdYRuzxBiynMMh-)?|0^2;lSBwlBG=fTXX zt-auh^G>Lk$=cw^W*W)8;0~|y7FXIhYuvt-bGY9*i*=B>GR|m0zmmIzt&6AdmMkLdKZQL0mx>bSFl4cu>hpU)5Qjrz~EkvI>7_&{+hB zptN>B9i~wuJvXCpOIT*owX|cL^w(y-b#%TV>9|rG`)pD{eyu8 z?P)>vF@=b9P!BQPOcc(REHhIY-`p$s60b>;OT4IiO-sjtO^iMjgz3~N4+03u-9#}> z54~u%%-|k8Ho7uimy(1KPI}FQi)u|G6UXa($t*E;rYq;Z=J8mT8t0L!AOlGi9~tVK zE;r80dBw_YhQr(F9+ULwX>}AS^bOkL_pN+<5;knMt*q06eN?>(2Tn;~Lok%29k+9L zl4ispWC*KxQ(E)tu5_s56rmHgxnAUD5p#2)U`=g{pGMCvRp9)#Y zG@5#(%L0A=YVSr$n0r1v)`B8__jN5wo)?#k$U%HTFmrQmr7AI4yxrJ{71yxN2aNz;f0WLL##W;KbLy~keSK9|W%&wzPCEsrIM7i08>g>bj9w$JLN<2bB z%}=7hqq1m0+UFN|fib0XmC4x7R9)y9#dh$BUioO?MXHcHr2uwEoDLGDoMq=?6-L}M zs?>RVOCL){j{LYR!95G64EJzXYQ!(~vIC>l)BSs2%66L$n)B z&n}!FfHQp{j<)&iI6f~k7E3iZ{ka7Tb9E+^%Qp)sEjv{-o>WA${Wn|I>taM>qsyey zOeg8k3uGoQu~OV%+^UmY-s%L^K$L@ zU1j7)!IJD2f==n%>5=$7n_^{4ozK19P$LfrJRO&bRDsMYYgc+~8Uf$hF-%^zC6-3G{1*o>v{C0QeR)|^s_vCP~6CJ#xwesXIyAcJZdruJE0&`nG=V)8O z^uM;lq(1bS$$WyM`YPd=prHKID|-9Pz)WhjS;yL_R<0N0P0H`6cBhSRRfn}gZ(@#G zRmx|_$t&~)Kn0|TPriKj6?%N5v$Ipjeu&6S4MpO05h}9QEiX2$T!z%g+#cv6N~{>l zKA*ZRWCwQXor&IF369~esWMfW#&{*XLA)r&-!m%;co{JAQWF+My7I0&$FQIwZeSUT z6RuOWsnOl~nI0^rn&;$e2cEeXW=R8YD=trM=O_T(UmzWK`>N;g* zUh|p==iT&u!%~lMG`wIp7sx*jNA|?yLmn;#UB|-;X@4}1^EgdD47hvIocaqs|I3eboKYTRjyMSVZMu3yjPyh ziOQ21B*S?0!+Ppo283^|;k%d!7`l+N2%yAeV?^r4VFl`8mnar9b8%2;CJ;+q zuuL|l4(jugHT`Jb(A5;f)MFW+)w9dghFZ!o9KkjCeRZOpn~}l|*DRFLswsHVyk_%w zw;`~-Sc3BW;Fx-caiJoBS73cVJtU*tcQNaIjB7kDfA1SX&uPf?|j;8bED9Sj-U}R|AjV#-N`Ea#;+R1vJ={f{{5gWLN@bu$Ttu%I_>1x-MPYYRd>{Eh1%l=}I zi0rH%rv!O4c_mRVw*)$5GCamm*v3(}K`+wAq*qv7S6gGB%2@e?@Xdw9U^6IeirbCH zod4E{{b!QNbzxN8SyLM0$+tX9&{7v05wKS!=%!<8%`U^rLpd69XHFdVbMObJ2F3?4 zba5Fk9Fhhn@(bH>hNC7Ok43zmCZ&}2Ec8o!S@$d7@g_xg+F0XLT3C^I$X;9|F#ydj zO(CRWRF>eVd+|*5OGW;B|7P<|H(%$4K;b@^}pk$$7oXiaFGgPz5 zx854IH%fQ6wmR1`(pZJAb>>pIcxRRN%JAFP;GQzy*u>y$&wjJjk-h=L#SCfDpgs1y zOl;bw9a6ts<4xdY_Uyxe+cyKaW7LsSNm!kCnoxOx`YY3S$i4W@!50l9X}g zkB~VbHV`yEmVSVe(k_v#9q;^>U|~lB=W!?b3AuW=_*op6_tV=AR$V?*6B>-;4>gmY zT>W-e;(A8-ARVR9L+YEa3$7Q~{Clqp{;jV0Z*|Rot84ySU9YD#n*ZjA-=D*c7 z|E;e1Z*|Rot84ySUGv}Sn*UbU{I|O1ztuJWt*-fRb%nr1SAB1eoAPf zt!bobrfQ+7qHb)a2@?Az9x=y0##11#05otLga8c84q*Ae@r<-JZo+8-;<;eevT*}2 zi9iDx@W(R?2>cVAfv&omC16e)i<@$7>fsN&1DYcP#k+BTpa7qgVSWe5&|F(ZOV>b? z66l@lP#~F>Px4y{fxKwG02~Pz6b_*DPcq&7f>Pa3<0d4=T6y~xU^N#&G;l~)_+Nq4 z)G+!12?5~_NU3Kt9tKhr)W9JNk$(kJOGEuSB*<5;>k1Buit;OvdOBc=gCOB`l(+8# zjG9CElW^Yj4{DKnj#2<=(hh$#H zMLxX)KqB0P&*VA> z;6TO3o`J!#KK~V13qunF${VHtxvIO|<*7DciaH=}fJZlHp!mU0;+k7%7+YH0)Egw= zb(x5-{{;e{{`Y{c)pS-dqQfFr8@5h7@6(7lfM-IY{yJdq3m zMehL90=N;A{s>XSkjg;SR@01{(niDZx}+c@zIaACh6IQUYNXf2hLC;v2fF|MbO8b# zLARLw3V@9Qy#q*h?aBHh(3{;xscNRFYHnusL+A(K*JtX#TPB?u8WQMtQQ~J?A4Xb>sOJ5=IXQekW)4OT=y9@3CA0I$K_yY|bo>utJ!UGwruET@8=fk=Lr!z2D z+~T0^oB1cwkDo_88{F<6# z2$g?;Y_1OMUet9=%#8Ik)h#GZ%&z&*_dIz*q;wo0vw#{f3153qYyJq_)(F@xP#LP4 z0Kb(r1KA$zKxfK{SdBC;-T+g!3zo_R8Mimj=Yn!a^v*4g~sa-NA1VlX0!1Y|}`zKiK!1@z3 zdN=bc=;r}@ngF90Y}p5~vGx}kL1n9SK?z7fOY56H1me{2mb`QaTy_T7RdHVHOJHfV z@fSHkc_hCNlj{x(B1>P`j&z5CfN%mDIN5`pze)D9HFc>l4nWI0cA$aB+k=x|Bs0?l zdFB5x;6Vy0%Do`x0(z?nu%IZI^_)(Bjl)dS1laBUv<_ttSZ!{hYGG+kX=4a>8U*6E35G}y0C<6! z_qPc2LxiZye~8~&)yzoONc$)CK|vuQ@;IapP~RO03^G5sfluLAe~Gx~^x5;ym3s9;9g2;ji(RJ(A-|Blt5R2=As{uI^;jc|GNVLOt|ik|7TbLiBJk8)AoV7C1@;HA*mY z6_h_F2aXY`)D4WyH8m(rjKE$?fXs^cW~DR+Fe?>sWWorB4N35e*oGQrn(F^=Spj~~ z_lJ1F-e#0~Yt-o5y{^KSgpaR@~$PDIVxnh)o=*ofS^)NAprzoCN0#(YG5u#r3r6v?%YpA#C7=Bog^{YEroJYSO!)eX zt?%I2c_|MY084=y`48cNPYJ&WZfpW9`)@cDC`x}Ac81IZa9#q|VFE+WCI2p{U3_+kD=npNxduhNdNA&X7n0}mq++0$EG`W-x@k#)&+fi=%EHC%3;eTJU zOZ>L60BLY-<^KKr-~NLFFTel+Y7}59u<-ve#c!3DRSb1hbd9vGz54oowkxC?nE~3A zI01nQ{0PnaSE2v&Hb6^5^QZHbjuK1mDF7W8K&Jx}d{FQg1;2(459b;}sx2GGKV{Sn&neK-?TqH+O8m+5JD_TY!%> z5O~oG2);=G{1spu@V&K!<}ZTZl;F47g7Wt|;`;B%IKVo>U;A&7-LM)ch+jVuX9eS$ zaQLfShQOZw+5zp)p&UF~y$}7%L_oj-6(s-hf(4|~^<#2y^UeNsrvDTuzsYs8j?E7X zVrBhht$wen%@5+A%?Yk#W6obC2F=6YOO${c^k$KgA4(GB{w=N>FMvRucQfVU53X8Z z6MS4`xKObu+Q#bSF570gqiYGY&e=y+!= zW=~A--53MMv8q&zj|>k^kB$w0CmMZW=j7Dr#CS9{I!&D?HRwlIwAM)XSX0c@DbDX8_W5Z!f<#4do6j?j{H1eZz2js~Y0oZe+8Grg9f9(ZYvz{KR15lPn$ zdRKiJjid-tE68*NBizhOsp~8Qx!p+LESgP7)Llc;u9XJd&NsCwuLbnTd1aVUMZdY(B++4}0YA#d}Sz+feIw&Wg{T{*slH zU5#i{CM{(ZVYRCTl*~*F$cXkMc{MW|f$VM}nS4A|G`q3m>+o!Z3h@*Oqe946$|aM~`zVN9N*&$W3&ZFnduY)&*rQ02N)cB+`P zv++_dO6IS#)aMeml`EM=`g~4&X8KYkO!kL4sxy7bY`)ZqKH-dMZ6VE^S*3EG@foM* zAj5B{jz4Jzb5R=@HdJ?H9$F>I88TGl%epsg*MX595Xv-rzy!T1D_2e@Y^Dhl>=B== zf{nJty^IrRv+}kaB(?qqorbJ@qQb7S$Mc|bUn*P4Ue?3}tpf0@m%6@Q#TYX|^*l}1 z@)XoaQdY8HCo9FGnM371{Eb<`5?Sb{MBiO1GGq+cqy};+sn97p3P26bG-cJDV>ouDr@5v8OY-8q)Y(tC82AuT(q)opHSi7hdHHIuwD)4WuLuJ3;B$p?oZ6L zh(q+~qq!Xtw!Uf7-rTSFKxA;!auUkuSr| zUCH5BCDk-3NV_nc*J>W8V7J1*?N-yYU^D!$FQs{n|0or0oUy>*`e=GZuQyu$oAEm8 z1Kmid$UnN8Ot)1X^SoJZ^k1bN!y@epJN%-_&>M_|>R^Pxz+&F;lxf!Ko2`*}Q;`=7 zoG@NWxLx45Fuu)zzuBN_E2JL@h-+ATOdddQ5endf)`E@+|4As1i$ou9iNu%H=~)=? zc?duEi-i9o_*th1eD!wVnjhBCEnqludRIdy5W3qLg$rqsY9*&@YHv=8O|9=1qoEj z)y6ru;5XPs#u98~8Ej}7YcU*fKVK$N0_IgVReFATOOIi;_3hQf!$; z$t!LN4~;`d<>c_K-}<8xz~0fvw6gy5pL}dM@15|%LnWG{R=zxWZmZ#(UGOW>bhYxm zE7>cC^Gj}19$L!H$vGSIV{%Jz-Y@vEfd)p-xkWtbJ(LOn0mC^NbqfbFZ$K)1`Gn#8x=Y_6Rro5Z@uEziNS8~Jb0#V@cwo86 zRTK3kWbq0RcO;%5HiW5KkXLN^B#|$OMN8RwW983a1n#MY#WjrSaFs&jkp1k2e|>5= zznTG49vx3NtXB^_{fVdGZ!AhaT!T0mW7rc$>`}yINfde~zjy?imA)z0xo>4`j}cJ% zg~PMq%-7wwKhy4s~pTOy^=4-G;Gb%AAWc zhONFUGOC#(xfb$n7) z9rZ9+h(?>cF^;%7Jj|Oo;ed|txJ6D;-0^p_LW#jCei4)Fc2EbCH*cmDNxs>oaHCcW zD;7XorNSRorNC$;kH5xT$SWYPcnAmZj~}Ue0{KE+R5B<3e)BWX+EmA9QD6QHwHo`< zU(~)*2>^t@$(Fwzs4D+yD4&{t6#iDIj?e3h{HOdyOrSQ}5|69s% zW7}>Rt$|5($x>o!L7ys#O62pIRwXx=auyK)4NtRxSn?nf``;BhGI#CTx(aCbs1Bzg zX`zuk{>->ga{}haeeO0v$L4nrfVx&iZiOY-o*^qy+N>K$;>`)%KoYy#1g9Q5^)_ht zs>tnvgpfw^coVqcA=a8ehld!>n0r+%s$fFUK?XFwx zIX&<@*DnDM^N9Qh+?vD2 literal 0 HcmV?d00001 diff --git a/lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.ngo b/lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100.ngo new file mode 100644 index 0000000000000000000000000000000000000000..417aa392e33ac387ad4641e00d1b14b2e6d51473 GIT binary patch literal 3532 zcmZu!U5pb|6rSlW%a3SEf<&T(hDbCLWOm8=6SAh=nd$Ci=eFatyRgRW6c<4flt$o< zhsI>z`^JMl6hhp@@X%lgh7c?m1s{yneeuDV@y(SVOMKB762I@vblUxyr0jRUd(OG% z+;h&omsUIMjA+B7ntVgLT1o@y)l;W}lOK&3MsWJv*WovvkunW0ym)c`Zkg3xjpF9DV&zucTACF9G z+Q2$t1a+F2G0lKM&3Q6%i@Ww1ENUL)H^_x3a4f!$<6_4L*-eIYya4i z3DX2V&>=3(7R=m)+xo*T-wwq&;wsm4*tV%CIorqR( zU5A^8O>zH>bY)%J6(%EUF*6LA`{{(S9G^<9gm8;F%eN2?6|%+Y zvgOP;mhA^>`p7t>_ZVw=Mz|OBYIzI%uG(6j5lz&E2YNqC^sFE zu8l*6NEgca@qqcFJPoH{I98c&iwJ{X2nCv6U{3qKU0_j6riFvz6WSVxN}iz)igez# zt?{YA%atd=z1yPnM8T5EcBz}1C^4R+RhcRIfm4ubyBw0p`}W(@cEOwtJX9UCgc?(e z&GqNFg?H;>s6ZDkae=iq&?4>w%xF3+OX4B>0d1!8ITTp|b>Ti}^a*h6^^HeJbb17x zdNJe}^}>1>HDn--5tNG4z8oUi2H2E6c?3o8Lh2l8cttM$bgd_!7e_&EZ1qSpCf&dL48U24 zr5lOZ!Jw<`KCFXHiCf&DhuLI!5W{~%47-gMnc33lqmt$RsV3}55D99TJ}Nm?pXv0m zT`$yTqmNCDKB|GGZ>a1%`=Uca-0|W?wx)YbVr_e-NA@<)eHelskWjH5I}%5{pnvP# z|EfLhuG{V-IygvOmd%D{PbBd+y13|V?AwKqQm?`9zcR7BMDeJN5&d9IcN)o5tahhJ3K`xJo%3pK7r#sBcmo<|MzZ_-zz~e}-ZLdOWH3 z>G9Ei57*@WD$5t(Kqm$xO&%3s#+tgyHUQ?JC*Y6~%WUfkk9L`TA*Wzjt`ZvD3$2%M z0pmz!?*Z(tux|i%)!0oCmX@IKPLB-^MlwZadxi|aV5lP_n39_25>i$K(jf#=83a~V!Yp$J z%jaQmp#`uI>gpnyOKBz%;4+xSI>2I+%(KP|caas?U25sQv}wAw1JSmbsc#w(kWWY2 zSyj5a+KDL$;<^;>UULP93eeR`j`!?pj_-oi9IxNi9A6u&x#ikgj_;7way)?7aE*01 zZyios%ds!}_aKEmV4v&i8mva2aCLGwYAd)bxtr~NjyJEazDlp)n&iHQbo9~QvO(^< zT0h6*N>{h5Jr2WpG%Hffewl9r9INyB@bl1@zd$Udt9)iUsln62OfbN+2Cwa!fpau)@a^)2pjn zZP=`Fbi0Zl{_^e4ZO0EbyGcjlVV92`=K$C=hpU zw_+o?YYqM-CvQODZZ%@l@cCLKI, O=>buf_CLKI); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + PLLInst_0: EHXPLLL + generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED", + STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED", + CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 0, CLKOS2_FPHASE=> 0, + CLKOS2_CPHASE=> 0, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 0, + CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 5, PLL_LOCK_MODE=> 0, + CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING", + CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING", + OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "DISABLED", + OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "DISABLED", + OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "ENABLED", + OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 1, + CLKOS2_DIV=> 1, CLKOS_DIV=> 1, CLKOP_DIV=> 6, CLKFB_DIV=> 1, + CLKI_DIV=> 2, FEEDBK_PATH=> "CLKOP") + port map (CLKI=>buf_CLKI, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo, + PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo, + PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo, + STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo, + ENCLKOP=>scuba_vlo, ENCLKOS=>scuba_vlo, ENCLKOS2=>scuba_vlo, + ENCLKOS3=>scuba_vlo, CLKOP=>CLKOP_t, CLKOS=>CLKOS_t, + CLKOS2=>open, CLKOS3=>open, LOCK=>LOCK, INTLOCK=>open, + REFCLK=>REFCLK, CLKINTFB=>open); + + CLKOS <= CLKOS_t; + CLKOP <= CLKOP_t; +end Structure; diff --git a/lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100_ngd.asd b/lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100_ngd.asd new file mode 100644 index 0000000..c265c78 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/pll_in200_out100_ngd.asd @@ -0,0 +1 @@ +[ActiveSupport NGD] diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/.recordref b/lattice/ecp5/PLL/pll_in200_out100/syn_results/.recordref new file mode 100644 index 0000000..e69de29 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/dm/layer0.xdm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/dm/layer0.xdm new file mode 100644 index 0000000..68f68d0 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/dm/layer0.xdm @@ -0,0 +1,177 @@ +%%% protect protected_file +@EG +- +]17p0Osk0CksRsPC#MHF=3"4j +"> +!S<-1-RFOksCHRVDRC#O0FMskHL0oHMRR0F0REC8HC#o-MR-S> +S +S +SF<1kCsOR"b=/0Fb/M#$F$b##-/K.cj43-jg1/u.D/HLP/E8##Mb_bEN# _boE3P8N"R=""4R"D=PDE8"DROH=#0""-4RHbD#"0=-/4">S +S +SF<1kCsOR"b=/0Fb/M#$F$b##-/K.cj43-jg1/u.D/HLP/E8MCkls3HOP"E8R"N=dD"R=E"P8RD"O#DH0-="4b"RD0H#=4"-" +/>S1S +SF<1kCsOR"b=/0Fb/M#$F$b##-/K.cj43-jg1/u.D/HLP/E8N0sHEE3P8N"R=""6R"D=PDE8"DROH=#0""-4RHbD#"0=-/4">S +SS1S +SF<1kCsOR"b=/lEFCk/Oo/ksu[sFC#O0/Ba7__FMad)A/L0sdN/L#OC/F#sC/bCO6p/upD/bDM_H._jjF4k0jbj/DHD_Mj.j_0Fk43jjP"E8R"N=UD"R=E"P8RD"O#DH0-="4b"RD0H#=4"-" +/>S1S + +S-< +S)0FFR"M=I Fs3DbD_.HMjFj_kj04j03#s0kOk"sC/ +> + +< +S!R--vkF8D7CRCMVHHF0HM-R->< +S7RCVMC="Okb6lA3Q3M#$_NLDOL _FRG"DP="E"8D>S +SS +S"/ +S +S"/ +S +S +SR +SR +SRS +S"/ +SqS +/S<7>CV +< +S!R--vkF8D7CRCMVHHF0HM-R->< +S7RCVMC="Okb6lp3em$3#MD_LN_O L"FGR"D=PDE8"S> +SR +SRS +SSqS +SRSqS"/ +"/ +"/ +SqS + +/S<7>CV +< +S!R--vkF8D7CRCMVHHF0HM-R->< +S7RCVMC="Okb6l]3 Xpupp$3#MD_LN_O L"FGR"D=PDE8"S> +SRR/ +SqSS +S"/ +S +SS +SS +SS +S"/ +SuSS +S"/ +"/ +"/ +S +SSuS +SR +SR +SR +SR +SR"/ +S +SSuS"/ +S +S"/ +SuSS +SSuS +SRS +SSuSS +SSuSS +S +SR +SR +SR +SRS +S"/ +SuSS +S +SR +SR +SRS +S"/ +/S<7>CV +< +S!R--vkF8D7CRCMVHHF0HM-R->< +S7RCVMI="F3s b_DDHjM.jk_F0j4j3s#0kkO0sRC"DP="E"8D>S +S +SRS +S +SR +SR"/ + +SRSqSS +SS +S +SR"/ + +SC<)V=RM"bCO63klQ#A3$LM_D NO_GLF"=RH"#QM0Q4_A +">SS +SSR +S)S)SSS +S +SC<)V=RM"bCO63kl u]Xp3pp#_$MLODN F_LGH"R=p"up#QM0"_j>S +SSRSS +SSRSS +SSRSS +SqS +SqS +SqS +SqSS"/ +SSSS +SSR +SqSS +SSR"/ +SSSS +SqS +SqS +SqSS +SSR"/ +SSS"/ +SSS"/ +SSS +SqSS +SSR"/ +SSSS +SqSS"/ +SSSS +SSR +SqS +SqS"/ +SSSSSS/S<)>CV +/S<7>CV +]sC + +@ diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/licbug.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/licbug.txt new file mode 100644 index 0000000..085ef99 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/licbug.txt @@ -0,0 +1 @@ +MainAppInit 50 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.areasrr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.areasrr new file mode 100644 index 0000000..b4a79ee --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.areasrr @@ -0,0 +1,16 @@ +---------------------------------------------------------------------- +Report for cell pll_in200_out100.structure + +Register bits: 0 of 24288 (0%) +PIC Latch: 0 +I/O cells: 1 + Cell usage: + cell count Res Usage(%) + EHXPLLL 1 100.0 + GSR 1 100.0 + IB 1 100.0 + PUR 1 100.0 + VHI 1 100.0 + VLO 1 100.0 + + TOTAL 6 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.edn b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.edn new file mode 100644 index 0000000..e7f7754 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.edn @@ -0,0 +1,236 @@ +(edif pll_in200_out100 + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timeStamp 2015 3 17 15 43 13) + (author "Synopsys, Inc.") + (program "Synplify Pro" (version "J-2014.09-SP2, mapper maprc, Build 2453R")) + ) + ) + (library ecp5um + (edifLevel 0) + (technology (numberDefinition )) + (cell EHXPLLL (cellType GENERIC) + (view syn_black_box (viewType NETLIST) + (interface + (port CLKI (direction INPUT)) + (port CLKFB (direction INPUT)) + (port PHASESEL1 (direction INPUT)) + (port PHASESEL0 (direction INPUT)) + (port PHASEDIR (direction INPUT)) + (port PHASESTEP (direction INPUT)) + (port PHASELOADREG (direction INPUT)) + (port STDBY (direction INPUT)) + (port PLLWAKESYNC (direction INPUT)) + (port RST (direction INPUT)) + (port ENCLKOP (direction INPUT)) + (port ENCLKOS (direction INPUT)) + (port ENCLKOS2 (direction INPUT)) + (port ENCLKOS3 (direction INPUT)) + (port CLKOP (direction OUTPUT)) + (port CLKOS (direction OUTPUT)) + (port CLKOS2 (direction OUTPUT)) + (port CLKOS3 (direction OUTPUT)) + (port LOCK (direction OUTPUT)) + (port INTLOCK (direction OUTPUT)) + (port REFCLK (direction OUTPUT)) + (port CLKINTFB (direction OUTPUT)) + ) + (property CLKI_DIV (integer 1)) + (property CLKFB_DIV (integer 1)) + (property CLKOP_DIV (integer 8)) + (property CLKOS_DIV (integer 8)) + (property CLKOS2_DIV (integer 8)) + (property CLKOS3_DIV (integer 8)) + (property CLKOP_ENABLE (string "ENABLED")) + (property CLKOS_ENABLE (string "DISABLED")) + (property CLKOS2_ENABLE (string "DISABLED")) + (property CLKOS3_ENABLE (string "DISABLED")) + (property CLKOP_CPHASE (integer 0)) + (property CLKOS_CPHASE (integer 0)) + (property CLKOS2_CPHASE (integer 0)) + (property CLKOS3_CPHASE (integer 0)) + (property CLKOP_FPHASE (integer 0)) + (property CLKOS_FPHASE (integer 0)) + (property CLKOS2_FPHASE (integer 0)) + (property CLKOS3_FPHASE (integer 0)) + (property FEEDBK_PATH (string "CLKOP")) + (property CLKOP_TRIM_POL (string "RISING")) + (property CLKOP_TRIM_DELAY (integer 0)) + (property CLKOS_TRIM_POL (string "RISING")) + (property CLKOS_TRIM_DELAY (integer 0)) + (property OUTDIVIDER_MUXA (string "DIVA")) + (property OUTDIVIDER_MUXB (string "DIVB")) + (property OUTDIVIDER_MUXC (string "DIVC")) + (property OUTDIVIDER_MUXD (string "DIVD")) + (property PLL_LOCK_MODE (integer 0)) + (property PLL_LOCK_DELAY (integer 200)) + (property STDBY_ENABLE (string "DISABLED")) + (property REFIN_RESET (string "DISABLED")) + (property SYNC_ENABLE (string "DISABLED")) + (property INT_LOCK_STICKY (string "ENABLED")) + (property DPHASE_SOURCE (string "DISABLED")) + (property PLLRST_ENA (string "DISABLED")) + (property INTFB_WAKE (string "DISABLED")) + (property orig_inst_of (string "EHXPLLL")) + ) + ) + ) + (library LUCENT + (edifLevel 0) + (technology (numberDefinition )) + (cell IB (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port I (direction INPUT)) + (port O (direction OUTPUT)) + ) + ) + ) + (cell GSR (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port GSR (direction INPUT)) + ) + ) + ) + (cell VHI (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port Z (direction OUTPUT)) + ) + ) + ) + (cell VLO (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port Z (direction OUTPUT)) + ) + ) + ) + ) + (library work + (edifLevel 0) + (technology (numberDefinition )) + (cell pll_in200_out100 (cellType GENERIC) + (view structure (viewType NETLIST) + (interface + (port CLKI (direction INPUT)) + (port CLKOP (direction OUTPUT)) + (port CLKOS (direction OUTPUT)) + (port LOCK (direction OUTPUT)) + ) + (contents + (instance GND_0 (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) ) + (instance VCC_0 (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) ) + (instance GSR_INST (viewRef PRIM (cellRef GSR (libraryRef LUCENT))) + ) + (instance Inst1_IB (viewRef PRIM (cellRef IB (libraryRef LUCENT))) + (property IO_TYPE (string "LVDS")) + ) + (instance PLLInst_0 (viewRef syn_black_box (cellRef EHXPLLL (libraryRef ecp5um))) + (property INTFB_WAKE (string "DISABLED")) + (property PLLRST_ENA (string "DISABLED")) + (property DPHASE_SOURCE (string "DISABLED")) + (property STDBY_ENABLE (string "DISABLED")) + (property PLL_LOCK_MODE (integer 0)) + (property OUTDIVIDER_MUXD (string "DIVD")) + (property OUTDIVIDER_MUXC (string "DIVC")) + (property OUTDIVIDER_MUXB (string "DIVB")) + (property OUTDIVIDER_MUXA (string "DIVA")) + (property CLKOS_TRIM_DELAY (integer 0)) + (property CLKOS_TRIM_POL (string "FALLING")) + (property CLKOP_TRIM_DELAY (integer 0)) + (property CLKOP_TRIM_POL (string "FALLING")) + (property FEEDBK_PATH (string "CLKOP")) + (property CLKOS3_FPHASE (integer 0)) + (property CLKOS2_FPHASE (integer 0)) + (property CLKOS_FPHASE (integer 0)) + (property CLKOP_FPHASE (integer 0)) + (property CLKOS3_CPHASE (integer 0)) + (property CLKOS2_CPHASE (integer 0)) + (property CLKOS_CPHASE (integer 0)) + (property CLKOP_CPHASE (integer 5)) + (property CLKOS3_ENABLE (string "DISABLED")) + (property CLKOS2_ENABLE (string "DISABLED")) + (property CLKOS_ENABLE (string "ENABLED")) + (property CLKOP_ENABLE (string "ENABLED")) + (property CLKOS3_DIV (integer 1)) + (property CLKOS2_DIV (integer 1)) + (property CLKOS_DIV (integer 1)) + (property CLKOP_DIV (integer 6)) + (property CLKFB_DIV (integer 1)) + (property CLKI_DIV (integer 2)) + (property LPF_RESISTOR (string "72")) + (property ICP_CURRENT (string "9")) + (property FREQUENCY_PIN_CLKI (string "200.000000")) + (property FREQUENCY_PIN_CLKOP (string "100.000000")) + (property FREQUENCY_PIN_CLKOS (string "200.000000")) + ) + (net CLKI (joined + (portRef CLKI) + (portRef I (instanceRef Inst1_IB)) + )) + (net CLKOP (joined + (portRef CLKOP (instanceRef PLLInst_0)) + (portRef CLKFB (instanceRef PLLInst_0)) + (portRef CLKOP) + )) + (net CLKOS (joined + (portRef CLKOS (instanceRef PLLInst_0)) + (portRef CLKOS) + )) + (net LOCK (joined + (portRef LOCK (instanceRef PLLInst_0)) + (portRef LOCK) + )) + (net CLKOS2 (joined + (portRef CLKOS2 (instanceRef PLLInst_0)) + )) + (net CLKOS3 (joined + (portRef CLKOS3 (instanceRef PLLInst_0)) + )) + (net INTLOCK (joined + (portRef INTLOCK (instanceRef PLLInst_0)) + )) + (net CLKINTFB (joined + (portRef CLKINTFB (instanceRef PLLInst_0)) + )) + (net buf_CLKI (joined + (portRef O (instanceRef Inst1_IB)) + (portRef CLKI (instanceRef PLLInst_0)) + )) + (net REFCLK (joined + (portRef REFCLK (instanceRef PLLInst_0)) + )) + (net GND (joined + (portRef Z (instanceRef GND_0)) + (portRef ENCLKOS3 (instanceRef PLLInst_0)) + (portRef ENCLKOS2 (instanceRef PLLInst_0)) + (portRef ENCLKOS (instanceRef PLLInst_0)) + (portRef ENCLKOP (instanceRef PLLInst_0)) + (portRef RST (instanceRef PLLInst_0)) + (portRef PLLWAKESYNC (instanceRef PLLInst_0)) + (portRef STDBY (instanceRef PLLInst_0)) + (portRef PHASELOADREG (instanceRef PLLInst_0)) + (portRef PHASESTEP (instanceRef PLLInst_0)) + (portRef PHASEDIR (instanceRef PLLInst_0)) + (portRef PHASESEL0 (instanceRef PLLInst_0)) + (portRef PHASESEL1 (instanceRef PLLInst_0)) + )) + (net VCC (joined + (portRef Z (instanceRef VCC_0)) + (portRef GSR (instanceRef GSR_INST)) + )) + ) + (property NGD_DRC_MASK (integer 1)) + (property dont_touch (integer 1)) + (property orig_inst_of (string "pll_in200_out100")) + ) + ) + ) + (design pll_in200_out100 (cellRef pll_in200_out100 (libraryRef work)) + (property PART (string "lfe5um_25f-6") )) +) diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.fse b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.fse new file mode 100644 index 0000000..e69de29 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.htm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.htm new file mode 100644 index 0000000..db9de8a --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.htm @@ -0,0 +1,9 @@ + + + syntmp/pll_in200_out100_srr.htm log file + + + + + + diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.prj b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.prj new file mode 100644 index 0000000..1131742 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.prj @@ -0,0 +1,46 @@ +#-- Lattice Semiconductor Corporation Ltd. +#-- Synplify OEM project file /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.prj +#-- Written on Tue Mar 17 15:43:11 2015 + + +#device options +set_option -technology ecp5um +set_option -part LFE5UM-85F +set_option -speed_grade 8 + +#use verilog 2001 standard option +set_option -vlog_std v2001 + +#map options +set_option -frequency 100 +set_option -fanout_limit 50 +set_option -disable_io_insertion true +set_option -retiming false +set_option -pipe false +set_option -pipe false +set_option -force_gsr false + +#simulation options +set_option -write_verilog true +set_option -write_vhdl true + +#timing analysis options + +#automatic place and route (vendor) options +set_option -write_apr_constraint 1 + +#-- add_file options +add_file -vhdl -lib work "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd" +add_file -constraint {"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc"} + +#-- top module name +set_option -top_module pll_in200_out100 + +#-- set result format/file last +project -result_file "pll_in200_out100.edn" + +#-- error message log file +project -log_file pll_in200_out100.srf + +#-- run Synplify with 'arrange VHDL file' +project -run diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srd b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srd new file mode 100644 index 0000000000000000000000000000000000000000..979e704d176093b72852783c46304e04c52d6948 GIT binary patch literal 5517 zcmV;86>{nyiwFP!000001JsnuN&_(zhWCAnFjBheB$-~cbmOfcQl)4ScVQ-z>BOc< z$fb4O-c(y8)P)-v+~km-{O5c*B&XZ$0&SFI)Ey%$NyP>0R3L#$J7^oJv~4WB?`H@P zQ#9#6FES{20k?Gttt-B&Y)5>DQjtT$Ds`>p;@S$wAe*VbYz* zOj6V6KmyS8*Bnw?Qs-gO>s3UA+ahu$#^YhclC{Jl{e~G%BbGr+EPi7M%{AXdG{cr? za>HF6k&N2vi?nCv5(L9C+uHP&;406nfOpYM@9g%MO{b1$X8iXX|Pge{_cKDR{H&& z^P!9&nv9o*|6?%=)H!MCMy3;uClEyH#E7DWYWmoRLLDmVYgr`QKdL!#JD;K7@1AF$;MnfvVQ??NZ2j zXyrW2eoR_D9w2y7$q$&!%*Yu%%wb$-!9IeWuRNg*mp~P9U&A~I_9P^#F;`ZA*yf2U zluCZlcos%`R;$qtsN&^TOk(d9sGB$B)rukaXlb$b4(DZmN3d=|{OXl7$5z_n8OK*B zbAcjxpAl3cmv--wn^n|9l21Csd5kc;sSpJ(CnfeOw!z1DIwRf&Rrtsw?QufZrePJ} zRu&`8;GNzKc%&T;HOL}v&Nfvd-zAJ_Bt9@Gso=phlEl`5pf#;pJU+qWAwDTTtvG(Q z2+|JK=hMmAJv)16XN#YC{0SGqtvFbW>q#jo33osD!a4!W^;}DTX$Vl(!~|;y;RMzs z^W=SuAft(-!Cn&Q)*7S_x$&P!^8|m5;Do=b;wDSniu}wSq{y7&xgUMoCC}iiiV=i< zz4vcJ2n^rf*TF4>d;SaR+rSTv{jFca`5W~XjIa*&*WjP<_+R7!7!crnep+Gs5Is~-9q!hH?tF|l4nuBRpL@raR( zR&G}V!&=c#>nQDUvIe|i4Ge2V_fBiz3)h_1TXR}(!#YYOuHiZ8A}g;5OT0}hH%_!e zNqCEqJXmtjVnQucdF!@MoZ@khFW2xHC)O*9E$x#Wp33U%?4F&y(=)UBS~;N7>gxy* zi$b-=Zep!Fe>ED4HGW7iF9W>xy89%~Buzqay!LX~Sgax#VXgf1L@i>fCE{%caY7pR zC#rJUM+aw!6$^`aIhL#`rcsJfLNcWStBSE}Q6y;?Si+y=6PFrzNWoStbg)Do#Sf=7 ztgJ!CRza5R%|o5f_yxUI-m2Fdl5`9eAX` zLh|kt%7o`;tXYUW9X>4!lvsIf^*ZluT(?)plX{ibC-0H3F*<1E6P{d761zfn`EVM? z)=#fWEB`b{igi2d&U&zdwK!I?UthSke@j~&kn^(dsI+EGN8q zZxliAFr}lxP1@GPfDdl;f8KTVF)EzMdpMaxMQ4ks>tkK^clw39Zq^m(%ieAl4rQXW z?F}LZF8<1o;apYFY2FR{$YmVsa3?u;ta~xrABVb&J~eu5ZSMAf?##~4-R>ZE6mceb zEcnSCCE`2EYWah&UjDl{?_fNiO}74Q75EOkw>yyfjrd!&hQ&hCEP*d6ezaAeiJ|+? zWM>5a7z+7!jyEshRTzJpg(O%mh!3-NR~qhKF#p@^-tG97L~2zHd}DHqfz*fZWq$>J zJ^27-X?~=OJN;$yelxjSy?nf;!z~GS(eg)as!%xZ zde2YYt;l>|Z-Zwt(cw^fX7@6XJ1FXUr zA%_+{PP1Z3EAlEq7>$^-XCa83rB+#K4*DhD%0AihW;FlFy9Mtx;*3E{1k6Cr6gK#c zhrdUnc=qq5z9sJN|;(}Chc!61VkGz@924tZbq)N zH$teLtuJ`3)M(~+c%Hvz;EdpXI<l4}!+m!Id)@|W$zM)ZON?&6W z(M$6C`0<_?h;IbJK`-nZ0n@_1-`H(Tffn6ck;6d^V`Dxeb$HzGJ9$o_jHUrh^>?96 zk?M~UzOZ{+=)vnw>+|B8J{)&q*Q5P%wC~>T@`$n4E|#FHeE5ROhIZW-wuCo@T?o6l zEu~gji2XXt$1`6uP?A`S_;+AS^^)0beRXwq$p#N)qPGrXqu;bS$KAklo#S~;!4Y1H3!<5qD<$rIC{@-! zT9~F1&-;7FL%*hnz@6FuA>fN{r$<}(oEr3lGhZN3Bu>F8*JUnsN&9%FH`L~fSjn#X z;%i<9vVTV5T-~cd818(-0dq8+cRo&~er9T?El(_UyUG*)5l>UUmr(b3?g(ET=iyAMxeIf8={3`KHJH;X2>+5Bat*rMk@%B&T`lUE(S3#ZC~2*%yXnu10FG+lM}c zhB^PryY$6QB`kPv;JsPU!4Y2K8`CT)6|e2VVh+wp7zL`j_rqOi8qnv!OP>QTkI2>< z9uu**dk)Pn)svhN@*6Awm2i#Kd8G1q?hHQ^`^LP>PxHk#kML3CftV*A--xq3POkNL zfm0gys?PtP$-ke;&pc-eo-^F}yw%#@jl!;B>%SF+4O^oYU-?_ZZ|SGcFclM6ye;(a z_G}fYZ$1=Y7m{xTs~M=i{QZ1k))yb&ZDFg)2-sZ05+=}{kC5ltv&^%i&oe&Oz87K- z$lRonbX!=gI{UgDfyR}-bBHPR?B=V;sgJ_`F8ToP%_sC-{~G$@n$B-n+PQ%3O2(t5 z$G?>+dQSmw_M(8Tw}N3W`mN1E&Rcpa*Gr-AJO4@NuI4*?#ka8dhVJ0+=*62(Z@Pl6 zC;d)m%|i`+%X^*WS|{=z5gPHoe**vj|NlxWNzKWz=5lZa00030|9n^NZ{j!_ z|4jahC`P(LCqg135`mD0*m&Gs>=1X!&fIlmkbN76H-nD^%lO=UnlF*4>% z_R&<$1CPkj+z_(ri-=7VvcQ*j+Aji}lLRv&jYVS*sWwm20(jO?M;ga_ws6= z_h?=H+rbg?d&`h3swT_Ms_Hqj*{Uac2uGM!DC*&~9`wqz>=8y3&+JS&H1~R0_Av(i zU_QO$)}5uXMzT*h7CB0lW8+j64#Rz!H9yVgvQP80;@%5(r;iHe5X2m($gu2t*_&)7 zDPw!Z6>_MR$d7&-e^mCXHQNhWV2h+g*^Fm2Q+eiinT~ZZmsD}biD*83iLH(euV|V} zj+^*C$+h26et1yNuD7}1YWERGs;D3@IDjVKuGL5K;S`Kcf(s&&G`RB-J&Sz!1t`nYMB``tCNyU`OF0UNS zr67O&DW!Fl)&7VeG`PVERk*2X3&~kTXsf6M+KmUVXNNwi1Wr+e8eFPCdC?ZtPxv$r zEuTPLDSCq(M_@z#p=&(3AyfqvDmblDfmF&;g0tX~6Vgv;exrEKegWt#xb_uI$PHDX zn4(#$qS)ha^68Bd5GN;9x*Y{1b&tJw_88c!REiOJ8_Dd|UZRAfaFwPN77`)v9bTYO zXa$jC@-qfUAzGyhvmEhauP6@o{5>D5AWCT=4R7>%R_Nzc;^4jgX$7S?t)ezo6{;Ni z6JBuxsdup26UtDe+EeaegqXJ3#I!H_To5Q+k~92Ee)ZMoK2%s;L4Iq%h@l>y(H;q$ zp=;0f1%{>qj6{hx&0b=-1UirRbXnnQiE(?KVvHT1NYTz-{4J3%a^&?4}nvYi288QHW9D%(^8-U$LSn$ ze6=t7%TotoigV}ntcnvsb0!oZ~_{U=-fhH=iL1rhtr6+ww<#my!_mS_Eosx z0&~R_!$G2T+3%GT09`}`A`?W~A4Q6vN!xS4FM*mSbFAZ)p9%grv(I_AAjn}=7oC$| zDty-W2aNkO?gn$rB64Q49>lN*bA+~2gu1<7?fcB@ce6*gSKFk=W(4OE$4)QG(?N0W z&%1NT1&J{0&Cax!I*7uYM5x`ff9+;{?>=)MyEUu`BL-itn*cb+x8A9%aW9%MV~j=$W~Q9-~p z)E0yG(S9ZQM@k^Rw#qW<}XbRC) zET!CYE*R2&q;NEi@)jy=IoRzMi^XUM_V+xD%gu-zd$|eAtx=EmA(y4Q*)}vFZT2IB zmonVgTlZCNNO=6E}ERGqWlvSjxnn7Z#S9Zqbn;7oR_a{9rK{dhoQvZMms6zR|XZ zc(FdI9K~q0*L@I5tE@NMcY3?U?oH3V=(&HZ=l-PkY4NGi^UBROY~liQj#aYnP@*dS zvd?Hb>@ZCXN1M2bi^g}2VdHDhwT*YNw6Aimu^R1TF4x;-85*05o%JSOj*b&8GgEo; zny+KW3`b=X`=`;`*UyJh7#cq+naN>Rnx}C6u#{!Jl^q#BKdH5!{mZx=>l{d<`jKTr zILFD9>*a&|9@o#ESm#CEX2!q5@k3a_*@qx?l4ZCw*RoTeWL$TiPqOZ;RqWm_je30Q zG(I7IKkaCL(S33oT~|I`b)UxW|JH3{KCtiY;b8br&-3^&h9$;}e@x!a7BA(bBkMSR zmfS;*GUCZ?7>`S16W`9Z<2+2%B?p4V%dPq*Yt!<}2e;YhnPjk?UH5gC!@dst0CPAJ zj5{K5F1-d`Ee1UuINZWg*5okK=2fgvM<-*&N5Z9&T%+cE2CY(lx#H_{p!0+wbPVbu+Wnxih}s z)(77;$}Uz)3=N4?`3&#HzvG=4R6_T8=LD} zv#C>U!!&N!8po5{=$PfY>HYU|?$fH_U3zZ}17KU>%K9{+lx*!Q(U{@jonqF zd%H4p{f~98#NbQUdb}Ao&)bdB3C&~K*^JHd7;bc2bp4Nylh^seUU&T*qe{UdE}-|2 zrC015y+^ljS`mV<-UVRvp{aoRjdHkOWq_O+ZtD$VhKWpJXCP70QdGip4swSDbB2pb z7L;XV5BKKt-4B1&2S2WUmJf5;YGv<`eHC|0DB63tKl!cSlMNr3SzZ2^8~+Sp1~ zs=-cV)Ux5io+c^ms<`2$Dw$$|8XHOaCb!aZ(VJXkzws1Bkw~W~!jhyd`jZ@9U1M_b z1slW+zIYoRd}atdBaJWE4qoN7uX(^4xXU%qzHQ$P?Baf!}+i9)BZ?%foO}%;Wb#oQcy?kV{b25K3 zzpX>HuH&Yk><=)8<= z%gyBS?ltck!{vQ|l5nyy-x zhly!Qt9g!m>(#DlUwFQ>;)(mS9{9N0^bfH+@k`Ts=Px|e-`#=vhADJaGdnJO#>IYU z{|#;R7g}Abqni|Zv2T_)`#!cyZRmGCEQ6$ zUtkC8Sgyvp`Ipl5)#TgX=B<{#jn0ssI2 P|NjF3)MV<4;4c6Gfy^3i literal 0 HcmV?d00001 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srf b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srf new file mode 100644 index 0000000..15854e8 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srf @@ -0,0 +1,373 @@ +#Build: Synplify Pro J-2014.09-SP2, Build 1283R, Nov 20 2014 +#install: /opt/synopsys/J-2014.09-SP2 +#OS: Linux +#Hostname: depc363 + +#Implementation: syn_results + +Synopsys HDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014 +@N|Running in 64-bit mode +Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. + +Running on host :depc363 +Synopsys VHDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014 +@N|Running in 64-bit mode +Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. + +@N: CD720 :"/opt/synopsys/J-2014.09-SP2/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ns +@N:"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Top entity is set to pll_in200_out100. +VHDL syntax check successful! +@N: CD630 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Synthesizing work.pll_in200_out100.structure +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":2081:10:2081:16|Synthesizing ecp5um.ehxplll.syn_black_box +Post processing for ecp5um.ehxplll.syn_black_box +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":830:10:830:12|Synthesizing ecp5um.vlo.syn_black_box +Post processing for ecp5um.vlo.syn_black_box +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":823:10:823:12|Synthesizing ecp5um.vhi.syn_black_box +Post processing for ecp5um.vhi.syn_black_box +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":998:10:998:11|Synthesizing ecp5um.ib.syn_black_box +Post processing for ecp5um.ib.syn_black_box +Post processing for work.pll_in200_out100.structure +@W: CL168 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":55:4:55:17|Pruning instance scuba_vhi_inst -- not in use ... + +At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:11 2015 + +###########################################################] +Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014 +@N|Running in 64-bit mode + +At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:11 2015 + +###########################################################] +@END + +At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:11 2015 + +###########################################################] +Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014 +@N|Running in 64-bit mode + +At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:12 2015 + +###########################################################] +Pre-mapping Report + +Synopsys Lattice Technology Pre-mapping, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55 +Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. +Product Version J-2014.09-SP2 + +Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB) + +Reading constraint file: /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc +@L: /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_scck.rpt +Printing clock summary report in "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_scck.rpt" file +@N: MF248 |Running in 64-bit mode. +@N: MF666 |Clock conversion enabled + +Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) + + +Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) + + +Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) + + +Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) + +ICG Latch Removal Summary: +Number of ICG latches removed: 0 +Number of ICG latches not removed: 0 +syn_allowed_resources : blockrams=56 set on top level netlist pll_in200_out100 + +Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + + +@S |Clock Summary +**************** + +Start Requested Requested Clock Clock +Clock Frequency Period Type Group +----------------------------------------------------------------- +System 100.0 MHz 10.000 system system_clkgroup +================================================================= + +Pre-mapping successful! + +At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 75MB peak: 140MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:13 2015 + +###########################################################] +Map & Optimize Report + +Synopsys Lattice Technology Mapper, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55 +Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. +Product Version J-2014.09-SP2 + +Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB) + +@N: MF248 |Running in 64-bit mode. +@N: MF666 |Clock conversion enabled + +Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) + + +Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) + + +Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 110MB peak: 111MB) + + +Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 113MB) + + + +Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Available hyper_sources - for debug and ip models + None Found + + +Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + +Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + +Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + +Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + +Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + +Pass CPU time Worst Slack Luts / Registers +------------------------------------------------------------ +Pass CPU time Worst Slack Luts / Registers +------------------------------------------------------------ +------------------------------------------------------------ + + +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + +@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. + +Finished restoring hierarchy (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + + +#### START OF CLOCK OPTIMIZATION REPORT #####[ + +0 non-gated/non-generated clock tree(s) driving 0 clock pin(s) of sequential element(s) +0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) +0 instances converted, 0 sequential instances remain driven by gated/generated clocks + + + +##### END OF CLOCK OPTIMIZATION REPORT ######] + +Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srm + +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + +Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_m.srm + +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + +Writing EDIF Netlist and constraint files +J-2014.09-SP2 +@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF + +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) + +Writing Verilog Simulation files + +Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) + +Writing VHDL Simulation files + +Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) + + +Start final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) + +@W: MT246 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":61:4:61:12|Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) + + +@S |##### START OF TIMING REPORT #####[ +# Timing Report written on Tue Mar 17 15:43:14 2015 +# + + +Top view: pll_in200_out100 +Requested Frequency: 100.0 MHz +Wire load mode: top +Paths requested: 5 +Constraint File(s): /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc + +@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing. + +@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock. + + + +Performance Summary +******************* + + +Worst slack in design: 10.000 + +@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. + Requested Estimated Requested Estimated Clock Clock +Starting Clock Frequency Frequency Period Period Slack Type Group +---------------------------------------------------------------------------------------------------------------- +System 100.0 MHz NA 10.000 0.000 10.000 system system_clkgroup +================================================================================================================ +@N: MT582 |Estimated period and frequency not reported for given clock unless the clock has at least one timing path which is not a false or a max delay path and that does not have excessive slack + + + + + +Clock Relationships +******************* + +Clocks | rise to rise | fall to fall | rise to fall | fall to rise +--------------------------------------------------------------------------------------------------------- +Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack +--------------------------------------------------------------------------------------------------------- +System System | 10.000 10.000 | No paths - | No paths - | No paths - +========================================================================================================= + Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. + 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. + + + +Interface Information +********************* + +No IO constraint found + + + +==================================== +Detailed Report for Clock: System +==================================== + + + +Starting Points with Worst Slack +******************************** + + Starting Arrival +Instance Reference Type Pin Net Time Slack + Clock +------------------------------------------------------------------------------ +PLLInst_0 System EHXPLLL CLKOP CLKOP 0.000 10.000 +============================================================================== + + +Ending Points with Worst Slack +****************************** + + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +------------------------------------------------------------------------------- +PLLInst_0 System EHXPLLL CLKFB CLKOP 10.000 10.000 +=============================================================================== + + + +Worst Path Information +*********************** + + +Path information for path number 1: + Requested Period: 10.000 + - Setup time: 0.000 + + Clock delay at ending point: 0.000 (ideal) + + Estimated clock delay at ending point: 0.000 + = Required time: 10.000 + + - Propagation time: 0.000 + - Clock delay at starting point: 0.000 (ideal) + - Estimated clock delay at start point: -0.000 + = Slack (critical) : 10.000 + + Number of logic level(s): 0 + Starting point: PLLInst_0 / CLKOP + Ending point: PLLInst_0 / CLKFB + The start point is clocked by System [rising] + The end point is clocked by System [rising] + +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------- +PLLInst_0 EHXPLLL CLKOP Out 0.000 0.000 - +CLKOP Net - - - - 2 +PLLInst_0 EHXPLLL CLKFB In 0.000 0.000 - +================================================================================= + + + +##### END OF TIMING REPORT #####] + + +Finished final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) + + +Finished timing report (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) + +--------------------------------------- +Resource Usage Report +Part: lfe5um_25f-6 + +Register bits: 0 of 24288 (0%) +PIC Latch: 0 +I/O cells: 1 + + +Details: +EHXPLLL: 1 +GSR: 1 +IB: 1 +PUR: 1 +VHI: 1 +VLO: 1 +Mapper successful! + +At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 143MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:14 2015 + +###########################################################] diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srm new file mode 100644 index 0000000000000000000000000000000000000000..98174c49deec366830563b77c37a1f47bc3d1067 GIT binary patch literal 6222 zcmV-U7_sLciwFP!0000015#C0RVXORFG)=c)0#Z?ZFcy1QLz zw$oI1)l=`)M&D=3)|GZUgVrXs*mtRCyQr0#FX4y4EP|LuDrGFRDxJy9)YGQYan(qL zD+N(NW2Q2yhm{3qmW?Sd;Xdlk?TJRDP%Csf?8k*H_cis`TV`5QW<1}vfvQF0kv5ba zO&>ev&~qdbeV+sjlO9PXL^dPpjE8|oEU_P&X+#4j&?A{x(yf$uy@^Rkfs-Z*!BIdGOUR1GG93~punw}* zU>G?7N|tnT>sgY(nV3bRPcQgCd5o}-01xRGlzJjH<1c0)ccwo%VE*~ zRn~4Tl}(>bcB>k#HVPsQ;iYbE$tp z%p`8GevL6UaauHeonVJeydDW9t&nxCU&NuT_2pjyg~g`kb9-y3krs@G+Suu9!vfq!%$ zEr%hlv~;aiP5ZAV#B!=@er{75#(Aj>w2|JFi8hi`tc7xewF0Miwbs^wc3ImBrd`xC zld*H`5C047dRS<&+zXcdLEqgY!Rt>ah6yPe2v96_6aksqm>+^rzuR}=- zwIxogYTYzi8>auldM;~r=+juC`9LqVMjMqQoXA>}h8W9Qsug#wR@Vg$f{4W`t7xb* z3k+EVRE=U5GFma+VvadUkJ#BEH_zqIyxtsbwq*aY_H#5hSF_s8T4hToe->8GM%( z6CK54!z!0a^ z5_)$-ycc$oFE1xFTsKuzP)CKZ=Xd+2977(&%?BJwP8TC>Zth#fRHSSRn4;F(D?Ji- zv~M{vT7@WHw(V>ZhYhacqwJ`Wi^y7bxMH)R!8&v(j>lgj{5HND^>5?*mYc>IzHgrK ziZ9E_;OIY)l@vNnAP0VIr-$j^#_^gn2 z))04@7vGcnXXdI8mgTa;`U1`u_3eqEuXS73eImyJ9VImVF!I7*I-CI*G!8A#>ii3J zPj130J&TD`9prvF>%HR;%JDo}CuE7GujmOR)Vv;#8xls*nnLr{L#V~5efnBUbuIWM zW)LUqwvm%1sU?45XEgI?q#i7GbY^E{;nyp>?Yt?T}3ei}q=gi*tEP6`E~ZvZ^_c7HWTHZz+1LHyz!$pd07( ze6_c;Y-UrWY&ZL_7yH50-oM)0um}HB5CK|j?G|v>HC<6KKUm=rQW0=I3VSJtp!qJN zhJuihlSc{#hJVixnb*0vWB7Ttupf!AAt=8`iqH8g)X3q~Cfm*P!G`{}@Q@^83VL?Z zU*-kD&?ci8H*5SkCXtTux`{rMcnyD%=5vH&ef=3X0UJhR1&*=j@Ig z=}k=5uXh_+k3Prich|qG!+R^Adm!(EbrQEp(kz$r1WPjUvwCsNjh#Js&4*JC8K)dl zGiuLxtd}d}L`N;1*PFpH2hMXvz09NXL=!pSpXb1NuBiTH4!qKKm-)84%(wGADg|u^ zF*rc>Vnf^9f9m9Bxw2hRC^3RZn{Snj);8Msk6oR(q#Gyt>XGcT+@7J#R`zuJb+x(L z53ct9<-WB~xw1to`;U!E;;s#WURKslXD8)9!VV_?@v z+-Zr;?Me3rj72_@3_If(Y#vA1_%es3MLj@(Z5EnB$j17~11@_tzVu^frMAbiQjf4nnE3CSZoNV0Gd+I*rz46<(9KHcvlj_} zInDk{n38BC%MtG0uGcgE3z1Umr5xR=Q|I}{xt&a3p3B>WCHR(2(^MVZv1ciHIn9<8 zjh9I}Y%F*ld(*$3*?%#y4qYnYZ|>v$hU%Cmda{;jMRb`(@OF5wf*OIw+Pi_mh5ma7w zJj?wSHN@1zfHv`Araj8@nAE$E$i4c^!LU+&5bLzPb2$!(HAV1I?Cf1UK)cZE!JQuT zyAyil$Utq|>DA`wT|O|BwdZ=>F;}cN%w=3J&}F4;Y}9@eylQ*3Q9ShRPquD}bfQb> z8-M@YyZ6tk1%6UXuAg<2yP(^k>v3<{$2RhT_jqm_xXr|>w#=2$uWXxL_508KM zeDR)vFbg0YVMr41fVN)z1|0Pf zr*0`$2DJfZMt+YR*0=a(^*mp}6I#%C?G9<2!1H~L<7I5=VDgh!$byuE_Ez^p9zogX-!@0us-lO0IWM7roj0k%ipW-oF?rC ze}TCG%*=vjVSNJBjjLCGV8V#YblgBOLM`!o3USioUaTGke;2QHpX>U<+)sY#3O&efaQYnv zY%1QpJhqN@;ByMtt2pH|6ZMRJa$gR4)1KdlSxnp!e_Jj6E6fJhbHqsHPsDuG-8X(L zlh+{^q*i1!IpmkPOW^(XBb|BfT**D=(vL8UScRh}eXrvCNB6~GS5qm_s95~8Ez5Cw30RRC1|4J)K&B?Lma&QFz00960d|3Z);yM!lnfw(| zjC6}mghYu*1gf;eCUxK8gt)KS?cJ^{+;$5|C_!#o9gp|F-#C2fw)@`kL&4+u=9%$l z#{JCrXa8nok4B$-+aB3hoX1>sq#%SA%q~h1JB43xg`BcX9cAEg;-x&K7;PjIiBJx4 z5{L2>TbSfSQC4<;m_Hd7@Xa~FlrcNz_eRmKDMBJnONGbWH+VAl<`hkNNc?1M%qjQK zRLn!4@bTP8xbl*ePIGdD59`>gLR^v@Q^Jj#Rv!{wmdgtEtSj}c!>xEQtx`NF)utT8 zjrxy+Qxpsq5fibPEPD59;LK+CgJghkierV+0hY~hP|JEiC=sl%3*p42KPZZiG4R6q z^c%CjS=!f>dxYVnL{d05mZEYf_IS~L^giQWTuR0KqwkJ~D(VnK9j7WV_x$3GSMiwA zjbH*fv6b_Wc3XQf_tcVZ5?*18NFr>?intJE;rNA)bvWm-V2+c<<@6X^9UG=%S#pNk z_&)Px&=Wzl6)I{4M~5JRF;kQW?68g-t~oOdaBb6HWGgoOE~H|M(cWHZ zamV0)Brco1)C=O`gK0gnEZQ_LJi zSi<=q{7enON1XGviv(4u#7P~8)SUukg{(N< zBj$MoYDT6c!Ks6%N?x7iqD7K4B#i?FKG8Xxp=fl zJ@G0DRpl@mqyKVioi&T~Xz70ITYDL*7y=>paEE11Xjj)P-uGEIRkH8wCX6&9go1NBd0(mH4#p?~{IY$?{~s9~OOm z&-$Hxp2iAqSd98=pKs`85;}*w@1y;m_VY`&!Po(L62igL&c5&Od($rwUZ)*a^%A{? z>xhAa0on-`3QYZczgPV)R5&;!I88!@p=ps+bWq%7M9b)!CK%6&ju-T#{YOl$_WhC) z2kjN=<6Qk#>;dt;q6qhWoX#np5xSRy*y#=ce`DGG7aH_^ySRJwioWeO1K1y=q{IW} z;9)AudFAbKKb&Kll0BUboLCMZ#&K67)F1rIzAJpMUp%^l#wG(gBe+bNbOu#O1)k>~ zoJanrVi1SDBur3S6h-WpPGJ?_zD4Z6yKlXb_5b`~gqF#r5QC??3X2U~3*w~E^G4q+ z|LM*j7RAtJPv_nk(@GKvVRN97XuFdC<6VFKM^Uu3ggupqG11sCzk&onZ}5-(ZYB}b zSQwvcSX`#56ElTk0+1|9T+P(^9$cm|;Pn}=Do@UsfJ=#0c#_vW8tS<6CBJ*JpNhx( z`}?E6atciY>Z2T1+`f6bc`9xWy@-0H?(Nz?1jvpH?ib_YU=vMskE+i7QS$=MAh_2Z z&3F8qd#8d1;K*bux%DmZk_EF}TSS>JjA#8mo=Ydky%Y-Q-rQt5Fd(G}5dWgpKI7>(dAW<^iPu|J8{JE8Ve&Oy} z_SyYgS)Cgj!aTei*^rxmW_KgzBS-LT^7GlUX2N?sd&wMz{E5hni|Z`P>J@yiXQIsY z?^eIa)(i8t;SW|F=(y_G35w=q8XkHdz+#`o)H>$;|SQTxxvGPvG0-(ls}&&9>^ zIxrgd`_}9Q*#+eI#JjjKn=|j)thZ*+d)B#kjqAm2Fll+~>!x0P*_uoDHqv`Nr}OOlSjsNE3fPN+BI7F z$iC1t`?z;sU$lCR^|h|?i?tE0t{2&|Uag~xU!(0%-iuYdS}<=y~PLKHs<4X^Nw%H z%@dyd!fUTx@G0RrI0*C4#*}<{z?l1A0dLUFY$GeVw;=d>PnYFY3rx zy^oj9>9}6~V&|CGGcP^ArAmyH(C-((Ok_d-%dci4;T@Qo2|?I_83RTu=p7gauM#VG zOe1H8yLv*MVZtTM6eO+SPVx}PVd>Bi=7ma$l3#`&*6D}!?87=qW6}Y4fL66LEbqW@ zAdBqBAg%W$(9B$z}blgGZMAtha8%(z2BERGiq){7A=LY9e5Sm?d%30J>SqC ze>KhDcS{l7HX>WLxZ-oGI5FvW-z(+4o%y?YyNtxL$%MuP`vXr#c@#BbXZCtaYXJ{H zpw$!_+N&^KH(T&^&$2$*tzTL`6T!on+Zz9)*LpQMtlNIj`fyl3o!0J$eK)X0=0EV0 zzO``g18A~(-lDpu#kw&k%WZ9${P-Q5c}-U=>+Qrexz&D;1M95Uv=4g$x3Y=*UJh)W zbOzhZodmUM{bVoFcr-NMe2QGr_Km|_M~|5N7qt6dXiXztznfdPeM?2Oz4zk#YqRC9 zS)6PFKKw$v|3EV#zpG#(7R~m1#4X+Te)f3*bBm~u0RR6303BXvVRkYA0LvwPS^xk5 literal 0 HcmV?d00001 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srr new file mode 100644 index 0000000..15854e8 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srr @@ -0,0 +1,373 @@ +#Build: Synplify Pro J-2014.09-SP2, Build 1283R, Nov 20 2014 +#install: /opt/synopsys/J-2014.09-SP2 +#OS: Linux +#Hostname: depc363 + +#Implementation: syn_results + +Synopsys HDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014 +@N|Running in 64-bit mode +Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. + +Running on host :depc363 +Synopsys VHDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014 +@N|Running in 64-bit mode +Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. + +@N: CD720 :"/opt/synopsys/J-2014.09-SP2/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ns +@N:"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Top entity is set to pll_in200_out100. +VHDL syntax check successful! +@N: CD630 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Synthesizing work.pll_in200_out100.structure +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":2081:10:2081:16|Synthesizing ecp5um.ehxplll.syn_black_box +Post processing for ecp5um.ehxplll.syn_black_box +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":830:10:830:12|Synthesizing ecp5um.vlo.syn_black_box +Post processing for ecp5um.vlo.syn_black_box +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":823:10:823:12|Synthesizing ecp5um.vhi.syn_black_box +Post processing for ecp5um.vhi.syn_black_box +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":998:10:998:11|Synthesizing ecp5um.ib.syn_black_box +Post processing for ecp5um.ib.syn_black_box +Post processing for work.pll_in200_out100.structure +@W: CL168 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":55:4:55:17|Pruning instance scuba_vhi_inst -- not in use ... + +At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:11 2015 + +###########################################################] +Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014 +@N|Running in 64-bit mode + +At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:11 2015 + +###########################################################] +@END + +At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:11 2015 + +###########################################################] +Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014 +@N|Running in 64-bit mode + +At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:12 2015 + +###########################################################] +Pre-mapping Report + +Synopsys Lattice Technology Pre-mapping, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55 +Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. +Product Version J-2014.09-SP2 + +Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB) + +Reading constraint file: /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc +@L: /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_scck.rpt +Printing clock summary report in "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_scck.rpt" file +@N: MF248 |Running in 64-bit mode. +@N: MF666 |Clock conversion enabled + +Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) + + +Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) + + +Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) + + +Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) + +ICG Latch Removal Summary: +Number of ICG latches removed: 0 +Number of ICG latches not removed: 0 +syn_allowed_resources : blockrams=56 set on top level netlist pll_in200_out100 + +Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + + +@S |Clock Summary +**************** + +Start Requested Requested Clock Clock +Clock Frequency Period Type Group +----------------------------------------------------------------- +System 100.0 MHz 10.000 system system_clkgroup +================================================================= + +Pre-mapping successful! + +At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 75MB peak: 140MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:13 2015 + +###########################################################] +Map & Optimize Report + +Synopsys Lattice Technology Mapper, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55 +Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. +Product Version J-2014.09-SP2 + +Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB) + +@N: MF248 |Running in 64-bit mode. +@N: MF666 |Clock conversion enabled + +Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) + + +Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) + + +Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 110MB peak: 111MB) + + +Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 113MB) + + + +Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Available hyper_sources - for debug and ip models + None Found + + +Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + +Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + +Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + +Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + +Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + +Pass CPU time Worst Slack Luts / Registers +------------------------------------------------------------ +Pass CPU time Worst Slack Luts / Registers +------------------------------------------------------------ +------------------------------------------------------------ + + +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + +@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. + +Finished restoring hierarchy (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + + +#### START OF CLOCK OPTIMIZATION REPORT #####[ + +0 non-gated/non-generated clock tree(s) driving 0 clock pin(s) of sequential element(s) +0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) +0 instances converted, 0 sequential instances remain driven by gated/generated clocks + + + +##### END OF CLOCK OPTIMIZATION REPORT ######] + +Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srm + +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + +Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_m.srm + +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + +Writing EDIF Netlist and constraint files +J-2014.09-SP2 +@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF + +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) + +Writing Verilog Simulation files + +Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) + +Writing VHDL Simulation files + +Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) + + +Start final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) + +@W: MT246 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":61:4:61:12|Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) + + +@S |##### START OF TIMING REPORT #####[ +# Timing Report written on Tue Mar 17 15:43:14 2015 +# + + +Top view: pll_in200_out100 +Requested Frequency: 100.0 MHz +Wire load mode: top +Paths requested: 5 +Constraint File(s): /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc + +@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing. + +@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock. + + + +Performance Summary +******************* + + +Worst slack in design: 10.000 + +@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. + Requested Estimated Requested Estimated Clock Clock +Starting Clock Frequency Frequency Period Period Slack Type Group +---------------------------------------------------------------------------------------------------------------- +System 100.0 MHz NA 10.000 0.000 10.000 system system_clkgroup +================================================================================================================ +@N: MT582 |Estimated period and frequency not reported for given clock unless the clock has at least one timing path which is not a false or a max delay path and that does not have excessive slack + + + + + +Clock Relationships +******************* + +Clocks | rise to rise | fall to fall | rise to fall | fall to rise +--------------------------------------------------------------------------------------------------------- +Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack +--------------------------------------------------------------------------------------------------------- +System System | 10.000 10.000 | No paths - | No paths - | No paths - +========================================================================================================= + Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. + 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. + + + +Interface Information +********************* + +No IO constraint found + + + +==================================== +Detailed Report for Clock: System +==================================== + + + +Starting Points with Worst Slack +******************************** + + Starting Arrival +Instance Reference Type Pin Net Time Slack + Clock +------------------------------------------------------------------------------ +PLLInst_0 System EHXPLLL CLKOP CLKOP 0.000 10.000 +============================================================================== + + +Ending Points with Worst Slack +****************************** + + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +------------------------------------------------------------------------------- +PLLInst_0 System EHXPLLL CLKFB CLKOP 10.000 10.000 +=============================================================================== + + + +Worst Path Information +*********************** + + +Path information for path number 1: + Requested Period: 10.000 + - Setup time: 0.000 + + Clock delay at ending point: 0.000 (ideal) + + Estimated clock delay at ending point: 0.000 + = Required time: 10.000 + + - Propagation time: 0.000 + - Clock delay at starting point: 0.000 (ideal) + - Estimated clock delay at start point: -0.000 + = Slack (critical) : 10.000 + + Number of logic level(s): 0 + Starting point: PLLInst_0 / CLKOP + Ending point: PLLInst_0 / CLKFB + The start point is clocked by System [rising] + The end point is clocked by System [rising] + +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------- +PLLInst_0 EHXPLLL CLKOP Out 0.000 0.000 - +CLKOP Net - - - - 2 +PLLInst_0 EHXPLLL CLKFB In 0.000 0.000 - +================================================================================= + + + +##### END OF TIMING REPORT #####] + + +Finished final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) + + +Finished timing report (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) + +--------------------------------------- +Resource Usage Report +Part: lfe5um_25f-6 + +Register bits: 0 of 24288 (0%) +PIC Latch: 0 +I/O cells: 1 + + +Details: +EHXPLLL: 1 +GSR: 1 +IB: 1 +PUR: 1 +VHI: 1 +VLO: 1 +Mapper successful! + +At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 143MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:14 2015 + +###########################################################] diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srs b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srs new file mode 100644 index 0000000000000000000000000000000000000000..57d784a7e92807440912f5797ecfa3f72def1659 GIT binary patch literal 5590 zcmV;{6)EZ;iwFP!000001AJ25j}kEuf9J25Ku9zJx?gaCCl!4-b8_Us=gn@nu=To~ zO*<7g;g5HUcP47$Qzz-~bK2>23cW*8l3q{MlqYS}Xfm3>PLa``;NmoqvVazjLBW^G zL3#)2a#Q4+G=nTjmyA9Pwl`X88o<5~jkeFq&7cn2P^9nhJHsQRSTJRa{owSuC3v%a z3+Z}Y%;Qy*!rl*l2KQDj;Mi&p9#3?soPx?WKrNr%qtq2Ah6ZKml%77o4R@a($u!t`< znmq20_m8{7W_+E;m_;`~R>1vr3%lz(Dq{U7N8P36py~A((dAvA&+?Hs|8rH}Ik-Sa=7QexLHVhN6ttyW2%uhY@HPd@YI1v>WLdLUtxLf8HJjY zTvsPmq0*v~6I*tDmj$_!Gu0~$+_P2-S0|*Bwa)WOF71T5uAc`=UNBG1jhwmbLai7f zSA9ZNmwF`7s}7N$O)2ZhbLgvH(htbBcbyQ@>;IuFazpRsORZlkZr>kI`y-3!sx_5I z)?g0LKDacWcS7(%CnGks3>OA`J^_7Xp>V|Y)gH)3M;?SQ2fa!{$^z9@eFBEuljnmD zcyd1>YX3S3Jkq}=f8;&I$QSyu?rDnOcgLgt)C&3}84(t;ge3aauFc>0H~6c4Vr>m$ zG18|N$b)Bh?$Zt^f+q9H)E!ySF&ZBJWaPRQ^MRA#`#x?fZwn<%7H@ek z|6jZxpR&C~;nSo@Zr4LS(lI7kICdxFE9MQpeuC*ULXUp)a}4wSWA^k(0(v`1ipRBH zl8t^n4w!otPT1G6_X%YJI`zLZDSON%5(o$mMzZ&8Mifl|m=Dv+tHmdpR?fxxF-Iwt*{+J`h2hU+3!} z)TiK&NE1g>jH9>sn(Dq+?bcaHBanwYro1Us%-{KHKp1#)S&oL{T&0H-e>8WOw4vka zYl{}H@JVMW_z-p-6cwRkW8Ca<^$9J)AW<2iEKyYIuOZL%9PyN+-=-MTZa~9=Buq7E z!q%!!b=<-Kb@DS!m-*v~OI?w7$rRc?5Z}gAIL(=rge*vWkY)d*ZvvskaWW;KU#}4k ztRlpxsx^RI*nrzCaQ!QvR%l>(o=>c0Z+vTP!*OrBFpPOGTq?8PmM-(LlBP2`oeSF6 zItdCEti#EuN(r=OUb8vb6_uCp@-XNrf{#kSim>Ijzw_bFSlYRr=d;{4_#fNHtv=FK z^~$Z7=ZCvsEmQE(FWw#8EyX^=w$Xn0cjgViL%P21R+tF5AA^v3s5$EDb6o=rd=e6W zy$dJ=s<9h%#see=;aE?65*ij@-bfHnoStEPww1iH)#3+*9PC`BRRP#V& zQ7$+CJf8D^7SAUPVSmR|LU}Ga;|+6MP+d`9w&2FfZ^OlM(wi8@cGg?W#y7oj?`9F+ z=GNpUoKR~!lTWxuEEHHBP;sIRwu>|F$$HMbT~+uAvlL97oX=OCssXJ+o-?51aX+GO z6eYE1ift=D2k`S9?tcCa?h^EKj(+CIQ4IUDYSjXjzXtekSgwDh!IXH42l!%}>g zG?tb7p>*26m$D(0lAt4{uWLx(+1C%6BSERHHtfNv_n&u7>PFHb zlUP>+Db}3(?DRciSuD;(TI|5;-sCpaB(`y0x)P@!cZJO%jdcn36QCS8NaQ zgW%g`aa2Dc)-X%eNF$27PZC2|@9zbj_PX|VePh1Fk@*-&PhIu`-}e{u;lq?Rq--DJ(PV+s3DCTFh6^mDqb6?f3H@#s!#Y$7M4Rcmc(#8b-Z8L?JC^jFZ$n3tbr|+@}rWrj7xh``XmrZ_jgk16F z1y>$TCUu{NFW));$H7qWzVy3{H1^y^&h#BdMBj3mgCn`C{S{^M>)J7Yqb~V<(~Gq7 zcnzCU*1Az7XMc$`=^&YGxT+uaF_f~ik~j7F4Aw9a6S)MfZ_2xGr#f@&(cjb|ZnvW@ z=_xK--;@u&ono}5Z&M53%8`oPAWLBGBkhcji@N#-cPIM4TB}=YZOonGp4axy>{WK2 zrv@-P>8xGM>-PNAI~;oyCn{GBy{1PAN7ba=&#nv4a((*R^YVU@u!4@O@#)M2+bZl7 z6OyP@@tL~LDToGlkT-WFicM02w;oE=L^beov!J8TW8q*cpL^MLP)w>( zNOCZi9p(Ra7gLtDf!CiD|0rARKY5+uKI%kB$H%@-b$QPh@ScxjdJxI&b4z{>`_{Cy zofRC0!Z*Ahu0U5kmsP>>UV?NFJWg9@4P`4vrC!(^x0-?3mSYq1X({}2!T&+7ahD{? zC4cwvMSqJ_u(`fz#`LzSEr|CAX!Z`u{9VspgDm*vQi-?aV=pZ_ z(89`uMWXxHN49RSV?6r&4$b|P@_UHFZx}ZshsU6qgW>%<`)DBZ{;+Kubg^+96&q{O zpVEHCdqrsd{^$;0|5=uMZ6)2ynRC`nL7nhY#R=YOb~o0b8-Jt+_LprL&=9j`Fcwmo zA>Od3;p1RaztceiIpeu)P`7=9r*FilNU5DQcL)$;M|!`;eygn>245)2AFe+!mlIja`+Ga}3s;-=d2s664L8 zZX;!J4Wfzj`O!rKz$I z<08uKY!jDGv;r}HL^qS8nDgbe6xVnz4~Q4?cl)(U175RUF|ZIAz`wJuBOS`_3Z(Mj ztUkgJ_H>S1u0)*jI^?k+^az9h`OQOF2>%<$amMQ_?@M{F5FN7kSNUD6{f*2Q z?`Vp6XX9@cr*{x2DF1f(Hm8KPc>56VCat$N@%#8b*VrN#w#Yx*TZPvn>~)OOyW6q% zF-~LB%KI3MBF`$@&-O_Ver}vY;mo1TR#D7rTgwg`qh_bKzUHjRXZaTO60z7mkFnR> zpX#PBI@;nxnPH;|J?G=%c;^!R(eLooekkI-Xbi>t__opXR==S$kDWJsPqE~re=l=p zH&{LC8_nSjzEPvUJ-@L_=f~MZkdE@Gg?^R6RxI{yIx0RR6= zD@o1CvF37c1poj5|NneeU2mgG5`8wm0?~O08Vv>+83eKv(`}}6lf73^>M1|bd_%e+_-mv6$IC=Wd+tPKn!)SK*o3}tg^z` zF5MDpVpmma*BT9z!3_9>%zT$YIMWg!1CIV|!a{I0NM?gHsV6MwG=#hE>~G>KXOsh@ zcS)$t2n?=rfg4B)qrQ!M2K?3EOk!H~(_O!+n}5_XC8^zy79L_O7E5#7pW5{@=}$>Y z`!T6$zo6+*_8ZCi1rx|EEX&xf?6N5Pj58FaRiYhN?w~AZe@%SD_)BzH*&wA=A_^M` z#lo^F-#}R^E%j@$2^hpxQ45#8AMc?bW!k?apX7sw=JD|B; z{Rv))4Iwq!g!0md_uTTB+@ehr8-_oa^U$SVbDET{ zZ#`0D`rKi<8W54=Mj_qBSQQ^wTX}_IlcbaSj=;6{@{t!QYN851F<8OS;-ZX8tV-Ke zT--2X59*K@+70h``xpdwuKO6cGKkhu1~Ob{3NIh9+QzQ-BB2o&(pYC9Xz@*ObLZX- zgCKB+Ze#_rS#Mz-$*tC?_#9M0S=(1ZlMP5CS{vKum)%Qi_tM!pCp+hV**U-Lu3Nin zSGvI#qP1LaqmXyps#%ROPj+HsP-x}GF4Tm8%9Yqik_kJ+mTO`#BMGG_Y=(K_?g+eC z!%%2Da4Uhbk(sT!wk%P}5=aJQCMeR}Mn;mII|S?HvtPur<(p7%F~J!XNbP*b5@NY9 zRK3_$0z~7Y6fz^F($*}MrvO5e8QRKulCvEU*9wO(+D#2N!)}H=4qYp7gD=Y#k2}T; zf`67}3bfb^D}Zd=_IH}Hq!;7#n}CdQIBbo0}jQ-aXz0!{Cd1y-WjA;Z%WQF;_7!;%%QKw7gBGES>f+Cf^`Uswu`Z z*1g+*Y4cG<(&;Qgro~}>1FBiUOYoxjq|*4(EQ3@27k+=}4~>+UzMF4w($(ZVT2Hf4 z73Chc56Jk}cLVXvEB_BWaC zEShxmmbb>@`M!B@wz}Nwp84}!?m3GwxgVW!R=66u{&TOdocripZ(%y;F?6|#)}w9n zflt@wtCs7;`Q>Xh*Q2dmJH+~pYsTwxe zPMi%0gWH%-Z)ws4HG66#HU*LRNiiX6Tf}KqQyYkn(i*+XQPhe*J{9D+X!HT6?4R3@hTd`{MI`@o`Ucs<8En ziJJedE|O*5Vow!L(u=JE^9fBzcb5|^$V7R0^Kq`XEHM4hLt>?8cQ{E4weV>gvtDtj zx9n^1F`u!@xRg+=MJ);5lO{09@)BNz= z)Q^$Ay3ep#we!cw!1|<Ece zAFuXO&CU0(ckcIe=j}7+!mf>R^67ZlULQ-Zt>G!&L)7fS(T8VoqIUL_`UURa$d-ST z9UAOrSqSZZ<6Z9>JhXGL{V&qRRI|eRjcj=?Q~lM)y0_kAvoIc@n=|K_vxWJ(Rd4?Q z#y*pB75+3%@fs5snfHqNz1*Ms|9>{lXPYgDW47>~^vpAl`1-@xw{?B$YI=n2LiH>& zJsp3%9>*RSnejC4YOvTI)y*?LD6<0;c$O+3O6&rm+PJ~RrS zl(<#&eATyJ7vJHqxL4%*UEe$oU5!-(j=CAOF&w!c>!H`hX-p{{eSxbt%)hv>f^30@ k@t^vBePQ!o00030|Kf5`-~s>u0RR630A8gjhkY#o0A2n@&;S4c literal 0 HcmV?d00001 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vhm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vhm new file mode 100644 index 0000000..89d3c09 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vhm @@ -0,0 +1,139 @@ +-- +-- Written by Synplicity +-- Product Version "J-2014.09-SP2" +-- Program "Synplify Pro", Mapper "maprc, Build 2453R" +-- Tue Mar 17 15:43:14 2015 +-- + +-- +-- Written by Synplify Pro version Build 2453R +-- Tue Mar 17 15:43:14 2015 +-- + +-- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +library synplify; +use synplify.components.all; +library pmi; +use pmi.pmi_components.all; +library ecp5um; +use ecp5um.components.all; + +entity pll_in200_out100 is +port( + CLKI : in std_logic; + CLKOP : out std_logic; + CLKOS : out std_logic; + LOCK : out std_logic); +end pll_in200_out100; + +architecture beh of pll_in200_out100 is + signal CLKOP_0 : std_logic ; + signal CLKOS2 : std_logic ; + signal CLKOS3 : std_logic ; + signal INTLOCK : std_logic ; + signal CLKINTFB : std_logic ; + signal BUF_CLKI : std_logic ; + signal REFCLK : std_logic ; + signal GND : std_logic ; + signal VCC : std_logic ; + component EHXPLLL + port( + CLKI : in std_logic; + CLKFB : in std_logic; + PHASESEL1 : in std_logic; + PHASESEL0 : in std_logic; + PHASEDIR : in std_logic; + PHASESTEP : in std_logic; + PHASELOADREG : in std_logic; + STDBY : in std_logic; + PLLWAKESYNC : in std_logic; + RST : in std_logic; + ENCLKOP : in std_logic; + ENCLKOS : in std_logic; + ENCLKOS2 : in std_logic; + ENCLKOS3 : in std_logic; + CLKOP : out std_logic; + CLKOS : out std_logic; + CLKOS2 : out std_logic; + CLKOS3 : out std_logic; + LOCK : out std_logic; + INTLOCK : out std_logic; + REFCLK : out std_logic; + CLKINTFB : out std_logic ); + end component; +begin +GND_0: VLO port map ( + Z => GND); +VCC_0: VHI port map ( + Z => VCC); +PUR_INST: PUR port map ( + PUR => VCC); +GSR_INST: GSR port map ( + GSR => VCC); +INST1_IB: IB port map ( + I => CLKI, + O => BUF_CLKI); +PLLINST_0: EHXPLLL + generic map( + CLKI_DIV => 2, + CLKFB_DIV => 1, + CLKOP_DIV => 6, + CLKOS_DIV => 1, + CLKOS2_DIV => 1, + CLKOS3_DIV => 1, + CLKOP_ENABLE => "ENABLED", + CLKOS_ENABLE => "ENABLED", + CLKOS2_ENABLE => "DISABLED", + CLKOS3_ENABLE => "DISABLED", + CLKOP_CPHASE => 5, + CLKOS_CPHASE => 0, + CLKOS2_CPHASE => 0, + CLKOS3_CPHASE => 0, + CLKOP_FPHASE => 0, + CLKOS_FPHASE => 0, + CLKOS2_FPHASE => 0, + CLKOS3_FPHASE => 0, + FEEDBK_PATH => "CLKOP", + CLKOP_TRIM_POL => "FALLING", + CLKOP_TRIM_DELAY => 0, + CLKOS_TRIM_POL => "FALLING", + CLKOS_TRIM_DELAY => 0, + OUTDIVIDER_MUXA => "DIVA", + OUTDIVIDER_MUXB => "DIVB", + OUTDIVIDER_MUXC => "DIVC", + OUTDIVIDER_MUXD => "DIVD", + PLL_LOCK_MODE => 0, + STDBY_ENABLE => "DISABLED", + DPHASE_SOURCE => "DISABLED", + PLLRST_ENA => "DISABLED", + INTFB_WAKE => "DISABLED" + ) + port map ( + CLKI => BUF_CLKI, + CLKFB => CLKOP_0, + PHASESEL1 => GND, + PHASESEL0 => GND, + PHASEDIR => GND, + PHASESTEP => GND, + PHASELOADREG => GND, + STDBY => GND, + PLLWAKESYNC => GND, + RST => GND, + ENCLKOP => GND, + ENCLKOS => GND, + ENCLKOS2 => GND, + ENCLKOS3 => GND, + CLKOP => CLKOP_0, + CLKOS => CLKOS, + CLKOS2 => CLKOS2, + CLKOS3 => CLKOS3, + LOCK => LOCK, + INTLOCK => INTLOCK, + REFCLK => REFCLK, + CLKINTFB => CLKINTFB); +CLKOP <= CLKOP_0; +end beh; + diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vm new file mode 100644 index 0000000..2c7d22b --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.vm @@ -0,0 +1,120 @@ +// +// Written by Synplify Pro +// Product Version "J-2014.09-SP2" +// Program "Synplify Pro", Mapper "maprc, Build 2453R" +// Tue Mar 17 15:43:14 2015 +// +// Source file index table: +// Object locations will have the form : +// file 0 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/std.vhd " +// file 1 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/snps_haps_pkg.vhd " +// file 2 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/std1164.vhd " +// file 3 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/numeric.vhd " +// file 4 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/umr_capim.vhd " +// file 5 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/arith.vhd " +// file 6 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/unsigned.vhd " +// file 7 "\/opt/synopsys/J-2014.09-SP2/lib/vhd/hyperents.vhd " +// file 8 "\/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd " +// file 9 "\/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd " +// file 10 "\/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc " + +`timescale 100 ps/100 ps +module pll_in200_out100 ( + CLKI, + CLKOP, + CLKOS, + LOCK +) +; +input CLKI ; +output CLKOP ; +output CLKOS ; +output LOCK ; +wire CLKI ; +wire CLKOP ; +wire CLKOS ; +wire LOCK ; +wire CLKOS2 ; +wire CLKOS3 ; +wire INTLOCK ; +wire CLKINTFB ; +wire buf_CLKI ; +wire REFCLK ; +wire GND ; +wire VCC ; + VLO GND_0 ( + .Z(GND) +); + VHI VCC_0 ( + .Z(VCC) +); + PUR PUR_INST ( + .PUR(VCC) +); + GSR GSR_INST ( + .GSR(VCC) +); +// @8:52 + IB Inst1_IB ( + .I(CLKI), + .O(buf_CLKI) +); +// @8:61 + EHXPLLL PLLInst_0 ( + .CLKI(buf_CLKI), + .CLKFB(CLKOP), + .PHASESEL1(GND), + .PHASESEL0(GND), + .PHASEDIR(GND), + .PHASESTEP(GND), + .PHASELOADREG(GND), + .STDBY(GND), + .PLLWAKESYNC(GND), + .RST(GND), + .ENCLKOP(GND), + .ENCLKOS(GND), + .ENCLKOS2(GND), + .ENCLKOS3(GND), + .CLKOP(CLKOP), + .CLKOS(CLKOS), + .CLKOS2(CLKOS2), + .CLKOS3(CLKOS3), + .LOCK(LOCK), + .INTLOCK(INTLOCK), + .REFCLK(REFCLK), + .CLKINTFB(CLKINTFB) +); +defparam PLLInst_0.CLKI_DIV = 2; +defparam PLLInst_0.CLKFB_DIV = 1; +defparam PLLInst_0.CLKOP_DIV = 6; +defparam PLLInst_0.CLKOS_DIV = 1; +defparam PLLInst_0.CLKOS2_DIV = 1; +defparam PLLInst_0.CLKOS3_DIV = 1; +defparam PLLInst_0.CLKOP_ENABLE = "ENABLED"; +defparam PLLInst_0.CLKOS_ENABLE = "ENABLED"; +defparam PLLInst_0.CLKOS2_ENABLE = "DISABLED"; +defparam PLLInst_0.CLKOS3_ENABLE = "DISABLED"; +defparam PLLInst_0.CLKOP_CPHASE = 5; +defparam PLLInst_0.CLKOS_CPHASE = 0; +defparam PLLInst_0.CLKOS2_CPHASE = 0; +defparam PLLInst_0.CLKOS3_CPHASE = 0; +defparam PLLInst_0.CLKOP_FPHASE = 0; +defparam PLLInst_0.CLKOS_FPHASE = 0; +defparam PLLInst_0.CLKOS2_FPHASE = 0; +defparam PLLInst_0.CLKOS3_FPHASE = 0; +defparam PLLInst_0.FEEDBK_PATH = "CLKOP"; +defparam PLLInst_0.CLKOP_TRIM_POL = "FALLING"; +defparam PLLInst_0.CLKOP_TRIM_DELAY = 0; +defparam PLLInst_0.CLKOS_TRIM_POL = "FALLING"; +defparam PLLInst_0.CLKOS_TRIM_DELAY = 0; +defparam PLLInst_0.OUTDIVIDER_MUXA = "DIVA"; +defparam PLLInst_0.OUTDIVIDER_MUXB = "DIVB"; +defparam PLLInst_0.OUTDIVIDER_MUXC = "DIVC"; +defparam PLLInst_0.OUTDIVIDER_MUXD = "DIVD"; +defparam PLLInst_0.PLL_LOCK_MODE = 0; +defparam PLLInst_0.STDBY_ENABLE = "DISABLED"; +defparam PLLInst_0.DPHASE_SOURCE = "DISABLED"; +defparam PLLInst_0.PLLRST_ENA = "DISABLED"; +defparam PLLInst_0.INTFB_WAKE = "DISABLED"; +endmodule /* pll_in200_out100 */ + diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_synplify.lpf b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_synplify.lpf new file mode 100644 index 0000000..15716ec --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_synplify.lpf @@ -0,0 +1,20 @@ +# +# Logical Preferences generated for Lattice by Synplify maprc, Build 2453R. +# + +# Period Constraints + + +# Output Constraints + +# Input Constraints + +# Point-to-point Delay Constraints + + + +# Block Path Constraints + +BLOCK ASYNCPATHS; + +# End of generated Logical Preferences. diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/run_options.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/run_options.txt new file mode 100644 index 0000000..97483f5 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/run_options.txt @@ -0,0 +1,67 @@ +#-- Synopsys, Inc. +#-- Version J-2014.09-SP2 +#-- Project file /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/run_options.txt +#-- Written on Tue Mar 17 15:43:11 2015 + + +#project files +add_file -vhdl -lib work "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd" +add_file -fpga_constraint "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc" + + + +#implementation: "syn_results" +impl -add syn_results -type fpga + +# +#implementation attributes + +set_option -vlog_std v2001 + +#device options +set_option -technology ecp5um +set_option -part LFE5UM_25F +set_option -package MG285C +set_option -speed_grade -6 +set_option -part_companion "" + +#compilation/mapping options +set_option -top_module "pll_in200_out100" + +# mapper_options +set_option -frequency 100 +set_option -write_verilog 1 +set_option -write_vhdl 1 +set_option -srs_instrumentation 1 + +# Lattice XP +set_option -maxfan 50 +set_option -disable_io_insertion 1 +set_option -retiming 0 +set_option -pipe 0 +set_option -forcegsr false +set_option -fix_gated_and_generated_clocks 1 +set_option -RWCheckOnRam 1 +set_option -update_models_cp 0 +set_option -syn_edif_array_rename 1 +set_option -Write_declared_clocks_only 1 + +# sequential_optimization_options +set_option -symbolic_fsm_compiler 1 + +# Compiler Options +set_option -compiler_compatible 0 +set_option -resource_sharing 1 + +# Compiler Options +set_option -auto_infer_blackbox 0 + +#automatic place and route (vendor) options +set_option -write_apr_constraint 1 + +#set result format/file last +project -result_file "./pll_in200_out100.edn" + +#set log file +set_option log_file "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srf" +impl -active "syn_results" diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/scratchproject.prs b/lattice/ecp5/PLL/pll_in200_out100/syn_results/scratchproject.prs new file mode 100644 index 0000000..02b31aa --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/scratchproject.prs @@ -0,0 +1,65 @@ +#-- Synopsys, Inc. +#-- Version J-2014.09-SP2 +#-- Project file /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/scratchproject.prs + +#project files +add_file -vhdl -lib work "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd" +add_file -fpga_constraint "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc" + + + +#implementation: "syn_results" +impl -add /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results -type fpga + +# +#implementation attributes + +set_option -vlog_std v2001 + +#device options +set_option -technology ecp5um +set_option -part LFE5UM_25F +set_option -package MG285C +set_option -speed_grade -6 +set_option -part_companion "" + +#compilation/mapping options +set_option -top_module "pll_in200_out100" + +# mapper_options +set_option -frequency 100 +set_option -write_verilog 1 +set_option -write_vhdl 1 +set_option -srs_instrumentation 1 + +# Lattice XP +set_option -maxfan 50 +set_option -disable_io_insertion 1 +set_option -retiming 0 +set_option -pipe 0 +set_option -forcegsr false +set_option -fix_gated_and_generated_clocks 1 +set_option -RWCheckOnRam 1 +set_option -update_models_cp 0 +set_option -syn_edif_array_rename 1 +set_option -Write_declared_clocks_only 1 + +# sequential_optimization_options +set_option -symbolic_fsm_compiler 1 + +# Compiler Options +set_option -compiler_compatible 0 +set_option -resource_sharing 1 + +# Compiler Options +set_option -auto_infer_blackbox 0 + +#automatic place and route (vendor) options +set_option -write_apr_constraint 1 + +#set result format/file last +project -result_file "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.edn" + +#set log file +set_option log_file "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srf" +impl -active "syn_results" diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/map.srr.rptmap b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/map.srr.rptmap new file mode 100644 index 0000000..14f4991 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/map.srr.rptmap @@ -0,0 +1 @@ +./synlog/pll_in200_out100_fpga_mapper.srr,map.srr,Map Log diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr new file mode 100644 index 0000000..929e022 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr @@ -0,0 +1,47 @@ +Synopsys HDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014 +@N|Running in 64-bit mode +Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. + +Running on host :depc363 +Synopsys VHDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014 +@N|Running in 64-bit mode +Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. + +@N: CD720 :"/opt/synopsys/J-2014.09-SP2/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ns +@N:"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Top entity is set to pll_in200_out100. +VHDL syntax check successful! +@N: CD630 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Synthesizing work.pll_in200_out100.structure +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":2081:10:2081:16|Synthesizing ecp5um.ehxplll.syn_black_box +Post processing for ecp5um.ehxplll.syn_black_box +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":830:10:830:12|Synthesizing ecp5um.vlo.syn_black_box +Post processing for ecp5um.vlo.syn_black_box +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":823:10:823:12|Synthesizing ecp5um.vhi.syn_black_box +Post processing for ecp5um.vhi.syn_black_box +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":998:10:998:11|Synthesizing ecp5um.ib.syn_black_box +Post processing for ecp5um.ib.syn_black_box +Post processing for work.pll_in200_out100.structure +@W: CL168 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":55:4:55:17|Pruning instance scuba_vhi_inst -- not in use ... + +At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:11 2015 + +###########################################################] +Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014 +@N|Running in 64-bit mode + +At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:11 2015 + +###########################################################] +@END + +At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:11 2015 + +###########################################################] diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr.rptmap b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr.rptmap new file mode 100644 index 0000000..8315622 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr.rptmap @@ -0,0 +1 @@ +./synlog/pll_in200_out100_compiler.srr,pll_in200_out100_compiler.srr,Compile Log diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr new file mode 100644 index 0000000..600fb8e --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr @@ -0,0 +1,257 @@ +Synopsys Lattice Technology Mapper, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55 +Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. +Product Version J-2014.09-SP2 + +Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB) + +@N: MF248 |Running in 64-bit mode. +@N: MF666 |Clock conversion enabled + +Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) + + +Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) + + +Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 110MB peak: 111MB) + + +Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 113MB) + + + +Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Available hyper_sources - for debug and ip models + None Found + + +Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + +Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + +Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + +Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + + +Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + +Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + +Pass CPU time Worst Slack Luts / Registers +------------------------------------------------------------ +Pass CPU time Worst Slack Luts / Registers +------------------------------------------------------------ +------------------------------------------------------------ + + +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + +@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. + +Finished restoring hierarchy (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + + +#### START OF CLOCK OPTIMIZATION REPORT #####[ + +0 non-gated/non-generated clock tree(s) driving 0 clock pin(s) of sequential element(s) +0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) +0 instances converted, 0 sequential instances remain driven by gated/generated clocks + + + +##### END OF CLOCK OPTIMIZATION REPORT ######] + +Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srm + +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + +Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_m.srm + +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB) + +Writing EDIF Netlist and constraint files +J-2014.09-SP2 +@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF + +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) + +Writing Verilog Simulation files + +Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) + +Writing VHDL Simulation files + +Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) + + +Start final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) + +@W: MT246 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":61:4:61:12|Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) + + +@S |##### START OF TIMING REPORT #####[ +# Timing Report written on Tue Mar 17 15:43:14 2015 +# + + +Top view: pll_in200_out100 +Requested Frequency: 100.0 MHz +Wire load mode: top +Paths requested: 5 +Constraint File(s): /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc + +@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing. + +@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock. + + + +Performance Summary +******************* + + +Worst slack in design: 10.000 + +@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. + Requested Estimated Requested Estimated Clock Clock +Starting Clock Frequency Frequency Period Period Slack Type Group +---------------------------------------------------------------------------------------------------------------- +System 100.0 MHz NA 10.000 0.000 10.000 system system_clkgroup +================================================================================================================ +@N: MT582 |Estimated period and frequency not reported for given clock unless the clock has at least one timing path which is not a false or a max delay path and that does not have excessive slack + + + + + +Clock Relationships +******************* + +Clocks | rise to rise | fall to fall | rise to fall | fall to rise +--------------------------------------------------------------------------------------------------------- +Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack +--------------------------------------------------------------------------------------------------------- +System System | 10.000 10.000 | No paths - | No paths - | No paths - +========================================================================================================= + Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. + 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. + + + +Interface Information +********************* + +No IO constraint found + + + +==================================== +Detailed Report for Clock: System +==================================== + + + +Starting Points with Worst Slack +******************************** + + Starting Arrival +Instance Reference Type Pin Net Time Slack + Clock +------------------------------------------------------------------------------ +PLLInst_0 System EHXPLLL CLKOP CLKOP 0.000 10.000 +============================================================================== + + +Ending Points with Worst Slack +****************************** + + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +------------------------------------------------------------------------------- +PLLInst_0 System EHXPLLL CLKFB CLKOP 10.000 10.000 +=============================================================================== + + + +Worst Path Information +*********************** + + +Path information for path number 1: + Requested Period: 10.000 + - Setup time: 0.000 + + Clock delay at ending point: 0.000 (ideal) + + Estimated clock delay at ending point: 0.000 + = Required time: 10.000 + + - Propagation time: 0.000 + - Clock delay at starting point: 0.000 (ideal) + - Estimated clock delay at start point: -0.000 + = Slack (critical) : 10.000 + + Number of logic level(s): 0 + Starting point: PLLInst_0 / CLKOP + Ending point: PLLInst_0 / CLKFB + The start point is clocked by System [rising] + The end point is clocked by System [rising] + +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------- +PLLInst_0 EHXPLLL CLKOP Out 0.000 0.000 - +CLKOP Net - - - - 2 +PLLInst_0 EHXPLLL CLKFB In 0.000 0.000 - +================================================================================= + + + +##### END OF TIMING REPORT #####] + + +Finished final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) + + +Finished timing report (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB) + +--------------------------------------- +Resource Usage Report +Part: lfe5um_25f-6 + +Register bits: 0 of 24288 (0%) +PIC Latch: 0 +I/O cells: 1 + + +Details: +EHXPLLL: 1 +GSR: 1 +IB: 1 +PUR: 1 +VHI: 1 +VLO: 1 +Mapper successful! + +At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 143MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:14 2015 + +###########################################################] diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr_Min b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr_Min new file mode 100644 index 0000000..f1b7379 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr_Min @@ -0,0 +1,116 @@ + + +@S |##### START OF TIMING REPORT #####[ +# Timing Report written on Tue Mar 17 15:43:14 2015 +# + + +Top view: pll_in200_out100 +Requested Frequency: 100.0 MHz +Wire load mode: top +Paths requested: 5 +Constraint File(s): /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc + +@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing. + +@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock. + + + +Performance Summary +******************* + + +Worst slack in design: 0.000 + +@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. + Requested Estimated Requested Estimated Clock Clock +Starting Clock Frequency Frequency Period Period Slack Type Group +--------------------------------------------------------------------------------------------------------------- +System 100.0 MHz 100.0 MHz 10.000 10.000 0.000 system system_clkgroup +=============================================================================================================== + + + +Clock Relationships +******************* + +Clocks | rise to rise | fall to fall | rise to fall | fall to rise +-------------------------------------------------------------------------------------------------------- +Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack +-------------------------------------------------------------------------------------------------------- +System System | 0.000 0.000 | No paths - | No paths - | No paths - +======================================================================================================== + Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. + 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. + + + +Interface Information +********************* + +No IO constraint found + + + +==================================== +Detailed Report for Clock: System +==================================== + + + +Starting Points with Worst Slack +******************************** + + Starting Arrival +Instance Reference Type Pin Net Time Slack + Clock +----------------------------------------------------------------------------- +PLLInst_0 System EHXPLLL CLKOP CLKOP 0.000 0.000 +============================================================================= + + +Ending Points with Worst Slack +****************************** + + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +------------------------------------------------------------------------------ +PLLInst_0 System EHXPLLL CLKFB CLKOP 0.000 0.000 +============================================================================== + + + +Worst Path Information +*********************** + + +Path information for path number 1: + Propagation time: 0.000 + + Clock delay at starting point: 0.000 (ideal) +-0.000 + - Requested Period: 0.000 + - Hold time: 0.000 + - Clock delay at ending point: 0.000 (ideal) +0.000 + = Slack (critical) : 0.000 + + Number of logic level(s): 0 + Starting point: PLLInst_0 / CLKOP + Ending point: PLLInst_0 / CLKFB + The start point is clocked by System [rising] + The end point is clocked by System [rising] + +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------- +PLLInst_0 EHXPLLL CLKOP Out 0.000 0.000 - +CLKOP Net - - - - 2 +PLLInst_0 EHXPLLL CLKFB In 0.000 0.000 - +================================================================================= + + + +##### END OF TIMING REPORT #####] + diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.szr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.szr new file mode 100644 index 0000000000000000000000000000000000000000..d632b3c1e3404cf94c2e76c6e1caf74a93b362e4 GIT binary patch literal 6303 zcmV;Q7+~igiwFP!0000017wZ63c^4TMSH(un{?ttgN;@2(FF;Ten>WxhirD1*_5wVK%fX%j>(DX0KAhM2f8Dp8B`GXS>UD73dHDbU0RR8&Tx)aNwzmB&{0iR#-AUCGijXDSEZcNqfkNO` z0Hxh)rE@zOjc9kGlC-H5l~iZ^FiT4OdzHdmaXzW`6dzod?ap7U|txI*#-1P@$h#S&(Fi^KQh zW%Cehkfl}4%HLqo?4BNi3n~kd41!B2NA{IHG?4cpNNOqt6^wAG*jvRx2cuV_vNDNM z#nT!D9T-*m70yN42nU-cs@CBEuY*Q6?a5l>!q`p;z=J}{G1V%^V{POJ=Zf#X7Ea^+ zD0PXIX*8z(ILI_Dmn4uSW~`gzJXf7U~oa6;!cK{Ob=(_e3pc_ z+va&#cV;XTUI@;^x-v;6LzfBV!X!(^O>J_OkBeM%@@zb+N;|eP%Us!hm7q?jB*S+H zINMScIIe7*Bsw?EycjEycXCLAkt$EX>MS!s1*Iqw&A9^^s0j4vlguOI;a%BEh)f_y z?z}i-lPpUGk(xRBV&`I@E8VWucA9dBlj2q$cxj1+IkoT=rVd_H3TYU(iQ7h#eLX+oWq<548(T~#G2v(}Y82vt~0oO$J3I9KUUQcQSwq`G6%7*CGH zdFaCad0$!{x>QNY!!*ne=izL2SBFBw>tkH|f+vEmMObEc>$IyZL3>p7(0m%1-`BUc zLz~PZ_md9Yr|B~YAv5kL3*~*gQbAIELK!Eas_xbqS0h~7ahbVHwjJ7V7G$~;L4Mlo zz&DJ2dmMw9_FOS9yYJy(AN{m&k&*DtDf@O9gV9>p-xICDR6_Qg%_6WityL!yG>G15 z53oKCw$55UHqAKt4I$Er1ZmP9=~mssg8F|h;b*6~HYl9zxl2JG7fCaMv&P~1^8$|1 zM~W$^L9>|yn*3Sbd>fx0#)mBHs(R&g??6Devkz~Bw`a|>yz{&ct3H80AGQs)H|cQ& zd}PImvl5Jmpof2X%9WN@L<*u*7NrrwVt~V8IP!|hVW`CGx@alzcJsW>Asw1_4<_jM zK%I;P)h)r+(IgXqzAPdx0dOiRO`VBsx|WAPtrDel+)?W4sHpM!z44aqxnc1p;?0SEcq;c!(N71)4!?f_>RY0-DO zP(qs#{?_7V{u<{kfaBcK1SN#gtJjxWMDsRtg^JRj1xW3<`rg1UmSC3owF27`7*Gl* zyDAfjlnpq*o5@6K6oX7Mntz=4@;EQ^uX7IXyK^Wf=z&0Dtl?1)^0>_NnCJP|5*B4$ zVf-j~Q~=7$N%1y@x3Dv?pd3mk6Ns6IgNi*afp`)Bak%6n?kvu~IF^uEsNwZle#~$r_T51D6Puto>S$Zzf)iktFbWVm z;#8`B0g)wL&q}GH9wWi;|xAAst?_oAk983>Von$yTc~~Bfo1+*>On#cTcjk){Nz#UZ zcd+0iqlzex!OJ(9c2z5_)rRt@N474Xji@`|U=$PaoM-Nhax&n`@fue45Ta_GuT z#RKW(jdO!%74jHV0iE`;yBuX#e#E8HXvMQg7fmG*3EbQ>sTy$UC~kfhozrY-dYa2B zB7TaI3&Xo9$(C>Fe_&zGiRa0KhMgpj_S=Q|`$zpvxsVFuzmMrIEga(G_#8T0zk0Uz zt52!BuX2`(F}GtUXg(&bXlN9>@>e_^lm8yCeP)WTLn3Bl<5wvLbky^)D9NWLsYMl! znrnYgb@viWWOL%5i;GPEUTRDD;1l@V^W)R4&ppE!uuph$8pAA`D6x#uw-xBqnwNfl zRRu0n1t%HKU^)>hiv_f-cEWJv)is;KC9)i5xVJ4en`BD?Ix#kWjZzj4fhAAUlbXj_ zh~cHysThA+33w<%~ihB;MEJ)Z6B= z!ZtNr*OmSJ)dZ2VB$~B%qjSuD=)%>9OS^V9DI(h!MPM&=#KQ9rT zYKvjL0!2LHbF9gajCEVH@%zbfYdD#_--}VHhB+9^QFk$pqyEWApznwZk`3oYUlf{v zzIsePhdcTvdNMUiB~@AfthnUCHNAX%O~0^g&VS%PtYnI$>Gcmktn9Ltq(9VtnDIhD zBLqa=T41bT!QUvKv#;Q9yv>4#<#_tI9N(tw)fjaLJ^$FpG;~Z!lt^HmM}2?i@pqbA ze27szRXvl`RrR3HAWrHKqohJx1OpcRsH;nEB=Sbkp9f1mB9qR`bM_LZ@$&M=&e;U6 zdkU46e69ikX=ZC^U6s%jpt%-^J%ld{(QJqUQ?8qj z3%spH0<7oDZM4-_@NoRaSii*{4zY(qnbSCBPrKB*-a-9S*yiay&Tz%9u3A?xnY?QN zTr9iY*~Kq&xR?xwi~k+In_M)eu-BMhOs?SS>es6)q`bKa6h;inbA-mopfXlELF+-e za0fzid9d#w(q^D5#FhTOhRp0AaPrZ-kl#sd1j+%Bsg{O|gMDn*w<*IXYY*3tTWL~G z`4Kme;<=1~=OE>Gg(1$2c$MR8DOxuo&{9VRFxn4H9r_-pR#CXg^Mgv0l+`%O3k7y{ z1xI_+Ka>}iuL!H8G4yIO#pNiGt~fDJS*BqiPflXhcD1{?v;)fU6{*00u^%_#@bdEX z^psuZw0A(Z_71TMs+)+c6+n5zPnGMt%K==Qmpk!fGoEQ8g~st5;drQzPrMEw@awf# z!4V9X8=bLWA~aAVw6g{sr{)-Io|k(4tVP?bbC0v`<>~&XKq(8dzXtiOeZc{_ z-b;Adx~71%6YD8vO&h=6j_-Kk*Goc!_IW{{AaNGNt;_@^j!Nk|oiE_x5Ch=SqM+(au?*BQSc1rG552*54@<*| zz|MO_JMsNC<+(U^ch<$mb;jkwx?e?s6=tIx8r3+pbE4_v;jd%M@uvLz!Tiw)=OZS~YR|R!|C4i$o z>Spx}Wr^`))&3l-DyO%d5%+{u(-T?G{KaqJ^IF^f0{DAW^w0Cppe5>PdgYBgI%u}I zpz!UN5XhoENPnO6x){qct&$qemA&}Pew>h$;*Tid_#VGkoLWB&uo}u6K7|x0wHV{eTqdmgB6d#c54mibA zZdqC*Q)RSg*{IT#VtV5wiSgmwM7rk!%_*TT`cE*u3=0F$em0HXwHG;w8% zmuLjOwnocCW-Rc5e}1X|2#nTo7j4KwhHashzS5$AzK^rG)Lw7WwGStILre4du~jYt zLmz7MDAFTIry0eno~m5Y&N!LfP#WRV5!D;|SV_61FX186`;sX(1sGoJ@*mpEpmsh+ zd`ui6P|!)V!D?=#fb1S|u-B0nz$A5k2HN$N%BCx5VzPor&!8v zxwreV`_GoAj=eKBpV53_>`nProZavUx}HJz7r24V%FpBFO=myuy+Q5p>H)uWXG=KtbC}J4x$yVeolmPD zq#_%)YZRT3Zl(mbph3>ku$+a7Av)<+VCBA;w}t8*POMYPq*Y_Yk7xr?-l z?+TpCHWvDKf)>)ljQG$Ff4o;vDqbFb-T%2-cl4&#))9&!@bfyKk#2T|%3@FA#xAj8@>L6G5Q0^Y&FEd!CY0D_44}Adz*eJ z$WuIs5C_%~dSc+?8^3&KUS4(@4x&Wb{k07DH0l{~!8JFF5SZ2p`O*FmMo$_>9Nd!o zixy#}6b>i{mF^?{yPFTVy;h8Db9;`bN|eUvMQ6qjV!nTWxv-DTUOr-H-~VHRL+3a% zvmwvL7`mai2S37S&&TtO;@m@H+msn>eR4>Cmo1O!_5|^Vmm#ewVAxK%*BjZ7h~?cB z-a=8y@>j&s`l~e`m6IOf3CO^Y9q|nJ`ht4X-+j9dE3Bt~b(A;yYRH)11BO(F5~kwr zST)Qyfp!jeV(xBiMXc8*Ib&hv)XLkf+;B}SQ)$a}+egli9gaw+SM~JP{Vc(ni-SV& zVE@Va=qHQss8Z|YOSrne zqEuk3F?lz6r0%c4t|r7^8x_Xhj9~ccyfH#@)bRq`0)0*Ai_Y0$e0~;ppwr9`DM_f< z#P55-$!){CdtR)OGuor$wC{G4Ly33u4a~jPlq0icgd8HeQDp?eKDH1eUAM9Atb53A z?PbuuBKd%)+&{aU?~K}`6duVEZt@NJc@y;YWH+ZL*S?qE&HY)Rov!xWCX&+m2>5GO zAd|@?pzB_7mcl7P?qik5bz5$*n~!I0=J$Kf7nA076T0MwcK9vrnGWb2CY?hMmP@fz z!E()+tm2%u_T@>mJ&W%B7`DkIn>&jCgwG0Sm9Dh)hxiX+0K$-bg&~RCd0q!5{(qe# z+~pQ4F1CHNJtw?V=OzaZ z?U4V-U$0}{t~fm4d;^Q$>BlC=4{!X>&TdX{jZHW2{X6Cd$whWo_^#pi)Ov<%mhlHU z&uZ=YRuJo)m_x(f=EVHE;e&N9?QZ^TTX#3two}(X|2t=j{!D2F+Z@3LLw$6f?B6t} zw$;}Sr=s!f^6BmMN9NAf3w^Kdi_fH~*wIuf5l`WnB47Qi$LZ7zB5_Opu_vViX%WsD zMfqfZUYl~%JdzqJ;AkNx7=RjxMKQ^Hz*%777Wg}YQ8sennt7Ft((iJAlk7>q3sV&d?3po=i#Eo{# zCU(F_ly~Sm22NYJ$*4VPJ`HZCOzy_HKU<#EgUyjPY|28mJNu zv9=_li=j7L-JcT;T+>Q1UEQ02KSQ!_t()s%>6mG-cXs5qZ74EnMZWtOJ>Pzw@0`gE9ZD3(mgJHEj^&syTwQ)8;As_d&itWb>|t znTS)*Z%BpM#V`b93P|5p&WS;Xt+6!Ui`g1;t)9`YPUE-MEiX3gu;X37=IhMg->UO- zhrNMee5=mI&$C-~7M;~M@dX`YOC?W6#ecm+3bFowAwciF5+6LP+xgI0-Oht&bq`}W zzkB}GvALrDk-MwBcC+RZ!>~>$wmsjjHTvRA7oxn9?9trm`kRBlR2Z7c{{a91|Nr80 VP~ZXp009600{~u1meZ$700887tM&i@ literal 0 HcmV?d00001 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_multi_srs_gen.srr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_multi_srs_gen.srr new file mode 100644 index 0000000..cded582 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_multi_srs_gen.srr @@ -0,0 +1,9 @@ +Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014 +@N|Running in 64-bit mode + +At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:12 2015 + +###########################################################] diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.srr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.srr new file mode 100644 index 0000000..391303f --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.srr @@ -0,0 +1,49 @@ +Synopsys Lattice Technology Pre-mapping, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55 +Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. +Product Version J-2014.09-SP2 + +Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB) + +Reading constraint file: /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc +@L: /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_scck.rpt +Printing clock summary report in "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_scck.rpt" file +@N: MF248 |Running in 64-bit mode. +@N: MF666 |Clock conversion enabled + +Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) + + +Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) + + +Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) + + +Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) + +ICG Latch Removal Summary: +Number of ICG latches removed: 0 +Number of ICG latches not removed: 0 +syn_allowed_resources : blockrams=56 set on top level netlist pll_in200_out100 + +Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB) + + + +@S |Clock Summary +**************** + +Start Requested Requested Clock Clock +Clock Frequency Period Type Group +----------------------------------------------------------------- +System 100.0 MHz 10.000 system system_clkgroup +================================================================= + +Pre-mapping successful! + +At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 75MB peak: 140MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Tue Mar 17 15:43:13 2015 + +###########################################################] diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.szr b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.szr new file mode 100644 index 0000000000000000000000000000000000000000..bbfc77c192f23862a9f92d136213149bd7ed43da GIT binary patch literal 2174 zcmV-^2!Zz>iwFP!0000017wXo3&JoIMfd)SEZxKxEiO*QkF7|N?x9VeZ6wVLFNvV| zEQHmQWAv~SRM6%hC zcmDWelxJLezYZ@h>hlKx0RR8QS6y!#Hx_-?{EBWl57ojWQkJaDDw{>5%c1E+B;{LJ zeF%zyC>IV$*5iVa+!*=sd&jQ3jk|f-)>{HLI2sP`2hTb8vUW*=Hm^KaqU6jl<;%B> zSJP40T}?tY8t=K0I@;5=<=49eZD(vNg%cVCR%|cv-S>Jg(5OoJTzgSMiOgs(H@<9h zr4X`lC3?}n$5>^PYmJIG$pMeKi(KvVI{}Wp;M=8XRlC>@FEbab)g`x_fdiG9ECPY?6fVN+i+EyFbP^|RrcOq;0y>?kzaCO_;V-%~LmWb<0fy`R@4nK=+ z62iJlsOohiC3^L9!?qb zx%J?aoyb#iv=Sa#xu!2uRJHW*c{~_GRV&bZgAJ&}I~U_V^9<^pUb%N5wv4|}%hn9r?Lu7g%vYByu#9J?02p`N z&-VQ0#VP#90iA;)qem3|oScq+sOiXgcaC0V!_&$4P z8O7SU9w*FOrbsg|*UyO6v+M_-YXq(JDZ`{@8KJ7zpq)g$;zId87FBx2D*kq~3)4vz zuEu;nl3cGOL}x&77yT^ZWL>Rm(9#ipS0rCvFjX4*vgD(;RhUe7VSH8X<%ny!;*oSj zBZLBYl*Yb?h=Pb3mLUg_K$jT@#!KC@skl?Ihul?V(Y=o*bf>uNWMHAoU=mQ$&cwLE`#c_%q*3Q)@)M#|)J0@UJt^H0 zVD@!ZQ9DYLIZ|~-O>EO*75khjvH3jyey&A!r6Ab(+-SWL6Q~QqdM%>E`~y&9r0}%Y!x_JHmFvr`A(GKIMp)p2xy+76UZiQMTQ1#?-&Gq#)%1=v$ zZs69+0D)40K_l%7iW&>{0yK8!JW@qaxJ(2PaRNA$J)^dobQ1cuq;81sDTFx-;pHBe zcVEKV;U(oKsj}ywtLb0P2E}}O2;mV=G@mYtuL3`TBfZ~OY?k~raT|~f)Hy5 zNJ4uk7RQAs#+C$B*2?y0EwoN%(-Au*@%m(FxAKcNmbky$f=;AV($@@d9v<9#85pJm zD9mN-f6p#v(zW@Ntm0we2Vnd8==iWGZi_+_(Hq+Pukc~l`>-s|KUlmG=$awrZH-or z`@6zCmqmIXS9C_|e1l8*0c|53Wb$I26ntCHLoD8>yaGhEImhJ(=`4vlNf)U^?)REQ z{VfYcX-zAs&x2gI1oEDsfU7z7cbm*?dWExVx{~$#&_O9L0oD|~5lm|Fv{o0PxYgPa zCSKm=Hl;9#<(bYt;T*3 zt=_N*eXZ*shY{Y8CW!k7iT516U#FJR;ls2a#c!T(>0S^WnDSviDi%~Dei>UR{r%(v zQZZ8T*9|Hxsy{diHZIBU)Nj}8a`+w(Klcye;qlQF6D1vDf44;}DYhhAH&9)UuYB?9 z4~`-id$3jV#_KL3BdI14-^9QDL^-y2pc@3B{_0c=krd3zFY@AUx&IYF*v9yznaY3MmazNp?l^(86{9`G|I{1DTumLee>J@Rzw7_>bb>00030|Kf5`-~s>u0RR630P_d_?_v@F0JBy- AfB*mh literal 0 HcmV?d00001 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pre_map.srr.rptmap b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pre_map.srr.rptmap new file mode 100644 index 0000000..d87f979 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/pre_map.srr.rptmap @@ -0,0 +1 @@ +./synlog/pll_in200_out100_premap.srr,pre_map.srr,Pre_Map Log diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_notes.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_notes.txt new file mode 100644 index 0000000..73b8299 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_notes.txt @@ -0,0 +1,11 @@ +@N|Running in 64-bit mode +@N|Running in 64-bit mode +@N: CD720 :"/opt/synopsys/J-2014.09-SP2/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ns +@N:"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Top entity is set to pll_in200_out100. +@N: CD630 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":12:7:12:22|Synthesizing work.pll_in200_out100.structure +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":2081:10:2081:16|Synthesizing ecp5um.ehxplll.syn_black_box +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":830:10:830:12|Synthesizing ecp5um.vlo.syn_black_box +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":823:10:823:12|Synthesizing ecp5um.vhi.syn_black_box +@N: CD630 :"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":998:10:998:11|Synthesizing ecp5um.ib.syn_black_box +@N|Running in 64-bit mode + diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_runstatus.xml b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_runstatus.xml new file mode 100644 index 0000000..26e4c2a --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_runstatus.xml @@ -0,0 +1,41 @@ + + + + + + /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_compiler.srr + Synopsys HDL Compiler + + + Completed + + + + 10 + /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_notes.txt + + + 1 + /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_warnings.txt + + + 0 + /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_errors.txt + + + - + + + 00h:00m:00s + + + - + + + 1426603391 + + + \ No newline at end of file diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_warnings.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_warnings.txt new file mode 100644 index 0000000..46bf347 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_compiler_warnings.txt @@ -0,0 +1,2 @@ +@W: CL168 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":55:4:55:17|Pruning instance scuba_vhi_inst -- not in use ... + diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_area_report.xml b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_area_report.xml new file mode 100644 index 0000000..4a0f6ea --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_area_report.xml @@ -0,0 +1,26 @@ + + + + +/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_resourceusage.rpt +Resource Usage + + +0 + + +1 + + +0 + + +0 + + +0 + + diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_errors.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_errors.txt new file mode 100644 index 0000000..e69de29 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_notes.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_notes.txt new file mode 100644 index 0000000..0ac2949 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_notes.txt @@ -0,0 +1,11 @@ +@N: MF248 |Running in 64-bit mode. +@N: MF666 |Clock conversion enabled +@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. +@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF +@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing. +@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock. +@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. +@N: MT582 |Estimated period and frequency not reported for given clock unless the clock has at least one timing path which is not a false or a max delay path and that does not have excessive slack +@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing. +@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock. +@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_opt_report.xml b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_opt_report.xml new file mode 100644 index 0000000..bace87f --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_opt_report.xml @@ -0,0 +1,14 @@ + + + + +0 / 0 + +/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_combined_clk.rpt +START OF CLOCK OPTIMIZATION REPORT + + + diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_runstatus.xml b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_runstatus.xml new file mode 100644 index 0000000..aa39edd --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_runstatus.xml @@ -0,0 +1,46 @@ + + + + +/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr +Synopsys Lattice Technology Mapper + + +Completed + + + +11 + +/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_notes.txt + + + +1 + +/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_warnings.txt + + + +0 + +/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_errors.txt + + + +0h:00m:01s + + +0h:00m:01s + + +143MB + + +1426603394 + + + diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_timing_report.xml b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_timing_report.xml new file mode 100644 index 0000000..5d08600 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_timing_report.xml @@ -0,0 +1,23 @@ + + + + +/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_fpga_mapper.srr +START OF TIMING REPORT + + +Clock Name +Req Freq +Est Freq +Slack + + +System +100.0 MHz +NA +10.000 + + diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_warnings.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_warnings.txt new file mode 100644 index 0000000..97bd277 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_fpga_mapper_warnings.txt @@ -0,0 +1 @@ +@W: MT246 :"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":61:4:61:12|Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_errors.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_errors.txt new file mode 100644 index 0000000..e69de29 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_notes.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_notes.txt new file mode 100644 index 0000000..4081b54 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_notes.txt @@ -0,0 +1,2 @@ +@N: MF248 |Running in 64-bit mode. +@N: MF666 |Clock conversion enabled diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_runstatus.xml b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_runstatus.xml new file mode 100644 index 0000000..2f16611 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_runstatus.xml @@ -0,0 +1,46 @@ + + + + +/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/pll_in200_out100_premap.srr +Synopsys Lattice Technology Pre-mapping + + +Completed + + + +2 + +/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_notes.txt + + + +0 + +/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_warnings.txt + + + +0 + +/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_errors.txt + + + +0h:00m:00s + + +0h:00m:00s + + +140MB + + +1426603393 + + + diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_warnings.txt b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synlog/report/pll_in200_out100_premap_warnings.txt new file mode 100644 index 0000000..e69de29 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/closed.png b/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/closed.png new file mode 100644 index 0000000000000000000000000000000000000000..0d78634f322a904e7bd0c9466498c0a42777589f GIT binary patch literal 3672 zcmeH}={wX77sr2=$PzQiz6{y-jN4KYF_wtIP-F`kBBt#7AfeD8`@T=sp)7-;LX(KG zMk;%mvP`%|gL&rp8=kk%xjyH6uJhu1o$H)eClzjGdX8O~9RPrH=4QsW^a`dY=UFDY zzNFTs(+e}w@P;7(v}Qz8ZL;Y-#M8|71^^^;13(%*Pw1w20|1DL008n+0MJ_p0HM(! zy`(SzVAu6EGJ?Z>0)qpCd;(Drb0Z@N>S3U_um4>DFe8@ah9MB2_>;#8VUZOd)fsIc zf9rV-Oh#q9!tUe?xyv(gK{Z zpEfu4{@LB7t~Lg4z5IixZW6|w1c0nMwY)qQ3kJ*wUP_`~F&Ug`6TAta8N}Vu3V@}9 zNw*s=>MUq@X`W(G>+tzJgd6bXv9Vx^T;JCdX0H}U~+(Mw$+Ww1bsj*JCH zwPXBAzHpwhx1fx7pS&S>BvtoL<~e<;a$8mY{0qH~lp)=^mv-|W+vk6GSW21>rnIDR zK=H-O;6(FfPc%`Wc)i|k#(?a$FuYXy9MG76_k)S5p)2)`{NnyZ!H1*!gy{9V8?C3ES;Qd#s z$CM6$uEqw6ed_P#zM-d<%4pb~-ul47As3uCpur=s&wXN@++Weshm_Xeezr?;(fw_C z=LuT1Swu-i=EnOvWHr=Lw0pTM`A~xOG(?=musEEnY=9T`D77|i!~)b_MEuU)<*Roo z?@w9bA@{&(^$?yvb@C7l_e?`ihbS7vZn4UIlXpCnUD)47;oTr?D!HiK6!}`HMYy&WIXK6&MpqK+*iyS6`Kx__7 z{ASEA(A;eX;&aUGh8O494$$}Dtipy+pEE>5Ih`|Oi55%3T?_$f zYT^$N8Uz-ud?F~tIe6x`X(cB7(X&R=Cm8l>247frrv93&#*A!%)$KKAeZ=nYn@eUm4Q`q zq?U_)DX%w547#U>8CCw>r+H5** zf@8(&_N78R)ugO&8pfV|FN|u>)J-8_~-dOd)h1RU_wD1BQlW2=9NphyM*@U#D zFDn^D7A32aWeO~3r7mYxy=UCzdI&vYJOUr3GsE7Ry|qYCX*H}gsWkF1Tfs}a+S*N; zKWz7E_DWh~8~&9AwGCHLQ4mn5osN7V{36@-f^EoiN?Vi{P{``U$&H$#2(R#RW&+z3Y`X{CL=VWcGb& zu2_wvrb?lJN|M9%%T^+_gxi&`27TF(!v``=7LRjBM^tixp0B48R2z6(bek!miG~4T8=UQ~?svFlT zo;M?Ef(?C53Tij8#nsNu(Iyd2NtGTgZ|xOs>Ne~?SG5SMtRpFr3Hy%rFneqJihG2g z=${FN9Zp!MKBuD3Mj;88jIOv6oC&5e4o0v6>kHOZu+>so*X)V{EdJ(8>mXN)+e*%U z4Gwj)&pV1c@x-0l{1c^uHTt^ZXd5?7k!TIrhpEhOgFqHS42 zzjYi5xbJ@dHPlC4@S?QPB_VI&4#5neiL~hS-SkW8r6PWUrb0U!+t5=Vk2_f&lRgg@ z5$~oKG#6hj?1w?GKyw|_=T(B*@I6N?d#ZZ^Bl~#|a<|+m+q|w^3zrFPpcuutQ=;JI&YyKc*Yx?2VdhvSt z2yttAGe7j-(5i!A>MbhMK^0d$mt`_bGBM+4hCfl3XfEecCtX)%HC}R~_-JfvY)@57 z^_TM)UaDEV8P?1cpb=0JFca|X%gh(vFSoxSuPE!yMi%cGNBs@o-WC0AyXz70Pt?cA z+Yv2$+y6=)F;fv#y@Q#(b!sT}P3*VWo)hs#lJlF}6J+jV!f_b&Dkq8S7B9sUBC8I; z$x_TQzHnE#o4SmS?4cRu7w89O5<{)HRPmNnsy>X{zq|Qs> zGhJ-vusCcj7M~NK^rx{nr6WZ(P29DHpcpliGsE6s=TMfBnRe%0aSDw1m?)kaGro`1 zQvb2iyK-;U+flWV(1`Rm4b_b*^du^*TE1Ht${VtlnUmSH%C`zOYcwlf?nX7>)w1-m ze`bBpKFQ{miM!5b?Q1bq^RBjZZJ3f3LGb?2tvi1P^T5G!_e(U=}Lr0vlhk%QUmO`_9tlL{f{NHr4cFtn-XK=q~`E*+_&1%%@ z+t{)TR}WX7dER<%`p&_^R~sueHO07gIq&hDCwI?>M6pFBMVTtaDD~89)`vO}TC5uT zTvnZ@92#!*5JF=mq83T9#FM6w>mfrSJ6tI4FE9RJcYH|qusSKa`4JRz&s(00ooRtZ zMSO)%iu6TS#DuSi26uJN*W-^;Rx&5~yI^w~F`9oj(s!Kuf8G1XzbUY){k#Md@e#2! zR)Ts$c~G``MNUx8DUNhp(NQw#I?WKW7Cag%8=4Uc3q4o5uRlL^f8pzC)x@)8s~4s9 zx++oK`<%;`ZwjoDLE~d37FV@{^p}srtu4n-IKTn{|FRr#Iq&1ckFuyW95GfgNVNqQ z{g9#WABG#!8cIGXw}kB9-tVM&{kEf`*A0jv4ZmEp8v}#6RVDPGYwE$LwZA@EboK_g z^bl4KmQWj%lFW_FiOZXp?ZWJ~`?~TX0+&zUv2L)!xNF26^lYQ2DKEFj?^oqk)e)VE zeJ}|rKlJPE-bt4zu(kNTbG@lVane-?jGEj0stleZi#?FKp+SNq%IFJ&{2mQ7{K8M?r^xf zI~+v^<&Hr?%EKXOlsh^cg+qe~&p|je1e1b#odP0ZK(F&5Bs%4grF_T|UCY&%udXL*$JVq7utpfAW!Cq~)vfZav1e=9J4)LuwIo$SQ2?#O z>5TN-e>7d4wWND_fn=Z>!aCPx#1ZP1_K>z@;j|==^1poj5AY({UO#lFTCIA3{ga82g0001h=l}q9FaQARU;qF* zm;eA5aGbhPJOBUzLPZp>)DD*xuJrLE&vMd$CAS~p-?-;7v0}#6W zK!*&q^nLraG8##gHcA0$>IMWyA3F6832+TiX>f2vout6F5+Ar6F)sEtHPYQAm=&QB zzRsNDFORoz&Rd@j_9k}3P_@Yj@S4LDdw+c%F*c^MW@FMmL^UFq*q*9=#Vw}!jD6ML zTLufTX7?w3b>Dtd?Gz(`Oz!9Ne%vt|je?%YYT1@aFN29GQVmgR!B8?Xp%fDaA%{f{ uQpjB7cbS-F*)`{!amLtrN{glG@TUKEuz}n1Y}Ahc0000

    +
    +#Build: Synplify Pro J-2014.09-SP2, Build 1283R, Nov 20 2014
    +#install: /opt/synopsys/J-2014.09-SP2
    +#OS: Linux 
    +#Hostname: depc363
    +
    +#Implementation: syn_results
    +
    +Synopsys HDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014
    +@N: :  | Running in 64-bit mode 
    +Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
    +
    +Running on host :depc363
    +Synopsys VHDL Compiler, version comp201409sp2rc, Build 069R, built Nov 20 2014
    +@N: :  | Running in 64-bit mode 
    +Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
    +
    +@N:CD720 : std.vhd(123) | Setting time resolution to ns
    +@N: : pll_in200_out100.vhd(12) | Top entity is set to pll_in200_out100.
    +VHDL syntax check successful!
    +@N:CD630 : pll_in200_out100.vhd(12) | Synthesizing work.pll_in200_out100.structure 
    +@N:CD630 : ecp5um.vhd(2081) | Synthesizing ecp5um.ehxplll.syn_black_box 
    +Post processing for ecp5um.ehxplll.syn_black_box
    +@N:CD630 : ecp5um.vhd(830) | Synthesizing ecp5um.vlo.syn_black_box 
    +Post processing for ecp5um.vlo.syn_black_box
    +@N:CD630 : ecp5um.vhd(823) | Synthesizing ecp5um.vhi.syn_black_box 
    +Post processing for ecp5um.vhi.syn_black_box
    +@N:CD630 : ecp5um.vhd(998) | Synthesizing ecp5um.ib.syn_black_box 
    +Post processing for ecp5um.ib.syn_black_box
    +Post processing for work.pll_in200_out100.structure
    +@W:CL168 : pll_in200_out100.vhd(55) | Pruning instance scuba_vhi_inst -- not in use ... 
    +
    +At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB)
    +
    +Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    +# Tue Mar 17 15:43:11 2015
    +
    +###########################################################]
    +Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014
    +@N: :  | Running in 64-bit mode 
    +
    +At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
    +
    +Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    +# Tue Mar 17 15:43:11 2015
    +
    +###########################################################]
    +@END
    +
    +At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
    +
    +Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    +# Tue Mar 17 15:43:11 2015
    +
    +###########################################################]
    +Synopsys Netlist Linker, version comp201409sp2rc, Build 069R, built Nov 20 2014
    +@N: :  | Running in 64-bit mode 
    +
    +At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
    +
    +Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    +# Tue Mar 17 15:43:12 2015
    +
    +###########################################################]
    +Pre-mapping Report
    +
    +Synopsys Lattice Technology Pre-mapping, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55
    +Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
    +Product Version J-2014.09-SP2
    +
    +Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB)
    +
    +Reading constraint file: /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc
    +Linked File: pll_in200_out100_scck.rpt
    +Printing clock  summary report in "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100_scck.rpt" file 
    +@N:MF248 :  | Running in 64-bit mode. 
    +@N:MF666 :  | Clock conversion enabled  
    +
    +Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB)
    +
    +
    +Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB)
    +
    +
    +Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB)
    +
    +
    +Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB)
    +
    +ICG Latch Removal Summary:
    +Number of ICG latches removed:	0
    +Number of ICG latches not removed:	0
    +syn_allowed_resources : blockrams=56  set on top level netlist pll_in200_out100
    +
    +Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB)
    +
    +
    +
    +@S |Clock Summary
    +****************
    +
    +Start      Requested     Requested     Clock      Clock          
    +Clock      Frequency     Period        Type       Group          
    +-----------------------------------------------------------------
    +System     100.0 MHz     10.000        system     system_clkgroup
    +=================================================================
    +
    +Pre-mapping successful!
    +
    +At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 75MB peak: 140MB)
    +
    +Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    +# Tue Mar 17 15:43:13 2015
    +
    +###########################################################]
    +Map & Optimize Report
    +
    +Synopsys Lattice Technology Mapper, Version maprc, Build 2453R, Built Nov 20 2014 09:28:55
    +Copyright (C) 1994-2014, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
    +Product Version J-2014.09-SP2
    +
    +Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB)
    +
    +@N:MF248 :  | Running in 64-bit mode. 
    +@N:MF666 :  | Clock conversion enabled  
    +
    +Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
    +
    +
    +Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
    +
    +
    +Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 110MB peak: 111MB)
    +
    +
    +Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 113MB)
    +
    +
    +
    +Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
    +
    +
    +Available hyper_sources - for debug and ip models
    +	None Found
    +
    +
    +Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
    +
    +
    +Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
    +
    +
    +Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
    +
    +
    +Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB)
    +
    +
    +Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB)
    +
    +
    +Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB)
    +
    +
    +Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
    +
    +
    +Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB)
    +
    +
    +Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
    +
    +Pass		 CPU time		Worst Slack		Luts / Registers
    +------------------------------------------------------------
    +Pass		 CPU time		Worst Slack		Luts / Registers
    +------------------------------------------------------------
    +------------------------------------------------------------
    +
    +
    +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
    +
    +@N:FX164 :  | The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.   
    +
    +Finished restoring hierarchy (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 138MB peak: 140MB)
    +
    +
    +
    +#### START OF CLOCK OPTIMIZATION REPORT #####[
    +
    +0 non-gated/non-generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
    +0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
    +0 instances converted, 0 sequential instances remain driven by gated/generated clocks
    +
    +
    +
    +##### END OF CLOCK OPTIMIZATION REPORT ######]
    +
    +Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/pll_in200_out100.srm
    +
    +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
    +
    +Writing Analyst data base /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_m.srm
    +
    +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 140MB)
    +
    +Writing EDIF Netlist and constraint files
    +J-2014.09-SP2
    +@N:BW106 :  | Synplicity Constraint File capacitance units using default value of 1pF  
    +
    +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
    +
    +Writing Verilog Simulation files
    +
    +Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
    +
    +Writing VHDL Simulation files
    +
    +Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    +
    +
    +Start final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB)
    +
    +@W:MT246 : pll_in200_out100.vhd(61) | Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) 
    +
    +
    +@S |##### START OF TIMING REPORT #####[
    +# Timing Report written on Tue Mar 17 15:43:14 2015
    +#
    +
    +
    +Top view:               pll_in200_out100
    +Requested Frequency:    100.0 MHz
    +Wire load mode:         top
    +Paths requested:        5
    +Constraint File(s):    /home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.fdc
    +                       
    +@N:MT320 :  | Timing report estimates place and route data. Please look at the place and route timing report for final timing. 
    +
    +@N:MT322 :  | Clock constraints cover only FF-to-FF paths associated with the clock. 
    +
    +
    +
    +Performance Summary 
    +*******************
    +
    +
    +Worst slack in design: 10.000
    +
    +@N:MT286 :  | System clock period 0.000 stretches to negative invalid value -- ignoring stretching. 
    +                   Requested     Estimated     Requested     Estimated                Clock      Clock          
    +Starting Clock     Frequency     Frequency     Period        Period        Slack      Type       Group          
    +----------------------------------------------------------------------------------------------------------------
    +System             100.0 MHz     NA            10.000        0.000         10.000     system     system_clkgroup
    +================================================================================================================
    +@N:MT582 :  | Estimated period and frequency not reported for given clock unless the clock has at least one timing path which is not a false or a max delay path and that does not have excessive slack 
    +
    +
    +
    +
    +
    +Clock Relationships
    +*******************
    +
    +Clocks            |    rise  to  rise    |    fall  to  fall   |    rise  to  fall   |    fall  to  rise 
    +---------------------------------------------------------------------------------------------------------
    +Starting  Ending  |  constraint  slack   |  constraint  slack  |  constraint  slack  |  constraint  slack
    +---------------------------------------------------------------------------------------------------------
    +System    System  |  10.000      10.000  |  No paths    -      |  No paths    -      |  No paths    -    
    +=========================================================================================================
    + Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
    +       'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
    +
    +
    +
    +Interface Information 
    +*********************
    +
    +No IO constraint found
    +
    +
    +
    +====================================
    +Detailed Report for Clock: System
    +====================================
    +
    +
    +
    +Starting Points with Worst Slack
    +********************************
    +
    +              Starting                                      Arrival           
    +Instance      Reference     Type        Pin       Net       Time        Slack 
    +              Clock                                                           
    +------------------------------------------------------------------------------
    +PLLInst_0     System        EHXPLLL     CLKOP     CLKOP     0.000       10.000
    +==============================================================================
    +
    +
    +Ending Points with Worst Slack
    +******************************
    +
    +              Starting                                      Required           
    +Instance      Reference     Type        Pin       Net       Time         Slack 
    +              Clock                                                            
    +-------------------------------------------------------------------------------
    +PLLInst_0     System        EHXPLLL     CLKFB     CLKOP     10.000       10.000
    +===============================================================================
    +
    +
    +
    +Worst Path Information
    +View Worst Path in Analyst
    +***********************
    +
    +
    +Path information for path number 1: 
    +      Requested Period:                      10.000
    +    - Setup time:                            0.000
    +    + Clock delay at ending point:           0.000 (ideal)
    +    + Estimated clock delay at ending point: 0.000
    +    = Required time:                         10.000
    +
    +    - Propagation time:                      0.000
    +    - Clock delay at starting point:         0.000 (ideal)
    +    - Estimated clock delay at start point:  -0.000
    +    = Slack (critical) :                     10.000
    +
    +    Number of logic level(s):                0
    +    Starting point:                          PLLInst_0 / CLKOP
    +    Ending point:                            PLLInst_0 / CLKFB
    +    The start point is clocked by            System [rising]
    +    The end   point is clocked by            System [rising]
    +
    +Instance / Net                 Pin       Pin               Arrival     No. of    
    +Name               Type        Name      Dir     Delay     Time        Fan Out(s)
    +---------------------------------------------------------------------------------
    +PLLInst_0          EHXPLLL     CLKOP     Out     0.000     0.000       -         
    +CLKOP              Net         -         -       -         -           2         
    +PLLInst_0          EHXPLLL     CLKFB     In      0.000     0.000       -         
    +=================================================================================
    +
    +
    +
    +##### END OF TIMING REPORT #####]
    +
    +
    +Finished final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB)
    +
    +
    +Finished timing report (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 143MB)
    +
    +---------------------------------------
    +Resource Usage Report
    +Part: lfe5um_25f-6
    +
    +Register bits: 0 of 24288 (0%)
    +PIC Latch:       0
    +I/O cells:       1
    +
    +
    +Details:
    +EHXPLLL:        1
    +GSR:            1
    +IB:             1
    +PUR:            1
    +VHI:            1
    +VLO:            1
    +Mapper successful!
    +
    +At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 143MB)
    +
    +Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    +# Tue Mar 17 15:43:14 2015
    +
    +###########################################################]
    +
    +
    diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/pll_in200_out100_toc.htm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/pll_in200_out100_toc.htm new file mode 100644 index 0000000..8c7cd79 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/pll_in200_out100_toc.htm @@ -0,0 +1,44 @@ + + + + + + + + + + + + + + \ No newline at end of file diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/run_option.xml b/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/run_option.xml new file mode 100644 index 0000000..3addc49 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/run_option.xml @@ -0,0 +1,22 @@ + + + + + + + + + + + + + + + diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/statusReport.html b/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/statusReport.html new file mode 100644 index 0000000..275f5ce --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/syntmp/statusReport.html @@ -0,0 +1,110 @@ + + + Project Status Summary Page + + + + + +
    + + + + + + + + + +
    Project Settings
    Project Name pll_in200_out100 Implementation Name syn_results
    Top Module pll_in200_out100 Pipelining 0
    Retiming 0 Resource Sharing 1
    Fanout Guide 50 Disable I/O Insertion 1
    Clock Conversion 1 FSM Compiler 1

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Run Status
    Job NameStatusCPU TimeReal TimeMemoryDate/Time
    (compiler)Complete1010-00m:00s-17.03.2015
    15:43
    (premap)Complete2000m:00s0m:00s140MB17.03.2015
    15:43
    (fpga_mapper)Complete11100m:01s0m:01s143MB17.03.2015
    15:43
    Multi-srs GeneratorComplete00m:00s17.03.2015
    15:43
    +
    + + + + + + + + + + + + + + + + +
    Area Summary
    Register bits 0I/O cells 1
    Block RAMs +(v_ram) 0DSPs +(dsp_used) 0
    ORCA LUTs +(total_luts) 0

    + + + + + + + + +
    Timing Summary
    Clock NameReq FreqEst FreqSlack
    System100.0 MHzNA10.000
    +
    + + + + + + +
    Optimizations Summary
    Combined Clock Conversion 0 / 0

    +
    +
    + \ No newline at end of file diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/.cckTransfer b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/.cckTransfer new file mode 100644 index 0000000000000000000000000000000000000000..c567c7ca4781deab32d9d8ac15506d0889f3f084 GIT binary patch literal 39 pcmb2|=3oE==Ah8fPz{Y!`kuaejTcvHe$1R{xiW@t9Z2&3e*nIR3?l#l literal 0 HcmV?d00001 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/_mh_info b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/_mh_info new file mode 100644 index 0000000..37bc105 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/_mh_info @@ -0,0 +1 @@ +|1| diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdep b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdep new file mode 100644 index 0000000..524f434 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdep @@ -0,0 +1,28 @@ +#defaultlanguage:vhdl +#OPTIONS:"|-layerid|0|-top|pll_in200_out100|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-divnmod|-nostructver|-encrypt|-pro|-lite|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-ignore_undefined_lib|-lib|work" +#CUR:"/opt/synopsys/J-2014.09-SP2/linux_a_64/c_vhdl":1416524537 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/location.map":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/std.vhd":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/snps_haps_pkg.vhd":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/std1164.vhd":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/numeric.vhd":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/umr_capim.vhd":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/arith.vhd":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/unsigned.vhd":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/hyperents.vhd":1416524427 +#CUR:"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":1426603391 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/lucent/ecp5um.vhd":1416503391 +0 "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd" vhdl + +# Dependency Lists (Uses list) +0 -1 + +# Dependency Lists (Users Of) +0 -1 + +# Design Unit to File Association +arch work pll_in200_out100 structure 0 +module work pll_in200_out100 0 + + +# Configuration files used diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdeporig b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdeporig new file mode 100644 index 0000000..ff57353 --- /dev/null +++ b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.fdeporig @@ -0,0 +1,24 @@ +#defaultlanguage:vhdl +#OPTIONS:"|-layerid|0|-top|pll_in200_out100|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-divnmod|-nostructver|-encrypt|-pro|-lite|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-ignore_undefined_lib|-lib|work" +#CUR:"/opt/synopsys/J-2014.09-SP2/linux_a_64/c_vhdl":1416524537 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/location.map":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/std.vhd":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/snps_haps_pkg.vhd":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/std1164.vhd":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/numeric.vhd":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/umr_capim.vhd":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/arith.vhd":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/unsigned.vhd":1416524427 +#CUR:"/opt/synopsys/J-2014.09-SP2/lib/vhd/hyperents.vhd":1416524427 +#CUR:"/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd":1426603391 +0 "/home/cugur/Projects/TDC_on_TRB3/trb3/base/cores/ecp5/PLL/pll_in200_out100/pll_in200_out100.vhd" vhdl + +# Dependency Lists (Uses list) +0 -1 + +# Dependency Lists (Users Of) +0 -1 + +# Design Unit to File Association +arch work pll_in200_out100 structure 0 +module work pll_in200_out100 0 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.srs b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/layer0.srs new file mode 100644 index 0000000000000000000000000000000000000000..7b4c239d6451b07db7ec83e3e6654fb6e78e5422 GIT binary patch literal 6473 zcmb7IbySqw+m#rEp`|1Qt`Y-CNQ2Y}5`zqgq|)62A|(z|L#GlmloA4pq)0k+%+L%D zB`GN&-Sr{&-sS!7&)>J!_x?3&y=(7xpXb@nKIaTuB=Mz-hd^6bR~H1r2&!oa1oRj1 zY}g~D3XCXH^UC-!R)`k9w#OG!!yJc{R+E3b8}pQbEc*V3kg<-^ z^tP_jXnEXSOzZ;3H5#%2BHbK6$px9UXDgPOndA(G>94`Aphv@>MtKb@*5^*XkQZuL z=3b#-4c;2CGn0(V8@Xxhn|fOu-U*@$^(d_i!XV~(GSPi8(+|tlG$lSgbvM22T@E81 zahFo}Rbq1}e0*|?%Clm7N`sDKkmNN|F@Iy}v$?x#J9%VuUjTeUjm;{(6dIvmOxk#S zZMcs%-UH+^;nEhj+a4!Pd-acb)~(V6&-;mVpGui6E< zZGt7>-|`xzOPp3-3s57gaqxf`){-27@Upy(I(4{`=>zT^ln4`nPH0jeS#+cKG0)zp z?yPOqMAqm#KJhee?d)eh%I}5d6!mcuh`9GxCG++FrR&(!DY8t5EnZRd0k6Tj zl~;v8lz^vK@`EczQkVjAn38r zW^B~jg)8d@YF(PyX^EyjYX*EBkutk&pLJ7LP(88Hq5KB3rD7zWTfuB)ajF2PG4I_T z{22z7NxXDcYcr=y^7fV|v(ub{LIrx>$@O0VppmA$#fDH9v9%G_@hlVGm~{uEVY0r>N5L7BX((qu(BX8?9Yw zG!dPhU0CXVZ_dG|+D$l2$JliG^Jph{tGsmLCS@dgb4@!F}vH$@oId3$8P$5vgE z)>}p&i4RhkyYcz?L9&CA$d9K5i&ZHCoz(JxD6Mz01k9;VT%PFNdl|3@3eix|FDMIK zW06Wdesm^rKkah(k3;T7?T*^T$HK!K*~V$gZ*)lM12zOD5};2z^-eC9>Ap_vE~Hk8 zDZ^lZ-DSdf)L>RtFpkv-1}1826=>aXVTB!_q#!+DRwD?`=p1q$Zox67z-A#T#l52l zZ7&U>7DXw+%M@*q##EAy?CMijI;INzO)KU5E?>b9h*Jt1Gc+{T^?Z2khVs4=z|-N$ zBTHU=xi!4_AEmbZG%{8a8j)W6!N6WjeRNQg>#XsBJtI9Z|T zZr59(?Cz#xA+1UR?a~(2kUIIVydG}0d);IU-@dYpv#K8r7HIVD#ut5fOVT9x!RPIB z8b3Ehg){7y>)zW4h3_UDlAHOlPHN#?o_4DGv)LAW*FDK~N4@C^{27k$Gwq8PKD>)w zyFvHqF<-jb_jcdZy~8PQZELc0&fvKY#JL=xXaHz_kzlX6_a`rzO|Acf&TeQ`{>?^IJjEz8m$&8ZBIh}9C;ic4SO#=?ZFi{v5q zkX~Qc#FQ}JAGvlOVER)Ou^DxWm%5wEd~MbmarPr6)mZ?S8|38*xaHfnB5f4PDlcz; zmbuD_@Mq4T7krJ=d_B)L&=(Cw{jTO|dg`$=l2me(^-Yk&D+M7X3d=ZGgyd2Ni)sVs zQ%V*sbXfjNQ9~B%dmOM6Y52OTrh08>>tORvV(00*%^PsG=DoGny&<%fK^kuAaf-jw z>F9@mn~8eg@xDs77zS=VE??^G#p8(F0PQn!JY|8JTg=^^m1}+=srEMLE}`WfBZKBk zs<)9~{GEMH$nHoF$&1AuZ7GQ1^KI=l%c!r()F^6KoI}AE9Vu}W7Ota*+$1YT+zSw5 zs{;HC%Woo7Jc7DKf;9=A(ik|%8yGP^x9c#}(#zW#{>AdHFdJ>@sZ|)_o!`Krv%0S% zmgxYgLrVrj^ElKL6;Af;f2b~ckzujE`o*RM2`RP@t#B!Vb+3OPvPgF(ztJ)zrN=%* zKcvXBji+9uU{9l{x~34vldmV<^C5j=Ox^QUU~V9bj7(G6cQj0MUKU}m1DWGg@dRmP zJWR}&?2Iqe0YpJE3!yvLr^Gz2=F zlRt=R_^@~Xc<%7A#W7o&OY9MGc2&%-#Itcbo%WJ5_mf`ReT%0LCqjgv9(9_YPZI#> zp1CPdSIC@lw)+{Jk^$vWv#XJJZ@4nXQ5#z*@kc9K9%xvAJ`0Ae9 z8}Pn+{X_Noho!C6Bo$i}wVi5@->aI3nQn89H2EI(ma5UL5d?_R*8L>y9vlD-`O)wrbT8u86Q(i>yc+AbsFJhu)=Nlx)gNbSEx< zhP$V)O0t8w;YC~m(aPkpn7p6a{8nPDHeGlu_3;x_^A^2AnKHYWabx`6Elw@?DQ0(C z&ZNGVwz+BE&V~}{C@i?x0CY!kmdPOB<&lMZnYD8ghvqKS9e(b#|0LEfxvV%5)exc~{ZJ^8_cH$?i9^zy5Bhwy9;9DB|Go$V5 zgBX#kjCA#5Uzr|=WR}$3;ZGMEKKXze$M%%O&=|91GoONoHnJtZY)OaK)TH}#%P+$- zJ;n=brPi$JC(K-GC(M4ln5c`btseFFs(d~hD?Z+xTr1&)Tzy1aykz{tIn(!Z24dIh zxnL&h8drK3VT9V~^K6c-#RGmnO6X>%_a3cZ@MAD-)6{qmHv`*D>?pa-%Mmm;OK(st z$3#>gxvK~Zpr0bb!suf?y(PsrA&LY_ub^zTlE!fmu+6Od?xXf6T~yg4GGt^uR>&$+ z@08Z7F!lNUC%XgRiOw#<pG!eD)jx%xTf#>1jG4ObBc>H|K@8Iy5@cH;(2a#We z7P-~miGSWSLogr&FpY=@!Wna+#Nx>>D>6$}wA|_fA|Js@LyOBzp;`gj_FxGR}aky212U=h+Lu3USwysWXEtrHz#U%=*FZVsNE2)_DA+>Q4#&{PGltMzdtO} zf9@4uAP<=F+?v%uI2E$9Z?t##M!w`kLo0v%aP!cJAU8-+t&yja9UG^PM*f?DAW%jq zJuB|YtN10IIA*K-JgvCtR|UzAcE4E$;mZdzW%DzC-cf(m5v%^w{OG?$fZ;qq03#H^ zQw{%JJN~!HTuJ|$U-gS_@?6YsH8d9t$VF8D4t`Om^KSl}ZOjHQ3WafjVa~@>il_$# zKA*L}Y(myj3RIw`z0@~uyKX~G6Y0GqFy!jrkd+g*dA2jb2<@P?^1`8UXF1MA*CK+F zVwTB_WrEa|=PFHtjqSMPW(-Z9C!hD+(pmLxcl!{cY@D)csg&P#=`(g83qn z{!1zUWajz*Fb56r0DQsK=V}3nYUu9@%MvlIc&ScuGmoWsX8HZfeBR1(e5U2p z3UiCKMfacgC-+~p(DUJbgVqDjA%RdZ0D*)8=Kw~C|4bVo1UYwZeaO$W#(>+-4YA>Z zfnivs4p2Q{6cN|2DbVWmL%wjG%kDe?7+a5=zf{cZgXwH z@!eW@w&X{V2js3nrGgvg+2M>DKK7v_2jA0q(!1UrbiYx253K4iklwk^{JEtFc1Wcg z`RtjdZQ)_Gx*us?+T=v6p;c^ZVxS0f1yj02#_3y*dw$Lg6eBL2hSZznKMnq`8<9~Q zSiMDvDx`PUR+M!+AxhM4#AGl>k?>_wz<4BSP-74j_+IJc#FOFkz6$|c^Rr3koJY0e zi{0~04xjr~DaA75DKoO|%o{A!l<4vwgv<=|bp=R&>CI;A5Pvlv6NEe&eqb3o*!`+a zdevxs&`EPoyJW9%^%&PLBoW?on~q^3R~*~Ss3&~n=~0!^tb@@P`!bESQjp#1mX%XM ziFygHYqLfB-lOQ&iGJ|I7c+mdJifnT7zzRz5f*yCd_McykkA z%!g%V%qc>_6|-6^&YrOzZHxS&@`9vue_4COCyMULo5hulcJ9v;Mn%`<_Kz^A{=UM4 zi~k9JMx+o)1>{p1Q0$i4Ct)69cZ>&+jJKqRY!q2gy;;qr>{RlR>@}UFKY(f?y;6=XUKn7jH>Z?I(&9oUy`)zT%*Da9dd7%@U+H=MbsMug!*5sOCvmd9#o--9eu%&EiG8fwTHG$UVVy@f?>EAaT<+}Po(K)$u;!QB~yvnuQob~X!Ii3nX6<^-8B8lgl zTW|9onmDEBPBIymL2q^4(16io<%x|P($!AiO8q*M>ci z4qM2hkEQHCewp?>;bp9Q(z}!ho{Wqbyq@z5Y8fakpD@qOrv=2^bmAgtx|&KA$dRI{ zeKT+5q@zO6O=OmWCXs^)$Hl)EeY2{qdh!*|)y9qu>dM}U>B1}Jsr(`(qCc?g!S}|y zHf3GTMqiqi23jS;i%SR05*56occ?2f73)}+(;i*5UFL(y#;P3E)qPJu&+9U@OPW4= zO=;^56Gh+co=?gyBowZ2%bzgl9#THpe!F484{#S}drxZa9+{@=F!-H`7gpPlSj>h7O45khH`?c=uD6!A)e-s2NV14_ zsvD9upVVV^b@il-=GgQRD9oO%t7v*ytDnCpeLKxc9iF(D9Q;B~PFAJf zoR34+5SYKcv6P?g{q1>$+xVNzla5o&(uw|!Z6%@ijblpIdZ-@U$JJ2O?5RExUwM((CB_v7I^S>eZb z?{Is#{bkU1ff8fCox)l`E;?Jh%e2t!YRYkS>PXL(P9`LR%2wSqo~MdDguuONf1B$2P z$d0Vj;9lP+!$jKx5ea*AS<1uvTNh^9ibyFRU)q~Ms1Y1qk1cD11BJIFCI7AIU zBtm`z`C?rb>pX{fmM<8+?_Fn&GSq{6AzI@eHBO+8#!{kh{cnaRMmb~3#&6JT}rP4HrZ0)sQrv!_{7S*O=rLz#l>WD~Q+EE^xFH zYMlc8CBJcp&(?xxMND=RK+S2JsFL6WJVL|Wp{e6_8#bW-;-;Ce6>(xFwx%}R!7RPl zV06Db+}>~Z>*3QhmH#v)-wg+&&CiGRQ235Sc*!EZU#p&FtLc6>7YvSnDp@Vdgs2?< z0bX~w&dYqcn3s#<=2fKiXDw7bMHD;qzxc}parnBaysHimUkX837owJdK^}DwT6GJt zySo#ewUu%6EUSE^Jj<>|jEI&Mc)oai6jt^cvsu89yywehRurpz@afw#00030|GZdh zZ=*OD{@nZu1eK=IOt=VC5PWGcp{zDQI8w3`y{fDbGD*mdh^3k7uYZqCNJ+QdnfE$U z$1%3g&Chv!?9~s!gw8}v6<@toq)_tH+utRnXUO?{spmaetCXP^DAs78Sdyw^EByfe`Of{{cAV0lL5@P6zK0xO`NB&yJJ46y^z1R^~xRT5Ik3)CKnMn`le3W&av zs*-;jrjm54$iMe;-I(XvvR8Ss5{!n*K(dsOrqV!)QhG>luJs%L7yYVX8T-*(A2^l> z!HJ)mfh=YYZc13gBymZt_17-CO6+mn*03_XfetvY&J!2tbzx zbYvMGIIJIoKCl9>ts{a7=w%ua8mgE5pEw=xMJ1I~1f&CFZUYUZ zkvFiQW7IYU@xb$}SKKrEQ_!QZBx-x##0e;>pDLkr>)+xI^_1V`d2Z;ve5omw>?y&} zBbzuRsuFL~`|Y*v<$t96xL7@Z5`f&t=_c9g{aalMJvxkNd^7yyKRN(EM!y9F(P!nW z&f*Bq!l#tP_xfhL(2Jc!Z^L2iMK?YjKEfvlH*Q3N-@xjCv3On`1p=SA%}=`UwWx~X zZ{*93{PH*d#?wjGKVpuIbd@}EN~(Elq>lor$*WNtZzGIZsrx~6SZzfDiPL8}Hu4W; zKrvO+3H6~bCXDAs5khbh4;XHjtiJ`__pA+Jd*r~9>%sk{WFwU@9CBTVWiKSrMoOtF zlay}Nfas9pKO^ttPNa_@jyz1}O)8}-F#@V?a{@Y>;pF*6Ch{fqV$%3?wI}CqmwrT3 zG1$J3HU=f=s_)S<3=R=&iRk!j9|G=sguKFdE8G&5`+67#xMtY$D}8_mw81d& zPqshjDk(Q$f6Ma{R0I^1up#Ul+K{3RIh$vEw&gssCmu)yXWHORB56V(BAcLhY%jdOFJ{rqYTGo+dvK@>GpmDyF~%qhoKh_Z>ZmS zpN z3tz@W!l32w&7S11csXO|EO$9()*&8bCL8SU4Q9SO@SS5uUSbZ64J?c57WOr#30rt7 zzE*`)!0j-k-c1zK$Dz+-_^1;#BxTgX%H>R8IBL}oBOm!{bF|WX+gx5=`1(S5Ln1l&X8$!GE;*!Ka!5|7xnNk&cG_iJsOYLV_M04N=ZbutN7-eJ=YZSJfp)IQ?sX2l zj-9Ua?R1@Q?L5l(*absIXu^!H#3Pu;MC+S{z}Hk@vUt)LZPx`?5H&MlHkb@Y$>=(V#VPQ;6$O)XbKB-O z`nrj5S_!m^q&B;fsf}PZMF5_8_-d>gUGq#1SP!9%1ys1%h5L*<1*X8iy})jU*i5>n zK)*h}m?mIRyr}JZ%WY`e!g0A>JM#rOa*+tnUHSrF`?w_OGu^w<^*+{dT8Op&YmDU6 z`mB4y!J^hp5^?qC>sZa-+!qIU?Q-Gq>Y47u0&s=4a{lDDolHUKS8Iyo{UoyWP9-*L zv{P2+L`#l#lK=Xx$q!b`xTC9cC~tgWd40~y%QyL*Z_Qbk3$Ok*XJK2Xkk#D4_Mor5 z;-h`8io!MS+C1|9Sk;VOVeXvw>}(&mZD%~y8P`5HbwJav-t?Q=fz>FiPuB^PXZ-i? zRQZ4VPQ~Yycv~V^EV27B(=UO3%Py`YyeaXUxZlT_Yz*gjJTGX~@E!LXzC%Zaont;q^)V!|=#(Vl17O~1G*c-w_!0S~Mk<0QO zZ?o#&X4pr(BGsPf^7y~0!JouhpIGEr8wad5mUAql?QC4P^LuVb7cs)*B!b!f$R0M< z_G`{6XKe4$zq4O6tZ2DBERd>?;Bx{RXO*oFn7l;2!C=}M?A9s>dt2shW@q+!TyA7^ zk?xnVqlfWX9I9TJ0mb zSpPA->?{lzw}&D1rAP|4hmb(18Z8XWnUQm}wL7*2WZ<;`-4puyZa%rfsc_aGzhkjC zjC%i3qEWZ**e+J|M;+ zkj_I2561a*LzX|=>@DN`4#M9#BH(Xi{CD~qi=4%R@wdGH%+8~oQBnTp7J~&%|J*ij zBK7=?^X%UKEH{4ebsHE?Sbc%}!OylP4vbeEYH&Nx9L@P`pWB~Zxz5G*de~Kb&AF~I z{?6ua8uxPun+eBsyNC^xExXp+gpr?I=3zz(N#c|Wn4WBJ?qVl(e|*T8e2(fQzXgbx1uCjbBd|NlxWNzKWz=5lZa z00030|9ntXpAi^jIfY`yYY06=r%3}&P02n5)N#@#=*$ruwu3U zeY>5IK+f#)Vs+J5_ZznYZoT=y9t^&Cwmq=#03xVbDKf@0VP`o;PUdA$5hu@Mr!r_D zyHns}GVOZ|f~g^abUF5?V-|pCfSh(?S&i9((*WkpyT6O_1*04ooy)+O66oG61nxkl zR&^J(EcmOl8%H$n#QRQO)&Hm>N@AxIE`7vEELZJOXW~>V*_n`-b|O;IPDsH8!V9cHCivJI*en(Df89$TA&Qw68c4E}R8Mz^rROg3M}S=TWuaZ6r;> zy7J3XYP5dQkDLgd9OAL3?cV?q5sFk)Iep9L27mcJw!@e0!3D!>@=x$a>ikr(k5kf6n#^@*U;h z`+~{+8865U3dUQUR{b7W{YG$dZ9NcVVi_Wxea)e+lo~fak&>ei-M+GQDDIQ_EzD0^WMNyUpp+yZCygR z4T@U%!n>?;u*s{CGz6=xx5b6r^ZfZk&V7}0e=Fx+$$goBY2>_eTZ9mn#a88mSI~7L zhLzxZ2|H?Ox?u(TT!5%OEJc}+%)vmYcx0DM1x31WkP*4}dSE~A149VNy%Ot^B*H<= zR!Xl>GazwF1yX0RXOh?+^o-}f>BXkr0tl5;bd++rV0$2*9rT_J*oz#6S0`mDkf@$R zTg555Qz9uv;V@iCZ%^Ra?x|W-x)!}hHR*$%?XA481MN@Z;V6TD4rm5(p7wSlNI=(G zPFOr?VU&Ca;SmRoWHbx;ur#*GY!VIgaDip8O>|z9Wr6olo0ea$U6q^a7H;SBL2uA| z9O#^WC96R!^9e>%*38SLy%N@i?m31NiE(QJ(RVV5bIEWTsd*wZ$M{z~B)MWw0~Q&# z72H10b)g=gJx}eeudDa?FZDiv`LnFc@{{g&Y-r5N;Wn9IzR-PVl(TRf2?uLXPICs`wUfKxFjqdwP|IBiuVkq2qU8XVHY*oV! zUHdn*)jLh+LhI7>S#7+|%`n^=g*$tbzv?rMSF^Jc!|T2-jai|@^!yl`S+dl#@(JU$ zjhR^u`NAC>MV@5^L!%tyoPzPDB1^JdTGm0r~Z<*t&1gC!7l0TOvmcxz3RBc#j6jTt#!m7ycFXxl>}Nbi{kVHr)|CEq`^^Jt#mm#+oBU8+1b`5 zuD?PaPn$Sxed*uuec)5gPv2>aNkikTg(_#Dc7Z%{XrIg<=KUtbO`V|bC(8x<_2Oo4 zcak4}w9S+1>H7rhO^M+airP~DI~th2mHJ+o$M%oS$qegzOu`N7TVknudWETzP@T$C zdueXKvYr~+v8>T;n@Qm=+h4%4wO=8J61RyD$=cR?Uf&5kj`Z7me;2iWKYzU4E52R0 zxb~CgCTo3qCKB}5uQ!u7yJUmr!5o#av6`=cwym&uFgGJ8O-p}$^}W=4=DB|IS#EXK znOMGC9!6&1TkrO24E62;qxWIwA*>Ie_SU8T&$QKVXe$1r-es8yO&)dajxIKPFo5iA z(>>b1p{=fHN8dC@^%f7Xe6X~hp#Jc=jmxBd!NMw4sSaggZGOGmTh`dd);(;9(4O4dy+PEafrE@}HF z{(L!wK3Ivh4jVhm;-olhAIu0RR63 M03e-%&HO6>0Ou#vPyhe` literal 0 HcmV?d00001 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_m.srm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_m.srm new file mode 100644 index 0000000000000000000000000000000000000000..98174c49deec366830563b77c37a1f47bc3d1067 GIT binary patch literal 6222 zcmV-U7_sLciwFP!0000015#C0RVXORFG)=c)0#Z?ZFcy1QLz zw$oI1)l=`)M&D=3)|GZUgVrXs*mtRCyQr0#FX4y4EP|LuDrGFRDxJy9)YGQYan(qL zD+N(NW2Q2yhm{3qmW?Sd;Xdlk?TJRDP%Csf?8k*H_cis`TV`5QW<1}vfvQF0kv5ba zO&>ev&~qdbeV+sjlO9PXL^dPpjE8|oEU_P&X+#4j&?A{x(yf$uy@^Rkfs-Z*!BIdGOUR1GG93~punw}* zU>G?7N|tnT>sgY(nV3bRPcQgCd5o}-01xRGlzJjH<1c0)ccwo%VE*~ zRn~4Tl}(>bcB>k#HVPsQ;iYbE$tp z%p`8GevL6UaauHeonVJeydDW9t&nxCU&NuT_2pjyg~g`kb9-y3krs@G+Suu9!vfq!%$ zEr%hlv~;aiP5ZAV#B!=@er{75#(Aj>w2|JFi8hi`tc7xewF0Miwbs^wc3ImBrd`xC zld*H`5C047dRS<&+zXcdLEqgY!Rt>ah6yPe2v96_6aksqm>+^rzuR}=- zwIxogYTYzi8>auldM;~r=+juC`9LqVMjMqQoXA>}h8W9Qsug#wR@Vg$f{4W`t7xb* z3k+EVRE=U5GFma+VvadUkJ#BEH_zqIyxtsbwq*aY_H#5hSF_s8T4hToe->8GM%( z6CK54!z!0a^ z5_)$-ycc$oFE1xFTsKuzP)CKZ=Xd+2977(&%?BJwP8TC>Zth#fRHSSRn4;F(D?Ji- zv~M{vT7@WHw(V>ZhYhacqwJ`Wi^y7bxMH)R!8&v(j>lgj{5HND^>5?*mYc>IzHgrK ziZ9E_;OIY)l@vNnAP0VIr-$j^#_^gn2 z))04@7vGcnXXdI8mgTa;`U1`u_3eqEuXS73eImyJ9VImVF!I7*I-CI*G!8A#>ii3J zPj130J&TD`9prvF>%HR;%JDo}CuE7GujmOR)Vv;#8xls*nnLr{L#V~5efnBUbuIWM zW)LUqwvm%1sU?45XEgI?q#i7GbY^E{;nyp>?Yt?T}3ei}q=gi*tEP6`E~ZvZ^_c7HWTHZz+1LHyz!$pd07( ze6_c;Y-UrWY&ZL_7yH50-oM)0um}HB5CK|j?G|v>HC<6KKUm=rQW0=I3VSJtp!qJN zhJuihlSc{#hJVixnb*0vWB7Ttupf!AAt=8`iqH8g)X3q~Cfm*P!G`{}@Q@^83VL?Z zU*-kD&?ci8H*5SkCXtTux`{rMcnyD%=5vH&ef=3X0UJhR1&*=j@Ig z=}k=5uXh_+k3Prich|qG!+R^Adm!(EbrQEp(kz$r1WPjUvwCsNjh#Js&4*JC8K)dl zGiuLxtd}d}L`N;1*PFpH2hMXvz09NXL=!pSpXb1NuBiTH4!qKKm-)84%(wGADg|u^ zF*rc>Vnf^9f9m9Bxw2hRC^3RZn{Snj);8Msk6oR(q#Gyt>XGcT+@7J#R`zuJb+x(L z53ct9<-WB~xw1to`;U!E;;s#WURKslXD8)9!VV_?@v z+-Zr;?Me3rj72_@3_If(Y#vA1_%es3MLj@(Z5EnB$j17~11@_tzVu^frMAbiQjf4nnE3CSZoNV0Gd+I*rz46<(9KHcvlj_} zInDk{n38BC%MtG0uGcgE3z1Umr5xR=Q|I}{xt&a3p3B>WCHR(2(^MVZv1ciHIn9<8 zjh9I}Y%F*ld(*$3*?%#y4qYnYZ|>v$hU%Cmda{;jMRb`(@OF5wf*OIw+Pi_mh5ma7w zJj?wSHN@1zfHv`Araj8@nAE$E$i4c^!LU+&5bLzPb2$!(HAV1I?Cf1UK)cZE!JQuT zyAyil$Utq|>DA`wT|O|BwdZ=>F;}cN%w=3J&}F4;Y}9@eylQ*3Q9ShRPquD}bfQb> z8-M@YyZ6tk1%6UXuAg<2yP(^k>v3<{$2RhT_jqm_xXr|>w#=2$uWXxL_508KM zeDR)vFbg0YVMr41fVN)z1|0Pf zr*0`$2DJfZMt+YR*0=a(^*mp}6I#%C?G9<2!1H~L<7I5=VDgh!$byuE_Ez^p9zogX-!@0us-lO0IWM7roj0k%ipW-oF?rC ze}TCG%*=vjVSNJBjjLCGV8V#YblgBOLM`!o3USioUaTGke;2QHpX>U<+)sY#3O&efaQYnv zY%1QpJhqN@;ByMtt2pH|6ZMRJa$gR4)1KdlSxnp!e_Jj6E6fJhbHqsHPsDuG-8X(L zlh+{^q*i1!IpmkPOW^(XBb|BfT**D=(vL8UScRh}eXrvCNB6~GS5qm_s95~8Ez5Cw30RRC1|4J)K&B?Lma&QFz00960d|3Z);yM!lnfw(| zjC6}mghYu*1gf;eCUxK8gt)KS?cJ^{+;$5|C_!#o9gp|F-#C2fw)@`kL&4+u=9%$l z#{JCrXa8nok4B$-+aB3hoX1>sq#%SA%q~h1JB43xg`BcX9cAEg;-x&K7;PjIiBJx4 z5{L2>TbSfSQC4<;m_Hd7@Xa~FlrcNz_eRmKDMBJnONGbWH+VAl<`hkNNc?1M%qjQK zRLn!4@bTP8xbl*ePIGdD59`>gLR^v@Q^Jj#Rv!{wmdgtEtSj}c!>xEQtx`NF)utT8 zjrxy+Qxpsq5fibPEPD59;LK+CgJghkierV+0hY~hP|JEiC=sl%3*p42KPZZiG4R6q z^c%CjS=!f>dxYVnL{d05mZEYf_IS~L^giQWTuR0KqwkJ~D(VnK9j7WV_x$3GSMiwA zjbH*fv6b_Wc3XQf_tcVZ5?*18NFr>?intJE;rNA)bvWm-V2+c<<@6X^9UG=%S#pNk z_&)Px&=Wzl6)I{4M~5JRF;kQW?68g-t~oOdaBb6HWGgoOE~H|M(cWHZ zamV0)Brco1)C=O`gK0gnEZQ_LJi zSi<=q{7enON1XGviv(4u#7P~8)SUukg{(N< zBj$MoYDT6c!Ks6%N?x7iqD7K4B#i?FKG8Xxp=fl zJ@G0DRpl@mqyKVioi&T~Xz70ITYDL*7y=>paEE11Xjj)P-uGEIRkH8wCX6&9go1NBd0(mH4#p?~{IY$?{~s9~OOm z&-$Hxp2iAqSd98=pKs`85;}*w@1y;m_VY`&!Po(L62igL&c5&Od($rwUZ)*a^%A{? z>xhAa0on-`3QYZczgPV)R5&;!I88!@p=ps+bWq%7M9b)!CK%6&ju-T#{YOl$_WhC) z2kjN=<6Qk#>;dt;q6qhWoX#np5xSRy*y#=ce`DGG7aH_^ySRJwioWeO1K1y=q{IW} z;9)AudFAbKKb&Kll0BUboLCMZ#&K67)F1rIzAJpMUp%^l#wG(gBe+bNbOu#O1)k>~ zoJanrVi1SDBur3S6h-WpPGJ?_zD4Z6yKlXb_5b`~gqF#r5QC??3X2U~3*w~E^G4q+ z|LM*j7RAtJPv_nk(@GKvVRN97XuFdC<6VFKM^Uu3ggupqG11sCzk&onZ}5-(ZYB}b zSQwvcSX`#56ElTk0+1|9T+P(^9$cm|;Pn}=Do@UsfJ=#0c#_vW8tS<6CBJ*JpNhx( z`}?E6atciY>Z2T1+`f6bc`9xWy@-0H?(Nz?1jvpH?ib_YU=vMskE+i7QS$=MAh_2Z z&3F8qd#8d1;K*bux%DmZk_EF}TSS>JjA#8mo=Ydky%Y-Q-rQt5Fd(G}5dWgpKI7>(dAW<^iPu|J8{JE8Ve&Oy} z_SyYgS)Cgj!aTei*^rxmW_KgzBS-LT^7GlUX2N?sd&wMz{E5hni|Z`P>J@yiXQIsY z?^eIa)(i8t;SW|F=(y_G35w=q8XkHdz+#`o)H>$;|SQTxxvGPvG0-(ls}&&9>^ zIxrgd`_}9Q*#+eI#JjjKn=|j)thZ*+d)B#kjqAm2Fll+~>!x0P*_uoDHqv`Nr}OOlSjsNE3fPN+BI7F z$iC1t`?z;sU$lCR^|h|?i?tE0t{2&|Uag~xU!(0%-iuYdS}<=y~PLKHs<4X^Nw%H z%@dyd!fUTx@G0RrI0*C4#*}<{z?l1A0dLUFY$GeVw;=d>PnYFY3rx zy^oj9>9}6~V&|CGGcP^ArAmyH(C-((Ok_d-%dci4;T@Qo2|?I_83RTu=p7gauM#VG zOe1H8yLv*MVZtTM6eO+SPVx}PVd>Bi=7ma$l3#`&*6D}!?87=qW6}Y4fL66LEbqW@ zAdBqBAg%W$(9B$z}blgGZMAtha8%(z2BERGiq){7A=LY9e5Sm?d%30J>SqC ze>KhDcS{l7HX>WLxZ-oGI5FvW-z(+4o%y?YyNtxL$%MuP`vXr#c@#BbXZCtaYXJ{H zpw$!_+N&^KH(T&^&$2$*tzTL`6T!on+Zz9)*LpQMtlNIj`fyl3o!0J$eK)X0=0EV0 zzO``g18A~(-lDpu#kw&k%WZ9${P-Q5c}-U=>+Qrexz&D;1M95Uv=4g$x3Y=*UJh)W zbOzhZodmUM{bVoFcr-NMe2QGr_Km|_M~|5N7qt6dXiXztznfdPeM?2Oz4zk#YqRC9 zS)6PFKKw$v|3EV#zpG#(7R~m1#4X+Te)f3*bBm~u0RR6303BXvVRkYA0LvwPS^xk5 literal 0 HcmV?d00001 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_mult.srs b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_mult.srs new file mode 100644 index 0000000000000000000000000000000000000000..57d784a7e92807440912f5797ecfa3f72def1659 GIT binary patch literal 5590 zcmV;{6)EZ;iwFP!000001AJ25j}kEuf9J25Ku9zJx?gaCCl!4-b8_Us=gn@nu=To~ zO*<7g;g5HUcP47$Qzz-~bK2>23cW*8l3q{MlqYS}Xfm3>PLa``;NmoqvVazjLBW^G zL3#)2a#Q4+G=nTjmyA9Pwl`X88o<5~jkeFq&7cn2P^9nhJHsQRSTJRa{owSuC3v%a z3+Z}Y%;Qy*!rl*l2KQDj;Mi&p9#3?soPx?WKrNr%qtq2Ah6ZKml%77o4R@a($u!t`< znmq20_m8{7W_+E;m_;`~R>1vr3%lz(Dq{U7N8P36py~A((dAvA&+?Hs|8rH}Ik-Sa=7QexLHVhN6ttyW2%uhY@HPd@YI1v>WLdLUtxLf8HJjY zTvsPmq0*v~6I*tDmj$_!Gu0~$+_P2-S0|*Bwa)WOF71T5uAc`=UNBG1jhwmbLai7f zSA9ZNmwF`7s}7N$O)2ZhbLgvH(htbBcbyQ@>;IuFazpRsORZlkZr>kI`y-3!sx_5I z)?g0LKDacWcS7(%CnGks3>OA`J^_7Xp>V|Y)gH)3M;?SQ2fa!{$^z9@eFBEuljnmD zcyd1>YX3S3Jkq}=f8;&I$QSyu?rDnOcgLgt)C&3}84(t;ge3aauFc>0H~6c4Vr>m$ zG18|N$b)Bh?$Zt^f+q9H)E!ySF&ZBJWaPRQ^MRA#`#x?fZwn<%7H@ek z|6jZxpR&C~;nSo@Zr4LS(lI7kICdxFE9MQpeuC*ULXUp)a}4wSWA^k(0(v`1ipRBH zl8t^n4w!otPT1G6_X%YJI`zLZDSON%5(o$mMzZ&8Mifl|m=Dv+tHmdpR?fxxF-Iwt*{+J`h2hU+3!} z)TiK&NE1g>jH9>sn(Dq+?bcaHBanwYro1Us%-{KHKp1#)S&oL{T&0H-e>8WOw4vka zYl{}H@JVMW_z-p-6cwRkW8Ca<^$9J)AW<2iEKyYIuOZL%9PyN+-=-MTZa~9=Buq7E z!q%!!b=<-Kb@DS!m-*v~OI?w7$rRc?5Z}gAIL(=rge*vWkY)d*ZvvskaWW;KU#}4k ztRlpxsx^RI*nrzCaQ!QvR%l>(o=>c0Z+vTP!*OrBFpPOGTq?8PmM-(LlBP2`oeSF6 zItdCEti#EuN(r=OUb8vb6_uCp@-XNrf{#kSim>Ijzw_bFSlYRr=d;{4_#fNHtv=FK z^~$Z7=ZCvsEmQE(FWw#8EyX^=w$Xn0cjgViL%P21R+tF5AA^v3s5$EDb6o=rd=e6W zy$dJ=s<9h%#see=;aE?65*ij@-bfHnoStEPww1iH)#3+*9PC`BRRP#V& zQ7$+CJf8D^7SAUPVSmR|LU}Ga;|+6MP+d`9w&2FfZ^OlM(wi8@cGg?W#y7oj?`9F+ z=GNpUoKR~!lTWxuEEHHBP;sIRwu>|F$$HMbT~+uAvlL97oX=OCssXJ+o-?51aX+GO z6eYE1ift=D2k`S9?tcCa?h^EKj(+CIQ4IUDYSjXjzXtekSgwDh!IXH42l!%}>g zG?tb7p>*26m$D(0lAt4{uWLx(+1C%6BSERHHtfNv_n&u7>PFHb zlUP>+Db}3(?DRciSuD;(TI|5;-sCpaB(`y0x)P@!cZJO%jdcn36QCS8NaQ zgW%g`aa2Dc)-X%eNF$27PZC2|@9zbj_PX|VePh1Fk@*-&PhIu`-}e{u;lq?Rq--DJ(PV+s3DCTFh6^mDqb6?f3H@#s!#Y$7M4Rcmc(#8b-Z8L?JC^jFZ$n3tbr|+@}rWrj7xh``XmrZ_jgk16F z1y>$TCUu{NFW));$H7qWzVy3{H1^y^&h#BdMBj3mgCn`C{S{^M>)J7Yqb~V<(~Gq7 zcnzCU*1Az7XMc$`=^&YGxT+uaF_f~ik~j7F4Aw9a6S)MfZ_2xGr#f@&(cjb|ZnvW@ z=_xK--;@u&ono}5Z&M53%8`oPAWLBGBkhcji@N#-cPIM4TB}=YZOonGp4axy>{WK2 zrv@-P>8xGM>-PNAI~;oyCn{GBy{1PAN7ba=&#nv4a((*R^YVU@u!4@O@#)M2+bZl7 z6OyP@@tL~LDToGlkT-WFicM02w;oE=L^beov!J8TW8q*cpL^MLP)w>( zNOCZi9p(Ra7gLtDf!CiD|0rARKY5+uKI%kB$H%@-b$QPh@ScxjdJxI&b4z{>`_{Cy zofRC0!Z*Ahu0U5kmsP>>UV?NFJWg9@4P`4vrC!(^x0-?3mSYq1X({}2!T&+7ahD{? zC4cwvMSqJ_u(`fz#`LzSEr|CAX!Z`u{9VspgDm*vQi-?aV=pZ_ z(89`uMWXxHN49RSV?6r&4$b|P@_UHFZx}ZshsU6qgW>%<`)DBZ{;+Kubg^+96&q{O zpVEHCdqrsd{^$;0|5=uMZ6)2ynRC`nL7nhY#R=YOb~o0b8-Jt+_LprL&=9j`Fcwmo zA>Od3;p1RaztceiIpeu)P`7=9r*FilNU5DQcL)$;M|!`;eygn>245)2AFe+!mlIja`+Ga}3s;-=d2s664L8 zZX;!J4Wfzj`O!rKz$I z<08uKY!jDGv;r}HL^qS8nDgbe6xVnz4~Q4?cl)(U175RUF|ZIAz`wJuBOS`_3Z(Mj ztUkgJ_H>S1u0)*jI^?k+^az9h`OQOF2>%<$amMQ_?@M{F5FN7kSNUD6{f*2Q z?`Vp6XX9@cr*{x2DF1f(Hm8KPc>56VCat$N@%#8b*VrN#w#Yx*TZPvn>~)OOyW6q% zF-~LB%KI3MBF`$@&-O_Ver}vY;mo1TR#D7rTgwg`qh_bKzUHjRXZaTO60z7mkFnR> zpX#PBI@;nxnPH;|J?G=%c;^!R(eLooekkI-Xbi>t__opXR==S$kDWJsPqE~re=l=p zH&{LC8_nSjzEPvUJ-@L_=f~MZkdE@Gg?^R6RxI{yIx0RR6= zD@o1CvF37c1poj5|NneeU2mgG5`8wm0?~O08Vv>+83eKv(`}}6lf73^>M1|bd_%e+_-mv6$IC=Wd+tPKn!)SK*o3}tg^z` zF5MDpVpmma*BT9z!3_9>%zT$YIMWg!1CIV|!a{I0NM?gHsV6MwG=#hE>~G>KXOsh@ zcS)$t2n?=rfg4B)qrQ!M2K?3EOk!H~(_O!+n}5_XC8^zy79L_O7E5#7pW5{@=}$>Y z`!T6$zo6+*_8ZCi1rx|EEX&xf?6N5Pj58FaRiYhN?w~AZe@%SD_)BzH*&wA=A_^M` z#lo^F-#}R^E%j@$2^hpxQ45#8AMc?bW!k?apX7sw=JD|B; z{Rv))4Iwq!g!0md_uTTB+@ehr8-_oa^U$SVbDET{ zZ#`0D`rKi<8W54=Mj_qBSQQ^wTX}_IlcbaSj=;6{@{t!QYN851F<8OS;-ZX8tV-Ke zT--2X59*K@+70h``xpdwuKO6cGKkhu1~Ob{3NIh9+QzQ-BB2o&(pYC9Xz@*ObLZX- zgCKB+Ze#_rS#Mz-$*tC?_#9M0S=(1ZlMP5CS{vKum)%Qi_tM!pCp+hV**U-Lu3Nin zSGvI#qP1LaqmXyps#%ROPj+HsP-x}GF4Tm8%9Yqik_kJ+mTO`#BMGG_Y=(K_?g+eC z!%%2Da4Uhbk(sT!wk%P}5=aJQCMeR}Mn;mII|S?HvtPur<(p7%F~J!XNbP*b5@NY9 zRK3_$0z~7Y6fz^F($*}MrvO5e8QRKulCvEU*9wO(+D#2N!)}H=4qYp7gD=Y#k2}T; zf`67}3bfb^D}Zd=_IH}Hq!;7#n}CdQIBbo0}jQ-aXz0!{Cd1y-WjA;Z%WQF;_7!;%%QKw7gBGES>f+Cf^`Uswu`Z z*1g+*Y4cG<(&;Qgro~}>1FBiUOYoxjq|*4(EQ3@27k+=}4~>+UzMF4w($(ZVT2Hf4 z73Chc56Jk}cLVXvEB_BWaC zEShxmmbb>@`M!B@wz}Nwp84}!?m3GwxgVW!R=66u{&TOdocripZ(%y;F?6|#)}w9n zflt@wtCs7;`Q>Xh*Q2dmJH+~pYsTwxe zPMi%0gWH%-Z)ws4HG66#HU*LRNiiX6Tf}KqQyYkn(i*+XQPhe*J{9D+X!HT6?4R3@hTd`{MI`@o`Ucs<8En ziJJedE|O*5Vow!L(u=JE^9fBzcb5|^$V7R0^Kq`XEHM4hLt>?8cQ{E4weV>gvtDtj zx9n^1F`u!@xRg+=MJ);5lO{09@)BNz= z)Q^$Ay3ep#we!cw!1|<Ece zAFuXO&CU0(ckcIe=j}7+!mf>R^67ZlULQ-Zt>G!&L)7fS(T8VoqIUL_`UURa$d-ST z9UAOrSqSZZ<6Z9>JhXGL{V&qRRI|eRjcj=?Q~lM)y0_kAvoIc@n=|K_vxWJ(Rd4?Q z#y*pB75+3%@fs5snfHqNz1*Ms|9>{lXPYgDW47>~^vpAl`1-@xw{?B$YI=n2LiH>& zJsp3%9>*RSnejC4YOvTI)y*?LD6<0;c$O+3O6&rm+PJ~RrS zl(<#&eATyJ7vJHqxL4%*UEe$oU5!-(j=CAOF&w!c>!H`hX-p{{eSxbt%)hv>f^30@ k@t^vBePQ!o00030|Kf5`-~s>u0RR630A8gjhkY#o0A2n@&;S4c literal 0 HcmV?d00001 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_mult_srs/skeleton.srs b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_mult_srs/skeleton.srs new file mode 100644 index 0000000000000000000000000000000000000000..977f6aee3b1d031f09834d8a6e16f217eb21e52c GIT binary patch literal 574 zcmV-E0>S+siwFP!0000015#C0RVXORFG)=KoBH85dTl2$L)p9XriIYdA3Z6rDBO9%hV^Gp}??j z`j9tfaG>$#t#3!01_KTa+yq_h1vZPp(}*FKd2269ysgKLg;e*aI_1~z*@Eb0-QBH; ztiOA>{9Ny3ehBqW^iW%op^nh6&h_ir)X{iy6){_tBuB1|Gvv8qk~XP^d4IcU%ktLi zeG$+!J*42HBmopF32sE@iJD^8=CQFUX0HI>c-e^2Nh6$;BLg;S;xzN@%bv0$;k+Ek zqACB^p}CxyR$5O<+Fotm_j$3P=xv7Nu~0fZ?eS&3rpsR){lu%Inl%jA7_wU-Wta~7 zJ2ZP7w#Jf;IR-(|MFXnEe|`p=w&auh>R@oKMlOm!I*2_koG0;RbP!tO)#;sIG{QSF zK3{+u0RR63 M0H#XJ64n9$02HAgJOBUy literal 0 HcmV?d00001 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_prem.fse b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_prem.fse new file mode 100644 index 0000000..e69de29 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_prem.srd b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_prem.srd new file mode 100644 index 0000000000000000000000000000000000000000..088c601929063bd7ac3f140d670912807d94c543 GIT binary patch literal 4925 zcmV-D6T<8tiwFP!000001Ju;bYr`NE0PuVM3h5|4HEP;*E4}QiFh)1ZR>p3U7}K~K z6+hbLzn|S&hV-(_2)igge2D|~ZtD_SSEga^&A{0{4WJ~i07$tdAu0f#eXnWFMM(s=4)lh&cZllTFzHUh zOj6V8AOUFhYlqa9)Oi^6W*v!eS0YzpJQ+uptRsu`4KtiZmQhC*-xxx3&6`Lw?nsjx z?&?T#-c?_u8-;AI$ z#RwL;4V#o<7BZ^O;c~HnS_r~rh7eKSVT4Wsk^QoRP6|&$nJ=-Aulw|$b+Rhy&xeYV z*Qe{pIT#!cHot!hRTgRJ1Sv#LH>6M>gRmWJIokmqfX9rTGMn_Ix^>1==M9UJaB?;O z1ONd4|Lj;zZ=*UFUf=u*3uaL?Ey4;a5p06VP^DY$b)JX8a_;BRTcUJPp#upgSj&CcK2I4*YEF~ zy)uAsG<-0;AG3+CPHA&*U^?M&1b(QFj4+(3rUwoTg0Vf`=w|+8+h#5)n0r`x5BIR$ zp?dkufH9SR3uX_K@UJPI{T(`kM<0ef^|qfb%#A zK^^*Z^!Q`qa5jXGLw{Nwc4_D#P?qeuW)2fNKTZ#oPgOrK=5z{61wFHxv4PUaKZHRY zhSg3{fkZ5$FIEH@VMoiQ5qSYtXGObLSh(54S)oVNdSO7aq`(~?)ih)PVcXSARsdqV|Z)QoafGej*0Spz_jODqwrW%djYABeH zQEN1gtT&1ltZexBjF0>LxOlgs=*6N)dn@0c&(`k6np~_czHW1+pl_DI`6-Vq?uA7R znCrQa{?d59r^kq54Iv!Ef~G|7M?8&KEbm|vN13$%=|gV(JJN`duTdQHQ)P5aW4EL~ zGY82!+N~7?RvBT}Vo2r`gPO$}7BPfKv9PFtjwSC{!k-w?g1l?U0wr>B$rNNs99`yc zs>s+{qBi5l51lXHL!cdLluFAkWm-6P(W0rtm%NKQqdR#nbgU`&Vpb4py%op-xmQ^4 zJZ3Kudei7TJX;FKuhgq}Jg;R60gFU0Qm=O?Vty{tEJUB*-d*v&^5QnOUc{aI>BT9f zk3L~U^g8l*UV}9*E5*?-p@ZPH_4{N?-o&9-$LoT>q2XUqdh*#3WxT1yb-5&C9$x+jP`+ex-H)#t=uI%Zb_u3q7?d|o#ysz7-HYnJNI((e`)AiL0 z+?~~tE4;ep^)YvnnTX!*z*8s3(rNVc@?o=>1J2g<*;>vH=Epi}ccamIbGN^n zZ$dB0?;gVZ;eM^>;cT-%%~s-#;9e` zcxMr_-+=_coGco^As|PVxd&$uETJxWF+mP9#eP%!IaXSpg;6xnoI@Rez!k1zBK=W}T4#z(ooH7ZZY3SmBRRIim^JJcME zeHiN-+qCsO;XnF5w{3wp@$MkvKJ}rZ#zf4&ffw#%pLQape{Uo1nYo=Xn-}1tD}Bx5 zrW0BP{vqUeQUtCY_w25z?n}#O$T}(e>Eimj4CzV0vd>@2f&F6dZUXGaJI|JB^$I^-?JCXF>UfV*ChzGof zi>vwwo}-=Fwbq{`y|4Fniz8`Qj4&G1U)mKbs6Myr{zPwbX;;Zh#V-w=;qjWU`+S~D z{ustGPCUrMKK^?nXXFmY7yiMZ#0$&q`-X?uJW<`|eNfE9m@HE0{q6X?UKzt`Qqid& z9)g|XL6;v&N;=zgZj+u!f%n+fVhmb*%?>^j^mX8lcxs5bmU#q4{gG!EHt=t_ROU?G zj}5OzdOFa~({=hpFu#UYN-0VL*PMRn9~m*b6FdX z6XD}T+SbU#S7qWqlzHE{J`vavz5xDRD*dWouQ9yl{gGUbB1vyLxsA?) z|89%3IlS6N4i#)Y!>hLP{)*!@=Xe$8bkqJm&+X8gs9?Y5xsVI0H&?@n*S;a-UiA9! zB)8?v{AxlDc0M8x%?wSEH6-K#ra>fGl1Yu4Etu{18Yh?f5LKFe)!rqjEvN!;?^>Wpmd$o1=V7T>*MEpQ3! z z#f~L9j@QjlQ1hFSW zW5&TH6PyKJ4B^a>(6}SKTtosB954FI=8{gQ%S(AlSjv)yq?fE{2bXnGU&cH}QQ9Tar1CDy z@}qBVFq`}-tUoPnYYJ{Gn5;;F)Mf>4C}%E|-H(13;3iek2=B#q>XHqoG2xVMN(Qc1 zzR@<2aK1x zO;S`KSQp;r#gJmE~=1o68JOu31=dbBQ{`ukafO%yu*;mgF9@w8e0y0Gj zGxkl}EJzRYhB48wG-ViHBq_*m#;gYp*-+Mf*LE}lS)8W{rWcg;3NRkR@83hC^V=#R z;4Bw8L&;)-w2%`HpG8BN02zP#4q%;WncL(+5_1&0*)?a{*Dm%wAR)jR{E#Os35R zF!3XO!DJ&i#X=G!JHmyM=be2Pt{ZFLcqM*=igkQ0xIRrpD;iE!9~P7~J@U*5lRFZ^ zsrRKzkqVWRfXSqX;tjvZlAUN8wqQNBC>UGO*k`iroorpWt|WU>)f<*LGMK2566};o zx^`7OToiGmN=MR^u_B#Zm(3er9Lcn4RR#IYOvV3f>q5#XPSUnv)Q>^-Uhza8S&?lT zmdwELPV$cnNfOqtfS&qF(Fqx&8hc4X1!>NH#I9)SIUBlJr-9T-rxMY@bm2|sl zFdW`^-e73g!)OiLwu_&#i8tWVBt})hr}?R5Zo~GK@3G^1Jo7o4&-t%>&MV)ij_=dZ z+YalfR-Bey;u-tyU=bL?o`7V1dHqY@xm4{K3pq@@2> z{Fnb0{||DptL6W>X6%2hnS5H|**6pV2lc(f`%kOxGrEsLFq*63)y<Tvkzd7k~t z@LXqql~BU4WP`M*%njVtUzATZ^rk}1YnkG&(*(}6^U{d2dObSpjEs3bULQs}H}lqL zox8nRJEpf9J^8IMzccraQ=0Wi*<#$y+r96Y*;9YCn)@eO(^<4X(ANHT-yfMzPsYlh z`_5wgbbF%xqptVP+Eh@~y)F7qCv!D7yE@Y{Ok=*%c04&9k6EvxwY_~u=R7UDw~nVC zse;+IbIlVuquN<-je36K`LPeR&ziSdj^5>PzBKea7%6tuRrA$$QAgGK1C3{Nz1Clk z%=!?mb-Y&VY%w~Ff0ASF>V3&7(x94LQZ^fl0M7-TO1!=(7{o*sw<<1KKrmry8B`=` zAZ27qgPz8-X$<;4(R(YwO^`6CI1Ofu2Tg^WU)A_~52qjC>3djR1~E~RIBhn1hN{_| zCh>(bkn&=qZcDB1pYO%_J&%D+S#Tk-py{NOwJb2#w!?)o5{aG5VnR}O(lf~msk)+* z@t$s({y=9Jn7+QHL{0LEIt?Jbz@+$*Zh4N$i!L@*o8qiXGBJhtr0YH1G5|j7{0wa5 z>Fh*53wZY)6eryDB0u9e$6ywMrE_@ry>A{Pb9I|xyQ;C9X_mTcU43s_Wf^icQ_MsE z_tnvi+FQ(`l`6IM7D`K9r5#mwbMihMO!N2S3ZvT=vz6{UMPt9Ci6Co_81+|ubMXLH zhijoenAmp~nX|R}@F}!AyEH%E`xzK^C?gKKZ%?pyf|U&?`0)fgXV`m%?F{|<(>=($ zp758LdH2xyr2EKhaaHffrU_Q}8T!^M?F!AkIj&)4b)UYzx6X3UZ<*ygANkDXNv020 zHg@*4W%{rBq87V35~?wKrf)IQv!vVeXZb(DEkEM4|96LW500tt53ctFZk@xvy;C#n z%|~?XpWv47aOT1EalCHt_KR*$THE%OcF|%Vv*#AJwN)SX^;5R8-t@JL=YEE@Z|=+6 zH~9MW(zJ=5#4yL}pc``GG?8GIeu>h}31^M=AB6d@Zc z>LEn+(S+3^Ysb)s>{;&yZ;Y8SnrFJV)aQ1JRj$8xeqy#=n71w5TeYw67CqmQlUY0o vPPZ(Fsv!*3IZvq+>YqIt=-&Dp00960;&M>n0ssI2|NjF3#qJFNW-I^zrj7F~ literal 0 HcmV?d00001 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_s.srm b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_s.srm new file mode 100644 index 0000000000000000000000000000000000000000..ea888b60f92d799ef6b27b478f133b639053471d GIT binary patch literal 6097 zcmV;?7cS@@iwFP!0000015#C0RVXORFG)=Gd(!r@pOII^ibV`<>H6Zjc|Ps>Rs zh7e47z{ZZ{gUjb5Fb7WPGuaRI8<4Dy^>e5Vi zTqry5l|zm)mJMS01IyL}bL@cR{`4my?SLhCu}~iS0|z=*%R3wmeBWUq$hsumSVGPW z%s8s49x`8+bhvRXsRQu@B9o4?x~l_q%8A>7K+-Z<*Sc90$XZwaTHdHuIW?b}8$%5> ze>l*_j;_hhvt>;$Wl{eTSr%Hf3~4>OlqLU1WLav9I%cEH443C|GyR9+Cf4h4aA}v@ zf5a}4wveN6O~;Noa2*N4pA-L?f}kgxA#=t9-vvv|2j&P_I@kJVG_E6Gzm$=J8Ffc> z=IBVQbSD}}ftKlV(2dY4T}}OZQ<~PaG@fo6U)93#P#X*!ty^c5%8_m&U+Jd{6B_yu ze-eDcvCIbG_|}2%$RC8xwGCo3t92T^^4*9=wcgEW>3|EJ2?{e zG+1iu(PGimT1|t$Mw%|C%=UsbVv5$!^}HTx@-U`>HmWr$bGfvtK|^LfQ^TkXO13C< ztMs+Zk65U(vJ9++UQW=I?x>aBqENoUf3__)q=Jw8!Xs&A&Q^-qB<}VjjDKQU(=Q>~ zth1RF+m8e)viFKvYCblJseDYfF2K+6myn`&9INQeHn1fhy=qU)B2Ak9t265HOh!ky z=3+^j$8VKcRWj>F!;v3<2-xs<_~>F`8Po6!I9Th1d|%*WVAdaA#Yrvei^WKf zE|?$HA805!mo}BSy*k048Pe^2FUuPA#Tq$v{&b@XK=^16jiNx4bhd(aR0r7tBFgh( z%>*ACQhVRT`@+Xkr$JRa$_s4+e!QDDtE06O_;ic`hjzDG zz0EEUKpK6h(cjV`7Jit9(rlGP3Q;fRhk-gq!1qAJ$;m$^i}eg*=iR#W&E)8x(1irg zh37OvPQ%G!?g#YW>gc~AEGBD!Rl@!Eba&UgS23D;mKdLV7WOZiEpHd_jXXA0RRQN6 z5B<^b0}DF83)}cKj3O&Q;mX#>vdn_fqNYcA$Dw?zSL5^k$YY>3gD_qfONoNW0ja9Q zdKlDeG@l&kY(hYKtiCmsTS=|{e!Q-mIEJMx&Alo~m_4h&o3mZt#^Cc-)x0^at&HQE zVXG|hW>6XPRsOQykbbeLJR;WFh7^5_L~)*|tf)49!q4R^<9x4anDm|elO&!zJ)QB#c{pN(k_*-pwLKPf2LRwutwEu`ZL3bw&rdUWd^y$M?|qZ}=SV$e%%v>TrE_R}S?$g7q)Q|5%4#jJyYreZ+Z9w2qf` zT+nzadmvS8o`~GoRrCH?KAiI9xW;38W+x)nnUSAztYBnA`juEG#!IfKm$_n){ACa6 zdlxxyo-5Zm@Un;bwmaq9?mFMj^XQOo1a@R@_gUI(9uIs|thed0c-h~>Dnie17CtO`kdAwze%sqt7v3+u@kA5yXAI&hPLZ zcFc(*9b8l2SUcuN+1m4^4;}4x$ZPNQn)+((*z3;pJ@vvfp82shpbw0*__*k+*Kv1V zN8a3Pj{W5#=Gxw#v*dnuAv>>A_b)mdSM%m_-eL#qIJXm)ShFKWiAUi;ym`btFqaNp z_~K30c{*X{MJ>~zHEhrL_|jU$QKmDQvP{)!xP-DaOZ@l7zV&MVfQ^Tgjr=24I>%nt z?=KiyX3H8e7j^Uy4jAkL!;hrr=V5NC&h+Nfjq@~pdY;^raZbydc%+V|w@>9TcAupa zwp>PwQ9X#|60E0=dZM>v6M4NrAk04@xHqaeuhZYf(~e`kyAbZwCj^gbnd6W8T=se# zpK*J35~LGC&2&G&4jN$(f=cq{ZX%uEd*b&HfaX=to5QXzdK1U5HJEzfBhn7ni2EqV zeLJ^*ao19x#i%yZ9*;-E!pg|w7jZ}>-XB-|AEgFQVLhrW#Npvx@9v3H>Gjl4?U>)T zeFk&q5!$nERSInF*Z7!4jJk|s+8{pV{7O;@T+eYd*W$jHi}AKM?QuC0Vk?}EZIA@t z8gI(O_gv*duc>-3#@pt()vHN~&?_Yd=`_F8E0sudsn_jc{8S3PHr2}hp|rFR(QQz? zA8*M!Mx4D~|)UjE#U(_H9)_z59(A!_kkwm9zb_x#D9x$r0ceiC(^=j~gz z;Mfs+7VnWEf9FOK3*=Z1m+Oc^q+7v@jgi(9#tFA^E_7>Y8gJ4x-bwSeHGR%whuZ?M zPYJuKZ`T;ai0`6{Q6$DsC%Uc7o&0UO`8(-89q6KqdjhjS<#pz-X(C|o+_@xdBWisV z$ZHuN*GsC4`WhVLF3;7e7^g|uD^_XcC36ttTXYL4NjYEfmI_5JkK`cc-)fgSjl?&B zQw&T+4B+p*;*njY%z;!pZ~j&c?G)lk)m-E$??VBLVto9sX|#+ioqt~pM0`$#|EtrLpe%*&iW0F z7WXDT$P`cZz@NnOghEVxS^V9hf^KVzQrM#Wt@kYY5n=|bgzr74gwX**SjRCttNWOY zqR!^ezx9rK@O#4&7TyG^VxFYDx1HHxWi{*|XIrD&dR9K8ULqII?_=(@SgdKWPQg;B%?-_i_pxRj919a^T@0e zJYsglJ%Z6rQo%%J1|~BJkFbV=WFYdw=naysq5tn^B)T+_1)OQu z)m?{cVSidgxSvp{PiWj`Q+ zpqY^gGt8ZSmVJ(a?ailmRJ+p}Un6b@6tf&jVH#M9!X(({S^L+VQ*MX3tf=$qyJ@3> zm;oZDS!9^oPWFSh;vpeBK?O2HE#aT-w)R49S1H*sUSKVdfiMWo!c63u>10i;y*UpB zHO(l@r?0VXVneG?=A7a-zRx^$b>aGZ@nSjavtL~sQ6!2A@`61`W0NN|=UoEcTonW} zFQCru2QLu;tvZ-!9v`ecmSJbsdwTNlm)=A4l=Pl@1MFl8!w-((J>gmFJK}b?pd#5J zQOPhDoOs!VPN~QtV~}igGy1Z<_$^IVkatjqEZvSuWRa5{>qfkU06L%$7o<@KEIa1pDX<38o zgeF)p93WvzHYL1AD-%=BfvGB_u{*ZN#%T zeTiVEz?GC0W*i~soxeZ}p%j?J!ABoVfx=1(Omakvod~hFqwmkL@FE!&TyaKkcZGVE z9DDEePb+}pw2Hb|RH!n;5B{=iNWF>0E(i&VM0ZL}j1XybwlTH2O<4fI4RV5i$uG8S z>H@;*3i4Znj~MXqf_8}E3|(s0W*CYJd<+EZhS_Tjmq4fSE?ic)T4LNyCC1ov1J1hH ztGy*+dxZ0%^g?FgDG8`Yc9&QNHWd)V0JF%P;z;uA>P?O@KM13`s!W%lIp(i@)Ar${ z4x-S7i*^I{Mn5hYDsU|4kmHMfW-pIA!XeJhx4Q}&urN0x6jC#*&iA0U-2z0Kf_jx` z^a`)|p$FMgAP*CY=3BbvHh-o~%mqbU3Pmmw_-2z{6P7*}dS?^T4?AcOU~z=yd9B zdshWZPK*wE*{Q9w;GyzWRBg- zo~(Xt1bs3Ka2`=?_M==5ijzI>%^?>-gh_vP3j5MTA@oUvx_#rNFnf27G1EWuZ zSwE){HjBO_;9=6p{ugEuX2t3A?6|wr=<$EPt_|=0(Vv{qD-h3HP{j zwBf_R*=EKd+iG|8bxub`0Hy(31j?te%Y%Q(0OD(iEDN37%tqP2S}Z}&4oTqA`3{1Q zugRsZXLbnFP<~Ht)5z2(vAdfW&wvhX0JAtQ&&ah!*tQx5&LAMpYRHj0LUk8d`rz=Yn%Fq+_sV1wsO`* z&iXq!>xbNzM(&GKI>Qb3*X4TSk4W1KD=37JupKQl%p1B^jTAooo@GZ~`b#zCo5zyJ zwf>UwXIBx~;`u-0RB=aD#=j5Cj2}~9UEcG@Sm$;8_`?0n7s`0?pU08!E8i=g@nKe~ zM?bBX{8;N;=kZG|j(WUIE#XTiym_nQ!{qNBvuwR~djSsNAYR;PbG&0Tk_nL2nERhA+840ft1`% z+FrbqYMJ`$74(?Tar&&cp;))g!Rt(h z=X3e_T)v&-1cPrKIb~+cE6^%we2>6S)CmnKk|}1WJxk!dfu^A4tm8f3N{Z->Paq?D zcP6HhgvN)XFzCpSe5*Dl7hCWgV|W&{XBahG>g;0cJ>RP7pbg!CC2a1Imfu5yL~}?1 z*n6cV-t0^h9pZ5^Z{A=;=Lc2&?U)Mxwia>PV#k2jUe>N;S^IuI5UwB9-_+aG7ik?g z{d}X<+_AIE9M_uOefUj>DWj&h(B;!o!0e2<@rfrEe(mc=UFGRg8$GqOQq%`MRxL|k zZn&X+Y5gi;z`C)BjmLBU2b%{M29NXOd*gz~+xOqr$8%vBE{`9bi$16`@#x0w&f}A| z#>8GtC%ja(=GTSa3$NH%>LlHln#x~)-S>JgXYM|>KFBpTE;=nY-m8<+ZDM$_ckkDG zZmENJcKl{;WaB%uGkm+QnY2i)HM-2=%tyzt{gyGS+9A4dKUl={~Bl zs~^S7H*Md<^|6iVk#CleGk6cF;@it{;&Lt49w%)KmYXA-Ji0>FU;N`Y9&0Y_ORb%a zdjuPgvHGHmBmd@Vprg%04gQs-*Z@3V)Q#KPw+LU}0=RybH<$1>#*l!cs{4%%tK3i2 zk=xp-^%ADbQlw{}e_$cy@lqTn%`EvWW6x3hopOCir?I1H`^O!q$vLf00960 X;&M>n0ssI2|NjF3k!W3&_Amedvzb*k literal 0 HcmV?d00001 diff --git a/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_s.srs b/lattice/ecp5/PLL/pll_in200_out100/syn_results/synwork/pll_in200_out100_s.srs new file mode 100644 index 0000000000000000000000000000000000000000..a1234727eb836df3369469b2af319d7cd741e3ed GIT binary patch literal 5358 zcmV!$jKx5ea*AS<1uvTNh^9ibyFRU)q~Ms1Y1qk1cD11BJIFCI7AIU zBtm`z`C?rb>pX{fmM<8+?_Fn&GSq{6AzI@eHBO+8#!{kh{cnaRMmb~3#&6JT}rP4HrZ0)sQrv!_{7S*O=rLz#l>WD~Q+EE^xFH zYMlc8CBJcp&(?xxMND=RK+S2JsFL6WJVL|Wp{e6_8#bW-;-;Ce6>(xFwx%}R!7RPl zV06Db+}>~Z>*3QhmH#v)-wg+&&CiGRQ235Sc*!EZU#p&FtLc6>7YvSnDp@Vdgs2?< z0bX~w&dYqcn3s#<=2fKiXDw7bMHD;qzxc}parnBaysHimUkX837owJdK^}DwT6GJt zySo#ewUu%6EUSE^Jj<>|jEI&Mc)oai6jt^cvsu89yywehRurpz@afw#00030|GZdh zZ=*OD{@nZu1eK=IOt=VC5PWGcp{zDQI8w3`y{fDbGD*mdh^3k7uYZqCNJ+QdnfE$U z$1%3g&Chv!?9~s!gw8}v6<@toq)_tH+utRnXUO?{spmaetCXP^DAs78Sdyw^EByfe`Of{{cAV0lL5@P6zK0xO`NB&yJJ46y^z1R^~xRT5Ik3)CKnMn`le3W&av zs*-;jrjm54$iMe;-I(XvvR8Ss5{!n*K(dsOrqV!)QhG>luJs%L7yYVX8T-*(A2^l> z!HJ)mfh=YYZc13gBymZt_17-CO6+mn*03_XfetvY&J!2tbzx zbYvMGIIJIoKCl9>ts{a7=w%ua8mgE5pEw=xMJ1I~1f&CFZUYUZ zkvFiQW7IYU@xb$}SKKrEQ_!QZBx-x##0e;>pDLkr>)+xI^_1V`d2Z;ve5omw>?y&} zBbzuRsuFL~`|Y*v<$t96xL7@Z5`f&t=_c9g{aalMJvxkNd^7yyKRN(EM!y9F(P!nW z&f*Bq!l#tP_xfhL(2Jc!Z^L2iMK?YjKEfvlH*Q3N-@xjCv3On`1p=SA%}=`UwWx~X zZ{*93{PH*d#?wjGKVpuIbd@}EN~(Elq>lor$*WNtZzGIZsrx~6SZzfDiPL8}Hu4W; zKrvO+3H6~bCXDAs5khbh4;XHjtiJ`__pA+Jd*r~9>%sk{WFwU@9CBTVWiKSrMoOtF zlay}Nfas9pKO^ttPNa_@jyz1}O)8}-F#@V?a{@Y>;pF*6Ch{fqV$%3?wI}CqmwrT3 zG1$J3HU=f=s_)S<3=R=&iRk!j9|G=sguKFdE8G&5`+67#xMtY$D}8_mw81d& zPqshjDk(Q$f6Ma{R0I^1up#Ul+K{3RIh$vEw&gssCmu)yXWHORB56V(BAcLhY%jdOFJ{rqYTGo+dvK@>GpmDyF~%qhoKh_Z>ZmS zpN z3tz@W!l32w&7S11csXO|EO$9()*&8bCL8SU4Q9SO@SS5uUSbZ64J?c57WOr#30rt7 zzE*`)!0j-k-c1zK$Dz+-_^1;#BxTgX%H>R8IBL}oBOm!{bF|WX+gx5=`1(S5Ln1l&X8$!GE;*!Ka!5|7xnNk&cG_iJsOYLV_M04N=ZbutN7-eJ=YZSJfp)IQ?sX2l zj-9Ua?R1@Q?L5l(*absIXu^!H#3Pu;MC+S{z}Hk@vUt)LZPx`?5H&MlHkb@Y$>=(V#VPQ;6$O)XbKB-O z`nrj5S_!m^q&B;fsf}PZMF5_8_-d>gUGq#1SP!9%1ys1%h5L*<1*X8iy})jU*i5>n zK)*h}m?mIRyr}JZ%WY`e!g0A>JM#rOa*+tnUHSrF`?w_OGu^w<^*+{dT8Op&YmDU6 z`mB4y!J^hp5^?qC>sZa-+!qIU?Q-Gq>Y47u0&s=4a{lDDolHUKS8Iyo{UoyWP9-*L zv{P2+L`#l#lK=Xx$q!b`xTC9cC~tgWd40~y%QyL*Z_Qbk3$Ok*XJK2Xkk#D4_Mor5 z;-h`8io!MS+C1|9Sk;VOVeXvw>}(&mZD%~y8P`5HbwJav-t?Q=fz>FiPuB^PXZ-i? zRQZ4VPQ~Yycv~V^EV27B(=UO3%Py`YyeaXUxZlT_Yz*gjJTGX~@E!LXzC%Zaont;q^)V!|=#(Vl17O~1G*c-w_!0S~Mk<0QO zZ?o#&X4pr(BGsPf^7y~0!JouhpIGEr8wad5mUAql?QC4P^LuVb7cs)*B!b!f$R0M< z_G`{6XKe4$zq4O6tZ2DBERd>?;Bx{RXO*oFn7l;2!C=}M?A9s>dt2shW@q+!TyA7^ zk?xnVqlfWX9I9TJ0mb zSpPA->?{lzw}&D1rAP|4hmb(18Z8XWnUQm}wL7*2WZ<;`-4puyZa%rfsc_aGzhkjC zjC%i3qEWZ**e+J|M;+ zkj_I2561a*LzX|=>@DN`4#M9#BH(Xi{CD~qi=4%R@wdGH%+8~oQBnTp7J~&%|J*ij zBK7=?^X%UKEH{4ebsHE?Sbc%}!OylP4vbeEYH&Nx9L@P`pWB~Zxz5G*de~Kb&AF~I z{?6ua8uxPun+eBsyNC^xExXp+gpr?I=3zz(N#c|Wn4WBJ?qVl(e|*T8e2(fQzXgbx1uCjbBd|NlxWNzKWz=5lZa z00030|9ntXpAi^jIfY`yYY06=r%3}&P02n5)N#@#=*$ruwu3U zeY>5IK+f#)Vs+J5_ZznYZoT=y9t^&Cwmq=#03xVbDKf@0VP`o;PUdA$5hu@Mr!r_D zyHns}GVOZ|f~g^abUF5?V-|pCfSh(?S&i9((*WkpyT6O_1*04ooy)+O66oG61nxkl zR&^J(EcmOl8%H$n#QRQO)&Hm>N@AxIE`7vEELZJOXW~>V*_n`-b|O;IPDsH8!V9cHCivJI*en(Df89$TA&Qw68c4E}R8Mz^rROg3M}S=TWuaZ6r;> zy7J3XYP5dQkDLgd9OAL3?cV?q5sFk)Iep9L27mcJw!@e0!3D!>@=x$a>ikr(k5kf6n#^@*U;h z`+~{+8865U3dUQUR{b7W{YG$dZ9NcVVi_Wxea)e+lo~fak&>ei-M+GQDDIQ_EzD0^WMNyUpp+yZCygR z4T@U%!n>?;u*s{CGz6=xx5b6r^ZfZk&V7}0e=Fx+$$goBY2>_eTZ9mn#a88mSI~7L zhLzxZ2|H?Ox?u(TT!5%OEJc}+%)vmYcx0DM1x31WkP*4}dSE~A149VNy%Ot^B*H<= zR!Xl>GazwF1yX0RXOh?+^o-}f>BXkr0tl5;bd++rV0$2*9rT_J*oz#6S0`mDkf@$R zTg555Qz9uv;V@iCZ%^Ra?x|W-x)!}hHR*$%?XA481MN@Z;V6TD4rm5(p7wSlNI=(G zPFOr?VU&Ca;SmRoWHbx;ur#*GY!VIgaDip8O>|z9Wr6olo0ea$U6q^a7H;SBL2uA| z9O#^WC96R!^9e>%*38SLy%N@i?m31NiE(QJ(RVV5bIEWTsd*wZ$M{z~B)MWw0~Q&# z72H10b)g=gJx}eeudDa?FZDiv`LnFc@{{g&Y-r5N;Wn9IzR-PVl(TRf2?uLXPICs`wUfKxFjqdwP|IBiuVkq2qU8XVHY*oV! zUHdn*)jLh+LhI7>S#7+|%`n^=g*$tbzv?rMSF^Jc!|T2-jai|@^!yl`S+dl#@(JU$ zjhR^u`NAC>MV@5^L!%tyoPzPDB1^JdTGm0r~Z<*t&1gC!7l0TOvmcxz3RBc#j6jTt#!m7ycFXxl>}Nbi{kVHr)|CEq`^^Jt#mm#+oBU8+1b`5 zuD?PaPn$Sxed*uuec)5gPv2>aNkikTg(_#Dc7Z%{XrIg<=KUtbO`V|bC(8x<_2Oo4 zcak4}w9S+1>H7rhO^M+airP~DI~th2mHJ+o$M%oS$qegzOu`N7TVknudWETzP@T$C zdueXKvYr~+v8>T;n@Qm=+h4%4wO=8J61RyD$=cR?Uf&5kj`Z7me;2iWKYzU4E52R0 zxb~CgCTo3qCKB}5uQ!u7yJUmr!5o#av6`=cwym&uFgGJ8O-p}$^}W=4=DB|IS#EXK znOMGC9!6&1TkrO24E62;qxWIwA*>Ie_SU8T&$QKVXe$1r-es8yO&)dajxIKPFo5iA z(>>b1p{=fHN8dC@^%f7Xe6X~hp#Jc=jmxBd!NMw4sSaggZGOGmTh`dd);(;9(4O4dy+PEafrE@}HF z{(L!wK3Ivh4jVhm;-olhAIu0RR63 M03e-%&HO6>0Ou#vPyhe` literal 0 HcmV?d00001 -- 2.43.0