From f76d47058fd0a718a287fff6c7398ef312c592a4 Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Fri, 22 Apr 2022 13:37:29 +0200 Subject: [PATCH] ping/pong added to RJ again. LVCMOS25 sucks. --- cts/trb3sc_cts.vhd | 25 +++++++++++++++++++++++-- 1 file changed, 23 insertions(+), 2 deletions(-) diff --git a/cts/trb3sc_cts.vhd b/cts/trb3sc_cts.vhd index c28c8aa..84e894d 100644 --- a/cts/trb3sc_cts.vhd +++ b/cts/trb3sc_cts.vhd @@ -300,6 +300,8 @@ architecture trb3sc_arch of trb3sc_cts is signal cal_phase_q : std_logic; + signal rj_io_q : std_logic_vector(1 downto 0); + begin THE_TIME_COUNTER_PROC: process( clk_full_osc ) @@ -712,6 +714,25 @@ HDR_IO(4) <= rx_dlm_q; HDR_IO(6) <= start_ping_q; HDR_IO(8) <= start_pong_q; HDR_IO(10) <= '0'; + + THE_PING_OR_LVDS: OFS1P3DX + port map( + SP => '1', + CD => '0', + SCLK => master_clk_i, + D => ping_i, + Q => rj_io_q(0) + ); + + THE_PONG_OR_LVDS: OFS1P3DX + port map( + SP => '1', + CD => '0', + SCLK => pong_clk_i, + D => pong_i, + Q => rj_io_q(1) + ); + -------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------- @@ -1213,8 +1234,8 @@ end generate; REFOUT <= (others => cts_trigger_out); end generate; - RJ_IO(0) <= '0'; --tx_dlm_i; --cts_trigger_out; - RJ_IO(1) <= '0'; --rx_dlm_i; + RJ_IO(0) <= rj_io_q(0); --tx_dlm_i; --cts_trigger_out; + RJ_IO(1) <= rj_io_q(1); --rx_dlm_i; --------------------------------------------------------------------------- -- LED --------------------------------------------------------------------------- -- 2.43.0