From f810b811031c454755a3e7c04ca957aef51717bd Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Tue, 18 Jan 2022 14:52:52 +0100 Subject: [PATCH] RST komma distribution implemented --- media_interfaces/med_ecp3_sfp_sync_all_RS.vhd | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/media_interfaces/med_ecp3_sfp_sync_all_RS.vhd b/media_interfaces/med_ecp3_sfp_sync_all_RS.vhd index 595da83..cab72fb 100644 --- a/media_interfaces/med_ecp3_sfp_sync_all_RS.vhd +++ b/media_interfaces/med_ecp3_sfp_sync_all_RS.vhd @@ -118,7 +118,6 @@ architecture med_ecp3_sfp_sync_all_RS_arch of med_ecp3_sfp_sync_all_RS is signal powerup_ch : std_logic_vector(3 downto 0); signal tx_ref_clk_i : std_logic; - signal tx_rst_word_i : std_logic_vector(4*8-1 downto 0); signal tx_rst_i : std_logic_vector(3 downto 0); signal rx_rst_word_i : std_logic_vector(4*8-1 downto 0); @@ -463,7 +462,7 @@ gen_control : for i in 0 to 3 generate TX_DLM_IN => TX_DLM_IN, TX_DLM_WORD_IN => TX_DLM_WORD_IN, TX_RST_IN => tx_rst_i(i), - TX_RST_WORD_IN => tx_rst_word_i(i*8+7 downto i*8), + TX_RST_WORD_IN => TX_RST_WORD_IN, RX_DLM_OUT => RX_DLM_OUT(i), RX_DLM_WORD_OUT => RX_DLM_WORD_OUT(i*8+7 downto i*8), RX_RST_OUT => rx_rst_i(i), -- 2.43.0