From f82899d95be52dc3be4f06f80d48a575f5782bd4 Mon Sep 17 00:00:00 2001 From: hadaq Date: Thu, 25 Oct 2012 12:05:54 +0000 Subject: [PATCH] cbmrich project is updated for the epoch counter - cu --- cbmrich/cbmrich.prj | 43 +- cbmrich/cbmrich.vhd | 271 +++-- cbmrich/cbmrich_constraints.lpf | 1669 ++++++++++++++++++------------- 3 files changed, 1147 insertions(+), 836 deletions(-) diff --git a/cbmrich/cbmrich.prj b/cbmrich/cbmrich.prj index 31f2bce..d78e817 100644 --- a/cbmrich/cbmrich.prj +++ b/cbmrich/cbmrich.prj @@ -138,15 +138,38 @@ add_file -vhdl -lib work "../../trbnet/media_interfaces/trb_net16_med_ecp3_sfp.v add_file -vhdl -lib "work" "../base/cores/pll_in200_out100.vhd" + add_file -vhdl -lib "work" "cbmrich.vhd" -add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/Adder_304.vhd" -add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/bit_sync.vhd" -add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/Channel.vhd" -add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/Encoder_304_Bit.vhd" -add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/FIFO_32x32_OutReg.vhd" -add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/Reference_channel.vhd" -add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/ROM_encoder_3.vhd" -add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/ROM_FIFO.vhd" -add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/TDC.vhd" -add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/up_counter.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.2/source/Adder_304.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.2/source/bit_sync.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.2/source/Channel.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.2/source/Encoder_304_Bit.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.2/source/FIFO_32x32_OutReg.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.2/source/Reference_channel.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.2/source/ROM_encoder_3.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.2/source/ROM_FIFO.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.2/source/TDC.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.2/source/up_counter.vhd" + +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/Adder_304.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/bit_sync.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/Channel.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/Encoder_304_Bit.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/FIFO_32x32_OutReg.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/Reference_channel.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/ROM_encoder_3.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/ROM_FIFO.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/TDC.vhd" +#add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.3/up_counter.vhd" + +add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.4/Adder_304.vhd" +add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.4/bit_sync.vhd" +add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.4/Channel.vhd" +add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.4/Encoder_304_Bit.vhd" +add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.4/FIFO_32x32_OutReg.vhd" +add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.4/Reference_channel.vhd" +add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.4/ROM_encoder_3.vhd" +add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.4/ROM_FIFO.vhd" +add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.4/TDC.vhd" +add_file -vhdl -lib "work" "../tdc_releases/tdc_v0.4/up_counter.vhd" diff --git a/cbmrich/cbmrich.vhd b/cbmrich/cbmrich.vhd index cba5cc8..3ca4cf9 100644 --- a/cbmrich/cbmrich.vhd +++ b/cbmrich/cbmrich.vhd @@ -13,54 +13,54 @@ use work.version.all; entity cbmrich is port( --Clocks - CLK_GPLL_LEFT : in std_logic; --Clock Manager 6 + CLK_GPLL_LEFT : in std_logic; --Clock Manager 6 CLK_GPLL_RIGHT : in std_logic; --Clock Manager 4 <-- MAIN CLOCK for FPGA - CLK_PCLK_LEFT : in std_logic; --Clock Manager 3 - CLK_PCLK_RIGHT : in std_logic; --Clock Manager 1 + CLK_PCLK_LEFT : in std_logic; --Clock Manager 3 + CLK_PCLK_RIGHT : in std_logic; --Clock Manager 1 --CLK_PCLK_RIGHT is the only clock with external termination !? - CLK_EXTERNAL : in std_logic; --Clock Manager 9 + CLK_EXTERNAL : in std_logic; --Clock Manager 9 + - -- --Trigger -- TRIGGER_LEFT : in std_logic; --left side trigger input from fan-out -- TRIGGER_RIGHT : in std_logic; --right side trigger input from fan-out --Serdes - CLK_SERDES_INT_RIGHT : in std_logic; --Clock Manager 0, not used - SERDES_TX : out std_logic_vector(1 downto 0); - SERDES_RX : in std_logic_vector(1 downto 0); - SFP_TXDIS : out std_logic; - SFP_MOD : inout std_logic_vector(2 downto 0); - SFP_LOS : in std_logic; + CLK_SERDES_INT_RIGHT : in std_logic; --Clock Manager 0, not used + SERDES_TX : out std_logic_vector(1 downto 0); + SERDES_RX : in std_logic_vector(1 downto 0); + SFP_TXDIS : out std_logic; + SFP_MOD : inout std_logic_vector(2 downto 0); + SFP_LOS : in std_logic; --Connections - SPARE_LINE : inout std_logic_vector( 2 downto 0); --LVDS, ext. termination, 1 used for trigger - LVDS : inout std_logic_vector( 2 downto 1); + SPARE_LINE : inout std_logic_vector(2 downto 0); --LVDS, ext. termination, 1 used for trigger + LVDS : inout std_logic_vector(2 downto 1); INPUT : in std_logic_vector(64 downto 1); --Flash ROM & Reboot - FLASH_CLK : out std_logic; - FLASH_CS : out std_logic; - FLASH_DIN : out std_logic; - FLASH_DOUT : in std_logic; - PROGRAMN : out std_logic; --reboot FPGA + FLASH_CLK : out std_logic; + FLASH_CS : out std_logic; + FLASH_DIN : out std_logic; + FLASH_DOUT : in std_logic; + PROGRAMN : out std_logic; --reboot FPGA --DAC - DAC_SDO : in std_logic; - DAC_SDI : out std_logic; - DAC_SCK : out std_logic; - DAC_CS : out std_logic; + DAC_SDO : in std_logic; + DAC_SDI : out std_logic; + DAC_SCK : out std_logic; + DAC_CS : out std_logic; --Misc - TEMPSENS : inout std_logic; --Temperature Sensor + TEMPSENS : inout std_logic; --Temperature Sensor LED_GREEN : out std_logic; LED_ORANGE : out std_logic; LED_RED : out std_logic; LED_YELLOW : out std_logic; - LED_CLK_GREEN : out std_logic; - LED_CLK_RED : out std_logic; + LED_CLK_GREEN : out std_logic; + LED_CLK_RED : out std_logic; LED_SFP_GREEN : out std_logic; LED_SFP_RED : out std_logic; - + CLK_MNGR_USER : inout std_logic_vector(3 downto 0); --Test Connectors @@ -130,22 +130,22 @@ architecture cbmrich_arch of cbmrich is signal med_read_in : std_logic; --LVL1 channel - signal timing_trg_received_i : std_logic; - signal trg_data_valid_i : std_logic; - signal trg_timing_valid_i : std_logic; - signal trg_notiming_valid_i : std_logic; - signal trg_invalid_i : std_logic; - signal trg_type_i : std_logic_vector(3 downto 0); - signal trg_number_i : std_logic_vector(15 downto 0); - signal trg_code_i : std_logic_vector(7 downto 0); - signal trg_information_i : std_logic_vector(23 downto 0); - signal trg_int_number_i : std_logic_vector(15 downto 0); - signal trg_multiple_trg_i : std_logic; - signal trg_timeout_detected_i: std_logic; - signal trg_spurious_trg_i : std_logic; - signal trg_missing_tmg_trg_i : std_logic; - signal trg_spike_detected_i : std_logic; - + signal timing_trg_received_i : std_logic; + signal trg_data_valid_i : std_logic; + signal trg_timing_valid_i : std_logic; + signal trg_notiming_valid_i : std_logic; + signal trg_invalid_i : std_logic; + signal trg_type_i : std_logic_vector(3 downto 0); + signal trg_number_i : std_logic_vector(15 downto 0); + signal trg_code_i : std_logic_vector(7 downto 0); + signal trg_information_i : std_logic_vector(23 downto 0); + signal trg_int_number_i : std_logic_vector(15 downto 0); + signal trg_multiple_trg_i : std_logic; + signal trg_timeout_detected_i : std_logic; + signal trg_spurious_trg_i : std_logic; + signal trg_missing_tmg_trg_i : std_logic; + signal trg_spike_detected_i : std_logic; + --Data channel signal fee_trg_release_i : std_logic; signal fee_trg_statusbits_i : std_logic_vector(31 downto 0); @@ -198,14 +198,22 @@ architecture cbmrich_arch of cbmrich is signal spimem_data_out : std_logic_vector(31 downto 0); signal spimem_ack : std_logic; - signal dac_read_en : std_logic; - signal dac_write_en : std_logic; - signal dac_data_in : std_logic_vector(31 downto 0); - signal dac_addr : std_logic_vector(4 downto 0); - signal dac_data_out : std_logic_vector(31 downto 0); - signal dac_ack : std_logic; - signal dac_busy : std_logic; - + signal dac_read_en : std_logic; + signal dac_write_en : std_logic; + signal dac_data_in : std_logic_vector(31 downto 0); + signal dac_addr : std_logic_vector(4 downto 0); + signal dac_data_out : std_logic_vector(31 downto 0); + signal dac_ack : std_logic; + signal dac_busy : std_logic; + + signal hitreg_read_en : std_logic; + signal hitreg_write_en : std_logic; + signal hitreg_data_in : std_logic_vector(31 downto 0); + signal hitreg_addr : std_logic_vector(6 downto 0); + signal hitreg_data_out : std_logic_vector(31 downto 0); + signal hitreg_data_ready : std_logic; + signal hitreg_invalid : std_logic; + signal spi_bram_addr : std_logic_vector(7 downto 0); signal spi_bram_wr_d : std_logic_vector(7 downto 0); signal spi_bram_rd_d : std_logic_vector(7 downto 0); @@ -218,42 +226,6 @@ architecture cbmrich_arch of cbmrich is --TDC signal hit_in_i : std_logic_vector(64 downto 1); - --TDC component - component TDC - generic ( - CHANNEL_NUMBER : integer range 1 to 65; - STATUS_REG_NR : integer range 0 to 6; - CONTROL_REG_NR : integer range 0 to 6); - port ( - RESET : in std_logic; - CLK_TDC : in std_logic; - CLK_READOUT : in std_logic; - REFERENCE_TIME : in std_logic; - HIT_IN : in std_logic_vector(CHANNEL_NUMBER-1 downto 1); - TRG_WIN_PRE : in std_logic_vector(10 downto 0); - TRG_WIN_POST : in std_logic_vector(10 downto 0); - TRG_DATA_VALID_IN : in std_logic; - VALID_TIMING_TRG_IN : in std_logic; - VALID_NOTIMING_TRG_IN : in std_logic; - INVALID_TRG_IN : in std_logic; - TMGTRG_TIMEOUT_IN : in std_logic; - SPIKE_DETECTED_IN : in std_logic; - MULTI_TMG_TRG_IN : in std_logic; - SPURIOUS_TRG_IN : in std_logic; - TRG_NUMBER_IN : in std_logic_vector(15 downto 0); - TRG_CODE_IN : in std_logic_vector(7 downto 0); - TRG_INFORMATION_IN : in std_logic_vector(23 downto 0); - TRG_TYPE_IN : in std_logic_vector(3 downto 0); - TRG_RELEASE_OUT : out std_logic; - TRG_STATUSBIT_OUT : out std_logic_vector(31 downto 0); - DATA_OUT : out std_logic_vector(31 downto 0); - DATA_WRITE_OUT : out std_logic; - DATA_FINISHED_OUT : out std_logic; - TDC_DEBUG : out std_logic_vector(32*2**STATUS_REG_NR-1 downto 0); - LOGIC_ANALYSER_OUT : out std_logic_vector(15 downto 0); - CONTROL_REG_IN : in std_logic_vector(32*2**CONTROL_REG_NR-1 downto 0)); - end component; - begin --------------------------------------------------------------------------- -- Reset Generation @@ -353,7 +325,7 @@ begin TIMING_TRIGGER_RAW => c_YES, --Configure data handler DATA_INTERFACE_NUMBER => 1, - DATA_BUFFER_DEPTH => 13, --13 + DATA_BUFFER_DEPTH => 13, --13 DATA_BUFFER_WIDTH => 32, DATA_BUFFER_FULL_THRESH => 2**13-800, TRG_RELEASE_AFTER_DATA => c_YES, @@ -390,12 +362,12 @@ begin LVL1_INT_TRG_NUMBER_OUT => trg_int_number_i, --Information about trigger handler errors - TRG_MULTIPLE_TRG_OUT => trg_multiple_trg_i, - TRG_TIMEOUT_DETECTED_OUT => trg_timeout_detected_i, - TRG_SPURIOUS_TRG_OUT => trg_spurious_trg_i, - TRG_MISSING_TMG_TRG_OUT => trg_missing_tmg_trg_i, - TRG_SPIKE_DETECTED_OUT => trg_spike_detected_i, - + TRG_MULTIPLE_TRG_OUT => trg_multiple_trg_i, + TRG_TIMEOUT_DETECTED_OUT => trg_timeout_detected_i, + TRG_SPURIOUS_TRG_OUT => trg_spurious_trg_i, + TRG_MISSING_TMG_TRG_OUT => trg_missing_tmg_trg_i, + TRG_SPIKE_DETECTED_OUT => trg_spike_detected_i, + --Response from FEE FEE_TRG_RELEASE_IN(0) => fee_trg_release_i, FEE_TRG_STATUSBITS_IN => fee_trg_statusbits_i, @@ -405,15 +377,15 @@ begin FEE_DATA_ALMOST_FULL_OUT(0) => fee_almost_full_i, -- Slow Control Data Port - REGIO_COMMON_STAT_REG_IN => common_stat_reg, --0x00 - REGIO_COMMON_CTRL_REG_OUT => common_ctrl_reg, --0x20 - REGIO_COMMON_STAT_STROBE_OUT => common_stat_reg_strobe, - REGIO_COMMON_CTRL_STROBE_OUT => common_ctrl_reg_strobe, - REGIO_STAT_REG_IN => stat_reg, --start 0x80 - REGIO_CTRL_REG_OUT => ctrl_reg, --start 0xc0 - REGIO_STAT_STROBE_OUT => stat_reg_strobe, - REGIO_CTRL_STROBE_OUT => ctrl_reg_strobe, - REGIO_VAR_ENDPOINT_ID => (others => '0'), + REGIO_COMMON_STAT_REG_IN => common_stat_reg, --0x00 + REGIO_COMMON_CTRL_REG_OUT => common_ctrl_reg, --0x20 + REGIO_COMMON_STAT_STROBE_OUT => common_stat_reg_strobe, + REGIO_COMMON_CTRL_STROBE_OUT => common_ctrl_reg_strobe, + REGIO_STAT_REG_IN => stat_reg, --start 0x80 + REGIO_CTRL_REG_OUT => ctrl_reg, --start 0xc0 + REGIO_STAT_STROBE_OUT => stat_reg_strobe, + REGIO_CTRL_STROBE_OUT => ctrl_reg_strobe, + REGIO_VAR_ENDPOINT_ID => (others => '0'), BUS_ADDR_OUT => regio_addr_out, BUS_READ_ENABLE_OUT => regio_read_enable_out, @@ -449,16 +421,16 @@ begin --------------------------------------------------------------------------- -- I/O --------------------------------------------------------------------------- -timing_trg_received_i <= SPARE_LINE(0); + timing_trg_received_i <= SPARE_LINE(0); --------------------------------------------------------------------------- -- Bus Handler --------------------------------------------------------------------------- THE_BUS_HANDLER : trb_net16_regio_bus_handler generic map( - PORT_NUMBER => 3, - PORT_ADDRESSES => (0 => x"d000", 1 => x"d100", 2 => x"d400", others => x"0000"), - PORT_ADDR_MASK => (0 => 1, 1 => 6, 2 => 5, others => 0) + PORT_NUMBER => 4, + PORT_ADDRESSES => (0 => x"d000", 1 => x"d100", 2 => x"d400", 3 => x"c000", others => x"0000"), + PORT_ADDR_MASK => (0 => 1, 1 => 6, 2 => 5, 3 => 7, others => 0) ) port map( CLK => clk_100_i, @@ -511,6 +483,19 @@ timing_trg_received_i <= SPARE_LINE(0); BUS_WRITE_ACK_IN(2) => dac_ack, BUS_NO_MORE_DATA_IN(2) => dac_busy, BUS_UNKNOWN_ADDR_IN(2) => '0', + --HitRegisters + BUS_READ_ENABLE_OUT(3) => hitreg_read_en, + BUS_WRITE_ENABLE_OUT(3) => hitreg_write_en, + BUS_DATA_OUT(3*32+31 downto 3*32) => open, + BUS_ADDR_OUT(3*16+6 downto 3*16) => hitreg_addr, + BUS_ADDR_OUT(3*16+15 downto 3*16+7) => open, + BUS_TIMEOUT_OUT(3) => open, + BUS_DATA_IN(3*32+31 downto 3*32) => hitreg_data_out, + BUS_DATAREADY_IN(3) => hitreg_data_ready, + BUS_WRITE_ACK_IN(3) => '0', + BUS_NO_MORE_DATA_IN(3) => '0', + BUS_UNKNOWN_ADDR_IN(3) => hitreg_invalid, + STAT_DEBUG => open ); @@ -586,7 +571,7 @@ timing_trg_received_i <= SPARE_LINE(0); SPI_SDO_OUT => DAC_SDI, SPI_SCK_OUT => DAC_SCK ); - + --------------------------------------------------------------------------- -- Reboot FPGA --------------------------------------------------------------------------- @@ -603,20 +588,22 @@ timing_trg_received_i <= SPARE_LINE(0); --------------------------------------------------------------------------- -- LED --------------------------------------------------------------------------- - LED_GREEN <= not time_counter(24); - LED_ORANGE <= not time_counter(25); - LED_RED <= not time_counter(26); - LED_YELLOW <= not time_counter(27); - LED_SFP_GREEN <= not med_stat_op(9); - LED_SFP_RED <= not (med_stat_op(10) or med_stat_op(11)); + LED_GREEN <= not time_counter(24); + LED_ORANGE <= not time_counter(25); + LED_RED <= not time_counter(26); + LED_YELLOW <= not time_counter(27); + LED_SFP_GREEN <= not med_stat_op(9); + LED_SFP_RED <= not (med_stat_op(10) or med_stat_op(11)); --------------------------------------------------------------------------- -- Test Connector --------------------------------------------------------------------------- --- TEST_LINE(15 downto 0) <= (others => '0'); + TEST_LINE(15 downto 1) <= INPUT(15 downto 1); + TEST_LINE(0) <= SPARE_LINE(0); - LVDS <= INPUT(2 downto 1); + LVDS(1) <= or_all(INPUT); + LVDS(2) <= SPARE_LINE(0); --------------------------------------------------------------------------- -- Test Circuits @@ -630,52 +617,60 @@ timing_trg_received_i <= SPARE_LINE(0); ------------------------------------------------------------------------------- -- TDC ------------------------------------------------------------------------------- - THE_TDC : TDC + THE_TDC : TDC generic map ( CHANNEL_NUMBER => 65, -- Number of TDC channels STATUS_REG_NR => REGIO_NUM_STAT_REGS, CONTROL_REG_NR => REGIO_NUM_CTRL_REGS) port map ( RESET => reset_i, - CLK_TDC => CLK_PCLK_LEFT, -- Clock used for the time measurement - CLK_READOUT => clk_100_i, -- Clock for the readout - REFERENCE_TIME => timing_trg_received_i, -- Reference time input + CLK_TDC => CLK_PCLK_LEFT, -- Clock used for the time measurement + CLK_READOUT => clk_100_i, -- Clock for the readout + REFERENCE_TIME => timing_trg_received_i, -- Reference time input HIT_IN => hit_in_i(64 downto 1), -- Channel start signals - TRG_WIN_PRE => ctrl_reg(42 downto 32), -- Pre-Trigger window width - TRG_WIN_POST => ctrl_reg(58 downto 48), -- Post-Trigger window width + TRG_WIN_PRE => ctrl_reg(42 downto 32), -- Pre-Trigger window width + TRG_WIN_POST => ctrl_reg(58 downto 48), -- Post-Trigger window width -- -- Trigger signals from handler - TRG_DATA_VALID_IN => trg_data_valid_i, -- trig data valid signal from trbnet - VALID_TIMING_TRG_IN => trg_timing_valid_i, -- valid timing trigger signal from trbnet - VALID_NOTIMING_TRG_IN => trg_notiming_valid_i, -- valid notiming signal from trbnet - INVALID_TRG_IN => trg_invalid_i, -- invalid trigger signal from trbnet - TMGTRG_TIMEOUT_IN => trg_timeout_detected_i, -- timing trigger timeout signal from trbnet + TRG_DATA_VALID_IN => trg_data_valid_i, -- trig data valid signal from trbnet + VALID_TIMING_TRG_IN => trg_timing_valid_i, -- valid timing trigger signal from trbnet + VALID_NOTIMING_TRG_IN => trg_notiming_valid_i, -- valid notiming signal from trbnet + INVALID_TRG_IN => trg_invalid_i, -- invalid trigger signal from trbnet + TMGTRG_TIMEOUT_IN => trg_timeout_detected_i, -- timing trigger timeout signal from trbnet SPIKE_DETECTED_IN => trg_spike_detected_i, MULTI_TMG_TRG_IN => trg_multiple_trg_i, SPURIOUS_TRG_IN => trg_spurious_trg_i, -- - TRG_NUMBER_IN => trg_number_i, -- LVL1 trigger information package - TRG_CODE_IN => trg_code_i, -- - TRG_INFORMATION_IN => trg_information_i, -- - TRG_TYPE_IN => trg_type_i, -- LVL1 trigger information package + TRG_NUMBER_IN => trg_number_i, -- LVL1 trigger information package + TRG_CODE_IN => trg_code_i, -- + TRG_INFORMATION_IN => trg_information_i, -- + TRG_TYPE_IN => trg_type_i, -- LVL1 trigger information package -- --Response to handler TRG_RELEASE_OUT => fee_trg_release_i, -- trigger release signal - TRG_STATUSBIT_OUT => fee_trg_statusbits_i, -- status information of the tdc - DATA_OUT => fee_data_i, -- tdc data - DATA_WRITE_OUT => fee_data_write_i, -- data valid signal - DATA_FINISHED_OUT => fee_data_finished_i, -- readout finished signal + TRG_STATUSBIT_OUT => fee_trg_statusbits_i,-- status information of the tdc + DATA_OUT => fee_data_i, -- tdc data + DATA_WRITE_OUT => fee_data_write_i, -- data valid signal + DATA_FINISHED_OUT => fee_data_finished_i, -- readout finished signal + -- + --Hit Counter Bus + HCB_READ_EN_IN => hitreg_read_en, -- bus read en strobe + HCB_WRITE_EN_IN => hitreg_write_en, -- bus write en strobe + HCB_ADDR_IN => hitreg_addr, -- bus address + HCB_DATA_OUT => hitreg_data_out, -- bus data + HCB_DATAREADY_OUT => hitreg_data_ready, -- bus data ready strobe + HCB_UNKNOWN_ADDR_OUT => hitreg_invalid, -- bus invalid addr -- TDC_DEBUG => stat_reg, - LOGIC_ANALYSER_OUT => TEST_LINE, + LOGIC_ANALYSER_OUT => open, --TEST_LINE, CONTROL_REG_IN => ctrl_reg); - hit_in_i <= INPUT; - + hit_in_i <= INPUT; + -- to detect rising & falling edges --hit_in_i(1) <= not timing_trg_received_i; - + --Gen_Hit_In_Signals : for i in 1 to 15 generate -- hit_in_i(i*2) <= INPUT(i-1); -- hit_in_i(i*2+1) <= not INPUT(i-1); diff --git a/cbmrich/cbmrich_constraints.lpf b/cbmrich/cbmrich_constraints.lpf index abe12ba..2e52766 100644 --- a/cbmrich/cbmrich_constraints.lpf +++ b/cbmrich/cbmrich_constraints.lpf @@ -1,4 +1,3 @@ - BLOCK RESETPATHS ; BLOCK ASYNCPATHS ; BLOCK RD_DURING_WR_PATHS ; @@ -7,7 +6,7 @@ BLOCK RD_DURING_WR_PATHS ; # Basic Settings ################################################################# - SYSCONFIG MCCLK_FREQ = 2.5; + SYSCONFIG MCCLK_FREQ = 20; FREQUENCY PORT CLK_PCLK_RIGHT 200 MHz; FREQUENCY PORT CLK_PCLK_LEFT 200 MHz; @@ -19,19 +18,14 @@ BLOCK RD_DURING_WR_PATHS ; # Reset Nets ################################################################# GSR_NET NET "GSR_N"; -#GSR_NET NET "THE_TDC/reset_tdc"; -#GSR_NET NET "reset_i"; - ################################################################# # Locate Serdes and media interfaces ################################################################# LOCATE COMP "THE_MEDIA_UPLINK/gen_serdes_0_200_ctc_THE_SERDES/PCSD_INST" SITE "PCSA" ; - -REGION "MEDIA_UPLINK" "R82C110D" 33 10; -REGION "REGION_SPI" "R11C164D" 10 18 DEVSIZE; -#REGION "REGION_IOBUF" "R10C43D" 88 86 DEVSIZE; +REGION "MEDIA_UPLINK" "R105C109D" 10 22; +REGION "REGION_SPI" "R2C109D" 15 22 DEVSIZE; LOCATE UGROUP "THE_SPI_MASTER/SPI_group" REGION "REGION_SPI" ; LOCATE UGROUP "THE_SPI_MEMORY/SPI_group" REGION "REGION_SPI" ; @@ -40,6 +34,32 @@ LOCATE UGROUP "THE_MEDIA_UPLINK/media_interface_group" REGION "MEDIA_UPLINK" ; MULTICYCLE TO CELL "THE_MEDIA_DOWNLINK/SCI_DATA_OUT*" 50 ns; MULTICYCLE TO CELL "THE_MEDIA_UPLINK/SCI_DATA_OUT*" 50 ns; +MULTICYCLE TO CELL "THE_RESET_HANDLER/final_reset*" 30 ns; + + +#Jan: Placement of TrbNet components (at least, most of them) +REGION "REGION_TRBNET" "R35C109D" 70 22 DEVSIZE; +#UGROUP "TrbNet" BBOX 77 27 +# BLKNAME THE_ENDPOINT +# BLKNAME THE_ENDPOINT/THE_ENDPOINT +#LOCATE UGROUP "TrbNet" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_BUS_HANDLER/Bus_handler_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_0_geniobuf_IOBUF/genINITOBUF2_gen_INITOBUF3_INITOBUF/OBUF_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_1_geniobuf_IOBUF/genINITOBUF2_gen_INITOBUF3_INITOBUF/OBUF_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_2_gentermbuf_termbuf/TRMBUF_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_IOBUF/genINITOBUF2_gen_INITOBUF3_INITOBUF/OBUF_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_INTERNAL_BUS_HANDLER/Bus_handler_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/MPLEX/MUX_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_gen_regio_regIO/the_addresses/HUBLOGIC_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_gen_regio_regIO/RegIO_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_gen_api_DAT_PASSIVE_API/API_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_1_geniobuf_gen_api_DAT_PASSIVE_API/API_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_IOBUF/genREPLYOBUF1_REPLYOBUF/OBUF_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_3_geniobuf_IOBUF/GEN_IBUF_THE_IBUF/IBUF_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_1_geniobuf_IOBUF/genREPLYOBUF1_REPLYOBUF/OBUF_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_1_geniobuf_IOBUF/GEN_IBUF_THE_IBUF/IBUF_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_0_geniobuf_IOBUF/genREPLYOBUF1_REPLYOBUF/OBUF_group" REGION "REGION_TRBNET"; +LOCATE UGROUP "THE_ENDPOINT/THE_ENDPOINT/genbuffers_0_geniobuf_IOBUF/GEN_IBUF_THE_IBUF/IBUF_group" REGION "REGION_TRBNET"; ################################################################# # TDC Constraints @@ -47,862 +67,1135 @@ MULTICYCLE TO CELL "THE_MEDIA_UPLINK/SCI_DATA_OUT*" 50 ns; ############################################################################## ## REGION DECLERATION ## ############################################################################## -REGION "Region_E&F_8" "R11C50D" 10 60 DEVSIZE; -REGION "Region_E&F_9" "R24C50D" 5 60 DEVSIZE; -REGION "Region_E&F_10" "R38C50D" 10 60 DEVSIZE; -REGION "Region_E&F_11" "R56C50D" 10 60 DEVSIZE; -REGION "Region_E&F_12" "R74C50D" 10 60 DEVSIZE; -REGION "Region_E&F_13" "R92C50D" 10 60 DEVSIZE; -REGION "Region_E&F_14" "R105C50D" 5 60 DEVSIZE; - -REGION "Region_E&F_1" "R11C120D" 10 44 DEVSIZE; -REGION "Region_E&F_2" "R24C120D" 5 60 DEVSIZE; -REGION "Region_E&F_3" "R38C120D" 10 60 DEVSIZE; -REGION "Region_E&F_4" "R56C120D" 10 60 DEVSIZE; -REGION "Region_E&F_5" "R74C120D" 10 60 DEVSIZE; -REGION "Region_E&F_6" "R92C120D" 10 60 DEVSIZE; -REGION "Region_E&F_7" "R105C120D" 5 60 DEVSIZE; +REGION "REGION_UR_CC" "R51C106D" 4 3 DEVSIZE; +REGION "REGION_LR_CC" "R85C106D" 3 3 DEVSIZE; +REGION "REGION_UL_CC" "R48C53D" 3 3 DEVSIZE; +REGION "REGION_LL_CC" "R90C53D" 3 3 DEVSIZE; + +REGION "Coarse_Cntr_1" "R26C109D" 8 22 DEVSIZE; +#REGION "Coarse_Cntr_2" "R74C131D" 10 6 DEVSIZE; +#REGION "Coarse_Cntr_3" "R92C131D" 10 6 DEVSIZE; +#REGION "Coarse_Cntr_4" "R38C50D" 10 6 DEVSIZE; +#REGION "Coarse_Cntr_5" "R74C50D" 10 7 DEVSIZE; +#REGION "Coarse_Cntr_6" "R92C50D" 10 6 DEVSIZE; + +#REGION "Epoch_Cntr_1" "R26C105D" 8 25 DEVSIZE; +#REGION "Epoch_Cntr_2" "R74C131D" 10 6 DEVSIZE; +#REGION "Epoch_Cntr_3" "R92C131D" 10 6 DEVSIZE; +#REGION "Epoch_Cntr_4" "R51C53D" 10 10 DEVSIZE; +#REGION "Epoch_Cntr_5" "R62C53D" 10 10 DEVSIZE; +#REGION "Epoch_Cntr_6" "R85C53D" 3 30 DEVSIZE; + + + + + +PROHIBIT SECONDARY NET "THE_TDC/The_Reference_Time/ff_array_en_i"; +PROHIBIT SECONDARY NET "THE_TDC/GEN_Channels_*_Channels/Channel_200_1/ff_array_en_i"; ############################################################################## ## REFERENCE CHANNEL PLACEMENT ## ############################################################################## UGROUP "Ref_Ch" BBOX 1 51 - BLKNAME THE_TDC/The_Reference_Time/FC; -LOCATE UGROUP "Ref_Ch" SITE "R8C125D" ; -UGROUP "hit_ref_ch" + BLKNAME THE_TDC/The_Reference_Time/Reference_Channel_200_1/FC; +LOCATE UGROUP "Ref_Ch" SITE "R8C131D" ; +UGROUP "ref_hit" BBOX 1 1 BLKNAME THE_TDC/The_Reference_Time/hit_buf_RNO; -LOCATE UGROUP "hit_ref_ch" SITE "R9C127D" ; +LOCATE UGROUP "ref_hit" SITE "R9C133D" ; +UGROUP "Ref_ff_en" BBOX 1 1 + BLKNAME THE_TDC/The_Reference_Time/Reference_Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "Ref_ff_en" SITE "R8C156D" ; ############################################################################## ## DELAY LINE and HIT BUFFER PLACEMENTS ## ############################################################################## UGROUP "FC_1" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_1_Channels/FC; -LOCATE UGROUP "FC_1" SITE "R10C125D" ; -UGROUP "hit_1" + BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_1" SITE "R10C131D" ; +UGROUP "hit_1" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_1_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_1" SITE "R11C127D" ; +LOCATE UGROUP "hit_1" SITE "R11C133D" ; +UGROUP "ff_en_1" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_1" SITE "R10C156D" ; # UGROUP "FC_2" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_2_Channels/FC; -LOCATE UGROUP "FC_2" SITE "R21C125D" ; -UGROUP "hit_2" + BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_2" SITE "R21C131D" ; +UGROUP "hit_2" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_2_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_2" SITE "R22C127D" ; +LOCATE UGROUP "hit_2" SITE "R22C133D" ; +UGROUP "ff_en_2" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_2" SITE "R21C156D" ; # UGROUP "FC_3" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_3_Channels/FC; -LOCATE UGROUP "FC_3" SITE "R23C125D" ; -UGROUP "hit_3" + BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_3" SITE "R23C131D" ; +UGROUP "hit_3" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_3_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_3" SITE "R24C127D" ; +LOCATE UGROUP "hit_3" SITE "R24C133D" ; +UGROUP "ff_en_3" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_3" SITE "R23C156D" ; # UGROUP "FC_4" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_4_Channels/FC; -LOCATE UGROUP "FC_4" SITE "R30C125D" ; -UGROUP "hit_4" + BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_4" SITE "R30C131D" ; +UGROUP "hit_4" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_4_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_4" SITE "R31C127D" ; +LOCATE UGROUP "hit_4" SITE "R31C133D" ; +UGROUP "ff_en_4" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_4" SITE "R30C156D" ; # UGROUP "FC_5" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_5_Channels/FC; -LOCATE UGROUP "FC_5" SITE "R32C125D" ; -UGROUP "hit_5" + BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_5" SITE "R32C131D" ; +UGROUP "hit_5" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_5_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_5" SITE "R33C127D" ; +LOCATE UGROUP "hit_5" SITE "R33C133D" ; +UGROUP "ff_en_5" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_5" SITE "R32C156D" ; # UGROUP "FC_6" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_6_Channels/FC; -LOCATE UGROUP "FC_6" SITE "R35C125D" ; -UGROUP "hit_6" + BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_6" SITE "R35C131D" ; +UGROUP "hit_6" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_6_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_6" SITE "R36C127D" ; +LOCATE UGROUP "hit_6" SITE "R36C133D" ; +UGROUP "ff_en_6" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_6" SITE "R35C156D" ; # UGROUP "FC_7" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_7_Channels/FC; -LOCATE UGROUP "FC_7" SITE "R37C125D" ; -UGROUP "hit_7" + BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_7" SITE "R37C131D" ; +UGROUP "hit_7" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_7_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_7" SITE "R38C127D" ; +LOCATE UGROUP "hit_7" SITE "R38C133D" ; +UGROUP "ff_en_7" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_7" SITE "R37C156D" ; # UGROUP "FC_8" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_8_Channels/FC; -LOCATE UGROUP "FC_8" SITE "R48C125D" ; -UGROUP "hit_8" + BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_8" SITE "R48C131D" ; +UGROUP "hit_8" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_8_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_8" SITE "R49C127D" ; +LOCATE UGROUP "hit_8" SITE "R49C133D" ; +UGROUP "ff_en_8" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_8" SITE "R48C156D" ; # UGROUP "FC_9" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_9_Channels/FC; -LOCATE UGROUP "FC_9" SITE "R50C125D" ; -UGROUP "hit_9" + BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_9" SITE "R50C131D" ; +UGROUP "hit_9" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_9_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_9" SITE "R51C127D" ; +LOCATE UGROUP "hit_9" SITE "R51C133D" ; +UGROUP "ff_en_9" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_9" SITE "R50C156D" ; # UGROUP "FC_10" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_10_Channels/FC; -LOCATE UGROUP "FC_10" SITE "R53C125D" ; -UGROUP "hit_10" + BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_10" SITE "R53C131D" ; +UGROUP "hit_10" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_10_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_10" SITE "R54C127D" ; +LOCATE UGROUP "hit_10" SITE "R54C133D" ; +UGROUP "ff_en_10" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_10" SITE "R53C156D" ; # UGROUP "FC_11" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_11_Channels/FC; -LOCATE UGROUP "FC_11" SITE "R55C125D" ; -UGROUP "hit_11" + BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_11" SITE "R55C131D" ; +UGROUP "hit_11" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_11_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_11" SITE "R56C127D" ; -# -UGROUP "FC_12" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_12_Channels/FC; -LOCATE UGROUP "FC_12" SITE "R66C125D" ; -UGROUP "hit_12" - BLKNAME THE_TDC/GEN_Channels_12_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_12" SITE "R67C127D" ; -# -UGROUP "FC_13" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_13_Channels/FC; -LOCATE UGROUP "FC_13" SITE "R68C125D" ; -UGROUP "hit_13" - BLKNAME THE_TDC/GEN_Channels_13_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_13" SITE "R69C127D" ; -# -UGROUP "FC_14" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_14_Channels/FC; -LOCATE UGROUP "FC_14" SITE "R71C125D" ; -UGROUP "hit_14" - BLKNAME THE_TDC/GEN_Channels_14_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_14" SITE "R72C127D" ; -# -UGROUP "FC_15" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_15_Channels/FC; -LOCATE UGROUP "FC_15" SITE "R73C125D" ; -UGROUP "hit_15" - BLKNAME THE_TDC/GEN_Channels_15_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_15" SITE "R74C127D" ; -# -UGROUP "FC_16" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_16_Channels/FC; -LOCATE UGROUP "FC_16" SITE "R84C125D" ; -UGROUP "hit_16" - BLKNAME THE_TDC/GEN_Channels_16_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_16" SITE "R85C127D" ; +LOCATE UGROUP "hit_11" SITE "R56C133D" ; +UGROUP "ff_en_11" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_11" SITE "R55C156D" ; # UGROUP "FC_17" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_17_Channels/FC; -LOCATE UGROUP "FC_17" SITE "R86C125D" ; -UGROUP "hit_17" + BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_17" SITE "R66C131D" ; +UGROUP "hit_17" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_17_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_17" SITE "R87C127D" ; +LOCATE UGROUP "hit_17" SITE "R67C133D" ; +UGROUP "ff_en_17" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_17" SITE "R66C156D" ; # UGROUP "FC_18" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_18_Channels/FC; -LOCATE UGROUP "FC_18" SITE "R89C125D" ; -UGROUP "hit_18" - BLKNAME THE_TDC/GEN_Channels_18_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_18" SITE "R90C127D" ; + BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_18" SITE "R68C131D" ; +UGROUP "hit_18" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_18_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_18" SITE "R69C133D" ; +UGROUP "ff_en_18" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_18" SITE "R68C156D" ; # UGROUP "FC_19" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_19_Channels/FC; -LOCATE UGROUP "FC_19" SITE "R91C125D" ; -UGROUP "hit_19" + BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_19" SITE "R71C131D" ; +UGROUP "hit_19" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_19_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_19" SITE "R92C127D" ; +LOCATE UGROUP "hit_19" SITE "R72C133D" ; +UGROUP "ff_en_19" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_19" SITE "R71C156D" ; # UGROUP "FC_20" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_20_Channels/FC; -LOCATE UGROUP "FC_20" SITE "R102C125D" ; -UGROUP "hit_20" + BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_20" SITE "R73C131D" ; +UGROUP "hit_20" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_20_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_20" SITE "R103C127D" ; +LOCATE UGROUP "hit_20" SITE "R74C133D" ; +UGROUP "ff_en_20" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_20" SITE "R73C156D" ; # UGROUP "FC_21" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_21_Channels/FC; -LOCATE UGROUP "FC_21" SITE "R104C125D" ; -UGROUP "hit_21" + BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_21" SITE "R84C131D" ; +UGROUP "hit_21" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_21_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_21" SITE "R105C127D" ; +LOCATE UGROUP "hit_21" SITE "R85C133D" ; +UGROUP "ff_en_21" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_21" SITE "R84C156D" ; # UGROUP "FC_22" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_22_Channels/FC; -LOCATE UGROUP "FC_22" SITE "R111C125D" ; -UGROUP "hit_22" + BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_22" SITE "R86C131D" ; +UGROUP "hit_22" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_22_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_22" SITE "R112C127D" ; +LOCATE UGROUP "hit_22" SITE "R87C133D" ; +UGROUP "ff_en_22" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_22" SITE "R86C156D" ; # UGROUP "FC_23" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_23_Channels/FC; -LOCATE UGROUP "FC_23" SITE "R113C125D" ; -UGROUP "hit_23" - BLKNAME THE_TDC/GEN_Channels_23_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_23" SITE "R114C127D" ; -# -# + BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_23" SITE "R89C131D" ; +UGROUP "hit_23" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_23_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_23" SITE "R90C133D" ; +UGROUP "ff_en_23" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_23" SITE "R89C156D" ; # UGROUP "FC_24" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_24_Channels/FC; -LOCATE UGROUP "FC_24" SITE "R8C66D" ; -UGROUP "hit_24" - BLKNAME THE_TDC/GEN_Channels_24_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_24" SITE "R9C68D" ; + BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_24" SITE "R91C131D" ; +UGROUP "hit_24" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_24_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_24" SITE "R92C133D" ; +UGROUP "ff_en_24" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_24" SITE "R91C156D" ; # UGROUP "FC_25" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_25_Channels/FC; -LOCATE UGROUP "FC_25" SITE "R10C66D" ; -UGROUP "hit_25" - BLKNAME THE_TDC/GEN_Channels_25_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_25" SITE "R11C68D" ; + BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_25" SITE "R102C131D" ; +UGROUP "hit_25" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_25_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_25" SITE "R103C133D" ; +UGROUP "ff_en_25" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_25" SITE "R102C156D" ; # UGROUP "FC_26" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_26_Channels/FC; -LOCATE UGROUP "FC_26" SITE "R21C66D" ; -UGROUP "hit_26" + BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_26" SITE "R104C131D" ; +UGROUP "hit_26" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_26_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_26" SITE "R22C68D" ; +LOCATE UGROUP "hit_26" SITE "R105C133D" ; +UGROUP "ff_en_26" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_26" SITE "R104C156D" ; # UGROUP "FC_27" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_27_Channels/FC; -LOCATE UGROUP "FC_27" SITE "R23C66D" ; -UGROUP "hit_27" + BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_27" SITE "R111C131D" ; +UGROUP "hit_27" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_27_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_27" SITE "R24C68D" ; +LOCATE UGROUP "hit_27" SITE "R112C133D" ; +UGROUP "ff_en_27" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_27" SITE "R111C156D" ; # UGROUP "FC_28" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_28_Channels/FC; -LOCATE UGROUP "FC_28" SITE "R30C66D" ; -UGROUP "hit_28" - BLKNAME THE_TDC/GEN_Channels_28_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_28" SITE "R31C68D" ; + BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_28" SITE "R113C131D" ; +UGROUP "hit_28" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_28_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_28" SITE "R114C133D" ; +UGROUP "ff_en_28" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_28" SITE "R113C156D" ; # -UGROUP "FC_29" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_29_Channels/FC; -LOCATE UGROUP "FC_29" SITE "R32C66D" ; -UGROUP "hit_29" - BLKNAME THE_TDC/GEN_Channels_29_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_29" SITE "R33C68D" ; -# -UGROUP "FC_30" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_30_Channels/FC; -LOCATE UGROUP "FC_30" SITE "R35C66D" ; -UGROUP "hit_30" - BLKNAME THE_TDC/GEN_Channels_30_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_30" SITE "R36C68D" ; -# -UGROUP "FC_31" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_31_Channels/FC; -LOCATE UGROUP "FC_31" SITE "R37C66D" ; -UGROUP "hit_31" - BLKNAME THE_TDC/GEN_Channels_31_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_31" SITE "R38C68D" ; # -UGROUP "FC_32" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_32_Channels/FC; -LOCATE UGROUP "FC_32" SITE "R48C66D" ; -UGROUP "hit_32" - BLKNAME THE_TDC/GEN_Channels_32_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_32" SITE "R49C68D" ; # UGROUP "FC_33" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_33_Channels/FC; -LOCATE UGROUP "FC_33" SITE "R50C66D" ; -UGROUP "hit_33" - BLKNAME THE_TDC/GEN_Channels_33_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_33" SITE "R51C68D" ; + BLKNAME THE_TDC/GEN_Channels_33_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_33" SITE "R8C58D" ; +UGROUP "hit_33" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_33_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_33" SITE "R9C60D" ; +UGROUP "ff_en_33" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_33_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_33" SITE "R8C83D" ; +# +UGROUP "FC_12" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_12" SITE "R10C58D" ; +UGROUP "hit_12" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_12_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_12" SITE "R11C60D" ; +UGROUP "ff_en_12" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_12" SITE "R10C83D" ; # UGROUP "FC_34" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_34_Channels/FC; -LOCATE UGROUP "FC_34" SITE "R53C66D" ; -UGROUP "hit_34" + BLKNAME THE_TDC/GEN_Channels_34_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_34" SITE "R21C58D" ; +UGROUP "hit_34" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_34_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_34" SITE "R54C68D" ; +LOCATE UGROUP "hit_34" SITE "R22C60D" ; +UGROUP "ff_en_34" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_34_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_34" SITE "R21C83D" ; +# +UGROUP "FC_13" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_13" SITE "R23C58D" ; +UGROUP "hit_13" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_13_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_13" SITE "R24C60D" ; +UGROUP "ff_en_13" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_13" SITE "R23C83D" ; # UGROUP "FC_35" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_35_Channels/FC; -LOCATE UGROUP "FC_35" SITE "R55C66D" ; -UGROUP "hit_35" - BLKNAME THE_TDC/GEN_Channels_35_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_35" SITE "R56C68D" ; + BLKNAME THE_TDC/GEN_Channels_35_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_35" SITE "R30C58D" ; +UGROUP "hit_35" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_35_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_35" SITE "R31C60D" ; +UGROUP "ff_en_35" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_35_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_35" SITE "R30C83D" ; +# +UGROUP "FC_14" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_14" SITE "R32C58D" ; +UGROUP "hit_14" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_14_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_14" SITE "R33C60D" ; +UGROUP "ff_en_14" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_14" SITE "R32C83D" ; # UGROUP "FC_36" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_36_Channels/FC; -LOCATE UGROUP "FC_36" SITE "R66C66D" ; -UGROUP "hit_36" + BLKNAME THE_TDC/GEN_Channels_36_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_36" SITE "R35C58D" ; +UGROUP "hit_36" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_36_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_36" SITE "R67C68D" ; +LOCATE UGROUP "hit_36" SITE "R36C60D" ; +UGROUP "ff_en_36" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_36_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_36" SITE "R35C83D" ; +# +UGROUP "FC_15" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_15" SITE "R37C58D" ; +UGROUP "hit_15" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_15_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_15" SITE "R38C60D" ; +UGROUP "ff_en_15" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_15" SITE "R37C83D" ; # UGROUP "FC_37" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_37_Channels/FC; -LOCATE UGROUP "FC_37" SITE "R68C66D" ; -UGROUP "hit_37" + BLKNAME THE_TDC/GEN_Channels_37_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_37" SITE "R48C58D" ; +UGROUP "hit_37" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_37_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_37" SITE "R69C68D" ; +LOCATE UGROUP "hit_37" SITE "R49C60D" ; +UGROUP "ff_en_37" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_37_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_37" SITE "R48C83D" ; +# +UGROUP "FC_16" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_16" SITE "R50C58D" ; +UGROUP "hit_16" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_16_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_16" SITE "R51C60D" ; +UGROUP "ff_en_16" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_16" SITE "R50C83D" ; +# +UGROUP "FC_50" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_50_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_50" SITE "R89C58D" ; +UGROUP "hit_50" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_50_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_50" SITE "R90C60D" ; +UGROUP "ff_en_50" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_50_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_50" SITE "R89C83D" ; +# +UGROUP "FC_29" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_29" SITE "R91C58D" ; +UGROUP "hit_29" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_29_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_29" SITE "R92C60D" ; +UGROUP "ff_en_29" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_29" SITE "R91C83D" ; +# +UGROUP "FC_51" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_51_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_51" SITE "R102C58D" ; +UGROUP "hit_51" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_51_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_51" SITE "R103C60D" ; +UGROUP "ff_en_51" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_51_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_51" SITE "R102C83D" ; +# +UGROUP "FC_30" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_30" SITE "R104C58D" ; +UGROUP "hit_30" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_30_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_30" SITE "R105C60D" ; +UGROUP "ff_en_30" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_30" SITE "R104C83D" ; +# +UGROUP "FC_52" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_52_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_52" SITE "R111C58D" ; +UGROUP "hit_52" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_52_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_52" SITE "R112C60D" ; +UGROUP "ff_en_52" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_52_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_52" SITE "R111C83D" ; +# +UGROUP "FC_31" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_31" SITE "R113C58D" ; +UGROUP "hit_31" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_31_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_31" SITE "R114C60D" ; +UGROUP "ff_en_31" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_31" SITE "R113C83D" ; # UGROUP "FC_38" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_38_Channels/FC; -LOCATE UGROUP "FC_38" SITE "R71C66D" ; -UGROUP "hit_38" + BLKNAME THE_TDC/GEN_Channels_38_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_38" SITE "R8C2D" ; +UGROUP "hit_38" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_38_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_38" SITE "R72C68D" ; +LOCATE UGROUP "hit_38" SITE "R9C4D" ; +UGROUP "ff_en_38" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_38_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_38" SITE "R8C27D" ; # UGROUP "FC_39" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_39_Channels/FC; -LOCATE UGROUP "FC_39" SITE "R73C66D" ; -UGROUP "hit_39" + BLKNAME THE_TDC/GEN_Channels_39_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_39" SITE "R10C2D" ; +UGROUP "hit_39" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_39_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_39" SITE "R74C68D" ; +LOCATE UGROUP "hit_39" SITE "R11C4D" ; +UGROUP "ff_en_39" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_39_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_39" SITE "R10C27D" ; # UGROUP "FC_40" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_40_Channels/FC; -LOCATE UGROUP "FC_40" SITE "R84C66D" ; -UGROUP "hit_40" + BLKNAME THE_TDC/GEN_Channels_40_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_40" SITE "R21C2D" ; +UGROUP "hit_40" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_40_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_40" SITE "R85C68D" ; +LOCATE UGROUP "hit_40" SITE "R22C4D" ; +UGROUP "ff_en_40" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_40_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_40" SITE "R21C27D" ; # UGROUP "FC_41" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_41_Channels/FC; -LOCATE UGROUP "FC_41" SITE "R86C66D" ; -UGROUP "hit_41" + BLKNAME THE_TDC/GEN_Channels_41_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_41" SITE "R23C2D" ; +UGROUP "hit_41" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_41_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_41" SITE "R87C68D" ; +LOCATE UGROUP "hit_41" SITE "R24C4D" ; +UGROUP "ff_en_41" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_41_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_41" SITE "R23C27D" ; # UGROUP "FC_42" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_42_Channels/FC; -LOCATE UGROUP "FC_42" SITE "R89C66D" ; -UGROUP "hit_42" - BLKNAME THE_TDC/GEN_Channels_42_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_42" SITE "R90C68D" ; + BLKNAME THE_TDC/GEN_Channels_42_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_42" SITE "R30C2D" ; +UGROUP "hit_42" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_42_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_42" SITE "R31C4D" ; +UGROUP "ff_en_42" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_42_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_42" SITE "R30C27D" ; # UGROUP "FC_43" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_43_Channels/FC; -LOCATE UGROUP "FC_43" SITE "R91C66D" ; -UGROUP "hit_43" + BLKNAME THE_TDC/GEN_Channels_43_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_43" SITE "R32C2D" ; +UGROUP "hit_43" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_43_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_43" SITE "R92C68D" ; +LOCATE UGROUP "hit_43" SITE "R33C4D" ; +UGROUP "ff_en_43" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_43_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_43" SITE "R32C27D" ; # UGROUP "FC_44" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_44_Channels/FC; -LOCATE UGROUP "FC_44" SITE "R102C66D" ; -UGROUP "hit_44" + BLKNAME THE_TDC/GEN_Channels_44_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_44" SITE "R35C2D" ; +UGROUP "hit_44" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_44_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_44" SITE "R103C68D" ; +LOCATE UGROUP "hit_44" SITE "R36C4D" ; +UGROUP "ff_en_44" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_44_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_44" SITE "R35C27D" ; # UGROUP "FC_45" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_45_Channels/FC; -LOCATE UGROUP "FC_45" SITE "R104C66D" ; -UGROUP "hit_45" + BLKNAME THE_TDC/GEN_Channels_45_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_45" SITE "R37C2D" ; +UGROUP "hit_45" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_45_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_45" SITE "R105C68D" ; +LOCATE UGROUP "hit_45" SITE "R38C4D" ; +UGROUP "ff_en_45" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_45_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_45" SITE "R37C27D" ; # UGROUP "FC_46" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_46_Channels/FC; -LOCATE UGROUP "FC_46" SITE "R111C66D" ; -UGROUP "hit_46" + BLKNAME THE_TDC/GEN_Channels_46_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_46" SITE "R48C2D" ; +UGROUP "hit_46" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_46_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_46" SITE "R112C68D" ; +LOCATE UGROUP "hit_46" SITE "R49C4D" ; +UGROUP "ff_en_46" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_46_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_46" SITE "R48C27D" ; # UGROUP "FC_47" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_47_Channels/FC; -LOCATE UGROUP "FC_47" SITE "R113C66D" ; -UGROUP "hit_47" + BLKNAME THE_TDC/GEN_Channels_47_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_47" SITE "R50C2D" ; +UGROUP "hit_47" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_47_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_47" SITE "R114C68D" ; - - - - - - - - - - - - - - - - - - +LOCATE UGROUP "hit_47" SITE "R51C4D" ; +UGROUP "ff_en_47" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_47_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_47" SITE "R50C27D" ; +# UGROUP "FC_48" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_48_Channels/FC; -LOCATE UGROUP "FC_48" SITE "R4C66D" ; -UGROUP "hit_48" + BLKNAME THE_TDC/GEN_Channels_48_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_48" SITE "R53C2D" ; +UGROUP "hit_48" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_48_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_48" SITE "R5C68D" ; +LOCATE UGROUP "hit_48" SITE "R54C4D" ; +UGROUP "ff_en_48" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_48_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_48" SITE "R53C27D" ; # UGROUP "FC_49" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_49_Channels/FC; -LOCATE UGROUP "FC_49" SITE "R6C66D" ; -UGROUP "hit_49" + BLKNAME THE_TDC/GEN_Channels_49_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_49" SITE "R55C2D" ; +UGROUP "hit_49" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_49_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_49" SITE "R7C68D" ; -# -UGROUP "FC_50" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_50_Channels/FC; -LOCATE UGROUP "FC_50" SITE "R17C66D" ; -UGROUP "hit_50" - BLKNAME THE_TDC/GEN_Channels_50_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_50" SITE "R18C68D" ; -# -UGROUP "FC_51" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_51_Channels/FC; -LOCATE UGROUP "FC_51" SITE "R19C66D" ; -UGROUP "hit_51" - BLKNAME THE_TDC/GEN_Channels_51_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_51" SITE "R20C68D" ; -# -UGROUP "FC_52" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_52_Channels/FC; -LOCATE UGROUP "FC_52" SITE "R26C66D" ; -UGROUP "hit_52" - BLKNAME THE_TDC/GEN_Channels_52_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_52" SITE "R27C68D" ; +LOCATE UGROUP "hit_49" SITE "R56C4D" ; +UGROUP "ff_en_49" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_49_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_49" SITE "R55C27D" ; # UGROUP "FC_53" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_53_Channels/FC; -LOCATE UGROUP "FC_53" SITE "R28C66D" ; -UGROUP "hit_53" + BLKNAME THE_TDC/GEN_Channels_53_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_53" SITE "R66C2D" ; +UGROUP "hit_53" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_53_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_53" SITE "R29C68D" ; +LOCATE UGROUP "hit_53" SITE "R67C4D" ; +UGROUP "ff_en_53" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_53_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_53" SITE "R66C27D" ; # UGROUP "FC_54" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_54_Channels/FC; -LOCATE UGROUP "FC_54" SITE "R39C66D" ; -UGROUP "hit_54" + BLKNAME THE_TDC/GEN_Channels_54_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_54" SITE "R68C2D" ; +UGROUP "hit_54" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_54_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_54" SITE "R40C68D" ; +LOCATE UGROUP "hit_54" SITE "R69C4D" ; +UGROUP "ff_en_54" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_54_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_54" SITE "R68C27D" ; # UGROUP "FC_55" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_55_Channels/FC; -LOCATE UGROUP "FC_55" SITE "R41C66D" ; -UGROUP "hit_55" + BLKNAME THE_TDC/GEN_Channels_55_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_55" SITE "R71C2D" ; +UGROUP "hit_55" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_55_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_55" SITE "R42C68D" ; +LOCATE UGROUP "hit_55" SITE "R72C4D" ; +UGROUP "ff_en_55" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_55_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_55" SITE "R71C27D" ; # UGROUP "FC_56" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_56_Channels/FC; -LOCATE UGROUP "FC_56" SITE "R44C66D" ; -UGROUP "hit_56" + BLKNAME THE_TDC/GEN_Channels_56_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_56" SITE "R73C2D" ; +UGROUP "hit_56" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_56_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_56" SITE "R45C68D" ; +LOCATE UGROUP "hit_56" SITE "R74C4D" ; +UGROUP "ff_en_56" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_56_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_56" SITE "R73C27D" ; # UGROUP "FC_57" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_57_Channels/FC; -LOCATE UGROUP "FC_57" SITE "R46C66D" ; -UGROUP "hit_57" + BLKNAME THE_TDC/GEN_Channels_57_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_57" SITE "R84C2D" ; +UGROUP "hit_57" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_57_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_57" SITE "R47C68D" ; +LOCATE UGROUP "hit_57" SITE "R85C4D" ; +UGROUP "ff_en_57" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_57_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_57" SITE "R84C27D" ; # UGROUP "FC_58" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_58_Channels/FC; -LOCATE UGROUP "FC_58" SITE "R57C66D" ; -UGROUP "hit_58" + BLKNAME THE_TDC/GEN_Channels_58_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_58" SITE "R86C2D" ; +UGROUP "hit_58" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_58_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_58" SITE "R58C68D" ; +LOCATE UGROUP "hit_58" SITE "R87C4D" ; +UGROUP "ff_en_58" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_58_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_58" SITE "R86C27D" ; # UGROUP "FC_59" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_59_Channels/FC; -LOCATE UGROUP "FC_59" SITE "R59C66D" ; -UGROUP "hit_59" - BLKNAME THE_TDC/GEN_Channels_59_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_59" SITE "R60C68D" ; + BLKNAME THE_TDC/GEN_Channels_59_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_59" SITE "R89C2D" ; +UGROUP "hit_59" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_59_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_59" SITE "R90C4D" ; +UGROUP "ff_en_59" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_59_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_59" SITE "R89C27D" ; # UGROUP "FC_60" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_60_Channels/FC; -LOCATE UGROUP "FC_60" SITE "R62C66D" ; -UGROUP "hit_60" + BLKNAME THE_TDC/GEN_Channels_60_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_60" SITE "R91C2D" ; +UGROUP "hit_60" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_60_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_60" SITE "R63C68D" ; +LOCATE UGROUP "hit_60" SITE "R92C4D" ; +UGROUP "ff_en_60" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_60_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_60" SITE "R91C27D" ; # UGROUP "FC_61" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_61_Channels/FC; -LOCATE UGROUP "FC_61" SITE "R64C66D" ; -UGROUP "hit_61" + BLKNAME THE_TDC/GEN_Channels_61_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_61" SITE "R102C2D" ; +UGROUP "hit_61" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_61_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_61" SITE "R65C68D" ; +LOCATE UGROUP "hit_61" SITE "R103C4D" ; +UGROUP "ff_en_61" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_61_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_61" SITE "R102C27D" ; # UGROUP "FC_62" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_62_Channels/FC; -LOCATE UGROUP "FC_62" SITE "R75C66D" ; -UGROUP "hit_62" + BLKNAME THE_TDC/GEN_Channels_62_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_62" SITE "R104C2D" ; +UGROUP "hit_62" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_62_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_62" SITE "R76C68D" ; +LOCATE UGROUP "hit_62" SITE "R105C4D" ; +UGROUP "ff_en_62" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_62_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_62" SITE "R104C27D" ; # UGROUP "FC_63" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_63_Channels/FC; -LOCATE UGROUP "FC_63" SITE "R82C66D" ; -UGROUP "hit_63" + BLKNAME THE_TDC/GEN_Channels_63_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_63" SITE "R111C2D" ; +UGROUP "hit_63" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_63_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_63" SITE "R83C68D" ; +LOCATE UGROUP "hit_63" SITE "R112C4D" ; +UGROUP "ff_en_63" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_63_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_63" SITE "R111C27D" ; # UGROUP "FC_64" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_64_Channels/FC; -LOCATE UGROUP "FC_64" SITE "R93C66D" ; -UGROUP "hit_64" + BLKNAME THE_TDC/GEN_Channels_64_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_64" SITE "R113C2D" ; +UGROUP "hit_64" BBOX 1 1 BLKNAME THE_TDC/GEN_Channels_64_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_64" SITE "R94C68D" ; - +LOCATE UGROUP "hit_64" SITE "R114C4D" ; +UGROUP "ff_en_64" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_64_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_64" SITE "R113C27D" ; +# +UGROUP "FC_32" BBOX 1 51 + BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel_200_1/FC; +LOCATE UGROUP "FC_32" SITE "R84C58D" ; +UGROUP "hit_32" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_32_Channels/hit_buf_RNO; +LOCATE UGROUP "hit_32" SITE "R85C60D" ; +UGROUP "ff_en_32" BBOX 1 1 + BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel_200_1/ff_array_en_i_1_i; +LOCATE UGROUP "ff_en_32" SITE "R84C83D" ; ############################################################################## ## CHANNEL PLACEMENTS ## ############################################################################## -UGROUP "E&F_ref" # BBOX 4 5 - BLKNAME THE_TDC/The_Reference_Time/hit_detect_i - BLKNAME THE_TDC/The_Reference_Time/hit_detect_reg - BLKNAME THE_TDC/The_Reference_Time/result_2_reg; -LOCATE UGROUP "E&F_ref" REGION "Region_E&F_1" ; -UGROUP "E&F_1" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_1_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_1_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_1_Channels/result_2_reg; -LOCATE UGROUP "E&F_1" REGION "Region_E&F_1" ; -UGROUP "E&F_2" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_2_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_2_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_2_Channels/result_2_reg; -LOCATE UGROUP "E&F_2" REGION "Region_E&F_1" ; -UGROUP "E&F_3" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_3_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_3_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_3_Channels/result_2_reg; -LOCATE UGROUP "E&F_3" REGION "Region_E&F_1" ; -UGROUP "E&F_4" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_4_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_4_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_4_Channels/result_2_reg; -LOCATE UGROUP "E&F_4" REGION "Region_E&F_2" ; -UGROUP "E&F_5" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_5_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_5_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_5_Channels/result_2_reg; -LOCATE UGROUP "E&F_5" REGION "Region_E&F_2" ; -UGROUP "E&F_6" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_6_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_6_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_6_Channels/result_2_reg; -LOCATE UGROUP "E&F_6" REGION "Region_E&F_3" ; -UGROUP "E&F_7" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_7_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_7_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_7_Channels/result_2_reg; -LOCATE UGROUP "E&F_7" REGION "Region_E&F_3" ; -UGROUP "E&F_8" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_8_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_8_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_8_Channels/result_2_reg; -LOCATE UGROUP "E&F_8" REGION "Region_E&F_3" ; -UGROUP "E&F_9" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_9_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_9_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_9_Channels/result_2_reg; -LOCATE UGROUP "E&F_9" REGION "Region_E&F_3" ; -UGROUP "E&F_10" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_10_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_10_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_10_Channels/result_2_reg; -LOCATE UGROUP "E&F_10" REGION "Region_E&F_4" ; -UGROUP "E&F_11" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_11_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_11_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_11_Channels/result_2_reg; -LOCATE UGROUP "E&F_11" REGION "Region_E&F_4" ; -UGROUP "E&F_12" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_12_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_12_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_12_Channels/result_2_reg; -LOCATE UGROUP "E&F_12" REGION "Region_E&F_4" ; -UGROUP "E&F_13" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_13_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_13_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_13_Channels/result_2_reg; -LOCATE UGROUP "E&F_13" REGION "Region_E&F_4" ; -UGROUP "E&F_14" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_14_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_14_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_14_Channels/result_2_reg; -LOCATE UGROUP "E&F_14" REGION "Region_E&F_5" ; -UGROUP "E&F_15" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_15_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_15_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_15_Channels/result_2_reg; -LOCATE UGROUP "E&F_15" REGION "Region_E&F_5" ; -UGROUP "E&F_16" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_16_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_16_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_16_Channels/result_2_reg; -LOCATE UGROUP "E&F_16" REGION "Region_E&F_5" ; -UGROUP "E&F_17" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_17_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_17_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_17_Channels/result_2_reg; -LOCATE UGROUP "E&F_17" REGION "Region_E&F_5" ; -UGROUP "E&F_18" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_18_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_18_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_18_Channels/result_2_reg; -LOCATE UGROUP "E&F_18" REGION "Region_E&F_6" ; -UGROUP "E&F_19" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_19_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_19_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_19_Channels/result_2_reg; -LOCATE UGROUP "E&F_19" REGION "Region_E&F_6" ; -UGROUP "E&F_20" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_20_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_20_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_20_Channels/result_2_reg; -LOCATE UGROUP "E&F_20" REGION "Region_E&F_6" ; -UGROUP "E&F_21" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_21_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_21_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_21_Channels/result_2_reg; -LOCATE UGROUP "E&F_21" REGION "Region_E&F_6" ; -UGROUP "E&F_22" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_22_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_22_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_22_Channels/result_2_reg; -LOCATE UGROUP "E&F_22" REGION "Region_E&F_7" ; -UGROUP "E&F_23" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_23_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_23_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_23_Channels/result_2_reg; -LOCATE UGROUP "E&F_23" REGION "Region_E&F_7" ; -# -# -# -UGROUP "E&F_24" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_24_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_24_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_24_Channels/result_2_reg; -LOCATE UGROUP "E&F_24" REGION "Region_E&F_8" ; -UGROUP "E&F_25" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_25_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_25_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_25_Channels/result_2_reg; -LOCATE UGROUP "E&F_25" REGION "Region_E&F_8" ; -UGROUP "E&F_26" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_26_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_26_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_26_Channels/result_2_reg; -LOCATE UGROUP "E&F_26" REGION "Region_E&F_8" ; -UGROUP "E&F_27" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_27_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_27_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_27_Channels/result_2_reg; -LOCATE UGROUP "E&F_27" REGION "Region_E&F_8" ; -UGROUP "E&F_28" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_28_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_28_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_28_Channels/result_2_reg; -LOCATE UGROUP "E&F_28" REGION "Region_E&F_9" ; -UGROUP "E&F_29" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_29_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_29_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_29_Channels/result_2_reg; -LOCATE UGROUP "E&F_29" REGION "Region_E&F_9" ; -UGROUP "E&F_30" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_30_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_30_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_30_Channels/result_2_reg; -LOCATE UGROUP "E&F_30" REGION "Region_E&F_10" ; -UGROUP "E&F_31" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_31_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_31_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_31_Channels/result_2_reg; -LOCATE UGROUP "E&F_31" REGION "Region_E&F_10" ; -UGROUP "E&F_32" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_32_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_32_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_32_Channels/result_2_reg; -LOCATE UGROUP "E&F_32" REGION "Region_E&F_10" ; -UGROUP "E&F_33" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_33_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_33_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_33_Channels/result_2_reg; -LOCATE UGROUP "E&F_33" REGION "Region_E&F_10" ; -UGROUP "E&F_34" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_34_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_34_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_34_Channels/result_2_reg; -LOCATE UGROUP "E&F_34" REGION "Region_E&F_11" ; -UGROUP "E&F_35" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_35_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_35_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_35_Channels/result_2_reg; -LOCATE UGROUP "E&F_35" REGION "Region_E&F_11" ; -UGROUP "E&F_36" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_36_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_36_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_36_Channels/result_2_reg; -LOCATE UGROUP "E&F_36" REGION "Region_E&F_11" ; -UGROUP "E&F_37" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_37_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_37_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_37_Channels/result_2_reg; -LOCATE UGROUP "E&F_37" REGION "Region_E&F_11" ; -UGROUP "E&F_38" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_38_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_38_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_38_Channels/result_2_reg; -LOCATE UGROUP "E&F_38" REGION "Region_E&F_12" ; -UGROUP "E&F_39" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_39_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_39_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_39_Channels/result_2_reg; -LOCATE UGROUP "E&F_39" REGION "Region_E&F_12" ; -UGROUP "E&F_40" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_40_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_40_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_40_Channels/result_2_reg; -LOCATE UGROUP "E&F_40" REGION "Region_E&F_12" ; -UGROUP "E&F_41" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_41_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_41_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_41_Channels/result_2_reg; -LOCATE UGROUP "E&F_41" REGION "Region_E&F_12" ; -UGROUP "E&F_42" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_42_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_42_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_42_Channels/result_2_reg; -LOCATE UGROUP "E&F_42" REGION "Region_E&F_13" ; -UGROUP "E&F_43" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_43_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_43_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_43_Channels/result_2_reg; -LOCATE UGROUP "E&F_43" REGION "Region_E&F_13" ; -UGROUP "E&F_44" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_44_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_44_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_44_Channels/result_2_reg; -LOCATE UGROUP "E&F_44" REGION "Region_E&F_13" ; -UGROUP "E&F_45" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_45_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_45_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_45_Channels/result_2_reg; -LOCATE UGROUP "E&F_45" REGION "Region_E&F_13" ; -UGROUP "E&F_46" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_46_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_46_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_46_Channels/result_2_reg; -LOCATE UGROUP "E&F_46" REGION "Region_E&F_14" ; -UGROUP "E&F_47" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_47_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_47_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_47_Channels/result_2_reg; -LOCATE UGROUP "E&F_47" REGION "Region_E&F_14" ; -# -# -# -UGROUP "E&F_48" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_48_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_48_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_48_Channels/result_2_reg; -LOCATE UGROUP "E&F_48" REGION "Region_E&F_8" ; -UGROUP "E&F_49" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_49_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_49_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_49_Channels/result_2_reg; -LOCATE UGROUP "E&F_49" REGION "Region_E&F_8" ; -UGROUP "E&F_50" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_50_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_50_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_50_Channels/result_2_reg; -LOCATE UGROUP "E&F_50" REGION "Region_E&F_8" ; -UGROUP "E&F_51" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_51_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_51_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_51_Channels/result_2_reg; -LOCATE UGROUP "E&F_51" REGION "Region_E&F_8" ; -UGROUP "E&F_52" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_52_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_52_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_52_Channels/result_2_reg; -LOCATE UGROUP "E&F_52" REGION "Region_E&F_9" ; -UGROUP "E&F_53" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_53_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_53_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_53_Channels/result_2_reg; -LOCATE UGROUP "E&F_53" REGION "Region_E&F_9" ; -UGROUP "E&F_54" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_54_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_54_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_54_Channels/result_2_reg; -LOCATE UGROUP "E&F_54" REGION "Region_E&F_10" ; -UGROUP "E&F_55" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_55_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_55_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_55_Channels/result_2_reg; -LOCATE UGROUP "E&F_55" REGION "Region_E&F_10" ; -UGROUP "E&F_56" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_56_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_56_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_56_Channels/result_2_reg; -LOCATE UGROUP "E&F_56" REGION "Region_E&F_10" ; -UGROUP "E&F_57" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_57_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_57_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_57_Channels/result_2_reg; -LOCATE UGROUP "E&F_57" REGION "Region_E&F_10" ; -UGROUP "E&F_58" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_58_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_58_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_58_Channels/result_2_reg; -LOCATE UGROUP "E&F_58" REGION "Region_E&F_11" ; -UGROUP "E&F_59" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_59_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_59_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_59_Channels/result_2_reg; -LOCATE UGROUP "E&F_59" REGION "Region_E&F_11" ; -UGROUP "E&F_60" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_60_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_60_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_60_Channels/result_2_reg; -LOCATE UGROUP "E&F_60" REGION "Region_E&F_11" ; -UGROUP "E&F_61" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_61_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_61_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_61_Channels/result_2_reg; -LOCATE UGROUP "E&F_61" REGION "Region_E&F_11" ; -UGROUP "E&F_62" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_62_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_62_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_62_Channels/result_2_reg; -LOCATE UGROUP "E&F_62" REGION "Region_E&F_12" ; -UGROUP "E&F_63" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_63_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_63_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_63_Channels/result_2_reg; -LOCATE UGROUP "E&F_63" REGION "Region_E&F_12" ; -UGROUP "E&F_64" # BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_64_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_64_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_64_Channels/result_2_reg; -LOCATE UGROUP "E&F_64" REGION "Region_E&F_13" ; - +UGROUP "E&F_ref" BBOX 6 25 + BLKNAME THE_TDC/The_Reference_Time/Reference_Channel_200_1; +LOCATE UGROUP "E&F_ref" SITE "R11C131D" ; +UGROUP "E&F_1" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_1_Channels/Channel_200_1; +LOCATE UGROUP "E&F_1" SITE "R11C156D" ; +UGROUP "E&F_2" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_2_Channels/Channel_200_1; +LOCATE UGROUP "E&F_2" SITE "R15C131D" ; +UGROUP "E&F_3" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_3_Channels/Channel_200_1; +LOCATE UGROUP "E&F_3" SITE "R15C156D" ; +UGROUP "E&F_4" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_4_Channels/Channel_200_1; +LOCATE UGROUP "E&F_4" SITE "R24C131D" ; +UGROUP "E&F_5" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_5_Channels/Channel_200_1; +LOCATE UGROUP "E&F_5" SITE "R24C156D" ; +UGROUP "E&F_6" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_6_Channels/Channel_200_1; +LOCATE UGROUP "E&F_6" SITE "R38C131D" ; +UGROUP "E&F_7" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_7_Channels/Channel_200_1; +LOCATE UGROUP "E&F_7" SITE "R38C156D" ; +UGROUP "E&F_8" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_8_Channels/Channel_200_1; +LOCATE UGROUP "E&F_8" SITE "R42C131D" ; +UGROUP "E&F_9" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_9_Channels/Channel_200_1; +LOCATE UGROUP "E&F_9" SITE "R42C156D" ; +UGROUP "E&F_10" BBOX 6 24 + BLKNAME THE_TDC/GEN_Channels_10_Channels/Channel_200_1; +LOCATE UGROUP "E&F_10" SITE "R56C131D" ; +UGROUP "E&F_11" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_11_Channels/Channel_200_1; +LOCATE UGROUP "E&F_11" SITE "R56C155D" ; +UGROUP "E&F_17" BBOX 6 24 + BLKNAME THE_TDC/GEN_Channels_17_Channels/Channel_200_1; +LOCATE UGROUP "E&F_17" SITE "R60C131D" ; +UGROUP "E&F_18" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_18_Channels/Channel_200_1; +LOCATE UGROUP "E&F_18" SITE "R60C155D" ; +UGROUP "E&F_19" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_19_Channels/Channel_200_1; +LOCATE UGROUP "E&F_19" SITE "R74C131D" ; +UGROUP "E&F_20" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_20_Channels/Channel_200_1; +LOCATE UGROUP "E&F_20" SITE "R74C156D" ; +UGROUP "E&F_21" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_21_Channels/Channel_200_1; +LOCATE UGROUP "E&F_21" SITE "R78C131D" ; +UGROUP "E&F_22" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_22_Channels/Channel_200_1; +LOCATE UGROUP "E&F_22" SITE "R78C156D" ; +UGROUP "E&F_23" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_23_Channels/Channel_200_1; +LOCATE UGROUP "E&F_23" SITE "R92C131D" ; +UGROUP "E&F_24" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_24_Channels/Channel_200_1; +LOCATE UGROUP "E&F_24" SITE "R92C156D" ; +UGROUP "E&F_25" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_25_Channels/Channel_200_1; +LOCATE UGROUP "E&F_25" SITE "R96C131D" ; +UGROUP "E&F_26" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_26_Channels/Channel_200_1; +LOCATE UGROUP "E&F_26" SITE "R96C156D" ; +UGROUP "E&F_27" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_27_Channels/Channel_200_1; +LOCATE UGROUP "E&F_27" SITE "R105C131D ; +UGROUP "E&F_28" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_28_Channels/Channel_200_1; +LOCATE UGROUP "E&F_28" SITE "R105C156D" ; +UGROUP "E&F_33" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_33_Channels/Channel_200_1; +LOCATE UGROUP "E&F_33" SITE "R11C59D" ; +UGROUP "E&F_12" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_12_Channels/Channel_200_1; +LOCATE UGROUP "E&F_12" SITE "R11C84D" ; +UGROUP "E&F_34" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_34_Channels/Channel_200_1; +LOCATE UGROUP "E&F_34" SITE "R15C59D" ; +UGROUP "E&F_13" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_13_Channels/Channel_200_1; +LOCATE UGROUP "E&F_13" SITE "R15C84D" ; +UGROUP "E&F_35" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_35_Channels/Channel_200_1; +LOCATE UGROUP "E&F_35" SITE "R24C59D" ; +UGROUP "E&F_14" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_14_Channels/Channel_200_1; +LOCATE UGROUP "E&F_14" SITE "R24C84D" ; +UGROUP "E&F_36" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_36_Channels/Channel_200_1; +LOCATE UGROUP "E&F_36" SITE "R38C59D" ; +UGROUP "E&F_15" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_15_Channels/Channel_200_1; +LOCATE UGROUP "E&F_15" SITE "R38C84D" ; +UGROUP "E&F_37" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_37_Channels/Channel_200_1; +LOCATE UGROUP "E&F_37" SITE "R42C59D" ; +UGROUP "E&F_16" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_16_Channels/Channel_200_1; +LOCATE UGROUP "E&F_16" SITE "R42C84D" ; +UGROUP "E&F_50" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_50_Channels/Channel_200_1; +LOCATE UGROUP "E&F_50" SITE "R92C59D" ; +UGROUP "E&F_29" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_29_Channels/Channel_200_1; +LOCATE UGROUP "E&F_29" SITE "R92C84D" ; +UGROUP "E&F_51" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_51_Channels/Channel_200_1; +LOCATE UGROUP "E&F_51" SITE "R96C59D" ; +UGROUP "E&F_30" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_30_Channels/Channel_200_1; +LOCATE UGROUP "E&F_30" SITE "R96C84D" ; +UGROUP "E&F_52" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_52_Channels/Channel_200_1; +LOCATE UGROUP "E&F_52" SITE "R105C59D" ; +UGROUP "E&F_31" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_31_Channels/Channel_200_1; +LOCATE UGROUP "E&F_31" SITE "R105C84D" ; +UGROUP "E&F_38" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_38_Channels/Channel_200_1; +LOCATE UGROUP "E&F_38" SITE "R11C3D" ; +UGROUP "E&F_39" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_39_Channels/Channel_200_1; +LOCATE UGROUP "E&F_39" SITE "R11C28D" ; +UGROUP "E&F_40" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_40_Channels/Channel_200_1; +LOCATE UGROUP "E&F_40" SITE "R15C3D" ; +UGROUP "E&F_41" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_41_Channels/Channel_200_1; +LOCATE UGROUP "E&F_41" SITE "R15C28D" ; +UGROUP "E&F_42" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_42_Channels/Channel_200_1; +LOCATE UGROUP "E&F_42" SITE "R24C3D" ; +UGROUP "E&F_43" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_43_Channels/Channel_200_1; +LOCATE UGROUP "E&F_43" SITE "R24C28D" ; +UGROUP "E&F_44" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_44_Channels/Channel_200_1; +LOCATE UGROUP "E&F_44" SITE "R38C3D" ; +UGROUP "E&F_45" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_45_Channels/Channel_200_1; +LOCATE UGROUP "E&F_45" SITE "R38C28D" ; +UGROUP "E&F_46" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_46_Channels/Channel_200_1; +LOCATE UGROUP "E&F_46" SITE "R42C3D" ; +UGROUP "E&F_47" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_47_Channels/Channel_200_1; +LOCATE UGROUP "E&F_47" SITE "R42C28D" ; +UGROUP "E&F_48" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_48_Channels/Channel_200_1; +LOCATE UGROUP "E&F_48" SITE "R56C3D" ; +UGROUP "E&F_49" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_49_Channels/Channel_200_1; +LOCATE UGROUP "E&F_49" SITE "R56C28D" ; +UGROUP "E&F_53" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_53_Channels/Channel_200_1; +LOCATE UGROUP "E&F_53" SITE "R60C3D" ; +UGROUP "E&F_54" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_54_Channels/Channel_200_1; +LOCATE UGROUP "E&F_54" SITE "R60C28D" ; +UGROUP "E&F_55" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_55_Channels/Channel_200_1; +LOCATE UGROUP "E&F_55" SITE "R74C3D" ; +UGROUP "E&F_56" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_56_Channels/Channel_200_1; +LOCATE UGROUP "E&F_56" SITE "R74C28D" ; +UGROUP "E&F_57" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_57_Channels/Channel_200_1; +LOCATE UGROUP "E&F_57" SITE "R78C3D" ; +UGROUP "E&F_58" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_58_Channels/Channel_200_1; +LOCATE UGROUP "E&F_58" SITE "R78C28D" ; +UGROUP "E&F_59" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_59_Channels/Channel_200_1; +LOCATE UGROUP "E&F_59" SITE "R92C3D" ; +UGROUP "E&F_60" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_60_Channels/Channel_200_1; +LOCATE UGROUP "E&F_60" SITE "R92C28D" ; +UGROUP "E&F_61" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_61_Channels/Channel_200_1; +LOCATE UGROUP "E&F_61" SITE "R96C3D" ; +UGROUP "E&F_62" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_62_Channels/Channel_200_1; +LOCATE UGROUP "E&F_62" SITE "R96C28D" ; +UGROUP "E&F_63" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_63_Channels/Channel_200_1; +LOCATE UGROUP "E&F_63" SITE "R105C3D" ; +UGROUP "E&F_64" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_64_Channels/Channel_200_1; +LOCATE UGROUP "E&F_64" SITE "R105C28D" ; +UGROUP "E&F_32" BBOX 6 25 + BLKNAME THE_TDC/GEN_Channels_32_Channels/Channel_200_1; +LOCATE UGROUP "E&F_32" SITE "R78C72D" ; +############################################################################# +## Coarse counter register placement +UGROUP "UR_Coarse_Counter" + BLKNAME THE_TDC/TheCoarseCounter1; +LOCATE UGROUP "UR_Coarse_Counter" REGION "REGION_UR_CC" ; +UGROUP "LR_Coarse_Counter" + BLKNAME THE_TDC/TheCoarseCounter2; +LOCATE UGROUP "LR_Coarse_Counter" REGION "REGION_LR_CC" ; +UGROUP "UL_Coarse_Counter" + BLKNAME THE_TDC/TheCoarseCounter3; +LOCATE UGROUP "UL_Coarse_Counter" REGION "REGION_UL_CC" ; +UGROUP "LL_Coarse_Counter" + BLKNAME THE_TDC/TheCoarseCounter4; +LOCATE UGROUP "LL_Coarse_Counter" REGION "REGION_LL_CC" ; +UGROUP "Coarse_Cntr_Group_Ref" + BLKNAME THE_TDC/The_Reference_Time/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_Ref" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_1" + BLKNAME THE_TDC/GEN_Channels_1_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_1" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_2" + BLKNAME THE_TDC/GEN_Channels_2_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_2" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_3" + BLKNAME THE_TDC/GEN_Channels_3_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_3" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_4" + BLKNAME THE_TDC/GEN_Channels_4_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_4" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_5" + BLKNAME THE_TDC/GEN_Channels_5_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_5" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_6" + BLKNAME THE_TDC/GEN_Channels_6_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_6" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_7" + BLKNAME THE_TDC/GEN_Channels_7_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_7" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_8" + BLKNAME THE_TDC/GEN_Channels_8_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_8" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_9" + BLKNAME THE_TDC/GEN_Channels_9_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_9" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_10" + BLKNAME THE_TDC/GEN_Channels_10_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_10" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_11" + BLKNAME THE_TDC/GEN_Channels_1_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_11" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_12" + BLKNAME THE_TDC/GEN_Channels_2_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_12" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_13" + BLKNAME THE_TDC/GEN_Channels_3_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_13" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_14" + BLKNAME THE_TDC/GEN_Channels_4_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_14" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_15" + BLKNAME THE_TDC/GEN_Channels_5_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_15" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_16" + BLKNAME THE_TDC/GEN_Channels_6_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_16" REGION "Coarse_Cntr_1" ; +UGROUP "Coarse_Cntr_Group_17" + BLKNAME THE_TDC/GEN_Channels_7_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_17" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_18" + BLKNAME THE_TDC/GEN_Channels_8_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_18" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_19" + BLKNAME THE_TDC/GEN_Channels_9_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_19" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_20" + BLKNAME THE_TDC/GEN_Channels_10_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_20" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_21" + BLKNAME THE_TDC/GEN_Channels_1_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_21" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_22" + BLKNAME THE_TDC/GEN_Channels_2_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_22" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_23" + BLKNAME THE_TDC/GEN_Channels_3_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_23" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_24" + BLKNAME THE_TDC/GEN_Channels_4_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_24" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_25" + BLKNAME THE_TDC/GEN_Channels_5_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_25" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_26" + BLKNAME THE_TDC/GEN_Channels_6_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_26" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_27" + BLKNAME THE_TDC/GEN_Channels_7_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_27" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_28" + BLKNAME THE_TDC/GEN_Channels_8_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_28" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_29" + BLKNAME THE_TDC/GEN_Channels_9_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_29" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_30" + BLKNAME THE_TDC/GEN_Channels_10_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_30" REGION "REGION_TRBNET" ; +UGROUP "Coarse_Cntr_Group_31" + BLKNAME THE_TDC/GEN_Channels_1_Channels/CoarseCounter; +LOCATE UGROUP "Coarse_Cntr_Group_31" REGION "REGION_TRBNET" ; +#UGROUP "Coarse_Cntr_Group_32" +# BLKNAME THE_TDC/GEN_Channels_2_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_32" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_33" +# BLKNAME THE_TDC/GEN_Channels_3_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_33" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_34" +# BLKNAME THE_TDC/GEN_Channels_4_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_34" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_35" +# BLKNAME THE_TDC/GEN_Channels_5_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_35" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_36" +# BLKNAME THE_TDC/GEN_Channels_6_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_36" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_37" +# BLKNAME THE_TDC/GEN_Channels_7_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_37" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_38" +# BLKNAME THE_TDC/GEN_Channels_8_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_38" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_39" +# BLKNAME THE_TDC/GEN_Channels_9_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_39" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_40" +# BLKNAME THE_TDC/GEN_Channels_10_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_410" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_41" +# BLKNAME THE_TDC/GEN_Channels_1_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_41" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_42" +# BLKNAME THE_TDC/GEN_Channels_2_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_42" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_43" +# BLKNAME THE_TDC/GEN_Channels_3_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_43" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_44" +# BLKNAME THE_TDC/GEN_Channels_4_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_44" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_45" +# BLKNAME THE_TDC/GEN_Channels_5_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_45" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_46" +# BLKNAME THE_TDC/GEN_Channels_6_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_46" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_47" +# BLKNAME THE_TDC/GEN_Channels_7_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_47" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_48" +# BLKNAME THE_TDC/GEN_Channels_8_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_48" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_49" +# BLKNAME THE_TDC/GEN_Channels_9_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_49" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_50" +# BLKNAME THE_TDC/GEN_Channels_10_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_510" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_51" +# BLKNAME THE_TDC/GEN_Channels_1_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_51" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_52" +# BLKNAME THE_TDC/GEN_Channels_2_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_52" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_53" +# BLKNAME THE_TDC/GEN_Channels_3_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_53" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_54" +# BLKNAME THE_TDC/GEN_Channels_4_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_54" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_55" +# BLKNAME THE_TDC/GEN_Channels_5_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_55" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_56" +# BLKNAME THE_TDC/GEN_Channels_6_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_56" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_57" +# BLKNAME THE_TDC/GEN_Channels_7_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_57" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_58" +# BLKNAME THE_TDC/GEN_Channels_8_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_58" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_59" +# BLKNAME THE_TDC/GEN_Channels_9_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_59" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_60" +# BLKNAME THE_TDC/GEN_Channels_10_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_610" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_61" +# BLKNAME THE_TDC/GEN_Channels_1_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_61" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_62" +# BLKNAME THE_TDC/GEN_Channels_2_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_62" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_63" +# BLKNAME THE_TDC/GEN_Channels_3_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_63" REGION "Coarse_Cntr_1" ; +#UGROUP "Coarse_Cntr_Group_64" +# BLKNAME THE_TDC/GEN_Channels_4_Channels/CoarseCounter; +#LOCATE UGROUP "Coarse_Cntr_Group_64" REGION "Coarse_Cntr_1" ; -############################################################################## -## Unimportant Data Lines ## -############################################################################## +############################################################################# +## Unimportant Data Lines ## +############################################################################# #MULTICYCLE TO PORT "TEST_LINE_*" 2.000000 X ; -MULTICYCLE TO CELL "THE_TDC/GEN_Channels_*_Channels/lost_hit_cntr_*" 3.000000 X ; -MULTICYCLE TO CELL "THE_TDC/GEN_Channels_*_Channels/hit_detect_cntr_*" 3.000000 X ; -MULTICYCLE TO CELL "THE_TDC/GEN_Channels_*_Channels/encoder_start_cntr_*" 3.000000 X ; -MULTICYCLE TO CELL "THE_TDC/GEN_Channels_*_Channels/fifo_wr_cntr_*" 3.000000 X ; -MULTICYCLE FROM CELL "THE_TDC/reset_tdc*" 3.000000 X ; +#MULTICYCLE TO CELL "THE_TDC/GEN_Channels_*_Channels/lost_hit_cntr_*" 3.000000 X ; +#MULTICYCLE TO CELL "THE_TDC/GEN_Channels_*_Channels/hit_detect_cntr_*" 3.000000 X ; +#MULTICYCLE TO CELL "THE_TDC/GEN_Channels_*_Channels/encoder_start_cntr_*" 3.000000 X ; +#MULTICYCLE TO CELL "THE_TDC/GEN_Channels_*_Channels/fifo_wr_cntr_*" 3.000000 X ; + +BLOCK NET "THE_TDC/reset_tdc*" ; +BLOCK NET "THE_TDC/hit_in_i_*" ; +BLOCK PATH TO CELL "THE_TDC/GEN_Channels_*_Channels/Channel_200_1/FC/FF_*" ; + + +MULTICYCLE FROM CELL "THE_TDC/GEN_Channels_*_Channels/Channel_200_1/reset_counters_200" 4.000000 X ; +MULTICYCLE TO CELL "THE_TDC/GEN_Channels_*_Channels/Channel_200_1/reset_counters_200" 4.000000 X; + +MULTICYCLE FROM CELL "THE_TDC/The_Reference_Time/Reference_Channel_200_1/FIFO_ALMOST_FULL_OUT" TO CELL "THE_TDC/data_out_reg_*" 2.000000 X ; +MULTICYCLE FROM CELL "THE_TDC/The_Reference_Time/Reference_Channel_200_1/FIFO_FULL_OUT" TO CELL "THE_TDC/data_out_reg_*" 2.000000 X ; +MULTICYCLE FROM CELL "THE_TDC/GEN_Channels_*_Channels/Channel_200_1/FIFO_ALMOST_FULL_OUT" TO CELL "THE_TDC/data_out_reg_*" 2.000000 X ; +MULTICYCLE FROM CELL "THE_TDC/GEN_Channels_*_Channels/Channel_200_1/FIFO_FULL_OUT" TO CELL "THE_TDC/data_out_reg_*" 2.000000 X ; +MULTICYCLE FROM CELL "THE_TDC/TheEpochCounter/counter_*" TO CELL "THE_TDC/GEN_Channels_*_Channels/Channel_200_1/epoch_cntr_*" 6.000000 X ; +MULTICYCLE FROM CELL "THE_TDC/TheEpochCounter/counter_*" TO CELL "THE_TDC/The_Reference_Time/Reference_Channel_200_1/epoch_cntr_*" 6.000000 X ; MAXDELAY NET "THE_TDC/The_Reference_Time/hit_buf" 0.700000 nS DATAPATH_ONLY ; MAXDELAY NET "THE_TDC/GEN_Channels_*_Channels/hit_buf" 0.700000 nS DATAPATH_ONLY ; -- 2.43.0