From f9e80a32e26576fdc99c47b5d686a1deaec13c0a Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Mon, 11 Jul 2022 15:55:10 +0200 Subject: [PATCH] fix for link status in media interface, should now be stable --- gbe_trb/base/gbe_logic_wrapper.vhd | 20 +++++++++---------- gbe_trb/base/trb_net16_gbe_frame_receiver.vhd | 4 ++-- gbe_trb_ecp3/base/gbe_med_fifo.vhd | 2 +- gbe_trb_ecp3/base/gbe_wrapper_fifo.vhd | 18 ++++++++--------- 4 files changed, 22 insertions(+), 22 deletions(-) diff --git a/gbe_trb/base/gbe_logic_wrapper.vhd b/gbe_trb/base/gbe_logic_wrapper.vhd index cfd24f8..35d17e7 100644 --- a/gbe_trb/base/gbe_logic_wrapper.vhd +++ b/gbe_trb/base/gbe_logic_wrapper.vhd @@ -39,14 +39,14 @@ entity gbe_logic_wrapper is MAC_FIFOEOF_OUT : out std_logic; MAC_FIFOEMPTY_OUT : out std_logic; MAC_RX_FIFOFULL_OUT : out std_logic; - MAC_TX_DATA_OUT : out std_logic_vector(7 downto 0); - MAC_TX_READ_IN : in std_logic; - MAC_TX_DISCRFRM_IN : in std_logic; - MAC_TX_STAT_EN_IN : in std_logic; - MAC_TX_STATS_IN : in std_logic_vector(30 downto 0); - MAC_TX_DONE_IN : in std_logic; - MAC_RX_FIFO_ERR_IN : in std_logic; - MAC_RX_STATS_IN : in std_logic_vector(31 downto 0); +-- MAC_TX_DATA_OUT : out std_logic_vector(7 downto 0); +-- MAC_TX_READ_IN : in std_logic; +-- MAC_TX_DISCRFRM_IN : in std_logic; +-- MAC_TX_STAT_EN_IN : in std_logic; +-- MAC_TX_STATS_IN : in std_logic_vector(30 downto 0); +-- MAC_TX_DONE_IN : in std_logic; +-- MAC_RX_FIFO_ERR_IN : in std_logic; +-- MAC_RX_STATS_IN : in std_logic_vector(31 downto 0); MAC_RX_DATA_IN : in std_logic_vector(7 downto 0); MAC_RX_WRITE_IN : in std_logic; MAC_RX_STAT_EN_IN : in std_logic; @@ -546,10 +546,10 @@ begin MAC_RX_ER_IN => MAC_RX_ERROR_IN, MAC_RXD_IN => MAC_RX_DATA_IN, MAC_RX_EN_IN => MAC_RX_WRITE_IN, - MAC_RX_FIFO_ERR_IN => MAC_RX_FIFO_ERR_IN, + MAC_RX_FIFO_ERR_IN => '0', -- UNUSED MAC_RX_FIFO_FULL_OUT => MAC_RX_FIFOFULL_OUT, MAC_RX_STAT_EN_IN => MAC_RX_STAT_EN_IN, - MAC_RX_STAT_VEC_IN => MAC_RX_STATS_IN, + MAC_RX_STAT_VEC_IN => (others => '0'), -- output signal to control logic FR_Q_OUT => fr_q, FR_RD_EN_IN => fr_rd_en, diff --git a/gbe_trb/base/trb_net16_gbe_frame_receiver.vhd b/gbe_trb/base/trb_net16_gbe_frame_receiver.vhd index 0fc01b8..e6d7d3f 100644 --- a/gbe_trb/base/trb_net16_gbe_frame_receiver.vhd +++ b/gbe_trb/base/trb_net16_gbe_frame_receiver.vhd @@ -31,10 +31,10 @@ port ( MAC_RX_ER_IN : in std_logic; MAC_RXD_IN : in std_logic_vector(7 downto 0); MAC_RX_EN_IN : in std_logic; - MAC_RX_FIFO_ERR_IN : in std_logic; + MAC_RX_FIFO_ERR_IN : in std_logic; -- UNUSED MAC_RX_FIFO_FULL_OUT : out std_logic; MAC_RX_STAT_EN_IN : in std_logic; - MAC_RX_STAT_VEC_IN : in std_logic_vector(31 downto 0); + MAC_RX_STAT_VEC_IN : in std_logic_vector(31 downto 0); -- UNUSED -- output signal to control logic FR_Q_OUT : out std_logic_vector(8 downto 0); FR_RD_EN_IN : in std_logic; diff --git a/gbe_trb_ecp3/base/gbe_med_fifo.vhd b/gbe_trb_ecp3/base/gbe_med_fifo.vhd index 9a99548..723de30 100644 --- a/gbe_trb_ecp3/base/gbe_med_fifo.vhd +++ b/gbe_trb_ecp3/base/gbe_med_fifo.vhd @@ -621,7 +621,7 @@ begin FIFO_D_IN => FIFO_DATA_IN((i + 1) * 9 - 1 downto i * 9), -- Link stuff FRAME_START_IN => FRAME_START_IN(i), - LINK_ACTIVE_IN => an_complete(i), + LINK_ACTIVE_IN => link_active(i), --an_complete(i), -- DEBUG => open ); diff --git a/gbe_trb_ecp3/base/gbe_wrapper_fifo.vhd b/gbe_trb_ecp3/base/gbe_wrapper_fifo.vhd index abd6fd7..c97deba 100644 --- a/gbe_trb_ecp3/base/gbe_wrapper_fifo.vhd +++ b/gbe_trb_ecp3/base/gbe_wrapper_fifo.vhd @@ -214,7 +214,7 @@ architecture RTL of gbe_wrapper_fifo is begin ------------------------------------------------------------------------------------------------- - -- HACK: adpot the RX part for internal GbE hub + -- HACK: adopt the RX part for internal GbE hub -- FrameActice signal - used to inhibit acceptance of runt frames THE_FRAME_ACTIVE_PROC: process( CLK_125_IN ) @@ -303,14 +303,14 @@ begin MAC_FIFOEOF_OUT => open, -- NEEDED MAC_FIFOEMPTY_OUT => open, -- NEEDED MAC_RX_FIFOFULL_OUT => FIFO_FULL_OUT, -- NEEDED -- BUG: check level - MAC_TX_DATA_OUT => open, -- NEEDED - MAC_TX_READ_IN => '0', -- NEEDED - MAC_TX_DISCRFRM_IN => '0', -- NEEDED - MAC_TX_STAT_EN_IN => '0', -- NEEDED - MAC_TX_STATS_IN => (others => '0'), -- NEEDED - MAC_TX_DONE_IN => '0', -- NEEDED - MAC_RX_FIFO_ERR_IN => '0', -- NEEDED - MAC_RX_STATS_IN => (others => '0'), -- done +-- MAC_TX_DATA_OUT => open, -- NEEDED +-- MAC_TX_READ_IN => '0', -- NEEDED +-- MAC_TX_DISCRFRM_IN => '0', -- NEEDED +-- MAC_TX_STAT_EN_IN => '0', -- NEEDED +-- MAC_TX_STATS_IN => (others => '0'), -- NEEDED +-- MAC_TX_DONE_IN => '0', -- NEEDED +-- MAC_RX_FIFO_ERR_IN => '0', -- NEEDED +-- MAC_RX_STATS_IN => (others => '0'), -- done MAC_RX_DATA_IN => FIFO_DATA_IN(7 downto 0), -- NEEDED MAC_RX_WRITE_IN => rx_fifo_wr, -- NEEDED MAC_RX_STAT_EN_IN => '0', -- NEEDED -- 2.43.0