From fafa440d2620d2fcb5852e373c663062f2c1771c Mon Sep 17 00:00:00 2001 From: Cahit Date: Wed, 23 Mar 2016 17:44:17 +0100 Subject: [PATCH] updated encoder rom --- .gitignore | 2 + releases/tdc_v2.3/ROM_encoder_ecp3.vhd | 2 +- releases/tdc_v2.3/ROM_encoder_ecp5.vhd | 2 +- .../tdc_v2.3/rom_encoder/ROM_encoder_3.ipx | 10 - .../tdc_v2.3/rom_encoder/ROM_encoder_3.jhd | 7 - .../tdc_v2.3/rom_encoder/ROM_encoder_3.lpc | 48 - .../tdc_v2.3/rom_encoder/ROM_encoder_3.naf | 21 - .../tdc_v2.3/rom_encoder/ROM_encoder_3.sort | 1 - .../tdc_v2.3/rom_encoder/ROM_encoder_3.srp | 29 - .../tdc_v2.3/rom_encoder/ROM_encoder_3.sym | Bin 266 -> 0 bytes .../rom_encoder/ROM_encoder_3_generate.log | 47 - .../rom_encoder/ROM_encoder_3_tmpl.vhd | 15 - .../rom_encoder/ecp3/ROM_encoder_3.ipx | 10 + .../rom_encoder/{ => ecp3}/ROM_encoder_3.vhd | 34 +- .../ecp5/ROM_encoder_3/ROM_encoder_3.sbx | 249 ++ .../ecp5/ROM_encoder_3/ROM_encoder_3.vhd | 148 ++ releases/tdc_v2.3/rom_encoder/msg_file.log | 32 - releases/tdc_v2.3/rom_encoder/rom_encoder.mem | 2169 +---------------- .../rom_encoder/tb_ROM_encoder_3_tmpl.vhd | 68 - 19 files changed, 514 insertions(+), 2380 deletions(-) delete mode 100644 releases/tdc_v2.3/rom_encoder/ROM_encoder_3.ipx delete mode 100644 releases/tdc_v2.3/rom_encoder/ROM_encoder_3.jhd delete mode 100644 releases/tdc_v2.3/rom_encoder/ROM_encoder_3.lpc delete mode 100644 releases/tdc_v2.3/rom_encoder/ROM_encoder_3.naf delete mode 100644 releases/tdc_v2.3/rom_encoder/ROM_encoder_3.sort delete mode 100644 releases/tdc_v2.3/rom_encoder/ROM_encoder_3.srp delete mode 100644 releases/tdc_v2.3/rom_encoder/ROM_encoder_3.sym delete mode 100644 releases/tdc_v2.3/rom_encoder/ROM_encoder_3_generate.log delete mode 100644 releases/tdc_v2.3/rom_encoder/ROM_encoder_3_tmpl.vhd create mode 100644 releases/tdc_v2.3/rom_encoder/ecp3/ROM_encoder_3.ipx rename releases/tdc_v2.3/rom_encoder/{ => ecp3}/ROM_encoder_3.vhd (93%) create mode 100644 releases/tdc_v2.3/rom_encoder/ecp5/ROM_encoder_3/ROM_encoder_3.sbx create mode 100644 releases/tdc_v2.3/rom_encoder/ecp5/ROM_encoder_3/ROM_encoder_3.vhd delete mode 100644 releases/tdc_v2.3/rom_encoder/msg_file.log delete mode 100644 releases/tdc_v2.3/rom_encoder/tb_ROM_encoder_3_tmpl.vhd diff --git a/.gitignore b/.gitignore index 0645ed0..6e2cc9b 100644 --- a/.gitignore +++ b/.gitignore @@ -10,3 +10,5 @@ base/cores/ecp5/FIFO/FIFO_DC_36x128_OutReg/** base/cores/ecp5/FIFO/FIFO_DC_36x32_OutReg/** base/cores/ecp5/FIFO/FIFO_DC_36x64_OutReg/** base/cores/ecp5/PLL/pll_in125_out33/** +tdc_test/** +releases/*/rom_encoder/** diff --git a/releases/tdc_v2.3/ROM_encoder_ecp3.vhd b/releases/tdc_v2.3/ROM_encoder_ecp3.vhd index cb38ecb..10a014d 120000 --- a/releases/tdc_v2.3/ROM_encoder_ecp3.vhd +++ b/releases/tdc_v2.3/ROM_encoder_ecp3.vhd @@ -1 +1 @@ -../../base/cores/ecp3/TDC/ROM_encoder_3.vhd \ No newline at end of file +rom_encoder/ecp3/ROM_encoder_3.vhd \ No newline at end of file diff --git a/releases/tdc_v2.3/ROM_encoder_ecp5.vhd b/releases/tdc_v2.3/ROM_encoder_ecp5.vhd index d5ee874..0b05144 120000 --- a/releases/tdc_v2.3/ROM_encoder_ecp5.vhd +++ b/releases/tdc_v2.3/ROM_encoder_ecp5.vhd @@ -1 +1 @@ -../../base/cores/ecp5/TDC/ROM_encoder_3/ROM_encoder_3.vhd \ No newline at end of file +rom_encoder/ecp5/ROM_encoder_3/ROM_encoder_3.vhd \ No newline at end of file diff --git a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.ipx b/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.ipx deleted file mode 100644 index e989d8a..0000000 --- a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.ipx +++ /dev/null @@ -1,10 +0,0 @@ - - - - - - - - - - diff --git a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.jhd b/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.jhd deleted file mode 100644 index 9f3150e..0000000 --- a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.jhd +++ /dev/null @@ -1,7 +0,0 @@ -MODULE ROM_encoder_3 DEFIN ROM_encoder_3.vhd - SUBMODULE DP16KC - INSTANCE ROM_encoder_3_0_0_0 - SUBMODULE VLO - INSTANCE scuba_vlo_inst - SUBMODULE VHI - INSTANCE scuba_vhi_inst diff --git a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.lpc b/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.lpc deleted file mode 100644 index 6d93ce6..0000000 --- a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.lpc +++ /dev/null @@ -1,48 +0,0 @@ -[Device] -Family=latticeecp3 -PartType=LFE3-150EA -PartName=LFE3-150EA-8FN672C -SpeedGrade=8 -Package=FPBGA672 -OperatingCondition=COM -Status=P - -[IP] -VendorName=Lattice Semiconductor Corporation -CoreType=LPM -CoreStatus=Demo -CoreName=ROM -CoreRevision=5.1 -ModuleName=ROM_encoder_3 -SourceFormat=VHDL -ParameterFileVersion=1.0 -Date=05/09/2014 -Time=15:03:00 - -[Parameters] -Verilog=0 -VHDL=1 -EDIF=1 -Destination=Synplicity -Expression=BusA(0 to 7) -Order=Big Endian [MSB:LSB] -IO=0 -Address=1024 -Data=8 -adPipeline=0 -inPipeline=0 -outPipeline=1 -MOR=0 -InData=Registered -AdControl=Registered -MemFile=rom_encoder.mem -MemFormat=orca -Reset=Sync -Pad=0 -GSR=Enabled -EnECC=0 -Optimization=Speed -Pipeline=0 - -[FilesGenerated] -rom_encoder.mem=mem diff --git a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.naf b/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.naf deleted file mode 100644 index 057f9ac..0000000 --- a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.naf +++ /dev/null @@ -1,21 +0,0 @@ -Address[9] i -Address[8] i -Address[7] i -Address[6] i -Address[5] i -Address[4] i -Address[3] i -Address[2] i -Address[1] i -Address[0] i -OutClock i -OutClockEn i -Reset i -Q[7] o -Q[6] o -Q[5] o -Q[4] o -Q[3] o -Q[2] o -Q[1] o -Q[0] o diff --git a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.sort b/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.sort deleted file mode 100644 index 1efa6dc..0000000 --- a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.sort +++ /dev/null @@ -1 +0,0 @@ -ROM_encoder_3.vhd diff --git a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.srp b/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.srp deleted file mode 100644 index 3809c8c..0000000 --- a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.srp +++ /dev/null @@ -1,29 +0,0 @@ -SCUBA, Version Diamond_3.0_Production (94) -Fri May 9 15:03:00 2014 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2013 Lattice Semiconductor Corporation, All rights reserved. - - Issued command : /opt/lattice/diamond/3.0_x64/ispfpga/bin/lin64/scuba -w -n ROM_encoder_3 -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type romblk -device LFE3-150EA -addr_width 10 -data_width 8 -num_words 1024 -outdata REGISTERED -memfile rom_encoder.mem -memformat orca -cascade -1 -e - Circuit name : ROM_encoder_3 - Module type : EBR_ROM - Module Version : 5.1 - Ports : - Inputs : Address[9:0], OutClock, OutClockEn, Reset - Outputs : Q[7:0] - I/O buffer : not inserted - Memory file : rom_encoder.mem - EDIF output : suppressed - VHDL output : ROM_encoder_3.vhd - VHDL template : ROM_encoder_3_tmpl.vhd - VHDL testbench : tb_ROM_encoder_3_tmpl.vhd - VHDL purpose : for synthesis and simulation - Bus notation : big endian - Report output : ROM_encoder_3.srp - Element Usage : - DP16KC : 1 - Estimated Resource Usage: - EBR : 1 diff --git a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.sym b/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.sym deleted file mode 100644 index 8df8ba717d0b67660fa779d9df23429fecddf3cf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 266 zcmZ1z&Hx0=K+MI+0%9@91qwF-Wi~KWKx9~hQj1edfFc4+ z1q?k5DsD3v7}x@%&B10fFfcOvhk|Sn;SKWljZe)>&QD1#iZ^E1#lXRMfx#6h!@(%P z;L3Q8fs^3_V*%KdTMUd~8x(-HJOi^Q0R1w7;S-o$0Av?1`~#{7`AGqYfxZUP3_$%4 F7y#QtFn9m} diff --git a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3_generate.log b/releases/tdc_v2.3/rom_encoder/ROM_encoder_3_generate.log deleted file mode 100644 index e52595c..0000000 --- a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3_generate.log +++ /dev/null @@ -1,47 +0,0 @@ -Starting process: Module - -Starting process: - -SCUBA, Version Diamond_3.0_Production (94) -Fri May 9 15:03:00 2014 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2013 Lattice Semiconductor Corporation, All rights reserved. - -BEGIN SCUBA Module Synthesis - - Issued command : /opt/lattice/diamond/3.0_x64/ispfpga/bin/lin64/scuba -w -n ROM_encoder_3 -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type romblk -device LFE3-150EA -addr_width 10 -data_width 8 -num_words 1024 -outdata REGISTERED -memfile rom_encoder.mem -memformat orca -cascade -1 -e - Circuit name : ROM_encoder_3 - Module type : EBR_ROM - Module Version : 5.1 - Ports : - Inputs : Address[9:0], OutClock, OutClockEn, Reset - Outputs : Q[7:0] - I/O buffer : not inserted - Memory file : rom_encoder.mem - EDIF output : suppressed - VHDL output : ROM_encoder_3.vhd - VHDL template : ROM_encoder_3_tmpl.vhd - VHDL testbench : tb_ROM_encoder_3_tmpl.vhd - VHDL purpose : for synthesis and simulation - Bus notation : big endian - Report output : ROM_encoder_3.srp - Estimated Resource Usage: - EBR : 1 - -END SCUBA Module Synthesis - -File: ROM_encoder_3.lpc created. - - -End process: completed successfully. - - -Total Warnings: 0 - -Total Errors: 0 - - diff --git a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3_tmpl.vhd b/releases/tdc_v2.3/rom_encoder/ROM_encoder_3_tmpl.vhd deleted file mode 100644 index 50cf43f..0000000 --- a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3_tmpl.vhd +++ /dev/null @@ -1,15 +0,0 @@ --- VHDL module instantiation generated by SCUBA Diamond_3.0_Production (94) --- Module Version: 5.1 --- Fri May 9 15:03:00 2014 - --- parameterized module component declaration -component ROM_encoder_3 - port (Address: in std_logic_vector(9 downto 0); - OutClock: in std_logic; OutClockEn: in std_logic; - Reset: in std_logic; Q: out std_logic_vector(7 downto 0)); -end component; - --- parameterized module component instance -__ : ROM_encoder_3 - port map (Address(9 downto 0)=>__, OutClock=>__, OutClockEn=>__, - Reset=>__, Q(7 downto 0)=>__); diff --git a/releases/tdc_v2.3/rom_encoder/ecp3/ROM_encoder_3.ipx b/releases/tdc_v2.3/rom_encoder/ecp3/ROM_encoder_3.ipx new file mode 100644 index 0000000..0e624a4 --- /dev/null +++ b/releases/tdc_v2.3/rom_encoder/ecp3/ROM_encoder_3.ipx @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.vhd b/releases/tdc_v2.3/rom_encoder/ecp3/ROM_encoder_3.vhd similarity index 93% rename from releases/tdc_v2.3/rom_encoder/ROM_encoder_3.vhd rename to releases/tdc_v2.3/rom_encoder/ecp3/ROM_encoder_3.vhd index 00c0a02..07f18aa 100644 --- a/releases/tdc_v2.3/rom_encoder/ROM_encoder_3.vhd +++ b/releases/tdc_v2.3/rom_encoder/ecp3/ROM_encoder_3.vhd @@ -1,8 +1,8 @@ --- VHDL netlist generated by SCUBA Diamond_3.0_Production (94) --- Module Version: 5.1 ---/opt/lattice/diamond/3.0_x64/ispfpga/bin/lin64/scuba -w -n ROM_encoder_3 -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type bram -wp 00 -rp 1100 -addr_width 10 -data_width 8 -num_rows 1024 -outdata REGISTERED -memfile rom_encoder.mem -memformat orca -cascade -1 -e +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.6.0.83.4 +-- Module Version: 5.4 +--/opt/lattice/diamond/3.6_x64/ispfpga/bin/lin64/scuba -w -n ROM_encoder_3 -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type bram -wp 00 -rp 1100 -addr_width 10 -data_width 8 -num_rows 1024 -outdata REGISTERED -memfile /home/cugur/Projects/TDC_on_TRB3/tdc/releases/tdc_v2.3/rom_encoder/rom_encoder.mem -memformat orca -cascade -1 --- Fri May 9 15:03:00 2014 +-- Wed Mar 23 17:38:00 2016 library IEEE; use IEEE.std_logic_1164.all; @@ -146,22 +146,22 @@ begin port map (Z=>scuba_vlo); ROM_encoder_3_0_0_0: DP16KC - generic map (INITVAL_3F=> "0x00000000800008000081000000000000000000820000000081000820008200000000000008200083", - INITVAL_3E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000084", - INITVAL_3D=> "0x00000000000000000000000000000000000000000000000083000830008300000000000008400084", - INITVAL_3C=> "0x00000000000000000000000000000000000000000000000000000840008400000000000008500085", + generic map (INITVAL_3F=> "0x00000000800008000081000000000000000000820008100081000820008200000000000008200083", + INITVAL_3E=> "0x00000000000000000000000000000000000000000000000000000000008300000000000000000084", + INITVAL_3D=> "0x00000000000000000000000000000000000000830008200083000830008300000000000008400084", + INITVAL_3C=> "0x00000000000000000000000000000000000000000008300000000840008400000000000008500085", INITVAL_3B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_3A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_39=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000085", - INITVAL_38=> "0x00000000000000000000000000000000000000000000000000000000008500000000000008600086", - INITVAL_37=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_36=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_38=> "0x00000000000000000000000000000000000000000008400000000000008500000000000008600086", + INITVAL_37=> "0x00087000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_36=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000085", INITVAL_35=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000085", INITVAL_34=> "0x00000000000000000000000000000000000000000000000000000000008600000000000008600086", INITVAL_33=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_32=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_31=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000086", - INITVAL_30=> "0x00000000000000000000000000000000000000000000000000000000008700000000000008700087", + INITVAL_30=> "0x00000000000000000000000000000000000000000008500000000000008700000000870008700087", INITVAL_2F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_2E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_2D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", @@ -178,7 +178,7 @@ begin INITVAL_22=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_21=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_20=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_1F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1F=> "0x00087000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_1E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_1D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_1C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", @@ -192,17 +192,17 @@ begin INITVAL_14=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_13=> "0x00085000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_12=> "0x00084000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_11=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_11=> "0x00084000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_10=> "0x00083000000000000000000000000000000000000008200000000000000000081000800000000000", INITVAL_0F=> "0x00087000000000000000000870000000000000000000000000000000000000000000000000000000", - INITVAL_0E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0E=> "0x00086000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_0D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_0B=> "0x00086000000000000000000860000000000000000000000000000000000000000000000000000000", INITVAL_0A=> "0x00085000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_09=> "0x00085000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_08=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", - INITVAL_07=> "0x00086000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_07=> "0x00086000000000000000000860000000000000000000000000000000000000000000000000000000", INITVAL_06=> "0x00085000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_05=> "0x00085000000000000000000000000000000000000000000000000000000000000000000000000000", INITVAL_04=> "0x00084000000000000000000840000000000000000000000000000000000000083000830000000000", diff --git a/releases/tdc_v2.3/rom_encoder/ecp5/ROM_encoder_3/ROM_encoder_3.sbx b/releases/tdc_v2.3/rom_encoder/ecp5/ROM_encoder_3/ROM_encoder_3.sbx new file mode 100644 index 0000000..7731b9e --- /dev/null +++ b/releases/tdc_v2.3/rom_encoder/ecp5/ROM_encoder_3/ROM_encoder_3.sbx @@ -0,0 +1,249 @@ + + + + Lattice Semiconductor Corporation + LEGACY + ROM + 5.4 + + + Diamond_Simulation + simulation + + ./ROM_encoder_3.vhd + vhdlSource + + + + Diamond_Synthesis + synthesis + + ./ROM_encoder_3.vhd + vhdlSource + + + + + + Configuration + none + ${sbp_path}/generate_core.tcl + CONFIG + + + + + + + + LFE5UM-85F-8BG381C + synplify + 2016-03-23.05:39:41 PM + 2016-03-23.05:39:41 PM + 3.6.0.83.4 + VHDL + + false + false + false + false + false + false + false + false + false + false + LPM + PRIMARY + PRIMARY + false + false + + + + + + Family + ecp5um + + + OperatingCondition + COM + + + Package + CABGA381 + + + PartName + LFE5UM-85F-8BG381C + + + PartType + LFE5UM-85F + + + SpeedGrade + 8 + + + Status + P + + + + CoreName + ROM + + + CoreRevision + 5.4 + + + CoreStatus + Demo + + + CoreType + LPM + + + Date + 03/23/2016 + + + ModuleName + ROM_encoder_3 + + + ParameterFileVersion + 1.0 + + + SourceFormat + vhdl + + + Time + 17:39:39 + + + VendorName + Lattice Semiconductor Corporation + + + + Address + 1024 + + + ByteSize + 9 + + + ClockEn + 0 + + + Data + 8 + + + Destination + Synplicity + + + EDIF + 1 + + + EnECC + 0 + + + Expression + BusA(0 to 7) + + + IO + 0 + + + Init + 0 + + + MemFile + /home/cugur/Projects/TDC_on_TRB3/tdc/releases/tdc_v2.3/rom_encoder/rom_encoder.mem + + + MemFormat + orca + + + Optimization + Speed + + + Order + Big Endian [MSB:LSB] + + + OutputEn + 1 + + + Pipeline + 0 + + + Reset + Sync + + + Reset1 + Sync + + + VHDL + 1 + + + Verilog + 0 + + + Write + Normal + + + enByte + 0 + + + init_data + 0 + + + + /home/cugur/Projects/TDC_on_TRB3/tdc/releases/tdc_v2.3/rom_encoder/rom_encoder.mem + mem + + + + cmd_line + -w -n ROM_encoder_3 -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type romblk -device LFE5UM-85F -addr_width 10 -data_width 8 -num_words 1024 -outdata REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile "/home/cugur/Projects/TDC_on_TRB3/tdc/releases/tdc_v2.3/rom_encoder/rom_encoder.mem" -memformat orca + + + + + + + LATTICE + LOCAL + ROM_encoder_3 + 1.0 + + + + diff --git a/releases/tdc_v2.3/rom_encoder/ecp5/ROM_encoder_3/ROM_encoder_3.vhd b/releases/tdc_v2.3/rom_encoder/ecp5/ROM_encoder_3/ROM_encoder_3.vhd new file mode 100644 index 0000000..2494fc9 --- /dev/null +++ b/releases/tdc_v2.3/rom_encoder/ecp5/ROM_encoder_3/ROM_encoder_3.vhd @@ -0,0 +1,148 @@ +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.6.0.83.4 +-- Module Version: 5.4 +--/opt/lattice/diamond/3.6_x64/ispfpga/bin/lin64/scuba -w -n ROM_encoder_3 -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00m -type bram -wp 00 -rp 1100 -addr_width 10 -data_width 8 -num_rows 1024 -outdata REGISTERED -cascade -1 -resetmode SYNC -sync_reset -memfile /home/cugur/Projects/TDC_on_TRB3/tdc/releases/tdc_v2.3/rom_encoder/rom_encoder.mem -memformat orca -fdc /home/cugur/Projects/TDC_on_TRB3/tdc/releases/tdc_v2.3/rom_encoder/ecp5/ROM_encoder_3/ROM_encoder_3.fdc + +-- Wed Mar 23 17:39:41 2016 + +library IEEE; +use IEEE.std_logic_1164.all; +library ecp5um; +use ecp5um.components.all; + +entity ROM_encoder_3 is + port ( + Address: in std_logic_vector(9 downto 0); + OutClock: in std_logic; + OutClockEn: in std_logic; + Reset: in std_logic; + Q: out std_logic_vector(7 downto 0)); +end ROM_encoder_3; + +architecture Structure of ROM_encoder_3 is + + -- internal signal declarations + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + + attribute MEM_LPC_FILE : string; + attribute MEM_INIT_FILE : string; + attribute MEM_LPC_FILE of ROM_encoder_3_0_0_0 : label is "ROM_encoder_3.lpc"; + attribute MEM_INIT_FILE of ROM_encoder_3_0_0_0 : label is "rom_encoder.mem"; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of Structure : architecture is 1; + +begin + -- component instantiation statements + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + ROM_encoder_3_0_0_0: DP16KD + generic map (INIT_DATA=> "STATIC", ASYNC_RESET_RELEASE=> "SYNC", + INITVAL_3F=> "0x00000000800008000081000000000000000000820008100081000820008200000000000008200083", + INITVAL_3E=> "0x00000000000000000000000000000000000000000000000000000000008300000000000000000084", + INITVAL_3D=> "0x00000000000000000000000000000000000000830008200083000830008300000000000008400084", + INITVAL_3C=> "0x00000000000000000000000000000000000000000008300000000840008400000000000008500085", + INITVAL_3B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_3A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_39=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000085", + INITVAL_38=> "0x00000000000000000000000000000000000000000008400000000000008500000000000008600086", + INITVAL_37=> "0x00087000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_36=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000085", + INITVAL_35=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000085", + INITVAL_34=> "0x00000000000000000000000000000000000000000000000000000000008600000000000008600086", + INITVAL_33=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_32=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_31=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000086", + INITVAL_30=> "0x00000000000000000000000000000000000000000008500000000000008700000000870008700087", + INITVAL_2F=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_2A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_29=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_28=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_27=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_26=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_25=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_24=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_23=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_22=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_21=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_20=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1F=> "0x00087000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1E=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_1A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_19=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_18=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_17=> "0x00086000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_16=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_15=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_14=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_13=> "0x00085000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_12=> "0x00084000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_11=> "0x00084000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_10=> "0x00083000000000000000000000000000000000000008200000000000000000081000800000000000", + INITVAL_0F=> "0x00087000000000000000000870000000000000000000000000000000000000000000000000000000", + INITVAL_0E=> "0x00086000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0D=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_0B=> "0x00086000000000000000000860000000000000000000000000000000000000000000000000000000", + INITVAL_0A=> "0x00085000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_09=> "0x00085000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_08=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_07=> "0x00086000000000000000000860000000000000000000000000000000000000000000000000000000", + INITVAL_06=> "0x00085000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_05=> "0x00085000000000000000000000000000000000000000000000000000000000000000000000000000", + INITVAL_04=> "0x00084000000000000000000840000000000000000000000000000000000000083000830000000000", + INITVAL_03=> "0x00085000000000000000000840000000000000000000000000000000000000000000000000000000", + INITVAL_02=> "0x00084000000000000000000840008400000000000000000000000000000000000000000000000000", + INITVAL_01=> "0x00084000000000000000000830000000000000000000000000000000000000082000820000000000", + INITVAL_00=> "0x00083000000000000000000820008200081000000008200082000810000000081000800008000000", + CSDECODE_B=> "0b111", CSDECODE_A=> "0b000", WRITEMODE_B=> "NORMAL", + WRITEMODE_A=> "NORMAL", GSR=> "ENABLED", RESETMODE=> "SYNC", + REGMODE_B=> "NOREG", REGMODE_A=> "OUTREG", DATA_WIDTH_B=> 18, + DATA_WIDTH_A=> 18) + port map (DIA17=>scuba_vlo, DIA16=>scuba_vlo, DIA15=>scuba_vlo, + DIA14=>scuba_vlo, DIA13=>scuba_vlo, DIA12=>scuba_vlo, + DIA11=>scuba_vlo, DIA10=>scuba_vlo, DIA9=>scuba_vlo, + DIA8=>scuba_vlo, DIA7=>scuba_vlo, DIA6=>scuba_vlo, + DIA5=>scuba_vlo, DIA4=>scuba_vlo, DIA3=>scuba_vlo, + DIA2=>scuba_vlo, DIA1=>scuba_vlo, DIA0=>scuba_vlo, + ADA13=>Address(9), ADA12=>Address(8), ADA11=>Address(7), + ADA10=>Address(6), ADA9=>Address(5), ADA8=>Address(4), + ADA7=>Address(3), ADA6=>Address(2), ADA5=>Address(1), + ADA4=>Address(0), ADA3=>scuba_vlo, ADA2=>scuba_vlo, + ADA1=>scuba_vlo, ADA0=>scuba_vlo, CEA=>OutClockEn, + OCEA=>OutClockEn, CLKA=>OutClock, WEA=>scuba_vlo, + CSA2=>scuba_vlo, CSA1=>scuba_vlo, CSA0=>scuba_vlo, + RSTA=>Reset, DIB17=>scuba_vlo, DIB16=>scuba_vlo, + DIB15=>scuba_vlo, DIB14=>scuba_vlo, DIB13=>scuba_vlo, + DIB12=>scuba_vlo, DIB11=>scuba_vlo, DIB10=>scuba_vlo, + DIB9=>scuba_vlo, DIB8=>scuba_vlo, DIB7=>scuba_vlo, + DIB6=>scuba_vlo, DIB5=>scuba_vlo, DIB4=>scuba_vlo, + DIB3=>scuba_vlo, DIB2=>scuba_vlo, DIB1=>scuba_vlo, + DIB0=>scuba_vlo, ADB13=>scuba_vlo, ADB12=>scuba_vlo, + ADB11=>scuba_vlo, ADB10=>scuba_vlo, ADB9=>scuba_vlo, + ADB8=>scuba_vlo, ADB7=>scuba_vlo, ADB6=>scuba_vlo, + ADB5=>scuba_vlo, ADB4=>scuba_vlo, ADB3=>scuba_vlo, + ADB2=>scuba_vlo, ADB1=>scuba_vlo, ADB0=>scuba_vlo, + CEB=>scuba_vhi, OCEB=>scuba_vhi, CLKB=>scuba_vlo, + WEB=>scuba_vlo, CSB2=>scuba_vlo, CSB1=>scuba_vlo, + CSB0=>scuba_vlo, RSTB=>scuba_vlo, DOA17=>open, DOA16=>open, + DOA15=>open, DOA14=>open, DOA13=>open, DOA12=>open, + DOA11=>open, DOA10=>open, DOA9=>open, DOA8=>open, DOA7=>Q(7), + DOA6=>Q(6), DOA5=>Q(5), DOA4=>Q(4), DOA3=>Q(3), DOA2=>Q(2), + DOA1=>Q(1), DOA0=>Q(0), DOB17=>open, DOB16=>open, + DOB15=>open, DOB14=>open, DOB13=>open, DOB12=>open, + DOB11=>open, DOB10=>open, DOB9=>open, DOB8=>open, DOB7=>open, + DOB6=>open, DOB5=>open, DOB4=>open, DOB3=>open, DOB2=>open, + DOB1=>open, DOB0=>open); + +end Structure; diff --git a/releases/tdc_v2.3/rom_encoder/msg_file.log b/releases/tdc_v2.3/rom_encoder/msg_file.log deleted file mode 100644 index f418937..0000000 --- a/releases/tdc_v2.3/rom_encoder/msg_file.log +++ /dev/null @@ -1,32 +0,0 @@ -SCUBA, Version Diamond_3.0_Production (94) -Fri May 9 15:03:00 2014 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2013 Lattice Semiconductor Corporation, All rights reserved. - -BEGIN SCUBA Module Synthesis - - Issued command : /opt/lattice/diamond/3.0_x64/ispfpga/bin/lin64/scuba -w -n ROM_encoder_3 -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type romblk -device LFE3-150EA -addr_width 10 -data_width 8 -num_words 1024 -outdata REGISTERED -memfile rom_encoder.mem -memformat orca -cascade -1 -e - Circuit name : ROM_encoder_3 - Module type : EBR_ROM - Module Version : 5.1 - Ports : - Inputs : Address[9:0], OutClock, OutClockEn, Reset - Outputs : Q[7:0] - I/O buffer : not inserted - Memory file : rom_encoder.mem - EDIF output : suppressed - VHDL output : ROM_encoder_3.vhd - VHDL template : ROM_encoder_3_tmpl.vhd - VHDL testbench : tb_ROM_encoder_3_tmpl.vhd - VHDL purpose : for synthesis and simulation - Bus notation : big endian - Report output : ROM_encoder_3.srp - Estimated Resource Usage: - EBR : 1 - -END SCUBA Module Synthesis - diff --git a/releases/tdc_v2.3/rom_encoder/rom_encoder.mem b/releases/tdc_v2.3/rom_encoder/rom_encoder.mem index 74361fc..7541264 100644 --- a/releases/tdc_v2.3/rom_encoder/rom_encoder.mem +++ b/releases/tdc_v2.3/rom_encoder/rom_encoder.mem @@ -1,2083 +1,86 @@ -# 1st Edge -##no bubble -000 : 80 # 00000000000 0 -001 : 80 # 00000000001 1 -003 : 80 # 00000000011 3 -007 : 81 # 00000000111 7 -00f : 82 # 00000001111 15 -01f : 83 # 00000011111 31 -03f : 84 # 00000111111 63 -07f : 85 # 00001111111 127 -0ff : 86 # 00011111111 255 -1ff : 87 # 00111111111 511 -3ff : 88 # 01111111111 1023 - -##1 bubble -002 : 80 # 00000000010 2 -005 : 80 # 00000000101 5 -00b : 81 # 00000001011 11 -017 : 82 # 00000010111 23 -02f : 83 # 00000101111 47 -05f : 84 # 00001011111 95 -0bf : 85 # 00010111111 191 -17f : 86 # 00101111111 383 -2ff : 87 # 01011111111 767 - -##2 bubble -004 : 80 # 00000000100 4 -009 : 80 # 00000001001 9 -013 : 81 # 00000010011 19 -027 : 82 # 00000100111 39 -04f : 83 # 00001001111 79 -09f : 84 # 00010011111 159 -13f : 85 # 00100111111 319 -27f : 86 # 01001111111 639 - -006 : 80 # 00000000110 6 -00d : 81 # 00000001101 13 -01b : 82 # 00000011011 27 -037 : 83 # 00000110111 55 -06f : 84 # 00001101111 111 -0df : 85 # 00011011111 223 -1bf : 86 # 00110111111 447 -37f : 87 # 01101111111 895 - -##3 bubble -# 008 : 80 # 00000001000 8 -# 011 : 80 # 00000010001 17 -# 023 : 81 # 00000100011 35 -# 047 : 82 # 00001000111 71 -# 08f : 83 # 00010001111 143 -# 11f : 84 # 00100011111 287 -# 23f : 85 # 01000111111 575 - -# 00a : 80 # 00000001010 10 -# 015 : 81 # 00000010101 21 -# 02b : 82 # 00000101011 43 -# 057 : 83 # 00001010111 87 -# 0af : 84 # 00010101111 175 -# 15f : 85 # 00101011111 351 -# 2bf : 86 # 01010111111 703 - -# 00c : 81 # 00000001100 12 -# 019 : 82 # 00000011001 25 -# 033 : 83 # 00000110011 51 -# 067 : 84 # 00001100111 103 -# 0cf : 85 # 00011001111 207 -# 19f : 86 # 00110011111 415 -# 33f : 87 # 01100111111 831 - -# 00e : 82 # 00000001110 14 -# 01d : 83 # 00000011101 29 -# 03b : 84 # 00000111011 59 -# 077 : 85 # 00001110111 119 -# 0ef : 86 # 00011101111 239 -# 1df : 87 # 00111011111 479 -# 3bf : 88 # 01110111111 959 - - -# 2nd Edge -## no bubble -400 : 88 # 10000000000 1024 -600 : 87 # 11000000000 1536 -700 : 86 # 11100000000 1792 -780 : 85 # 11110000000 1920 -7c0 : 84 # 11111000000 1984 -7e0 : 83 # 11111100000 2016 -7f0 : 82 # 11111110000 2032 -7f8 : 81 # 11111111000 2040 -7fc : 80 # 11111111100 2044 -7fe : 80 # 11111111110 2046 -7ff : 80 # 11111111111 2047 - -##1 bubble -500 : 87 # 10100000000 1280 -680 : 86 # 11010000000 1664 -740 : 85 # 11101000000 1856 -7a0 : 84 # 11110100000 1952 -7d0 : 83 # 11111010000 2000 -7e8 : 82 # 11111101000 2024 -7f4 : 81 # 11111110100 2036 -7fa : 80 # 11111111010 2042 -7fd : 80 # 11111111101 2045 - -##2 bubble -580 : 86 # 10110000000 1408 -6c0 : 85 # 11011000000 1728 -760 : 84 # 11101100000 1888 -7b0 : 83 # 11110110000 1968 -7d8 : 82 # 11111011000 2008 -7ec : 81 # 11111101100 2028 -7f6 : 80 # 11111110110 2038 -7fb : 80 # 11111111011 2043 - -480 : 87 # 10010000000 1152 -640 : 86 # 11001000000 1600 -720 : 85 # 11100100000 1824 -790 : 84 # 11110010000 1936 -7c8 : 83 # 11111001000 2092 -7e4 : 82 # 11111100100 2120 -7f2 : 81 # 11111110010 2134 -7f9 : 80 # 11111111001 2141 - -##3 bubble -# 5c0 : 85 # 10111000000 1472 -# 6e0 : 84 # 11011100000 1760 -# 770 : 83 # 11101110000 1904 -# 7b8 : 82 # 11110111000 1976 -# 7dc : 81 # 11111011100 2012 -# 7ee : 80 # 11111101110 2030 -# 7f7 : 80 # 11111110111 2039 - -# 540 : 86 # 10101000000 1344 -# 6a0 : 85 # 11010100000 1696 -# 750 : 84 # 11101010000 1872 -# 7a8 : 83 # 11110101000 1960 -# 7d4 : 82 # 11111010100 2004 -# 7ea : 81 # 11111101010 2026 -# 7f5 : 80 # 11111110101 2037 - -# 4c0 : 87 # 10011000000 1216 -# 660 : 86 # 11001100000 1632 -# 730 : 85 # 11100110000 1840 -# 798 : 84 # 11110011000 1944 -# 7cc : 83 # 11111001100 1996 -# 7e6 : 82 # 11111100110 2022 -# 7f3 : 81 # 11111110011 2035 - -# 440 : 88 # 10001000000 1088 -# 620 : 87 # 11000100000 1568 -# 710 : 86 # 11100010000 1808 -# 788 : 85 # 11110001000 1928 -# 7c4 : 84 # 11111000100 1988 -# 7e2 : 83 # 11111100010 2018 -# 7f1 : 82 # 11111110001 2033 - - - - - - - -## The rest -# 010 : C4 # 0000010000 16 -# 012 : C4 # 0000010010 18 -# 014 : C4 # 0000010100 20 -# 016 : C4 # 0000010110 22 -# 018 : C4 # 0000011000 24 -# 01a : C4 # 0000011010 26 -# 01c : C4 # 0000011100 28 -# 01e : C4 # 0000011110 30 -# 020 : C4 # 0000100000 32 -# 021 : C4 # 0000100001 33 -# 022 : C4 # 0000100010 34 -# 024 : C4 # 0000100100 36 -# 025 : C4 # 0000100101 37 -# 026 : C4 # 0000100110 38 -# 028 : C4 # 0000101000 40 -# 029 : C4 # 0000101001 41 -# 02a : C4 # 0000101010 42 -# 02c : C4 # 0000101100 44 -# 02d : C4 # 0000101101 45 -# 02e : C4 # 0000101110 46 -# 030 : C4 # 0000110000 48 -# 031 : C4 # 0000110001 49 -# 032 : C4 # 0000110010 50 -# 034 : C4 # 0000110100 52 -# 035 : C4 # 0000110101 53 -# 036 : C4 # 0000110110 54 -# 038 : C4 # 0000111000 56 -# 039 : C4 # 0000111001 57 -# 03a : C4 # 0000111010 58 -# 03c : C4 # 0000111100 60 -# 03d : C4 # 0000111101 61 -# 03e : C4 # 0000111110 62 -# 040 : C4 # 0001000000 64 -# 041 : C4 # 0001000001 65 -# 042 : C4 # 0001000010 66 -# 043 : C4 # 0001000011 67 -# 044 : C4 # 0001000100 68 -# 045 : C4 # 0001000101 69 -# 046 : C4 # 0001000110 70 -# 048 : C4 # 0001001000 72 -# 049 : C4 # 0001001001 73 -# 04a : C4 # 0001001010 74 -# 04b : C4 # 0001001011 75 -# 04c : C4 # 0001001100 76 -# 04d : C4 # 0001001101 77 -# 04e : C4 # 0001001110 78 -# 050 : C4 # 0001010000 80 -# 051 : C4 # 0001010001 81 -# 052 : C4 # 0001010010 82 -# 053 : C4 # 0001010011 83 -# 054 : C4 # 0001010100 84 -# 055 : C4 # 0001010101 85 -# 056 : C4 # 0001010110 86 -# 058 : C4 # 0001011000 88 -# 059 : C4 # 0001011001 89 -# 05a : C4 # 0001011010 90 -# 05b : C4 # 0001011011 91 -# 05c : C4 # 0001011100 92 -# 05d : C4 # 0001011101 93 -# 05e : C4 # 0001011110 94 -# 060 : C4 # 0001100000 96 -# 061 : C4 # 0001100001 97 -# 062 : C4 # 0001100010 98 -# 063 : C4 # 0001100011 99 -# 064 : C4 # 0001100100 100 -# 065 : C4 # 0001100101 101 -# 066 : C4 # 0001100110 102 -# 068 : C4 # 0001101000 104 -# 069 : C4 # 0001101001 105 -# 06a : C4 # 0001101010 106 -# 06b : C4 # 0001101011 107 -# 06c : C4 # 0001101100 108 -# 06d : C4 # 0001101101 109 -# 06e : C4 # 0001101110 110 -# 070 : C4 # 0001110000 112 -# 071 : C4 # 0001110001 113 -# 072 : C4 # 0001110010 114 -# 073 : C4 # 0001110011 115 -# 074 : C4 # 0001110100 116 -# 075 : C4 # 0001110101 117 -# 076 : C4 # 0001110110 118 -# 078 : C4 # 0001111000 120 -# 079 : C4 # 0001111001 121 -# 07a : C4 # 0001111010 122 -# 07b : C4 # 0001111011 123 -# 07c : C4 # 0001111100 124 -# 07d : C4 # 0001111101 125 -# 07e : C4 # 0001111110 126 -# 080 : C4 # 0010000000 128 -# 081 : C4 # 0010000001 129 -# 082 : C4 # 0010000010 130 -# 083 : C4 # 0010000011 131 -# 084 : C4 # 0010000100 132 -# 085 : C4 # 0010000101 133 -# 086 : C4 # 0010000110 134 -# 087 : C4 # 0010000111 135 -# 088 : C4 # 0010001000 136 -# 089 : C4 # 0010001001 137 -# 08a : C4 # 0010001010 138 -# 08b : C4 # 0010001011 139 -# 08c : C4 # 0010001100 140 -# 08d : C4 # 0010001101 141 -# 08e : C4 # 0010001110 142 -# 090 : C4 # 0010010000 144 -# 091 : C4 # 0010010001 145 -# 092 : C4 # 0010010010 146 -# 093 : C4 # 0010010011 147 -# 094 : C4 # 0010010100 148 -# 095 : C4 # 0010010101 149 -# 096 : C4 # 0010010110 150 -# 097 : C4 # 0010010111 151 -# 098 : C4 # 0010011000 152 -# 099 : C4 # 0010011001 153 -# 09a : C4 # 0010011010 154 -# 09b : C4 # 0010011011 155 -# 09c : C4 # 0010011100 156 -# 09d : C4 # 0010011101 157 -# 09e : C4 # 0010011110 158 -# 0a0 : C4 # 0010100000 160 -# 0a1 : C4 # 0010100001 161 -# 0a2 : C4 # 0010100010 162 -# 0a3 : C4 # 0010100011 163 -# 0a4 : C4 # 0010100100 164 -# 0a5 : C4 # 0010100101 165 -# 0a6 : C4 # 0010100110 166 -# 0a7 : C4 # 0010100111 167 -# 0a8 : C4 # 0010101000 168 -# 0a9 : C4 # 0010101001 169 -# 0aa : C4 # 0010101010 170 -# 0ab : C4 # 0010101011 171 -# 0ac : C4 # 0010101100 172 -# 0ad : C4 # 0010101101 173 -# 0ae : C4 # 0010101110 174 -# 0b0 : C4 # 0010110000 176 -# 0b1 : C4 # 0010110001 177 -# 0b2 : C4 # 0010110010 178 -# 0b3 : C4 # 0010110011 179 -# 0b4 : C4 # 0010110100 180 -# 0b5 : C4 # 0010110101 181 -# 0b6 : C4 # 0010110110 182 -# 0b7 : C4 # 0010110111 183 -# 0b8 : C4 # 0010111000 184 -# 0b9 : C4 # 0010111001 185 -# 0ba : C4 # 0010111010 186 -# 0bb : C4 # 0010111011 187 -# 0bc : C4 # 0010111100 188 -# 0bd : C4 # 0010111101 189 -# 0be : C4 # 0010111110 190 -# 0c0 : C4 # 0011000000 192 -# 0c1 : C4 # 0011000001 193 -# 0c2 : C4 # 0011000010 194 -# 0c3 : C4 # 0011000011 195 -# 0c4 : C4 # 0011000100 196 -# 0c5 : C4 # 0011000101 197 -# 0c6 : C4 # 0011000110 198 -# 0c7 : C4 # 0011000111 199 -# 0c8 : C4 # 0011001000 200 -# 0c9 : C4 # 0011001001 201 -# 0ca : C4 # 0011001010 202 -# 0cb : C4 # 0011001011 203 -# 0cc : C4 # 0011001100 204 -# 0cd : C4 # 0011001101 205 -# 0ce : C4 # 0011001110 206 -# 0d0 : C4 # 0011010000 208 -# 0d1 : C4 # 0011010001 209 -# 0d2 : C4 # 0011010010 210 -# 0d3 : C4 # 0011010011 211 -# 0d4 : C4 # 0011010100 212 -# 0d5 : C4 # 0011010101 213 -# 0d6 : C4 # 0011010110 214 -# 0d7 : C4 # 0011010111 215 -# 0d8 : C4 # 0011011000 216 -# 0d9 : C4 # 0011011001 217 -# 0da : C4 # 0011011010 218 -# 0db : C4 # 0011011011 219 -# 0dc : C4 # 0011011100 220 -# 0dd : C4 # 0011011101 221 -# 0de : C4 # 0011011110 222 -# 0e0 : C4 # 0011100000 224 -# 0e1 : C4 # 0011100001 225 -# 0e2 : C4 # 0011100010 226 -# 0e3 : C4 # 0011100011 227 -# 0e4 : C4 # 0011100100 228 -# 0e5 : C4 # 0011100101 229 -# 0e6 : C4 # 0011100110 230 -# 0e7 : C4 # 0011100111 231 -# 0e8 : C4 # 0011101000 232 -# 0e9 : C4 # 0011101001 233 -# 0ea : C4 # 0011101010 234 -# 0eb : C4 # 0011101011 235 -# 0ec : C4 # 0011101100 236 -# 0ed : C4 # 0011101101 237 -# 0ee : C4 # 0011101110 238 -# 0f0 : C4 # 0011110000 240 -# 0f1 : C4 # 0011110001 241 -# 0f2 : C4 # 0011110010 242 -# 0f3 : C4 # 0011110011 243 -# 0f4 : C4 # 0011110100 244 -# 0f5 : C4 # 0011110101 245 -# 0f6 : C4 # 0011110110 246 -# 0f7 : C4 # 0011110111 247 -# 0f8 : C4 # 0011111000 248 -# 0f9 : C4 # 0011111001 249 -# 0fa : C4 # 0011111010 250 -# 0fb : C4 # 0011111011 251 -# 0fc : C4 # 0011111100 252 -# 0fd : C4 # 0011111101 253 -# 0fe : C4 # 0011111110 254 -# 100 : C4 # 0100000000 256 -# 101 : C4 # 0100000001 257 -# 102 : C4 # 0100000010 258 -# 103 : C4 # 0100000011 259 -# 104 : C4 # 0100000100 260 -# 105 : C4 # 0100000101 261 -# 106 : C4 # 0100000110 262 -# 107 : C4 # 0100000111 263 -# 108 : C4 # 0100001000 264 -# 109 : C4 # 0100001001 265 -# 10a : C4 # 0100001010 266 -# 10b : C4 # 0100001011 267 -# 10c : C4 # 0100001100 268 -# 10d : C4 # 0100001101 269 -# 10e : C4 # 0100001110 270 -# 10f : C4 # 0100001111 271 -# 110 : C4 # 0100010000 272 -# 111 : C4 # 0100010001 273 -# 112 : C4 # 0100010010 274 -# 113 : C4 # 0100010011 275 -# 114 : C4 # 0100010100 276 -# 115 : C4 # 0100010101 277 -# 116 : C4 # 0100010110 278 -# 117 : C4 # 0100010111 279 -# 118 : C4 # 0100011000 280 -# 119 : C4 # 0100011001 281 -# 11a : C4 # 0100011010 282 -# 11b : C4 # 0100011011 283 -# 11c : C4 # 0100011100 284 -# 11d : C4 # 0100011101 285 -# 11e : C4 # 0100011110 286 -# 120 : C4 # 0100100000 288 -# 121 : C4 # 0100100001 289 -# 122 : C4 # 0100100010 290 -# 123 : C4 # 0100100011 291 -# 124 : C4 # 0100100100 292 -# 125 : C4 # 0100100101 293 -# 126 : C4 # 0100100110 294 -# 127 : C4 # 0100100111 295 -# 128 : C4 # 0100101000 296 -# 129 : C4 # 0100101001 297 -# 12a : C4 # 0100101010 298 -# 12b : C4 # 0100101011 299 -# 12c : C4 # 0100101100 300 -# 12d : C4 # 0100101101 301 -# 12e : C4 # 0100101110 302 -# 12f : C4 # 0100101111 303 -# 130 : C4 # 0100110000 304 -# 131 : C4 # 0100110001 305 -# 132 : C4 # 0100110010 306 -# 133 : C4 # 0100110011 307 -# 134 : C4 # 0100110100 308 -# 135 : C4 # 0100110101 309 -# 136 : C4 # 0100110110 310 -# 137 : C4 # 0100110111 311 -# 138 : C4 # 0100111000 312 -# 139 : C4 # 0100111001 313 -# 13a : C4 # 0100111010 314 -# 13b : C4 # 0100111011 315 -# 13c : C4 # 0100111100 316 -# 13d : C4 # 0100111101 317 -# 13e : C4 # 0100111110 318 -# 140 : C4 # 0101000000 320 -# 141 : C4 # 0101000001 321 -# 142 : C4 # 0101000010 322 -# 143 : C4 # 0101000011 323 -# 144 : C4 # 0101000100 324 -# 145 : C4 # 0101000101 325 -# 146 : C4 # 0101000110 326 -# 147 : C4 # 0101000111 327 -# 148 : C4 # 0101001000 328 -# 149 : C4 # 0101001001 329 -# 14a : C4 # 0101001010 330 -# 14b : C4 # 0101001011 331 -# 14c : C4 # 0101001100 332 -# 14d : C4 # 0101001101 333 -# 14e : C4 # 0101001110 334 -# 14f : C4 # 0101001111 335 -# 150 : C4 # 0101010000 336 -# 151 : C4 # 0101010001 337 -# 152 : C4 # 0101010010 338 -# 153 : C4 # 0101010011 339 -# 154 : C4 # 0101010100 340 -# 155 : C4 # 0101010101 341 -# 156 : C4 # 0101010110 342 -# 157 : C4 # 0101010111 343 -# 158 : C4 # 0101011000 344 -# 159 : C4 # 0101011001 345 -# 15a : C4 # 0101011010 346 -# 15b : C4 # 0101011011 347 -# 15c : C4 # 0101011100 348 -# 15d : C4 # 0101011101 349 -# 15e : C4 # 0101011110 350 -# 160 : C4 # 0101100000 352 -# 161 : C4 # 0101100001 353 -# 162 : C4 # 0101100010 354 -# 163 : C4 # 0101100011 355 -# 164 : C4 # 0101100100 356 -# 165 : C4 # 0101100101 357 -# 166 : C4 # 0101100110 358 -# 167 : C4 # 0101100111 359 -# 168 : C4 # 0101101000 360 -# 169 : C4 # 0101101001 361 -# 16a : C4 # 0101101010 362 -# 16b : C4 # 0101101011 363 -# 16c : C4 # 0101101100 364 -# 16d : C4 # 0101101101 365 -# 16e : C4 # 0101101110 366 -# 16f : C4 # 0101101111 367 -# 170 : C4 # 0101110000 368 -# 171 : C4 # 0101110001 369 -# 172 : C4 # 0101110010 370 -# 173 : C4 # 0101110011 371 -# 174 : C4 # 0101110100 372 -# 175 : C4 # 0101110101 373 -# 176 : C4 # 0101110110 374 -# 177 : C4 # 0101110111 375 -# 178 : C4 # 0101111000 376 -# 179 : C4 # 0101111001 377 -# 17a : C4 # 0101111010 378 -# 17b : C4 # 0101111011 379 -# 17c : C4 # 0101111100 380 -# 17d : C4 # 0101111101 381 -# 17e : C4 # 0101111110 382 -# 180 : C4 # 0110000000 384 -# 181 : C4 # 0110000001 385 -# 182 : C4 # 0110000010 386 -# 183 : C4 # 0110000011 387 -# 184 : C4 # 0110000100 388 -# 185 : C4 # 0110000101 389 -# 186 : C4 # 0110000110 390 -# 187 : C4 # 0110000111 391 -# 188 : C4 # 0110001000 392 -# 189 : C4 # 0110001001 393 -# 18a : C4 # 0110001010 394 -# 18b : C4 # 0110001011 395 -# 18c : C4 # 0110001100 396 -# 18d : C4 # 0110001101 397 -# 18e : C4 # 0110001110 398 -# 18f : C4 # 0110001111 399 -# 190 : C4 # 0110010000 400 -# 191 : C4 # 0110010001 401 -# 192 : C4 # 0110010010 402 -# 193 : C4 # 0110010011 403 -# 194 : C4 # 0110010100 404 -# 195 : C4 # 0110010101 405 -# 196 : C4 # 0110010110 406 -# 197 : C4 # 0110010111 407 -# 198 : C4 # 0110011000 408 -# 199 : C4 # 0110011001 409 -# 19a : C4 # 0110011010 410 -# 19b : C4 # 0110011011 411 -# 19c : C4 # 0110011100 412 -# 19d : C4 # 0110011101 413 -# 19e : C4 # 0110011110 414 -# 1a0 : C4 # 0110100000 416 -# 1a1 : C4 # 0110100001 417 -# 1a2 : C4 # 0110100010 418 -# 1a3 : C4 # 0110100011 419 -# 1a4 : C4 # 0110100100 420 -# 1a5 : C4 # 0110100101 421 -# 1a6 : C4 # 0110100110 422 -# 1a7 : C4 # 0110100111 423 -# 1a8 : C4 # 0110101000 424 -# 1a9 : C4 # 0110101001 425 -# 1aa : C4 # 0110101010 426 -# 1ab : C4 # 0110101011 427 -# 1ac : C4 # 0110101100 428 -# 1ad : C4 # 0110101101 429 -# 1ae : C4 # 0110101110 430 -# 1af : C4 # 0110101111 431 -# 1b0 : C4 # 0110110000 432 -# 1b1 : C4 # 0110110001 433 -# 1b2 : C4 # 0110110010 434 -# 1b3 : C4 # 0110110011 435 -# 1b4 : C4 # 0110110100 436 -# 1b5 : C4 # 0110110101 437 -# 1b6 : C4 # 0110110110 438 -# 1b7 : C4 # 0110110111 439 -# 1b8 : C4 # 0110111000 440 -# 1b9 : C4 # 0110111001 441 -# 1ba : C4 # 0110111010 442 -# 1bb : C4 # 0110111011 443 -# 1bc : C4 # 0110111100 444 -# 1bd : C4 # 0110111101 445 -# 1be : C4 # 0110111110 446 -# 1c0 : C4 # 0111000000 448 -# 1c1 : C4 # 0111000001 449 -# 1c2 : C4 # 0111000010 450 -# 1c3 : C4 # 0111000011 451 -# 1c4 : C4 # 0111000100 452 -# 1c5 : C4 # 0111000101 453 -# 1c6 : C4 # 0111000110 454 -# 1c7 : C4 # 0111000111 455 -# 1c8 : C4 # 0111001000 456 -# 1c9 : C4 # 0111001001 457 -# 1ca : C4 # 0111001010 458 -# 1cb : C4 # 0111001011 459 -# 1cc : C4 # 0111001100 460 -# 1cd : C4 # 0111001101 461 -# 1ce : C4 # 0111001110 462 -# 1cf : C4 # 0111001111 463 -# 1d0 : C4 # 0111010000 464 -# 1d1 : C4 # 0111010001 465 -# 1d2 : C4 # 0111010010 466 -# 1d3 : C4 # 0111010011 467 -# 1d4 : C4 # 0111010100 468 -# 1d5 : C4 # 0111010101 469 -# 1d6 : C4 # 0111010110 470 -# 1d7 : C4 # 0111010111 471 -# 1d8 : C4 # 0111011000 472 -# 1d9 : C4 # 0111011001 473 -# 1da : C4 # 0111011010 474 -# 1db : C4 # 0111011011 475 -# 1dc : C4 # 0111011100 476 -# 1dd : C4 # 0111011101 477 -# 1de : C4 # 0111011110 478 -# 1e0 : C4 # 0111100000 480 -# 1e1 : C4 # 0111100001 481 -# 1e2 : C4 # 0111100010 482 -# 1e3 : C4 # 0111100011 483 -# 1e4 : C4 # 0111100100 484 -# 1e5 : C4 # 0111100101 485 -# 1e6 : C4 # 0111100110 486 -# 1e7 : C4 # 0111100111 487 -# 1e8 : C4 # 0111101000 488 -# 1e9 : C4 # 0111101001 489 -# 1ea : C4 # 0111101010 490 -# 1eb : C4 # 0111101011 491 -# 1ec : C4 # 0111101100 492 -# 1ed : C4 # 0111101101 493 -# 1ee : C4 # 0111101110 494 -# 1ef : C4 # 0111101111 495 -# 1f0 : C4 # 0111110000 496 -# 1f1 : C4 # 0111110001 497 -# 1f2 : C4 # 0111110010 498 -# 1f3 : C4 # 0111110011 499 -# 1f4 : C4 # 0111110100 500 -# 1f5 : C4 # 0111110101 501 -# 1f6 : C4 # 0111110110 502 -# 1f7 : C4 # 0111110111 503 -# 1f8 : C4 # 0111111000 504 -# 1f9 : C4 # 0111111001 505 -# 1fa : C4 # 0111111010 506 -# 1fb : C4 # 0111111011 507 -# 1fc : C4 # 0111111100 508 -# 1fd : C4 # 0111111101 509 -# 1fe : C4 # 0111111110 510 -# 200 : C4 # 1000000000 512 -# 201 : C4 # 1000000001 513 -# 202 : C4 # 1000000010 514 -# 203 : C4 # 1000000011 515 -# 204 : C4 # 1000000100 516 -# 205 : C4 # 1000000101 517 -# 206 : C4 # 1000000110 518 -# 207 : C4 # 1000000111 519 -# 208 : C4 # 1000001000 520 -# 209 : C4 # 1000001001 521 -# 20a : C4 # 1000001010 522 -# 20b : C4 # 1000001011 523 -# 20c : C4 # 1000001100 524 -# 20d : C4 # 1000001101 525 -# 20e : C4 # 1000001110 526 -# 20f : C4 # 1000001111 527 -# 210 : C4 # 1000010000 528 -# 211 : C4 # 1000010001 529 -# 212 : C4 # 1000010010 530 -# 213 : C4 # 1000010011 531 -# 214 : C4 # 1000010100 532 -# 215 : C4 # 1000010101 533 -# 216 : C4 # 1000010110 534 -# 217 : C4 # 1000010111 535 -# 218 : C4 # 1000011000 536 -# 219 : C4 # 1000011001 537 -# 21a : C4 # 1000011010 538 -# 21b : C4 # 1000011011 539 -# 21c : C4 # 1000011100 540 -# 21d : C4 # 1000011101 541 -# 21e : C4 # 1000011110 542 -# 21f : C4 # 1000011111 543 -# 220 : C4 # 1000100000 544 -# 221 : C4 # 1000100001 545 -# 222 : C4 # 1000100010 546 -# 223 : C4 # 1000100011 547 -# 224 : C4 # 1000100100 548 -# 225 : C4 # 1000100101 549 -# 226 : C4 # 1000100110 550 -# 227 : C4 # 1000100111 551 -# 228 : C4 # 1000101000 552 -# 229 : C4 # 1000101001 553 -# 22a : C4 # 1000101010 554 -# 22b : C4 # 1000101011 555 -# 22c : C4 # 1000101100 556 -# 22d : C4 # 1000101101 557 -# 22e : C4 # 1000101110 558 -# 22f : C4 # 1000101111 559 -# 230 : C4 # 1000110000 560 -# 231 : C4 # 1000110001 561 -# 232 : C4 # 1000110010 562 -# 233 : C4 # 1000110011 563 -# 234 : C4 # 1000110100 564 -# 235 : C4 # 1000110101 565 -# 236 : C4 # 1000110110 566 -# 237 : C4 # 1000110111 567 -# 238 : C4 # 1000111000 568 -# 239 : C4 # 1000111001 569 -# 23a : C4 # 1000111010 570 -# 23b : C4 # 1000111011 571 -# 23c : C4 # 1000111100 572 -# 23d : C4 # 1000111101 573 -# 23e : C4 # 1000111110 574 -# 240 : C4 # 1001000000 576 -# 241 : C4 # 1001000001 577 -# 242 : C4 # 1001000010 578 -# 243 : C4 # 1001000011 579 -# 244 : C4 # 1001000100 580 -# 245 : C4 # 1001000101 581 -# 246 : C4 # 1001000110 582 -# 247 : C4 # 1001000111 583 -# 248 : C4 # 1001001000 584 -# 249 : C4 # 1001001001 585 -# 24a : C4 # 1001001010 586 -# 24b : C4 # 1001001011 587 -# 24c : C4 # 1001001100 588 -# 24d : C4 # 1001001101 589 -# 24e : C4 # 1001001110 590 -# 24f : C4 # 1001001111 591 -# 250 : C4 # 1001010000 592 -# 251 : C4 # 1001010001 593 -# 252 : C4 # 1001010010 594 -# 253 : C4 # 1001010011 595 -# 254 : C4 # 1001010100 596 -# 255 : C4 # 1001010101 597 -# 256 : C4 # 1001010110 598 -# 257 : C4 # 1001010111 599 -# 258 : C4 # 1001011000 600 -# 259 : C4 # 1001011001 601 -# 25a : C4 # 1001011010 602 -# 25b : C4 # 1001011011 603 -# 25c : C4 # 1001011100 604 -# 25d : C4 # 1001011101 605 -# 25e : C4 # 1001011110 606 -# 25f : C4 # 1001011111 607 -# 260 : C4 # 1001100000 608 -# 261 : C4 # 1001100001 609 -# 262 : C4 # 1001100010 610 -# 263 : C4 # 1001100011 611 -# 264 : C4 # 1001100100 612 -# 265 : C4 # 1001100101 613 -# 266 : C4 # 1001100110 614 -# 267 : C4 # 1001100111 615 -# 268 : C4 # 1001101000 616 -# 269 : C4 # 1001101001 617 -# 26a : C4 # 1001101010 618 -# 26b : C4 # 1001101011 619 -# 26c : C4 # 1001101100 620 -# 26d : C4 # 1001101101 621 -# 26e : C4 # 1001101110 622 -# 26f : C4 # 1001101111 623 -# 270 : C4 # 1001110000 624 -# 271 : C4 # 1001110001 625 -# 272 : C4 # 1001110010 626 -# 273 : C4 # 1001110011 627 -# 274 : C4 # 1001110100 628 -# 275 : C4 # 1001110101 629 -# 276 : C4 # 1001110110 630 -# 277 : C4 # 1001110111 631 -# 278 : C4 # 1001111000 632 -# 279 : C4 # 1001111001 633 -# 27a : C4 # 1001111010 634 -# 27b : C4 # 1001111011 635 -# 27c : C4 # 1001111100 636 -# 27d : C4 # 1001111101 637 -# 27e : C4 # 1001111110 638 -# 280 : C4 # 1010000000 640 -# 281 : C4 # 1010000001 641 -# 282 : C4 # 1010000010 642 -# 283 : C4 # 1010000011 643 -# 284 : C4 # 1010000100 644 -# 285 : C4 # 1010000101 645 -# 286 : C4 # 1010000110 646 -# 287 : C4 # 1010000111 647 -# 288 : C4 # 1010001000 648 -# 289 : C4 # 1010001001 649 -# 28a : C4 # 1010001010 650 -# 28b : C4 # 1010001011 651 -# 28c : C4 # 1010001100 652 -# 28d : C4 # 1010001101 653 -# 28e : C4 # 1010001110 654 -# 28f : C4 # 1010001111 655 -# 290 : C4 # 1010010000 656 -# 291 : C4 # 1010010001 657 -# 292 : C4 # 1010010010 658 -# 293 : C4 # 1010010011 659 -# 294 : C4 # 1010010100 660 -# 295 : C4 # 1010010101 661 -# 296 : C4 # 1010010110 662 -# 297 : C4 # 1010010111 663 -# 298 : C4 # 1010011000 664 -# 299 : C4 # 1010011001 665 -# 29a : C4 # 1010011010 666 -# 29b : C4 # 1010011011 667 -# 29c : C4 # 1010011100 668 -# 29d : C4 # 1010011101 669 -# 29e : C4 # 1010011110 670 -# 29f : C4 # 1010011111 671 -# 2a0 : C4 # 1010100000 672 -# 2a1 : C4 # 1010100001 673 -# 2a2 : C4 # 1010100010 674 -# 2a3 : C4 # 1010100011 675 -# 2a4 : C4 # 1010100100 676 -# 2a5 : C4 # 1010100101 677 -# 2a6 : C4 # 1010100110 678 -# 2a7 : C4 # 1010100111 679 -# 2a8 : C4 # 1010101000 680 -# 2a9 : C4 # 1010101001 681 -# 2aa : C4 # 1010101010 682 -# 2ab : C4 # 1010101011 683 -# 2ac : C4 # 1010101100 684 -# 2ad : C4 # 1010101101 685 -# 2ae : C4 # 1010101110 686 -# 2af : C4 # 1010101111 687 -# 2b0 : C4 # 1010110000 688 -# 2b1 : C4 # 1010110001 689 -# 2b2 : C4 # 1010110010 690 -# 2b3 : C4 # 1010110011 691 -# 2b4 : C4 # 1010110100 692 -# 2b5 : C4 # 1010110101 693 -# 2b6 : C4 # 1010110110 694 -# 2b7 : C4 # 1010110111 695 -# 2b8 : C4 # 1010111000 696 -# 2b9 : C4 # 1010111001 697 -# 2ba : C4 # 1010111010 698 -# 2bb : C4 # 1010111011 699 -# 2bc : C4 # 1010111100 700 -# 2bd : C4 # 1010111101 701 -# 2be : C4 # 1010111110 702 -# 2c0 : C4 # 1011000000 704 -# 2c1 : C4 # 1011000001 705 -# 2c2 : C4 # 1011000010 706 -# 2c3 : C4 # 1011000011 707 -# 2c4 : C4 # 1011000100 708 -# 2c5 : C4 # 1011000101 709 -# 2c6 : C4 # 1011000110 710 -# 2c7 : C4 # 1011000111 711 -# 2c8 : C4 # 1011001000 712 -# 2c9 : C4 # 1011001001 713 -# 2ca : C4 # 1011001010 714 -# 2cb : C4 # 1011001011 715 -# 2cc : C4 # 1011001100 716 -# 2cd : C4 # 1011001101 717 -# 2ce : C4 # 1011001110 718 -# 2cf : C4 # 1011001111 719 -# 2d0 : C4 # 1011010000 720 -# 2d1 : C4 # 1011010001 721 -# 2d2 : C4 # 1011010010 722 -# 2d3 : C4 # 1011010011 723 -# 2d4 : C4 # 1011010100 724 -# 2d5 : C4 # 1011010101 725 -# 2d6 : C4 # 1011010110 726 -# 2d7 : C4 # 1011010111 727 -# 2d8 : C4 # 1011011000 728 -# 2d9 : C4 # 1011011001 729 -# 2da : C4 # 1011011010 730 -# 2db : C4 # 1011011011 731 -# 2dc : C4 # 1011011100 732 -# 2dd : C4 # 1011011101 733 -# 2de : C4 # 1011011110 734 -# 2df : C4 # 1011011111 735 -# 2e0 : C4 # 1011100000 736 -# 2e1 : C4 # 1011100001 737 -# 2e2 : C4 # 1011100010 738 -# 2e3 : C4 # 1011100011 739 -# 2e4 : C4 # 1011100100 740 -# 2e5 : C4 # 1011100101 741 -# 2e6 : C4 # 1011100110 742 -# 2e7 : C4 # 1011100111 743 -# 2e8 : C4 # 1011101000 744 -# 2e9 : C4 # 1011101001 745 -# 2ea : C4 # 1011101010 746 -# 2eb : C4 # 1011101011 747 -# 2ec : C4 # 1011101100 748 -# 2ed : C4 # 1011101101 749 -# 2ee : C4 # 1011101110 750 -# 2ef : C4 # 1011101111 751 -# 2f0 : C4 # 1011110000 752 -# 2f1 : C4 # 1011110001 753 -# 2f2 : C4 # 1011110010 754 -# 2f3 : C4 # 1011110011 755 -# 2f4 : C4 # 1011110100 756 -# 2f5 : C4 # 1011110101 757 -# 2f6 : C4 # 1011110110 758 -# 2f7 : C4 # 1011110111 759 -# 2f8 : C4 # 1011111000 760 -# 2f9 : C4 # 1011111001 761 -# 2fa : C4 # 1011111010 762 -# 2fb : C4 # 1011111011 763 -# 2fc : C4 # 1011111100 764 -# 2fd : C4 # 1011111101 765 -# 2fe : C4 # 1011111110 766 -# 300 : C4 # 1100000000 768 -# 301 : C4 # 1100000001 769 -# 302 : C4 # 1100000010 770 -# 303 : C4 # 1100000011 771 -# 304 : C4 # 1100000100 772 -# 305 : C4 # 1100000101 773 -# 306 : C4 # 1100000110 774 -# 307 : C4 # 1100000111 775 -# 308 : C4 # 1100001000 776 -# 309 : C4 # 1100001001 777 -# 30a : C4 # 1100001010 778 -# 30b : C4 # 1100001011 779 -# 30c : C4 # 1100001100 780 -# 30d : C4 # 1100001101 781 -# 30e : C4 # 1100001110 782 -# 30f : C4 # 1100001111 783 -# 310 : C4 # 1100010000 784 -# 311 : C4 # 1100010001 785 -# 312 : C4 # 1100010010 786 -# 313 : C4 # 1100010011 787 -# 314 : C4 # 1100010100 788 -# 315 : C4 # 1100010101 789 -# 316 : C4 # 1100010110 790 -# 317 : C4 # 1100010111 791 -# 318 : C4 # 1100011000 792 -# 319 : C4 # 1100011001 793 -# 31a : C4 # 1100011010 794 -# 31b : C4 # 1100011011 795 -# 31c : C4 # 1100011100 796 -# 31d : C4 # 1100011101 797 -# 31e : C4 # 1100011110 798 -# 31f : C4 # 1100011111 799 -# 320 : C4 # 1100100000 800 -# 321 : C4 # 1100100001 801 -# 322 : C4 # 1100100010 802 -# 323 : C4 # 1100100011 803 -# 324 : C4 # 1100100100 804 -# 325 : C4 # 1100100101 805 -# 326 : C4 # 1100100110 806 -# 327 : C4 # 1100100111 807 -# 328 : C4 # 1100101000 808 -# 329 : C4 # 1100101001 809 -# 32a : C4 # 1100101010 810 -# 32b : C4 # 1100101011 811 -# 32c : C4 # 1100101100 812 -# 32d : C4 # 1100101101 813 -# 32e : C4 # 1100101110 814 -# 32f : C4 # 1100101111 815 -# 330 : C4 # 1100110000 816 -# 331 : C4 # 1100110001 817 -# 332 : C4 # 1100110010 818 -# 333 : C4 # 1100110011 819 -# 334 : C4 # 1100110100 820 -# 335 : C4 # 1100110101 821 -# 336 : C4 # 1100110110 822 -# 337 : C4 # 1100110111 823 -# 338 : C4 # 1100111000 824 -# 339 : C4 # 1100111001 825 -# 33a : C4 # 1100111010 826 -# 33b : C4 # 1100111011 827 -# 33c : C4 # 1100111100 828 -# 33d : C4 # 1100111101 829 -# 33e : C4 # 1100111110 830 -# 340 : C4 # 1101000000 832 -# 341 : C4 # 1101000001 833 -# 342 : C4 # 1101000010 834 -# 343 : C4 # 1101000011 835 -# 344 : C4 # 1101000100 836 -# 345 : C4 # 1101000101 837 -# 346 : C4 # 1101000110 838 -# 347 : C4 # 1101000111 839 -# 348 : C4 # 1101001000 840 -# 349 : C4 # 1101001001 841 -# 34a : C4 # 1101001010 842 -# 34b : C4 # 1101001011 843 -# 34c : C4 # 1101001100 844 -# 34d : C4 # 1101001101 845 -# 34e : C4 # 1101001110 846 -# 34f : C4 # 1101001111 847 -# 350 : C4 # 1101010000 848 -# 351 : C4 # 1101010001 849 -# 352 : C4 # 1101010010 850 -# 353 : C4 # 1101010011 851 -# 354 : C4 # 1101010100 852 -# 355 : C4 # 1101010101 853 -# 356 : C4 # 1101010110 854 -# 357 : C4 # 1101010111 855 -# 358 : C4 # 1101011000 856 -# 359 : C4 # 1101011001 857 -# 35a : C4 # 1101011010 858 -# 35b : C4 # 1101011011 859 -# 35c : C4 # 1101011100 860 -# 35d : C4 # 1101011101 861 -# 35e : C4 # 1101011110 862 -# 35f : C4 # 1101011111 863 -# 360 : C4 # 1101100000 864 -# 361 : C4 # 1101100001 865 -# 362 : C4 # 1101100010 866 -# 363 : C4 # 1101100011 867 -# 364 : C4 # 1101100100 868 -# 365 : C4 # 1101100101 869 -# 366 : C4 # 1101100110 870 -# 367 : C4 # 1101100111 871 -# 368 : C4 # 1101101000 872 -# 369 : C4 # 1101101001 873 -# 36a : C4 # 1101101010 874 -# 36b : C4 # 1101101011 875 -# 36c : C4 # 1101101100 876 -# 36d : C4 # 1101101101 877 -# 36e : C4 # 1101101110 878 -# 36f : C4 # 1101101111 879 -# 370 : C4 # 1101110000 880 -# 371 : C4 # 1101110001 881 -# 372 : C4 # 1101110010 882 -# 373 : C4 # 1101110011 883 -# 374 : C4 # 1101110100 884 -# 375 : C4 # 1101110101 885 -# 376 : C4 # 1101110110 886 -# 377 : C4 # 1101110111 887 -# 378 : C4 # 1101111000 888 -# 379 : C4 # 1101111001 889 -# 37a : C4 # 1101111010 890 -# 37b : C4 # 1101111011 891 -# 37c : C4 # 1101111100 892 -# 37d : C4 # 1101111101 893 -# 37e : C4 # 1101111110 894 -# 380 : C4 # 1110000000 896 -# 381 : C4 # 1110000001 897 -# 382 : C4 # 1110000010 898 -# 383 : C4 # 1110000011 899 -# 384 : C4 # 1110000100 900 -# 385 : C4 # 1110000101 901 -# 386 : C4 # 1110000110 902 -# 387 : C4 # 1110000111 903 -# 388 : C4 # 1110001000 904 -# 389 : C4 # 1110001001 905 -# 38a : C4 # 1110001010 906 -# 38b : C4 # 1110001011 907 -# 38c : C4 # 1110001100 908 -# 38d : C4 # 1110001101 909 -# 38e : C4 # 1110001110 910 -# 38f : C4 # 1110001111 911 -# 390 : C4 # 1110010000 912 -# 391 : C4 # 1110010001 913 -# 392 : C4 # 1110010010 914 -# 393 : C4 # 1110010011 915 -# 394 : C4 # 1110010100 916 -# 395 : C4 # 1110010101 917 -# 396 : C4 # 1110010110 918 -# 397 : C4 # 1110010111 919 -# 398 : C4 # 1110011000 920 -# 399 : C4 # 1110011001 921 -# 39a : C4 # 1110011010 922 -# 39b : C4 # 1110011011 923 -# 39c : C4 # 1110011100 924 -# 39d : C4 # 1110011101 925 -# 39e : C4 # 1110011110 926 -# 39f : C4 # 1110011111 927 -# 3a0 : C4 # 1110100000 928 -# 3a1 : C4 # 1110100001 929 -# 3a2 : C4 # 1110100010 930 -# 3a3 : C4 # 1110100011 931 -# 3a4 : C4 # 1110100100 932 -# 3a5 : C4 # 1110100101 933 -# 3a6 : C4 # 1110100110 934 -# 3a7 : C4 # 1110100111 935 -# 3a8 : C4 # 1110101000 936 -# 3a9 : C4 # 1110101001 937 -# 3aa : C4 # 1110101010 938 -# 3ab : C4 # 1110101011 939 -# 3ac : C4 # 1110101100 940 -# 3ad : C4 # 1110101101 941 -# 3ae : C4 # 1110101110 942 -# 3af : C4 # 1110101111 943 -# 3b0 : C4 # 1110110000 944 -# 3b1 : C4 # 1110110001 945 -# 3b2 : C4 # 1110110010 946 -# 3b3 : C4 # 1110110011 947 -# 3b4 : C4 # 1110110100 948 -# 3b5 : C4 # 1110110101 949 -# 3b6 : C4 # 1110110110 950 -# 3b7 : C4 # 1110110111 951 -# 3b8 : C4 # 1110111000 952 -# 3b9 : C4 # 1110111001 953 -# 3ba : C4 # 1110111010 954 -# 3bb : C4 # 1110111011 955 -# 3bc : C4 # 1110111100 956 -# 3bd : C4 # 1110111101 957 -# 3be : C4 # 1110111110 958 -# 3c0 : C4 # 1111000000 960 -# 3c1 : C4 # 1111000001 961 -# 3c2 : C4 # 1111000010 962 -# 3c3 : C4 # 1111000011 963 -# 3c4 : C4 # 1111000100 964 -# 3c5 : C4 # 1111000101 965 -# 3c6 : C4 # 1111000110 966 -# 3c7 : C4 # 1111000111 967 -# 3c8 : C4 # 1111001000 968 -# 3c9 : C4 # 1111001001 969 -# 3ca : C4 # 1111001010 970 -# 3cb : C4 # 1111001011 971 -# 3cc : C4 # 1111001100 972 -# 3cd : C4 # 1111001101 973 -# 3ce : C4 # 1111001110 974 -# 3cf : C4 # 1111001111 975 -# 3d0 : C4 # 1111010000 976 -# 3d1 : C4 # 1111010001 977 -# 3d2 : C4 # 1111010010 978 -# 3d3 : C4 # 1111010011 979 -# 3d4 : C4 # 1111010100 980 -# 3d5 : C4 # 1111010101 981 -# 3d6 : C4 # 1111010110 982 -# 3d7 : C4 # 1111010111 983 -# 3d8 : C4 # 1111011000 984 -# 3d9 : C4 # 1111011001 985 -# 3da : C4 # 1111011010 986 -# 3db : C4 # 1111011011 987 -# 3dc : C4 # 1111011100 988 -# 3dd : C4 # 1111011101 989 -# 3de : C4 # 1111011110 990 -# 3df : C4 # 1111011111 991 -# 3e0 : C4 # 1111100000 992 -# 3e1 : C4 # 1111100001 993 -# 3e2 : C4 # 1111100010 994 -# 3e3 : C4 # 1111100011 995 -# 3e4 : C4 # 1111100100 996 -# 3e5 : C4 # 1111100101 997 -# 3e6 : C4 # 1111100110 998 -# 3e7 : C4 # 1111100111 999 -# 3e8 : C4 # 1111101000 1000 -# 3e9 : C4 # 1111101001 1001 -# 3ea : C4 # 1111101010 1002 -# 3eb : C4 # 1111101011 1003 -# 3ec : C4 # 1111101100 1004 -# 3ed : C4 # 1111101101 1005 -# 3ee : C4 # 1111101110 1006 -# 3ef : C4 # 1111101111 1007 -# 3f0 : C4 # 1111110000 1008 -# 3f1 : C4 # 1111110001 1009 -# 3f2 : C4 # 1111110010 1010 -# 3f3 : C4 # 1111110011 1011 -# 3f4 : C4 # 1111110100 1012 -# 3f5 : C4 # 1111110101 1013 -# 3f6 : C4 # 1111110110 1014 -# 3f7 : C4 # 1111110111 1015 -# 3f8 : C4 # 1111111000 1016 -# 3f9 : C4 # 1111111001 1017 -# 3fa : C4 # 1111111010 1018 -# 3fb : C4 # 1111111011 1019 -# 3fc : C4 # 1111111100 1020 -# 3fd : C4 # 1111111101 1021 -# 3fe : C4 # 1111111110 1022 -# 401 : C4 # 10000000001 1025 -# 402 : C4 # 10000000010 1026 -# 403 : C4 # 10000000011 1027 -# 404 : C4 # 10000000100 1028 -# 405 : C4 # 10000000101 1029 -# 406 : C4 # 10000000110 1030 -# 407 : C4 # 10000000111 1031 -# 408 : C4 # 10000001000 1032 -# 409 : C4 # 10000001001 1033 -# 40a : C4 # 10000001010 1034 -# 40b : C4 # 10000001011 1035 -# 40c : C4 # 10000001100 1036 -# 40d : C4 # 10000001101 1037 -# 40e : C4 # 10000001110 1038 -# 40f : C4 # 10000001111 1039 -# 410 : C4 # 10000010000 1040 -# 411 : C4 # 10000010001 1041 -# 412 : C4 # 10000010010 1042 -# 413 : C4 # 10000010011 1043 -# 414 : C4 # 10000010100 1044 -# 415 : C4 # 10000010101 1045 -# 416 : C4 # 10000010110 1046 -# 417 : C4 # 10000010111 1047 -# 418 : C4 # 10000011000 1048 -# 419 : C4 # 10000011001 1049 -# 41a : C4 # 10000011010 1050 -# 41b : C4 # 10000011011 1051 -# 41c : C4 # 10000011100 1052 -# 41d : C4 # 10000011101 1053 -# 41e : C4 # 10000011110 1054 -# 41f : C4 # 10000011111 1055 -# 420 : C4 # 10000100000 1056 -# 421 : C4 # 10000100001 1057 -# 422 : C4 # 10000100010 1058 -# 423 : C4 # 10000100011 1059 -# 424 : C4 # 10000100100 1060 -# 425 : C4 # 10000100101 1061 -# 426 : C4 # 10000100110 1062 -# 427 : C4 # 10000100111 1063 -# 428 : C4 # 10000101000 1064 -# 429 : C4 # 10000101001 1065 -# 42a : C4 # 10000101010 1066 -# 42b : C4 # 10000101011 1067 -# 42c : C4 # 10000101100 1068 -# 42d : C4 # 10000101101 1069 -# 42e : C4 # 10000101110 1070 -# 42f : C4 # 10000101111 1071 -# 430 : C4 # 10000110000 1072 -# 431 : C4 # 10000110001 1073 -# 432 : C4 # 10000110010 1074 -# 433 : C4 # 10000110011 1075 -# 434 : C4 # 10000110100 1076 -# 435 : C4 # 10000110101 1077 -# 436 : C4 # 10000110110 1078 -# 437 : C4 # 10000110111 1079 -# 438 : C4 # 10000111000 1080 -# 439 : C4 # 10000111001 1081 -# 43a : C4 # 10000111010 1082 -# 43b : C4 # 10000111011 1083 -# 43c : C4 # 10000111100 1084 -# 43d : C4 # 10000111101 1085 -# 43e : C4 # 10000111110 1086 -# 43f : C4 # 10000111111 1087 -# 441 : C4 # 10001000001 1089 -# 442 : C4 # 10001000010 1090 -# 443 : C4 # 10001000011 1091 -# 444 : C4 # 10001000100 1092 -# 445 : C4 # 10001000101 1093 -# 446 : C4 # 10001000110 1094 -# 447 : C4 # 10001000111 1095 -# 448 : C4 # 10001001000 1096 -# 449 : C4 # 10001001001 1097 -# 44a : C4 # 10001001010 1098 -# 44b : C4 # 10001001011 1099 -# 44c : C4 # 10001001100 1100 -# 44d : C4 # 10001001101 1101 -# 44e : C4 # 10001001110 1102 -# 44f : C4 # 10001001111 1103 -# 450 : C4 # 10001010000 1104 -# 451 : C4 # 10001010001 1105 -# 452 : C4 # 10001010010 1106 -# 453 : C4 # 10001010011 1107 -# 454 : C4 # 10001010100 1108 -# 455 : C4 # 10001010101 1109 -# 456 : C4 # 10001010110 1110 -# 457 : C4 # 10001010111 1111 -# 458 : C4 # 10001011000 1112 -# 459 : C4 # 10001011001 1113 -# 45a : C4 # 10001011010 1114 -# 45b : C4 # 10001011011 1115 -# 45c : C4 # 10001011100 1116 -# 45d : C4 # 10001011101 1117 -# 45e : C4 # 10001011110 1118 -# 45f : C4 # 10001011111 1119 -# 460 : C4 # 10001100000 1120 -# 461 : C4 # 10001100001 1121 -# 462 : C4 # 10001100010 1122 -# 463 : C4 # 10001100011 1123 -# 464 : C4 # 10001100100 1124 -# 465 : C4 # 10001100101 1125 -# 466 : C4 # 10001100110 1126 -# 467 : C4 # 10001100111 1127 -# 468 : C4 # 10001101000 1128 -# 469 : C4 # 10001101001 1129 -# 46a : C4 # 10001101010 1130 -# 46b : C4 # 10001101011 1131 -# 46c : C4 # 10001101100 1132 -# 46d : C4 # 10001101101 1133 -# 46e : C4 # 10001101110 1134 -# 46f : C4 # 10001101111 1135 -# 470 : C4 # 10001110000 1136 -# 471 : C4 # 10001110001 1137 -# 472 : C4 # 10001110010 1138 -# 473 : C4 # 10001110011 1139 -# 474 : C4 # 10001110100 1140 -# 475 : C4 # 10001110101 1141 -# 476 : C4 # 10001110110 1142 -# 477 : C4 # 10001110111 1143 -# 478 : C4 # 10001111000 1144 -# 479 : C4 # 10001111001 1145 -# 47a : C4 # 10001111010 1146 -# 47b : C4 # 10001111011 1147 -# 47c : C4 # 10001111100 1148 -# 47d : C4 # 10001111101 1149 -# 47e : C4 # 10001111110 1150 -# 47f : C4 # 10001111111 1151 -# 481 : C4 # 10010000001 1153 -# 482 : C4 # 10010000010 1154 -# 483 : C4 # 10010000011 1155 -# 484 : C4 # 10010000100 1156 -# 485 : C4 # 10010000101 1157 -# 486 : C4 # 10010000110 1158 -# 487 : C4 # 10010000111 1159 -# 488 : C4 # 10010001000 1160 -# 489 : C4 # 10010001001 1161 -# 48a : C4 # 10010001010 1162 -# 48b : C4 # 10010001011 1163 -# 48c : C4 # 10010001100 1164 -# 48d : C4 # 10010001101 1165 -# 48e : C4 # 10010001110 1166 -# 48f : C4 # 10010001111 1167 -# 490 : C4 # 10010010000 1168 -# 491 : C4 # 10010010001 1169 -# 492 : C4 # 10010010010 1170 -# 493 : C4 # 10010010011 1171 -# 494 : C4 # 10010010100 1172 -# 495 : C4 # 10010010101 1173 -# 496 : C4 # 10010010110 1174 -# 497 : C4 # 10010010111 1175 -# 498 : C4 # 10010011000 1176 -# 499 : C4 # 10010011001 1177 -# 49a : C4 # 10010011010 1178 -# 49b : C4 # 10010011011 1179 -# 49c : C4 # 10010011100 1180 -# 49d : C4 # 10010011101 1181 -# 49e : C4 # 10010011110 1182 -# 49f : C4 # 10010011111 1183 -# 4a0 : C4 # 10010100000 1184 -# 4a1 : C4 # 10010100001 1185 -# 4a2 : C4 # 10010100010 1186 -# 4a3 : C4 # 10010100011 1187 -# 4a4 : C4 # 10010100100 1188 -# 4a5 : C4 # 10010100101 1189 -# 4a6 : C4 # 10010100110 1190 -# 4a7 : C4 # 10010100111 1191 -# 4a8 : C4 # 10010101000 1192 -# 4a9 : C4 # 10010101001 1193 -# 4aa : C4 # 10010101010 1194 -# 4ab : C4 # 10010101011 1195 -# 4ac : C4 # 10010101100 1196 -# 4ad : C4 # 10010101101 1197 -# 4ae : C4 # 10010101110 1198 -# 4af : C4 # 10010101111 1199 -# 4b0 : C4 # 10010110000 1200 -# 4b1 : C4 # 10010110001 1201 -# 4b2 : C4 # 10010110010 1202 -# 4b3 : C4 # 10010110011 1203 -# 4b4 : C4 # 10010110100 1204 -# 4b5 : C4 # 10010110101 1205 -# 4b6 : C4 # 10010110110 1206 -# 4b7 : C4 # 10010110111 1207 -# 4b8 : C4 # 10010111000 1208 -# 4b9 : C4 # 10010111001 1209 -# 4ba : C4 # 10010111010 1210 -# 4bb : C4 # 10010111011 1211 -# 4bc : C4 # 10010111100 1212 -# 4bd : C4 # 10010111101 1213 -# 4be : C4 # 10010111110 1214 -# 4bf : C4 # 10010111111 1215 -# 4c1 : C4 # 10011000001 1217 -# 4c2 : C4 # 10011000010 1218 -# 4c3 : C4 # 10011000011 1219 -# 4c4 : C4 # 10011000100 1220 -# 4c5 : C4 # 10011000101 1221 -# 4c6 : C4 # 10011000110 1222 -# 4c7 : C4 # 10011000111 1223 -# 4c8 : C4 # 10011001000 1224 -# 4c9 : C4 # 10011001001 1225 -# 4ca : C4 # 10011001010 1226 -# 4cb : C4 # 10011001011 1227 -# 4cc : C4 # 10011001100 1228 -# 4cd : C4 # 10011001101 1229 -# 4ce : C4 # 10011001110 1230 -# 4cf : C4 # 10011001111 1231 -# 4d0 : C4 # 10011010000 1232 -# 4d1 : C4 # 10011010001 1233 -# 4d2 : C4 # 10011010010 1234 -# 4d3 : C4 # 10011010011 1235 -# 4d4 : C4 # 10011010100 1236 -# 4d5 : C4 # 10011010101 1237 -# 4d6 : C4 # 10011010110 1238 -# 4d7 : C4 # 10011010111 1239 -# 4d8 : C4 # 10011011000 1240 -# 4d9 : C4 # 10011011001 1241 -# 4da : C4 # 10011011010 1242 -# 4db : C4 # 10011011011 1243 -# 4dc : C4 # 10011011100 1244 -# 4dd : C4 # 10011011101 1245 -# 4de : C4 # 10011011110 1246 -# 4df : C4 # 10011011111 1247 -# 4e0 : C4 # 10011100000 1248 -# 4e1 : C4 # 10011100001 1249 -# 4e2 : C4 # 10011100010 1250 -# 4e3 : C4 # 10011100011 1251 -# 4e4 : C4 # 10011100100 1252 -# 4e5 : C4 # 10011100101 1253 -# 4e6 : C4 # 10011100110 1254 -# 4e7 : C4 # 10011100111 1255 -# 4e8 : C4 # 10011101000 1256 -# 4e9 : C4 # 10011101001 1257 -# 4ea : C4 # 10011101010 1258 -# 4eb : C4 # 10011101011 1259 -# 4ec : C4 # 10011101100 1260 -# 4ed : C4 # 10011101101 1261 -# 4ee : C4 # 10011101110 1262 -# 4ef : C4 # 10011101111 1263 -# 4f0 : C4 # 10011110000 1264 -# 4f1 : C4 # 10011110001 1265 -# 4f2 : C4 # 10011110010 1266 -# 4f3 : C4 # 10011110011 1267 -# 4f4 : C4 # 10011110100 1268 -# 4f5 : C4 # 10011110101 1269 -# 4f6 : C4 # 10011110110 1270 -# 4f7 : C4 # 10011110111 1271 -# 4f8 : C4 # 10011111000 1272 -# 4f9 : C4 # 10011111001 1273 -# 4fa : C4 # 10011111010 1274 -# 4fb : C4 # 10011111011 1275 -# 4fc : C4 # 10011111100 1276 -# 4fd : C4 # 10011111101 1277 -# 4fe : C4 # 10011111110 1278 -# 4ff : C4 # 10011111111 1279 -# 501 : C4 # 10100000001 1281 -# 502 : C4 # 10100000010 1282 -# 503 : C4 # 10100000011 1283 -# 504 : C4 # 10100000100 1284 -# 505 : C4 # 10100000101 1285 -# 506 : C4 # 10100000110 1286 -# 507 : C4 # 10100000111 1287 -# 508 : C4 # 10100001000 1288 -# 509 : C4 # 10100001001 1289 -# 50a : C4 # 10100001010 1290 -# 50b : C4 # 10100001011 1291 -# 50c : C4 # 10100001100 1292 -# 50d : C4 # 10100001101 1293 -# 50e : C4 # 10100001110 1294 -# 50f : C4 # 10100001111 1295 -# 510 : C4 # 10100010000 1296 -# 511 : C4 # 10100010001 1297 -# 512 : C4 # 10100010010 1298 -# 513 : C4 # 10100010011 1299 -# 514 : C4 # 10100010100 1300 -# 515 : C4 # 10100010101 1301 -# 516 : C4 # 10100010110 1302 -# 517 : C4 # 10100010111 1303 -# 518 : C4 # 10100011000 1304 -# 519 : C4 # 10100011001 1305 -# 51a : C4 # 10100011010 1306 -# 51b : C4 # 10100011011 1307 -# 51c : C4 # 10100011100 1308 -# 51d : C4 # 10100011101 1309 -# 51e : C4 # 10100011110 1310 -# 51f : C4 # 10100011111 1311 -# 520 : C4 # 10100100000 1312 -# 521 : C4 # 10100100001 1313 -# 522 : C4 # 10100100010 1314 -# 523 : C4 # 10100100011 1315 -# 524 : C4 # 10100100100 1316 -# 525 : C4 # 10100100101 1317 -# 526 : C4 # 10100100110 1318 -# 527 : C4 # 10100100111 1319 -# 528 : C4 # 10100101000 1320 -# 529 : C4 # 10100101001 1321 -# 52a : C4 # 10100101010 1322 -# 52b : C4 # 10100101011 1323 -# 52c : C4 # 10100101100 1324 -# 52d : C4 # 10100101101 1325 -# 52e : C4 # 10100101110 1326 -# 52f : C4 # 10100101111 1327 -# 530 : C4 # 10100110000 1328 -# 531 : C4 # 10100110001 1329 -# 532 : C4 # 10100110010 1330 -# 533 : C4 # 10100110011 1331 -# 534 : C4 # 10100110100 1332 -# 535 : C4 # 10100110101 1333 -# 536 : C4 # 10100110110 1334 -# 537 : C4 # 10100110111 1335 -# 538 : C4 # 10100111000 1336 -# 539 : C4 # 10100111001 1337 -# 53a : C4 # 10100111010 1338 -# 53b : C4 # 10100111011 1339 -# 53c : C4 # 10100111100 1340 -# 53d : C4 # 10100111101 1341 -# 53e : C4 # 10100111110 1342 -# 53f : C4 # 10100111111 1343 -# 541 : C4 # 10101000001 1345 -# 542 : C4 # 10101000010 1346 -# 543 : C4 # 10101000011 1347 -# 544 : C4 # 10101000100 1348 -# 545 : C4 # 10101000101 1349 -# 546 : C4 # 10101000110 1350 -# 547 : C4 # 10101000111 1351 -# 548 : C4 # 10101001000 1352 -# 549 : C4 # 10101001001 1353 -# 54a : C4 # 10101001010 1354 -# 54b : C4 # 10101001011 1355 -# 54c : C4 # 10101001100 1356 -# 54d : C4 # 10101001101 1357 -# 54e : C4 # 10101001110 1358 -# 54f : C4 # 10101001111 1359 -# 550 : C4 # 10101010000 1360 -# 551 : C4 # 10101010001 1361 -# 552 : C4 # 10101010010 1362 -# 553 : C4 # 10101010011 1363 -# 554 : C4 # 10101010100 1364 -# 555 : C4 # 10101010101 1365 -# 556 : C4 # 10101010110 1366 -# 557 : C4 # 10101010111 1367 -# 558 : C4 # 10101011000 1368 -# 559 : C4 # 10101011001 1369 -# 55a : C4 # 10101011010 1370 -# 55b : C4 # 10101011011 1371 -# 55c : C4 # 10101011100 1372 -# 55d : C4 # 10101011101 1373 -# 55e : C4 # 10101011110 1374 -# 55f : C4 # 10101011111 1375 -# 560 : C4 # 10101100000 1376 -# 561 : C4 # 10101100001 1377 -# 562 : C4 # 10101100010 1378 -# 563 : C4 # 10101100011 1379 -# 564 : C4 # 10101100100 1380 -# 565 : C4 # 10101100101 1381 -# 566 : C4 # 10101100110 1382 -# 567 : C4 # 10101100111 1383 -# 568 : C4 # 10101101000 1384 -# 569 : C4 # 10101101001 1385 -# 56a : C4 # 10101101010 1386 -# 56b : C4 # 10101101011 1387 -# 56c : C4 # 10101101100 1388 -# 56d : C4 # 10101101101 1389 -# 56e : C4 # 10101101110 1390 -# 56f : C4 # 10101101111 1391 -# 570 : C4 # 10101110000 1392 -# 571 : C4 # 10101110001 1393 -# 572 : C4 # 10101110010 1394 -# 573 : C4 # 10101110011 1395 -# 574 : C4 # 10101110100 1396 -# 575 : C4 # 10101110101 1397 -# 576 : C4 # 10101110110 1398 -# 577 : C4 # 10101110111 1399 -# 578 : C4 # 10101111000 1400 -# 579 : C4 # 10101111001 1401 -# 57a : C4 # 10101111010 1402 -# 57b : C4 # 10101111011 1403 -# 57c : C4 # 10101111100 1404 -# 57d : C4 # 10101111101 1405 -# 57e : C4 # 10101111110 1406 -# 57f : C4 # 10101111111 1407 -# 581 : C4 # 10110000001 1409 -# 582 : C4 # 10110000010 1410 -# 583 : C4 # 10110000011 1411 -# 584 : C4 # 10110000100 1412 -# 585 : C4 # 10110000101 1413 -# 586 : C4 # 10110000110 1414 -# 587 : C4 # 10110000111 1415 -# 588 : C4 # 10110001000 1416 -# 589 : C4 # 10110001001 1417 -# 58a : C4 # 10110001010 1418 -# 58b : C4 # 10110001011 1419 -# 58c : C4 # 10110001100 1420 -# 58d : C4 # 10110001101 1421 -# 58e : C4 # 10110001110 1422 -# 58f : C4 # 10110001111 1423 -# 590 : C4 # 10110010000 1424 -# 591 : C4 # 10110010001 1425 -# 592 : C4 # 10110010010 1426 -# 593 : C4 # 10110010011 1427 -# 594 : C4 # 10110010100 1428 -# 595 : C4 # 10110010101 1429 -# 596 : C4 # 10110010110 1430 -# 597 : C4 # 10110010111 1431 -# 598 : C4 # 10110011000 1432 -# 599 : C4 # 10110011001 1433 -# 59a : C4 # 10110011010 1434 -# 59b : C4 # 10110011011 1435 -# 59c : C4 # 10110011100 1436 -# 59d : C4 # 10110011101 1437 -# 59e : C4 # 10110011110 1438 -# 59f : C4 # 10110011111 1439 -# 5a0 : C4 # 10110100000 1440 -# 5a1 : C4 # 10110100001 1441 -# 5a2 : C4 # 10110100010 1442 -# 5a3 : C4 # 10110100011 1443 -# 5a4 : C4 # 10110100100 1444 -# 5a5 : C4 # 10110100101 1445 -# 5a6 : C4 # 10110100110 1446 -# 5a7 : C4 # 10110100111 1447 -# 5a8 : C4 # 10110101000 1448 -# 5a9 : C4 # 10110101001 1449 -# 5aa : C4 # 10110101010 1450 -# 5ab : C4 # 10110101011 1451 -# 5ac : C4 # 10110101100 1452 -# 5ad : C4 # 10110101101 1453 -# 5ae : C4 # 10110101110 1454 -# 5af : C4 # 10110101111 1455 -# 5b0 : C4 # 10110110000 1456 -# 5b1 : C4 # 10110110001 1457 -# 5b2 : C4 # 10110110010 1458 -# 5b3 : C4 # 10110110011 1459 -# 5b4 : C4 # 10110110100 1460 -# 5b5 : C4 # 10110110101 1461 -# 5b6 : C4 # 10110110110 1462 -# 5b7 : C4 # 10110110111 1463 -# 5b8 : C4 # 10110111000 1464 -# 5b9 : C4 # 10110111001 1465 -# 5ba : C4 # 10110111010 1466 -# 5bb : C4 # 10110111011 1467 -# 5bc : C4 # 10110111100 1468 -# 5bd : C4 # 10110111101 1469 -# 5be : C4 # 10110111110 1470 -# 5bf : C4 # 10110111111 1471 -# 5c1 : C4 # 10111000001 1473 -# 5c2 : C4 # 10111000010 1474 -# 5c3 : C4 # 10111000011 1475 -# 5c4 : C4 # 10111000100 1476 -# 5c5 : C4 # 10111000101 1477 -# 5c6 : C4 # 10111000110 1478 -# 5c7 : C4 # 10111000111 1479 -# 5c8 : C4 # 10111001000 1480 -# 5c9 : C4 # 10111001001 1481 -# 5ca : C4 # 10111001010 1482 -# 5cb : C4 # 10111001011 1483 -# 5cc : C4 # 10111001100 1484 -# 5cd : C4 # 10111001101 1485 -# 5ce : C4 # 10111001110 1486 -# 5cf : C4 # 10111001111 1487 -# 5d0 : C4 # 10111010000 1488 -# 5d1 : C4 # 10111010001 1489 -# 5d2 : C4 # 10111010010 1490 -# 5d3 : C4 # 10111010011 1491 -# 5d4 : C4 # 10111010100 1492 -# 5d5 : C4 # 10111010101 1493 -# 5d6 : C4 # 10111010110 1494 -# 5d7 : C4 # 10111010111 1495 -# 5d8 : C4 # 10111011000 1496 -# 5d9 : C4 # 10111011001 1497 -# 5da : C4 # 10111011010 1498 -# 5db : C4 # 10111011011 1499 -# 5dc : C4 # 10111011100 1500 -# 5dd : C4 # 10111011101 1501 -# 5de : C4 # 10111011110 1502 -# 5df : C4 # 10111011111 1503 -# 5e0 : C4 # 10111100000 1504 -# 5e1 : C4 # 10111100001 1505 -# 5e2 : C4 # 10111100010 1506 -# 5e3 : C4 # 10111100011 1507 -# 5e4 : C4 # 10111100100 1508 -# 5e5 : C4 # 10111100101 1509 -# 5e6 : C4 # 10111100110 1510 -# 5e7 : C4 # 10111100111 1511 -# 5e8 : C4 # 10111101000 1512 -# 5e9 : C4 # 10111101001 1513 -# 5ea : C4 # 10111101010 1514 -# 5eb : C4 # 10111101011 1515 -# 5ec : C4 # 10111101100 1516 -# 5ed : C4 # 10111101101 1517 -# 5ee : C4 # 10111101110 1518 -# 5ef : C4 # 10111101111 1519 -# 5f0 : C4 # 10111110000 1520 -# 5f1 : C4 # 10111110001 1521 -# 5f2 : C4 # 10111110010 1522 -# 5f3 : C4 # 10111110011 1523 -# 5f4 : C4 # 10111110100 1524 -# 5f5 : C4 # 10111110101 1525 -# 5f6 : C4 # 10111110110 1526 -# 5f7 : C4 # 10111110111 1527 -# 5f8 : C4 # 10111111000 1528 -# 5f9 : C4 # 10111111001 1529 -# 5fa : C4 # 10111111010 1530 -# 5fb : C4 # 10111111011 1531 -# 5fc : C4 # 10111111100 1532 -# 5fd : C4 # 10111111101 1533 -# 5fe : C4 # 10111111110 1534 -# 5ff : C4 # 10111111111 1535 -# 601 : C4 # 11000000001 1537 -# 602 : C4 # 11000000010 1538 -# 603 : C4 # 11000000011 1539 -# 604 : C4 # 11000000100 1540 -# 605 : C4 # 11000000101 1541 -# 606 : C4 # 11000000110 1542 -# 607 : C4 # 11000000111 1543 -# 608 : C4 # 11000001000 1544 -# 609 : C4 # 11000001001 1545 -# 60a : C4 # 11000001010 1546 -# 60b : C4 # 11000001011 1547 -# 60c : C4 # 11000001100 1548 -# 60d : C4 # 11000001101 1549 -# 60e : C4 # 11000001110 1550 -# 60f : C4 # 11000001111 1551 -# 610 : C4 # 11000010000 1552 -# 611 : C4 # 11000010001 1553 -# 612 : C4 # 11000010010 1554 -# 613 : C4 # 11000010011 1555 -# 614 : C4 # 11000010100 1556 -# 615 : C4 # 11000010101 1557 -# 616 : C4 # 11000010110 1558 -# 617 : C4 # 11000010111 1559 -# 618 : C4 # 11000011000 1560 -# 619 : C4 # 11000011001 1561 -# 61a : C4 # 11000011010 1562 -# 61b : C4 # 11000011011 1563 -# 61c : C4 # 11000011100 1564 -# 61d : C4 # 11000011101 1565 -# 61e : C4 # 11000011110 1566 -# 61f : C4 # 11000011111 1567 -# 621 : C4 # 11000100001 1569 -# 622 : C4 # 11000100010 1570 -# 623 : C4 # 11000100011 1571 -# 624 : C4 # 11000100100 1572 -# 625 : C4 # 11000100101 1573 -# 626 : C4 # 11000100110 1574 -# 627 : C4 # 11000100111 1575 -# 628 : C4 # 11000101000 1576 -# 629 : C4 # 11000101001 1577 -# 62a : C4 # 11000101010 1578 -# 62b : C4 # 11000101011 1579 -# 62c : C4 # 11000101100 1580 -# 62d : C4 # 11000101101 1581 -# 62e : C4 # 11000101110 1582 -# 62f : C4 # 11000101111 1583 -# 630 : C4 # 11000110000 1584 -# 631 : C4 # 11000110001 1585 -# 632 : C4 # 11000110010 1586 -# 633 : C4 # 11000110011 1587 -# 634 : C4 # 11000110100 1588 -# 635 : C4 # 11000110101 1589 -# 636 : C4 # 11000110110 1590 -# 637 : C4 # 11000110111 1591 -# 638 : C4 # 11000111000 1592 -# 639 : C4 # 11000111001 1593 -# 63a : C4 # 11000111010 1594 -# 63b : C4 # 11000111011 1595 -# 63c : C4 # 11000111100 1596 -# 63d : C4 # 11000111101 1597 -# 63e : C4 # 11000111110 1598 -# 63f : C4 # 11000111111 1599 -# 641 : C4 # 11001000001 1601 -# 642 : C4 # 11001000010 1602 -# 643 : C4 # 11001000011 1603 -# 644 : C4 # 11001000100 1604 -# 645 : C4 # 11001000101 1605 -# 646 : C4 # 11001000110 1606 -# 647 : C4 # 11001000111 1607 -# 648 : C4 # 11001001000 1608 -# 649 : C4 # 11001001001 1609 -# 64a : C4 # 11001001010 1610 -# 64b : C4 # 11001001011 1611 -# 64c : C4 # 11001001100 1612 -# 64d : C4 # 11001001101 1613 -# 64e : C4 # 11001001110 1614 -# 64f : C4 # 11001001111 1615 -# 650 : C4 # 11001010000 1616 -# 651 : C4 # 11001010001 1617 -# 652 : C4 # 11001010010 1618 -# 653 : C4 # 11001010011 1619 -# 654 : C4 # 11001010100 1620 -# 655 : C4 # 11001010101 1621 -# 656 : C4 # 11001010110 1622 -# 657 : C4 # 11001010111 1623 -# 658 : C4 # 11001011000 1624 -# 659 : C4 # 11001011001 1625 -# 65a : C4 # 11001011010 1626 -# 65b : C4 # 11001011011 1627 -# 65c : C4 # 11001011100 1628 -# 65d : C4 # 11001011101 1629 -# 65e : C4 # 11001011110 1630 -# 65f : C4 # 11001011111 1631 -# 661 : C4 # 11001100001 1633 -# 662 : C4 # 11001100010 1634 -# 663 : C4 # 11001100011 1635 -# 664 : C4 # 11001100100 1636 -# 665 : C4 # 11001100101 1637 -# 666 : C4 # 11001100110 1638 -# 667 : C4 # 11001100111 1639 -# 668 : C4 # 11001101000 1640 -# 669 : C4 # 11001101001 1641 -# 66a : C4 # 11001101010 1642 -# 66b : C4 # 11001101011 1643 -# 66c : C4 # 11001101100 1644 -# 66d : C4 # 11001101101 1645 -# 66e : C4 # 11001101110 1646 -# 66f : C4 # 11001101111 1647 -# 670 : C4 # 11001110000 1648 -# 671 : C4 # 11001110001 1649 -# 672 : C4 # 11001110010 1650 -# 673 : C4 # 11001110011 1651 -# 674 : C4 # 11001110100 1652 -# 675 : C4 # 11001110101 1653 -# 676 : C4 # 11001110110 1654 -# 677 : C4 # 11001110111 1655 -# 678 : C4 # 11001111000 1656 -# 679 : C4 # 11001111001 1657 -# 67a : C4 # 11001111010 1658 -# 67b : C4 # 11001111011 1659 -# 67c : C4 # 11001111100 1660 -# 67d : C4 # 11001111101 1661 -# 67e : C4 # 11001111110 1662 -# 67f : C4 # 11001111111 1663 -# 681 : C4 # 11010000001 1665 -# 682 : C4 # 11010000010 1666 -# 683 : C4 # 11010000011 1667 -# 684 : C4 # 11010000100 1668 -# 685 : C4 # 11010000101 1669 -# 686 : C4 # 11010000110 1670 -# 687 : C4 # 11010000111 1671 -# 688 : C4 # 11010001000 1672 -# 689 : C4 # 11010001001 1673 -# 68a : C4 # 11010001010 1674 -# 68b : C4 # 11010001011 1675 -# 68c : C4 # 11010001100 1676 -# 68d : C4 # 11010001101 1677 -# 68e : C4 # 11010001110 1678 -# 68f : C4 # 11010001111 1679 -# 690 : C4 # 11010010000 1680 -# 691 : C4 # 11010010001 1681 -# 692 : C4 # 11010010010 1682 -# 693 : C4 # 11010010011 1683 -# 694 : C4 # 11010010100 1684 -# 695 : C4 # 11010010101 1685 -# 696 : C4 # 11010010110 1686 -# 697 : C4 # 11010010111 1687 -# 698 : C4 # 11010011000 1688 -# 699 : C4 # 11010011001 1689 -# 69a : C4 # 11010011010 1690 -# 69b : C4 # 11010011011 1691 -# 69c : C4 # 11010011100 1692 -# 69d : C4 # 11010011101 1693 -# 69e : C4 # 11010011110 1694 -# 69f : C4 # 11010011111 1695 -# 6a1 : C4 # 11010100001 1697 -# 6a2 : C4 # 11010100010 1698 -# 6a3 : C4 # 11010100011 1699 -# 6a4 : C4 # 11010100100 1700 -# 6a5 : C4 # 11010100101 1701 -# 6a6 : C4 # 11010100110 1702 -# 6a7 : C4 # 11010100111 1703 -# 6a8 : C4 # 11010101000 1704 -# 6a9 : C4 # 11010101001 1705 -# 6aa : C4 # 11010101010 1706 -# 6ab : C4 # 11010101011 1707 -# 6ac : C4 # 11010101100 1708 -# 6ad : C4 # 11010101101 1709 -# 6ae : C4 # 11010101110 1710 -# 6af : C4 # 11010101111 1711 -# 6b0 : C4 # 11010110000 1712 -# 6b1 : C4 # 11010110001 1713 -# 6b2 : C4 # 11010110010 1714 -# 6b3 : C4 # 11010110011 1715 -# 6b4 : C4 # 11010110100 1716 -# 6b5 : C4 # 11010110101 1717 -# 6b6 : C4 # 11010110110 1718 -# 6b7 : C4 # 11010110111 1719 -# 6b8 : C4 # 11010111000 1720 -# 6b9 : C4 # 11010111001 1721 -# 6ba : C4 # 11010111010 1722 -# 6bb : C4 # 11010111011 1723 -# 6bc : C4 # 11010111100 1724 -# 6bd : C4 # 11010111101 1725 -# 6be : C4 # 11010111110 1726 -# 6bf : C4 # 11010111111 1727 -# 6c1 : C4 # 11011000001 1729 -# 6c2 : C4 # 11011000010 1730 -# 6c3 : C4 # 11011000011 1731 -# 6c4 : C4 # 11011000100 1732 -# 6c5 : C4 # 11011000101 1733 -# 6c6 : C4 # 11011000110 1734 -# 6c7 : C4 # 11011000111 1735 -# 6c8 : C4 # 11011001000 1736 -# 6c9 : C4 # 11011001001 1737 -# 6ca : C4 # 11011001010 1738 -# 6cb : C4 # 11011001011 1739 -# 6cc : C4 # 11011001100 1740 -# 6cd : C4 # 11011001101 1741 -# 6ce : C4 # 11011001110 1742 -# 6cf : C4 # 11011001111 1743 -# 6d0 : C4 # 11011010000 1744 -# 6d1 : C4 # 11011010001 1745 -# 6d2 : C4 # 11011010010 1746 -# 6d3 : C4 # 11011010011 1747 -# 6d4 : C4 # 11011010100 1748 -# 6d5 : C4 # 11011010101 1749 -# 6d6 : C4 # 11011010110 1750 -# 6d7 : C4 # 11011010111 1751 -# 6d8 : C4 # 11011011000 1752 -# 6d9 : C4 # 11011011001 1753 -# 6da : C4 # 11011011010 1754 -# 6db : C4 # 11011011011 1755 -# 6dc : C4 # 11011011100 1756 -# 6dd : C4 # 11011011101 1757 -# 6de : C4 # 11011011110 1758 -# 6df : C4 # 11011011111 1759 -# 6e1 : C4 # 11011100001 1761 -# 6e2 : C4 # 11011100010 1762 -# 6e3 : C4 # 11011100011 1763 -# 6e4 : C4 # 11011100100 1764 -# 6e5 : C4 # 11011100101 1765 -# 6e6 : C4 # 11011100110 1766 -# 6e7 : C4 # 11011100111 1767 -# 6e8 : C4 # 11011101000 1768 -# 6e9 : C4 # 11011101001 1769 -# 6ea : C4 # 11011101010 1770 -# 6eb : C4 # 11011101011 1771 -# 6ec : C4 # 11011101100 1772 -# 6ed : C4 # 11011101101 1773 -# 6ee : C4 # 11011101110 1774 -# 6ef : C4 # 11011101111 1775 -# 6f0 : C4 # 11011110000 1776 -# 6f1 : C4 # 11011110001 1777 -# 6f2 : C4 # 11011110010 1778 -# 6f3 : C4 # 11011110011 1779 -# 6f4 : C4 # 11011110100 1780 -# 6f5 : C4 # 11011110101 1781 -# 6f6 : C4 # 11011110110 1782 -# 6f7 : C4 # 11011110111 1783 -# 6f8 : C4 # 11011111000 1784 -# 6f9 : C4 # 11011111001 1785 -# 6fa : C4 # 11011111010 1786 -# 6fb : C4 # 11011111011 1787 -# 6fc : C4 # 11011111100 1788 -# 6fd : C4 # 11011111101 1789 -# 6fe : C4 # 11011111110 1790 -# 6ff : C4 # 11011111111 1791 -# 701 : C4 # 11100000001 1793 -# 702 : C4 # 11100000010 1794 -# 703 : C4 # 11100000011 1795 -# 704 : C4 # 11100000100 1796 -# 705 : C4 # 11100000101 1797 -# 706 : C4 # 11100000110 1798 -# 707 : C4 # 11100000111 1799 -# 708 : C4 # 11100001000 1800 -# 709 : C4 # 11100001001 1801 -# 70a : C4 # 11100001010 1802 -# 70b : C4 # 11100001011 1803 -# 70c : C4 # 11100001100 1804 -# 70d : C4 # 11100001101 1805 -# 70e : C4 # 11100001110 1806 -# 70f : C4 # 11100001111 1807 -# 711 : C4 # 11100010001 1809 -# 712 : C4 # 11100010010 1810 -# 713 : C4 # 11100010011 1811 -# 714 : C4 # 11100010100 1812 -# 715 : C4 # 11100010101 1813 -# 716 : C4 # 11100010110 1814 -# 717 : C4 # 11100010111 1815 -# 718 : C4 # 11100011000 1816 -# 719 : C4 # 11100011001 1817 -# 71a : C4 # 11100011010 1818 -# 71b : C4 # 11100011011 1819 -# 71c : C4 # 11100011100 1820 -# 71d : C4 # 11100011101 1821 -# 71e : C4 # 11100011110 1822 -# 71f : C4 # 11100011111 1823 -# 721 : C4 # 11100100001 1825 -# 722 : C4 # 11100100010 1826 -# 723 : C4 # 11100100011 1827 -# 724 : C4 # 11100100100 1828 -# 725 : C4 # 11100100101 1829 -# 726 : C4 # 11100100110 1830 -# 727 : C4 # 11100100111 1831 -# 728 : C4 # 11100101000 1832 -# 729 : C4 # 11100101001 1833 -# 72a : C4 # 11100101010 1834 -# 72b : C4 # 11100101011 1835 -# 72c : C4 # 11100101100 1836 -# 72d : C4 # 11100101101 1837 -# 72e : C4 # 11100101110 1838 -# 72f : C4 # 11100101111 1839 -# 731 : C4 # 11100110001 1841 -# 732 : C4 # 11100110010 1842 -# 733 : C4 # 11100110011 1843 -# 734 : C4 # 11100110100 1844 -# 735 : C4 # 11100110101 1845 -# 736 : C4 # 11100110110 1846 -# 737 : C4 # 11100110111 1847 -# 738 : C4 # 11100111000 1848 -# 739 : C4 # 11100111001 1849 -# 73a : C4 # 11100111010 1850 -# 73b : C4 # 11100111011 1851 -# 73c : C4 # 11100111100 1852 -# 73d : C4 # 11100111101 1853 -# 73e : C4 # 11100111110 1854 -# 73f : C4 # 11100111111 1855 -# 741 : C4 # 11101000001 1857 -# 742 : C4 # 11101000010 1858 -# 743 : C4 # 11101000011 1859 -# 744 : C4 # 11101000100 1860 -# 745 : C4 # 11101000101 1861 -# 746 : C4 # 11101000110 1862 -# 747 : C4 # 11101000111 1863 -# 748 : C4 # 11101001000 1864 -# 749 : C4 # 11101001001 1865 -# 74a : C4 # 11101001010 1866 -# 74b : C4 # 11101001011 1867 -# 74c : C4 # 11101001100 1868 -# 74d : C4 # 11101001101 1869 -# 74e : C4 # 11101001110 1870 -# 74f : C4 # 11101001111 1871 -# 751 : C4 # 11101010001 1873 -# 752 : C4 # 11101010010 1874 -# 753 : C4 # 11101010011 1875 -# 754 : C4 # 11101010100 1876 -# 755 : C4 # 11101010101 1877 -# 756 : C4 # 11101010110 1878 -# 757 : C4 # 11101010111 1879 -# 758 : C4 # 11101011000 1880 -# 759 : C4 # 11101011001 1881 -# 75a : C4 # 11101011010 1882 -# 75b : C4 # 11101011011 1883 -# 75c : C4 # 11101011100 1884 -# 75d : C4 # 11101011101 1885 -# 75e : C4 # 11101011110 1886 -# 75f : C4 # 11101011111 1887 -# 761 : C4 # 11101100001 1889 -# 762 : C4 # 11101100010 1890 -# 763 : C4 # 11101100011 1891 -# 764 : C4 # 11101100100 1892 -# 765 : C4 # 11101100101 1893 -# 766 : C4 # 11101100110 1894 -# 767 : C4 # 11101100111 1895 -# 768 : C4 # 11101101000 1896 -# 769 : C4 # 11101101001 1897 -# 76a : C4 # 11101101010 1898 -# 76b : C4 # 11101101011 1899 -# 76c : C4 # 11101101100 1900 -# 76d : C4 # 11101101101 1901 -# 76e : C4 # 11101101110 1902 -# 76f : C4 # 11101101111 1903 -# 771 : C4 # 11101110001 1905 -# 772 : C4 # 11101110010 1906 -# 773 : C4 # 11101110011 1907 -# 774 : C4 # 11101110100 1908 -# 775 : C4 # 11101110101 1909 -# 776 : C4 # 11101110110 1910 -# 777 : C4 # 11101110111 1911 -# 778 : C4 # 11101111000 1912 -# 779 : C4 # 11101111001 1913 -# 77a : C4 # 11101111010 1914 -# 77b : C4 # 11101111011 1915 -# 77c : C4 # 11101111100 1916 -# 77d : C4 # 11101111101 1917 -# 77e : C4 # 11101111110 1918 -# 77f : C4 # 11101111111 1919 -# 781 : C4 # 11110000001 1921 -# 782 : C4 # 11110000010 1922 -# 783 : C4 # 11110000011 1923 -# 784 : C4 # 11110000100 1924 -# 785 : C4 # 11110000101 1925 -# 786 : C4 # 11110000110 1926 -# 787 : C4 # 11110000111 1927 -# 789 : C4 # 11110001001 1929 -# 78a : C4 # 11110001010 1930 -# 78b : C4 # 11110001011 1931 -# 78c : C4 # 11110001100 1932 -# 78d : C4 # 11110001101 1933 -# 78e : C4 # 11110001110 1934 -# 78f : C4 # 11110001111 1935 -# 791 : C4 # 11110010001 1937 -# 792 : C4 # 11110010010 1938 -# 793 : C4 # 11110010011 1939 -# 794 : C4 # 11110010100 1940 -# 795 : C4 # 11110010101 1941 -# 796 : C4 # 11110010110 1942 -# 797 : C4 # 11110010111 1943 -# 799 : C4 # 11110011001 1945 -# 79a : C4 # 11110011010 1946 -# 79b : C4 # 11110011011 1947 -# 79c : C4 # 11110011100 1948 -# 79d : C4 # 11110011101 1949 -# 79e : C4 # 11110011110 1950 -# 79f : C4 # 11110011111 1951 -# 7a1 : C4 # 11110100001 1953 -# 7a2 : C4 # 11110100010 1954 -# 7a3 : C4 # 11110100011 1955 -# 7a4 : C4 # 11110100100 1956 -# 7a5 : C4 # 11110100101 1957 -# 7a6 : C4 # 11110100110 1958 -# 7a7 : C4 # 11110100111 1959 -# 7a9 : C4 # 11110101001 1961 -# 7aa : C4 # 11110101010 1962 -# 7ab : C4 # 11110101011 1963 -# 7ac : C4 # 11110101100 1964 -# 7ad : C4 # 11110101101 1965 -# 7ae : C4 # 11110101110 1966 -# 7af : C4 # 11110101111 1967 -# 7b1 : C4 # 11110110001 1969 -# 7b2 : C4 # 11110110010 1970 -# 7b3 : C4 # 11110110011 1971 -# 7b4 : C4 # 11110110100 1972 -# 7b5 : C4 # 11110110101 1973 -# 7b6 : C4 # 11110110110 1974 -# 7b7 : C4 # 11110110111 1975 -# 7b9 : C4 # 11110111001 1977 -# 7ba : C4 # 11110111010 1978 -# 7bb : C4 # 11110111011 1979 -# 7bc : C4 # 11110111100 1980 -# 7bd : C4 # 11110111101 1981 -# 7be : C4 # 11110111110 1982 -# 7bf : C4 # 11110111111 1983 -# 7c1 : C4 # 11111000001 1985 -# 7c2 : C4 # 11111000010 1986 -# 7c3 : C4 # 11111000011 1987 -# 7c5 : C4 # 11111000101 1989 -# 7c6 : C4 # 11111000110 1990 -# 7c7 : C4 # 11111000111 1991 -# 7c9 : C4 # 11111001001 1993 -# 7ca : C4 # 11111001010 1994 -# 7cb : C4 # 11111001011 1995 -# 7cd : C4 # 11111001101 1997 -# 7ce : C4 # 11111001110 1998 -# 7cf : C4 # 11111001111 1999 -# 7d1 : C4 # 11111010001 2001 -# 7d2 : C4 # 11111010010 2002 -# 7d3 : C4 # 11111010011 2003 -# 7d5 : C4 # 11111010101 2005 -# 7d6 : C4 # 11111010110 2006 -# 7d7 : C4 # 11111010111 2007 -# 7d9 : C4 # 11111011001 2009 -# 7da : C4 # 11111011010 2010 -# 7db : C4 # 11111011011 2011 -# 7dd : C4 # 11111011101 2013 -# 7de : C4 # 11111011110 2014 -# 7df : C4 # 11111011111 2015 -# 7e1 : C4 # 11111100001 2017 -# 7e3 : C4 # 11111100011 2019 -# 7e5 : C4 # 11111100101 2021 -# 7e7 : C4 # 11111100111 2023 -# 7e9 : C4 # 11111101001 2025 -# 7eb : C4 # 11111101011 2027 -# 7ed : C4 # 11111101101 2029 -# 7ef : C4 # 11111101111 2031 - +001 : 80 +002 : 80 +003 : 81 +005 : 81 +006 : 82 +007 : 82 +009 : 81 +00A : 82 +00B : 82 +00F : 83 +012 : 82 +013 : 82 +01B : 83 +01F : 84 +02A : 84 +02B : 84 +02F : 84 +03B : 84 +03F : 85 +042 : 83 +043 : 83 +04B : 84 +04F : 84 +05F : 85 +06F : 85 +07B : 86 +07F : 86 +09F : 85 +0AF : 85 +0BB : 86 +0BF : 86 +0EF : 86 +0FB : 87 +0FF : 87 +102 : 80 +103 : 81 +107 : 82 +10F : 83 +11F : 84 +12F : 84 +13F : 85 +17F : 86 +1FF : 87 + +300 : 87 +301 : 87 +302 : 87 +304 : 87 +310 : 86 +340 : 86 +341 : 86 +344 : 86 +350 : 85 +360 : 85 +37F : 87 +380 : 86 +381 : 86 +384 : 85 +390 : 85 +3C0 : 85 +3C1 : 85 +3C4 : 84 +3C5 : 84 +3D0 : 84 +3D1 : 84 +3D4 : 83 +3D5 : 83 +3D6 : 83 +3D8 : 83 +3E0 : 84 +3E4 : 83 +3F0 : 83 +3F1 : 82 +3F4 : 82 +3F5 : 82 +3F6 : 81 +3F8 : 82 +3FC : 81 +3FD : 80 +3FE : 80 + +307 : 85 +387 : 84 +3C7 : 83 +3D7 : 82 +3F7 : 81 diff --git a/releases/tdc_v2.3/rom_encoder/tb_ROM_encoder_3_tmpl.vhd b/releases/tdc_v2.3/rom_encoder/tb_ROM_encoder_3_tmpl.vhd deleted file mode 100644 index 834fc9c..0000000 --- a/releases/tdc_v2.3/rom_encoder/tb_ROM_encoder_3_tmpl.vhd +++ /dev/null @@ -1,68 +0,0 @@ --- VHDL testbench template generated by SCUBA Diamond_3.0_Production (94) -library IEEE; -use IEEE.std_logic_1164.all; -use IEEE.std_logic_unsigned.all; - -use IEEE.math_real.all; - -use IEEE.numeric_std.all; - -entity tb is -end entity tb; - - -architecture test of tb is - - component ROM_encoder_3 - port (Address : in std_logic_vector(9 downto 0); - OutClock: in std_logic; OutClockEn: in std_logic; - Reset: in std_logic; Q : out std_logic_vector(7 downto 0) - ); - end component; - - signal Address : std_logic_vector(9 downto 0) := (others => '0'); - signal OutClock: std_logic := '0'; - signal OutClockEn: std_logic := '0'; - signal Reset: std_logic := '0'; - signal Q : std_logic_vector(7 downto 0); -begin - u1 : ROM_encoder_3 - port map (Address => Address, OutClock => OutClock, OutClockEn => OutClockEn, - Reset => Reset, Q => Q - ); - - process - - begin - Address <= (others => '0') ; - wait for 100 ns; - wait until Reset = '0'; - for i in 0 to 1027 loop - wait until OutClock'event and OutClock = '1'; - Address <= Address + '1' after 1 ns; - end loop; - wait; - end process; - - OutClock <= not OutClock after 5.00 ns; - - process - - begin - OutClockEn <= '0' ; - wait for 100 ns; - wait until Reset = '0'; - OutClockEn <= '1' ; - wait; - end process; - - process - - begin - Reset <= '1' ; - wait for 100 ns; - Reset <= '0' ; - wait; - end process; - -end architecture test; -- 2.43.0