From fba571b651013adc59864233b3b89ffa224014fb Mon Sep 17 00:00:00 2001 From: hadaq Date: Wed, 19 Oct 2011 14:24:08 +0000 Subject: [PATCH] *** empty log message *** --- testbenches/command_sender.vhd | 13 +++++++------ 1 file changed, 7 insertions(+), 6 deletions(-) diff --git a/testbenches/command_sender.vhd b/testbenches/command_sender.vhd index 27b4453..2db2ae6 100644 --- a/testbenches/command_sender.vhd +++ b/testbenches/command_sender.vhd @@ -16,18 +16,19 @@ end entity; architecture x of command_sender is -type cmd_arr is array 0 to 14 of std_logic_vector(15 downto 0); -type num_arr is array 0 to 4 of std_logic_vector(15 downto 0); +type cmd_arr is array (0 to 14) of std_logic_vector(15 downto 0); +type num_arr is array (0 to 4) of std_logic_vector(2 downto 0); + constant commands : cmd_arr := (x"0031",x"FFFF",x"FFFF",x"FFFF",x"0008", - (x"0030",x"0000",x"a0c0",x"affe",x"dead", - (x"0033",x"0000",x"0000",x"0000",x"0008"); + x"0030",x"0000",x"a0c0",x"affe",x"dead", + x"0033",x"0000",x"0000",x"0000",x"0008"); constant packetnums : num_arr := ("100","000","001","010","011"); begin process begin - stat_op <= (others => '0'); + dataready <= '0'; data <= (others => '0'); packet_num <= "100"; @@ -48,4 +49,4 @@ process begin end process; -end architecture; \ No newline at end of file +end architecture; -- 2.43.0