From 4ea8f2a8050200449398c9f54d73afcc5073a859 Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Wed, 29 Jun 2022 17:52:54 +0200 Subject: [PATCH] ECP5 GbE stuff (MB) --- gbe_trb_ecp5/base/clock_reset_handler.vhd | 124 ++ .../base/gbe_med_interface_single.vhd | 513 ++++++++ gbe_trb_ecp5/base/gbe_wrapper_single.vhd | 701 +++++++++++ gbe_trb_ecp5/media/ecp5/d0ch0/serdes_gbe.vhd | 352 ++++++ gbe_trb_ecp5/media/ecp5/d0ch1/serdes_gbe.vhd | 352 ++++++ gbe_trb_ecp5/media/ecp5/d1ch0/serdes_gbe.vhd | 352 ++++++ gbe_trb_ecp5/media/ecp5/d1ch1/serdes_gbe.vhd | 352 ++++++ ..._ram_dpEbnonessdn208256208256p138702ef.ngo | Bin 0 -> 11424 bytes .../pmi_ram_dpEbnonessdn96649664p13506f63.ngo | Bin 0 -> 8956 bytes gbe_trb_ecp5/media/ecp5/serdes_gbe.lpc | 97 ++ .../media/ecp5/serdes_gbe_softlogic.v | 1060 +++++++++++++++++ gbe_trb_ecp5/media/ecp5/sgmii_gbe.lpc | 37 + gbe_trb_ecp5/media/ecp5/sgmii_gbe_core.ngo | Bin 0 -> 475624 bytes gbe_trb_ecp5/media/ecp5/tsmac_gbe.lpc | 37 + gbe_trb_ecp5/media/ecp5/tsmac_gbe.ngo | Bin 0 -> 807544 bytes 15 files changed, 3977 insertions(+) create mode 100644 gbe_trb_ecp5/base/clock_reset_handler.vhd create mode 100644 gbe_trb_ecp5/base/gbe_med_interface_single.vhd create mode 100644 gbe_trb_ecp5/base/gbe_wrapper_single.vhd create mode 100644 gbe_trb_ecp5/media/ecp5/d0ch0/serdes_gbe.vhd create mode 100644 gbe_trb_ecp5/media/ecp5/d0ch1/serdes_gbe.vhd create mode 100644 gbe_trb_ecp5/media/ecp5/d1ch0/serdes_gbe.vhd create mode 100644 gbe_trb_ecp5/media/ecp5/d1ch1/serdes_gbe.vhd create mode 100644 gbe_trb_ecp5/media/ecp5/pmi_ram_dpEbnonessdn208256208256p138702ef.ngo create mode 100644 gbe_trb_ecp5/media/ecp5/pmi_ram_dpEbnonessdn96649664p13506f63.ngo create mode 100644 gbe_trb_ecp5/media/ecp5/serdes_gbe.lpc create mode 100644 gbe_trb_ecp5/media/ecp5/serdes_gbe_softlogic.v create mode 100644 gbe_trb_ecp5/media/ecp5/sgmii_gbe.lpc create mode 100644 gbe_trb_ecp5/media/ecp5/sgmii_gbe_core.ngo create mode 100644 gbe_trb_ecp5/media/ecp5/tsmac_gbe.lpc create mode 100644 gbe_trb_ecp5/media/ecp5/tsmac_gbe.ngo diff --git a/gbe_trb_ecp5/base/clock_reset_handler.vhd b/gbe_trb_ecp5/base/clock_reset_handler.vhd new file mode 100644 index 0000000..ea801cb --- /dev/null +++ b/gbe_trb_ecp5/base/clock_reset_handler.vhd @@ -0,0 +1,124 @@ +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +library work; + use work.trb_net_components.all; + use work.trb_net_std.all; + use work.trb3_components.all; + use work.config.all; + +entity clock_reset_handler is + port ( + CLOCK_IN : in std_logic; -- oscillator + GLOBAL_RESET_IN : in std_logic; + + RESET_OUT : out std_logic; + CLEAR_OUT : out std_logic; + GSR_OUT : out std_logic; + + RAW_CLK_OUT : out std_logic; -- 200/240 MHz for FPGA fabric + SYS_CLK_OUT : out std_logic; -- 100/120 MHz for FPGA fabric + REF_CLK_OUT : out std_logic; -- 200/240 internal reference clock + + DEBUG_OUT : out std_logic_vector(31 downto 0) + ); +end entity; + +architecture clock_reset_handler_arch of clock_reset_handler is + +attribute syn_keep : boolean; +attribute syn_preserve : boolean; + +signal clock_100 : std_logic; +signal clock_120 : std_logic; +signal clock_200 : std_logic; +signal clock_240 : std_logic; +signal clock_200_raw : std_logic; +signal sys_clk_i : std_logic; +signal timer : unsigned(24 downto 0) := (others => '0'); +signal clear_n_i : std_logic := '0'; +signal reset_i : std_logic; +signal reset_rising : std_logic; +signal last_reset_i : std_logic; +signal debug_reset_handler : std_logic_vector(15 downto 0); +signal pll_lock : std_logic; + +attribute syn_keep of clear_n_i : signal is true; +attribute syn_preserve of clear_n_i : signal is true; + +begin + +SYS_CLK_OUT <= sys_clk_i; +GSR_OUT <= not pll_lock or clear_n_i; + +THE_PLL : entity work.pll_240_100 --PLL with 200 MHz input! + port map( + CLKI => CLOCK_IN, + CLKOP => clock_200_raw, + CLKOS => clock_100, + CLKOS2 => clock_200, --clock_240, + CLKOS3 => clock_120, + LOCK => pll_lock + ); + +gen_slow_clock : if USE_120_MHZ = 0 generate + RAW_CLK_OUT <= clock_200_raw; + sys_clk_i <= clock_100; + REF_CLK_OUT <= clock_200_raw; +end generate; +gen_fast_clock : if USE_120_MHZ = 1 generate + RAW_CLK_OUT <= clock_240; + sys_clk_i <= clock_120; + REF_CLK_OUT <= clock_240; +end generate; + +clear_n_i <= timer(22) when rising_edge(clock_200_raw); + +process begin + wait until rising_edge(sys_clk_i); + + if timer(22) = '1' then + timer <= timer; + elsif reset_rising = '1' then + timer <= (others => '0'); + elsif pll_lock = '1' then + timer <= timer + 1; + end if; +end process; + + +--------------------------------------------------------------------------- +-- Reset generation +--------------------------------------------------------------------------- +THE_RESET_HANDLER : trb_net_reset_handler + generic map( + RESET_DELAY => x"FEEE" + ) + port map( + CLEAR_IN => GLOBAL_RESET_IN, -- reset input (high active, async) + CLEAR_N_IN => clear_n_i, -- reset input (low active, async) + CLK_IN => clock_200_raw, -- raw master clock, NOT from PLL/DLL! + SYSCLK_IN => sys_clk_i, -- PLL/DLL remastered clock + PLL_LOCKED_IN => pll_lock, -- master PLL lock signal (async) + RESET_IN => '0', -- general reset signal (SYSCLK) + TRB_RESET_IN => '0', -- TRBnet reset signal (SYSCLK) + CLEAR_OUT => CLEAR_OUT, -- async reset out, USE WITH CARE! + RESET_OUT => reset_i, -- synchronous reset out (SYSCLK) + DEBUG_OUT => debug_reset_handler + ); + +RESET_OUT <= reset_i; + +last_reset_i <= reset_i when rising_edge(clock_200_raw); +reset_rising <= reset_i and not last_reset_i; + +--------------------------------------------------------------------------- +-- Slow clock for DCDC converters +--------------------------------------------------------------------------- +DEBUG_OUT(0) <= pll_lock; +DEBUG_OUT(1) <= clear_n_i; +DEBUG_OUT(15 downto 2) <= debug_reset_handler(15 downto 2); +DEBUG_OUT(31 downto 16) <= (others => '0'); + +end architecture; diff --git a/gbe_trb_ecp5/base/gbe_med_interface_single.vhd b/gbe_trb_ecp5/base/gbe_med_interface_single.vhd new file mode 100644 index 0000000..7cb12e8 --- /dev/null +++ b/gbe_trb_ecp5/base/gbe_med_interface_single.vhd @@ -0,0 +1,513 @@ +LIBRARY IEEE; +USE IEEE.std_logic_1164.ALL; +USE IEEE.std_logic_ARITH.ALL; +USE IEEE.std_logic_UNSIGNED.ALL; + +library work; +use work.trb_net_std.all; +use work.trb_net_components.all; +use work.trb_net_gbe_components.all; + +entity gbe_med_interface_single is + port ( + RESET : in std_logic; + GSR_N : in std_logic; + CLK_SYS_IN : in std_logic; + CLK_125_OUT : out std_logic; + CLK_125_IN : in std_logic; + CLK_125_RX_OUT : out std_logic; + -- MAC status and config + MAC_READY_CONF_OUT : out std_logic; + MAC_RECONF_IN : in std_logic; + MAC_AN_READY_OUT : out std_logic; + -- MAC data interface + MAC_FIFOAVAIL_IN : in std_logic; + MAC_FIFOEOF_IN : in std_logic; + MAC_FIFOEMPTY_IN : in std_logic; + MAC_RX_FIFOFULL_IN : in std_logic; + -- MAC TX interface + MAC_TX_DATA_IN : in std_logic_vector(7 downto 0); + MAC_TX_READ_OUT : out std_logic; + MAC_TX_DISCRFRM_OUT : out std_logic; + MAC_TX_STAT_EN_OUT : out std_logic; + MAC_TX_STATS_OUT : out std_logic_vector(30 downto 0); + MAC_TX_DONE_OUT : out std_logic; + -- MAC RX interface + MAC_RX_FIFO_ERR_OUT : out std_logic; + MAC_RX_STATS_OUT : out std_logic_vector(31 downto 0); + MAC_RX_DATA_OUT : out std_logic_vector(7 downto 0); + MAC_RX_WRITE_OUT : out std_logic; + MAC_RX_STAT_EN_OUT : out std_logic; + MAC_RX_EOF_OUT : out std_logic; + MAC_RX_ERROR_OUT : out std_logic; + --SFP Connection + SD_RXD_P_IN : in std_logic; + SD_RXD_N_IN : in std_logic; + SD_TXD_P_OUT : out std_logic; + SD_TXD_N_OUT : out std_logic; + SD_PRSNT_N_IN : in std_logic; + SD_LOS_IN : in std_logic; -- SFP Loss Of Signal ('0' = OK, '1' = no signal) + SD_TXDIS_OUT : out std_logic; -- SFP disable + STATUS_OUT : out std_logic_vector(7 downto 0); + -- Debug + DEBUG_OUT : out std_logic_vector(63 downto 0) + ); +end entity gbe_med_interface_single; + +architecture RTL of gbe_med_interface_single is + + component sgmii_gbe_core + port( + rst_n : in std_logic; + signal_detect : in std_logic; + gbe_mode : in std_logic; + sgmii_mode : in std_logic; + operational_rate : in std_logic_vector(1 downto 0); + debug_link_timer_short : in std_logic; + + force_isolate : in std_logic; + force_loopback : in std_logic; + force_unidir : in std_logic; + + rx_compensation_err : out std_logic; + + ctc_drop_flag : out std_logic; + ctc_add_flag : out std_logic; + an_link_ok : out std_logic; + + tx_clk_125 : in std_logic; + tx_clock_enable_source : out std_logic; + tx_clock_enable_sink : in std_logic; + tx_d : in std_logic_vector(7 downto 0); + tx_en : in std_logic; + tx_er : in std_logic; + rx_clk_125 : in std_logic; + rx_clock_enable_source : out std_logic; + rx_clock_enable_sink : in std_logic; + rx_d : out std_logic_vector(7 downto 0); + rx_dv : out std_logic; + rx_er : out std_logic; + col : out std_logic; + crs : out std_logic; + tx_data : out std_logic_vector(7 downto 0); + tx_kcntl : out std_logic; + tx_disparity_cntl : out std_logic; + + xmit_autoneg : out std_logic; + + serdes_recovered_clk : in std_logic; + rx_data : in std_logic_vector(7 downto 0); + rx_even : in std_logic; + rx_kcntl : in std_logic; + rx_disp_err : in std_logic; + rx_cv_err : in std_logic; + rx_err_decode_mode : in std_logic; + mr_an_complete : out std_logic; + mr_page_rx : out std_logic; + mr_lp_adv_ability : out std_logic_vector(15 downto 0); + mr_main_reset : in std_logic; + mr_an_enable : in std_logic; + mr_restart_an : in std_logic; + mr_adv_ability : in std_logic_vector(15 downto 0) + ); + end component; + + component tsmac_gbe + port( + --------------- clock and reset port declarations ------------------ + hclk : in std_logic; + txmac_clk : in std_logic; + rxmac_clk : in std_logic; + reset_n : in std_logic; + ------------------- Input signals to the GMII ---------------- + rxd : in std_logic_vector(7 downto 0); + rx_dv : in std_logic; + rx_er : in std_logic; + -------------------- Input signals to the CPU I/F ------------------- + haddr : in std_logic_vector(7 downto 0); + hdatain : in std_logic_vector(7 downto 0); + hcs_n : in std_logic; + hwrite_n : in std_logic; + hread_n : in std_logic; + ---------------- Input signals to the Tx MAC FIFO I/F --------------- + tx_fifodata : in std_logic_vector(7 downto 0); + tx_fifoavail : in std_logic; + tx_fifoeof : in std_logic; + tx_fifoempty : in std_logic; + tx_sndpaustim : in std_logic_vector(15 downto 0); + tx_sndpausreq : in std_logic; + tx_fifoctrl : in std_logic; + ---------------- Input signals to the Rx MAC FIFO I/F --------------- + rx_fifo_full : in std_logic; + ignore_pkt : in std_logic; + -------------------- Output signals from the GMII ----------------------- + txd : out std_logic_vector(7 downto 0); + tx_en : out std_logic; + tx_er : out std_logic; + -------------------- Output signals from the CPU I/F ------------------- + hdataout : out std_logic_vector(7 downto 0); + hdataout_en_n : out std_logic; + hready_n : out std_logic; + cpu_if_gbit_en : out std_logic; + ---------------- Output signals from the Tx MAC FIFO I/F --------------- + tx_macread : out std_logic; + tx_discfrm : out std_logic; + tx_staten : out std_logic; + tx_done : out std_logic; + tx_statvec : out std_logic_vector(30 downto 0); + ---------------- Output signals from the Rx MAC FIFO I/F --------------- + rx_fifo_error : out std_logic; + rx_stat_vector : out std_logic_vector(31 downto 0); + rx_dbout : out std_logic_vector(7 downto 0); + rx_write : out std_logic; + rx_stat_en : out std_logic; + rx_eof : out std_logic; + rx_error : out std_logic + ); + end component; + + signal sd_rx_clk : std_logic; + signal sd_tx_kcntl : std_logic_vector(0 downto 0); + signal sd_tx_data : std_logic_vector(7 downto 0); + signal xmit : std_logic_vector(0 downto 0); + signal sd_tx_correct_disp : std_logic_vector(0 downto 0); + signal sd_rx_data : std_logic_vector(7 downto 0); + signal sd_rx_kcntl : std_logic_vector(0 downto 0); + signal sd_rx_disp_error : std_logic_vector(0 downto 0); + signal sd_rx_cv_error : std_logic_vector(0 downto 0); + signal lsm_status : std_logic; + signal rx_clk_en : std_logic; + signal tx_clk_en : std_logic; + signal operational_rate : std_logic_vector(1 downto 0); + signal an_complete : std_logic; + signal mr_page_rx : std_logic; + signal mr_lp_adv_ability : std_logic_vector(15 downto 0); + signal mr_main_reset : std_logic; + signal mr_restart_an : std_logic; + signal mr_adv_ability : std_logic_vector(15 downto 0); + signal mr_an_enable : std_logic; + signal pcs_rxd : std_logic_vector(7 downto 0); + signal pcs_rx_en : std_logic; + signal pcs_rx_er : std_logic; + signal pcs_txd : std_logic_vector(7 downto 0); + signal pcs_tx_en : std_logic; + signal pcs_tx_er : std_logic; + signal tsm_hdataout_en_n : std_logic; + signal tsm_hready_n : std_logic; + signal tsm_hread_n : std_logic; + signal tsm_hwrite_n : std_logic; + signal tsm_hcs_n : std_logic; + signal tsm_hdata : std_logic_vector(7 downto 0); + signal tsm_haddr : std_logic_vector(7 downto 0); + + signal synced_rst : std_logic; + + signal fifo_eof_q, fifo_eof_qq, fifo_eof_qqq, fifo_eof_qqqq : std_logic; + + signal link_rx_ready : std_logic; + signal rx_los_low : std_logic; + signal rx_cdr_lol : std_logic; + signal rst_dual : std_logic; + signal rx_pcs_rst : std_logic; + signal rx_pcs_rst_q : std_logic; + signal rx_serdes_rst : std_logic; + signal rx_serdes_rst_q : std_logic; + signal tx_pcs_rst : std_logic; + signal link_tx_ready : std_logic; + signal pll_lol : std_logic; + + signal debug : std_logic_vector(63 downto 0); + + -- for replacing register interface + signal delay_q : std_logic_vector(7 downto 0); + signal pulse : std_logic; + +begin + + -- We allow only one GbE in ECP5 for now + assert not (LINKS_ACTIVE = b"0000") report "Error: no GbE interface selected" severity error; + + DEBUG_OUT <= debug; + + synced_rst <= GSR_N; + rst_dual <= not GSR_N; + + SD_TXDIS_OUT <= '0'; + + CLK_125_OUT <= CLK_125_IN; + CLK_125_RX_OUT <= sd_rx_clk; + + -- Some notes on clocks: the SerDes uses TX and RX bridge FIFO, with RX FIFO being clocked on + -- both read and write side by rx_pclk, and TX FIFO being clocked on write side by txi_clk. + -- For TX, we can use local 125MHz clock. + -- For RX, the SGMII core implements the CTC FIFO, and by clocking SGMII also by local 125MHz + -- (except serdes_recovered_clk, which goes to rx_pclk) we have *everthing* behind the SGMII + -- on local 125MHz clock. + + gbe_serdes: entity serdes_gbe + port map( + -- external I/Os + hdinp => SD_RXD_P_IN, + hdinn => SD_RXD_N_IN, + hdoutp => SD_TXD_P_OUT, + hdoutn => SD_TXD_N_OUT, + -- clocks + pll_refclki => CLK_125_IN, -- TX reference clock for PLL + rxrefclk => CLK_125_IN, -- RX reference clock for CDR + txi_clk => CLK_125_IN, -- feeds the TX FIFO + tx_pclk => open, -- not really needed + rx_pclk => sd_rx_clk, -- recovered RX clock, also used on FIFO! + -- TX channel + txdata => sd_tx_data, + tx_k => sd_tx_kcntl, + tx_disp_correct => sd_tx_correct_disp, + xmit => xmit, -- not used, should not harm + -- RX channel + rxdata => sd_rx_data, + rx_k => sd_rx_kcntl, + rx_disp_err => sd_rx_disp_error, + rx_cv_err => sd_rx_cv_error, + lsm_status_s => lsm_status, + signal_detect_c => '1', -- enable internal LSM + -- Status signals + pll_lol => pll_lol, + rx_cdr_lol_s => rx_cdr_lol, + rx_los_low_s => rx_los_low, + -- Power control + rx_pwrup_c => '1', + tx_pwrup_c => '1', + serdes_pdb => '1', -- DUAL is powered up + -- Resets + sli_rst => '0', -- soft logic reset (?) + rst_dual_c => rst_dual, + rx_pcs_rst_c => rx_pcs_rst_q, + rx_serdes_rst_c => rx_serdes_rst_q, + tx_pcs_rst_c => tx_pcs_rst, + serdes_rst_dual_c => '0', + tx_serdes_rst_c => '0' + ); + + debug(0) <= sd_rx_data(0) when rising_edge(sd_rx_clk); --pll_lol; + debug(1) <= sd_rx_data(1) when rising_edge(sd_rx_clk); --rx_cdr_lol; + debug(2) <= sd_rx_data(2) when rising_edge(sd_rx_clk); --rx_los_low; + debug(3) <= sd_rx_data(3) when rising_edge(sd_rx_clk); --lsm_status; + debug(4) <= sd_tx_data(0) when rising_edge(CLK_125_IN); --sd_rx_disp_error(0); + debug(5) <= sd_tx_data(1) when rising_edge(CLK_125_IN); --sd_rx_cv_error(0); + debug(6) <= sd_tx_data(2) when rising_edge(CLK_125_IN); --rst_dual; + debug(7) <= sd_tx_data(3) when rising_edge(CLK_125_IN); --rx_pcs_rst_q; + debug(8) <= sd_rx_kcntl(0) when rising_edge(sd_rx_clk); --rx_serdes_rst_q; + debug(9) <= sd_tx_kcntl(0) when rising_edge(CLK_125_IN); --tx_pcs_rst; + debug(10) <= mr_an_enable when rising_edge(CLK_125_IN); + debug(11) <= mr_restart_an when rising_edge(CLK_125_IN); + debug(12) <= mr_page_rx when rising_edge(CLK_125_IN); + debug(13) <= an_complete when rising_edge(CLK_125_IN); + debug(14) <= link_rx_ready when rising_edge(CLK_125_IN); + debug(15) <= link_tx_ready when rising_edge(CLK_125_IN); + + -- RSL for TX of SerDes, based on extRSL logic + THE_MAIN_TX_RST: entity main_tx_reset_RS + port map ( + CLEAR => rst_dual, + CLK_REF => CLK_125_IN, + TX_PLL_LOL_IN => pll_lol, + TX_CLOCK_AVAIL_IN => '1', -- not needed here + TX_PCS_RST_CH_C_OUT => tx_pcs_rst, + SYNC_TX_QUAD_OUT => open, --not needed here + LINK_TX_READY_OUT => link_tx_ready, + STATE_OUT => open + ); + + -- RSL for RX of SerDes, based on extRSL logic + -- CAVEAT: reset signals MUST BE sync'ed to recovered RX clock! + THE_MAIN_RX_RST: entity main_rx_reset_RS + port map( + CLEAR => rst_dual, + CLK_REF => CLK_125_IN, + CDR_LOL_IN => rx_cdr_lol, + CV_IN => sd_rx_cv_error(0), + LSM_IN => lsm_status, + LOS_IN => rx_los_low, + WAP_ZERO_IN => '1', -- not needed here + -- outputs + WAP_REQ_OUT => open, -- not needed here + RX_SERDES_RST_OUT => rx_serdes_rst, -- CLK_REF based + RX_PCS_RST_OUT => rx_pcs_rst, -- CLK_REF based + LINK_RX_READY_OUT => link_rx_ready, -- CLK_REF based + STATE_OUT => open + ); + + -- reset signals for RX SerDes need to be sync'ed to real RX clock for ECP5 + SYNC_RST_SIGS: entity work.signal_sync + generic map( WIDTH => 2 ) + port map( + RESET => '0', + CLK0 => sd_rx_clk, + CLK1 => sd_rx_clk, + D_IN(0) => rx_pcs_rst, + D_IN(1) => rx_serdes_rst, + D_OUT(0) => rx_pcs_rst_q, + D_OUT(1) => rx_serdes_rst_q + ); + + -- Status signals + STATUS_OUT(0) <= link_tx_ready; -- SerDes TX channel operational + STATUS_OUT(1) <= link_rx_ready; -- SerDes Rx channel operational + STATUS_OUT(2) <= an_complete; -- GbE Autonegotiation completed + STATUS_OUT(3) <= pcs_tx_en; -- SerDes TX activity + STATUS_OUT(4) <= pcs_rx_en; -- SerDes RX activity + STATUS_OUT(5) <= '0'; + STATUS_OUT(6) <= '0'; + STATUS_OUT(7) <= '0'; + + -- SGMII core + SGMII_GBE_PCS : sgmii_gbe_core + port map( + rst_n => synced_rst, + signal_detect => link_rx_ready, + gbe_mode => '1', + sgmii_mode => '0', + operational_rate => operational_rate, + debug_link_timer_short => '0', + force_isolate => '0', + force_loopback => '0', + force_unidir => '0', + rx_compensation_err => open, + ctc_drop_flag => open, + ctc_add_flag => open, + an_link_ok => open, + -- MAC interface + tx_clk_125 => CLK_125_IN, + tx_clock_enable_source => tx_clk_en, + tx_clock_enable_sink => tx_clk_en, + tx_d => pcs_txd, -- TX data from MAC + tx_en => pcs_tx_en, -- TX data enable from MAC + tx_er => pcs_tx_er, -- TX error from MAC + rx_clk_125 => CLK_125_IN, + rx_clock_enable_source => rx_clk_en, + rx_clock_enable_sink => rx_clk_en, + rx_d => pcs_rxd, -- RX data to MAC + rx_dv => pcs_rx_en, -- RX data enable to MAC + rx_er => pcs_rx_er, -- RX error to MAC + col => open, + crs => open, + -- SerDes interface + tx_data => sd_tx_data, -- TX data to SerDes + tx_kcntl => sd_tx_kcntl(0), -- TX komma control to SerDes + tx_disparity_cntl => sd_tx_correct_disp(0), -- idle parity state control in IPG (to SerDes) + xmit_autoneg => xmit(0), + serdes_recovered_clk => sd_rx_clk, -- 125MHz recovered from receive bit stream + rx_data => sd_rx_data, -- RX data from SerDes + rx_kcntl => sd_rx_kcntl(0), -- RX komma control from SerDes + rx_err_decode_mode => '0', -- receive error control mode fixed to normal + rx_even => '0', -- unused (receive error control mode = normal, tie to GND) + rx_disp_err => sd_rx_disp_error(0), -- RX disparity error from SerDes + rx_cv_err => sd_rx_cv_error(0), -- RX code violation error from SerDes + -- Autonegotiation stuff + mr_an_complete => an_complete, + mr_page_rx => mr_page_rx, + mr_lp_adv_ability => mr_lp_adv_ability, + mr_main_reset => mr_main_reset, + mr_an_enable => mr_an_enable, + mr_restart_an => mr_restart_an, + mr_adv_ability => mr_adv_ability + ); + + MAC_AN_READY_OUT <= an_complete; + +--- SIMPLE --- + operational_rate <= b"10"; +--- /SIMPLE --- + +--- SIMPLE --- + mr_main_reset <= rst_dual; + mr_restart_an <= pulse; + mr_an_enable <= link_rx_ready; + mr_adv_ability <= x"0020"; + + SYNC_PROC: process( CLK_125_IN ) + begin + if( rising_edge(CLK_125_IN) ) then + delay_q <= delay_q(6 downto 0) & link_rx_ready; + end if; + end process SYNC_PROC; + + pulse <= not delay_q(7) and delay_q(6); +--- /SIMPLE --- + + MAC: tsmac_gbe + port map( + ----------------- clock and reset port declarations ------------------ + hclk => CLK_SYS_IN, + txmac_clk => CLK_125_IN, + rxmac_clk => CLK_125_IN, + reset_n => synced_rst, -- was GSR_N + ------------------- Input signals to the GMII ---------------- + rxd => pcs_rxd, + rx_dv => pcs_rx_en, + rx_er => pcs_rx_er, + -------------------- Input signals to the CPU I/F ------------------- + haddr => tsm_haddr, + hdatain => tsm_hdata, + hcs_n => tsm_hcs_n, + hwrite_n => tsm_hwrite_n, + hread_n => tsm_hread_n, + ---------------- Input signals to the Tx MAC FIFO I/F --------------- + tx_fifodata => MAC_TX_DATA_IN, + tx_fifoavail => MAC_FIFOAVAIL_IN, + tx_fifoeof => MAC_FIFOEOF_IN, + tx_fifoempty => MAC_FIFOEMPTY_IN, + tx_sndpaustim => x"0000", + tx_sndpausreq => '0', + tx_fifoctrl => '0', -- always data frame + ---------------- Input signals to the Rx MAC FIFO I/F --------------- + rx_fifo_full => MAC_RX_FIFOFULL_IN, + ignore_pkt => '0', + ---------------- Output signals from the GMII ----------------------- + txd => pcs_txd, + tx_en => pcs_tx_en, + tx_er => pcs_tx_er, + ----------------- Output signals from the CPU I/F ------------------- + hdataout => open, + hdataout_en_n => tsm_hdataout_en_n, + hready_n => tsm_hready_n, + cpu_if_gbit_en => open, + ------------- Output signals from the Tx MAC FIFO I/F --------------- + tx_macread => MAC_TX_READ_OUT, + tx_discfrm => MAC_TX_DISCRFRM_OUT, + tx_staten => MAC_TX_STAT_EN_OUT, + tx_statvec => MAC_TX_STATS_OUT, + tx_done => MAC_TX_DONE_OUT, + ------------- Output signals from the Rx MAC FIFO I/F --------------- + rx_fifo_error => MAC_RX_FIFO_ERR_OUT, + rx_stat_vector => MAC_RX_STATS_OUT, + rx_dbout => MAC_RX_DATA_OUT, + rx_write => MAC_RX_WRITE_OUT, + rx_stat_en => MAC_RX_STAT_EN_OUT, + rx_eof => MAC_RX_EOF_OUT, + rx_error => MAC_RX_ERROR_OUT + ); + + TSMAC_CONTROLLER : trb_net16_gbe_mac_control + port map( + CLK => CLK_SYS_IN, + RESET => RESET, + -- signals to/from main controller + MC_TSMAC_READY_OUT => MAC_READY_CONF_OUT, + MC_RECONF_IN => MAC_RECONF_IN, + MC_GBE_EN_IN => '1', + MC_RX_DISCARD_FCS => '0', + MC_PROMISC_IN => '1', + MC_MAC_ADDR_IN => (others => '0'), + -- signal to/from Host interface of TriSpeed MAC + TSM_HADDR_OUT => tsm_haddr, + TSM_HDATA_OUT => tsm_hdata, + TSM_HCS_N_OUT => tsm_hcs_n, + TSM_HWRITE_N_OUT => tsm_hwrite_n, + TSM_HREAD_N_OUT => tsm_hread_n, + TSM_HREADY_N_IN => tsm_hready_n, + TSM_HDATA_EN_N_IN => tsm_hdataout_en_n, + -- Debug + DEBUG_OUT => open + ); + +end architecture RTL; diff --git a/gbe_trb_ecp5/base/gbe_wrapper_single.vhd b/gbe_trb_ecp5/base/gbe_wrapper_single.vhd new file mode 100644 index 0000000..c41d25d --- /dev/null +++ b/gbe_trb_ecp5/base/gbe_wrapper_single.vhd @@ -0,0 +1,701 @@ +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_ARITH.all; +use IEEE.std_logic_UNSIGNED.all; + +library work; +use work.trb_net_std.all; +use work.trb_net_components.all; + +use work.trb_net_gbe_components.all; +use work.trb_net_gbe_protocols.all; + + +entity gbe_wrapper_single is + generic( + DO_SIMULATION : integer range 0 to 1 := 0; + INCLUDE_DEBUG : integer range 0 to 1 := 0; + USE_INTERNAL_TRBNET_DUMMY : integer range 0 to 1 := 0; -- only for debugging + USE_EXTERNAL_TRBNET_DUMMY : integer range 0 to 1 := 0; -- only for debugging + RX_PATH_ENABLE : integer range 0 to 1 := 1; -- + FIXED_SIZE_MODE : integer range 0 to 1 := 1; -- only for debugging + INCREMENTAL_MODE : integer range 0 to 1 := 0; -- only for debugging + FIXED_SIZE : integer range 0 to 65535 := 10; -- only for debugging + FIXED_DELAY_MODE : integer range 0 to 1 := 1; -- only for debugging + UP_DOWN_MODE : integer range 0 to 1 := 0; -- only for debugging + UP_DOWN_LIMIT : integer range 0 to 16777215 := 0; -- only for debugging + FIXED_DELAY : integer range 0 to 16777215 := 16777215; -- only for debugging + NUMBER_OF_GBE_LINKS : integer range 1 to 4 := 4; -- + LINKS_ACTIVE : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_PING : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_ARP : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_DHCP : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_READOUT : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_SLOWCTRL : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_FWD : std_logic_vector(3 downto 0) := "1111" + ); + port( + CLK_SYS_IN : in std_logic; + CLK_125_IN : in std_logic; + RESET : in std_logic; + GSR_N : in std_logic; + SD_PRSNT_N_IN : in std_logic; + SD_LOS_IN : in std_logic; -- SFP Loss Of Signal ('0' = OK, '1' = no signal) + SD_TXDIS_OUT : out std_logic; -- SFP disable + SD_LED_GREEN_OUT : out std_logic; + SD_LED_YELLOW_OUT : out std_logic; + SD_LED_RED_OUT : out std_logic; + -- + TRIGGER_IN : in std_logic; -- for debug purpose only + -- CTS interface + CTS_NUMBER_IN : in std_logic_vector(15 downto 0); + CTS_CODE_IN : in std_logic_vector(7 downto 0); + CTS_INFORMATION_IN : in std_logic_vector(7 downto 0); + CTS_READOUT_TYPE_IN : in std_logic_vector(3 downto 0); + CTS_START_READOUT_IN : in std_logic; + CTS_DATA_OUT : out std_logic_vector(31 downto 0); + CTS_DATAREADY_OUT : out std_logic; + CTS_READOUT_FINISHED_OUT : out std_logic; + CTS_READ_IN : in std_logic; + CTS_LENGTH_OUT : out std_logic_vector(15 downto 0); + CTS_ERROR_PATTERN_OUT : out std_logic_vector(31 downto 0); + -- Data payload interface + FEE_DATA_IN : in std_logic_vector(15 downto 0); + FEE_DATAREADY_IN : in std_logic; + FEE_READ_OUT : out std_logic; + FEE_STATUS_BITS_IN : in std_logic_vector(31 downto 0); + FEE_BUSY_IN : in std_logic; + -- SlowControl + MY_TRBNET_ADDRESS_IN : in std_logic_vector(15 downto 0); + ISSUE_REBOOT_OUT : out std_logic; + MC_UNIQUE_ID_IN : in std_logic_vector(63 downto 0); + GSC_CLK_IN : in std_logic; + GSC_INIT_DATAREADY_OUT : out std_logic; + GSC_INIT_DATA_OUT : out std_logic_vector(15 downto 0); + GSC_INIT_PACKET_NUM_OUT : out std_logic_vector(2 downto 0); + GSC_INIT_READ_IN : in std_logic; + GSC_REPLY_DATAREADY_IN : in std_logic; + GSC_REPLY_DATA_IN : in std_logic_vector(15 downto 0); + GSC_REPLY_PACKET_NUM_IN : in std_logic_vector(2 downto 0); + GSC_REPLY_READ_OUT : out std_logic; + GSC_BUSY_IN : in std_logic; + -- IP configuration + BUS_IP_RX : in CTRLBUS_RX; + BUS_IP_TX : out CTRLBUS_TX; + -- Registers config + BUS_REG_RX : in CTRLBUS_RX; + BUS_REG_TX : out CTRLBUS_TX; + -- Forwarder + FWD_DST_MAC_IN : in std_logic_vector(47 downto 0) := (others => '0'); + FWD_DST_IP_IN : in std_logic_vector(31 downto 0) := (others => '0'); + FWD_DST_UDP_IN : in std_logic_vector(15 downto 0) := (others => '0'); + FWD_DATA_IN : in std_logic_vector(7 downto 0) := (others => '0'); + FWD_DATA_VALID_IN : in std_logic := '0'; + FWD_SOP_IN : in std_logic := '0'; + FWD_EOP_IN : in std_logic := '0'; + FWD_READY_OUT : out std_logic; + FWD_FULL_OUT : out std_logic; + -- + MAKE_RESET_OUT : out std_logic; + -- + STATUS_OUT : out std_logic_vector(15 downto 0); + DEBUG_OUT : out std_logic_vector(127 downto 0) + ); +end entity gbe_wrapper_single; + +architecture RTL of gbe_wrapper_single is + signal mac_ready_conf : std_logic; + signal mac_reconf : std_logic; + signal mac_an_ready : std_logic; + signal mac_fifoavail : std_logic; + signal mac_fifoeof : std_logic; + signal mac_fifoempty : std_logic; + signal mac_rx_fifofull : std_logic; + signal mac_tx_data : std_logic_vector(7 downto 0); + signal mac_tx_read : std_logic; + signal mac_tx_discrfrm : std_logic; + signal mac_tx_stat_en : std_logic; + signal mac_tx_stats : std_logic_vector(30 downto 0); + signal mac_tx_done : std_logic; + signal mac_rx_fifo_err : std_logic; + signal mac_rx_stats : std_logic_vector(31 downto 0); + signal mac_rx_data : std_logic_vector(7 downto 0); + signal mac_rx_write : std_logic; + signal mac_rx_stat_en : std_logic; + signal mac_rx_eof : std_logic; + signal mac_rx_err : std_logic; + + signal cfg_gbe_enable : std_logic; + signal cfg_ipu_enable : std_logic; + signal cfg_mult_enable : std_logic; + signal cfg_subevent_id : std_logic_vector(31 downto 0); + signal cfg_subevent_dec : std_logic_vector(31 downto 0); + signal cfg_queue_dec : std_logic_vector(31 downto 0); + signal cfg_readout_ctr : std_logic_vector(23 downto 0); + signal cfg_readout_ctr_valid : std_logic; + signal cfg_insert_ttype : std_logic; + signal cfg_max_sub : std_logic_vector(15 downto 0); + signal cfg_max_queue : std_logic_vector(15 downto 0); + signal cfg_max_subs_in_queue : std_logic_vector(15 downto 0); + signal cfg_max_single_sub : std_logic_vector(15 downto 0); + signal cfg_additional_hdr : std_logic; + signal cfg_soft_rst : std_logic; + signal cfg_allow_rx : std_logic; + signal cfg_max_frame : std_logic_vector(15 downto 0); + + signal dbg_hist, dbg_hist2 : hist_array; + + signal mac_0 : std_logic_vector(47 downto 0); + signal cfg_max_reply : std_logic_vector(31 downto 0); + + signal mlt_cts_number : std_logic_vector(15 downto 0); + signal mlt_cts_code : std_logic_vector(7 downto 0); + signal mlt_cts_information : std_logic_vector(7 downto 0); + signal mlt_cts_readout_type : std_logic_vector(3 downto 0); + signal mlt_cts_start_readout : std_logic_vector(0 downto 0); + signal mlt_cts_data : std_logic_vector(31 downto 0); + signal mlt_cts_dataready : std_logic_vector(0 downto 0); + signal mlt_cts_readout_finished : std_logic_vector(0 downto 0); + signal mlt_cts_read : std_logic_vector(0 downto 0); + signal mlt_cts_length : std_logic_vector(15 downto 0); + signal mlt_cts_error_pattern : std_logic_vector(31 downto 0); + signal mlt_fee_data : std_logic_vector(15 downto 0); + signal mlt_fee_dataready : std_logic_vector(0 downto 0); + signal mlt_fee_read : std_logic_vector(0 downto 0); + signal mlt_fee_status : std_logic_vector(31 downto 0); + signal mlt_fee_busy : std_logic_vector(0 downto 0); + + signal mlt_gsc_clk : std_logic; + signal mlt_gsc_init_dataready : std_logic; + signal mlt_gsc_init_data : std_logic_vector(15 downto 0); + signal mlt_gsc_init_packet : std_logic_vector(2 downto 0); + signal mlt_gsc_init_read : std_logic; + signal mlt_gsc_reply_dataready : std_logic; + signal mlt_gsc_reply_data : std_logic_vector(15 downto 0); + signal mlt_gsc_reply_packet : std_logic_vector(2 downto 0); + signal mlt_gsc_reply_read : std_logic; + signal mlt_gsc_busy : std_logic; + + signal local_cts_number : std_logic_vector(15 downto 0); + signal local_cts_code : std_logic_vector(7 downto 0); + signal local_cts_information : std_logic_vector(7 downto 0); + signal local_cts_readout_type : std_logic_vector(3 downto 0); + signal local_cts_start_readout : std_logic; + signal local_cts_readout_finished : std_logic; + signal local_cts_status_bits : std_logic_vector(31 downto 0); + signal local_fee_data : std_logic_vector(15 downto 0); + signal local_fee_dataready : std_logic; + signal local_fee_read : std_logic; + signal local_fee_status_bits : std_logic_vector(31 downto 0); + signal local_fee_busy : std_logic; + signal dhcp_done : std_logic; + signal all_links_ready : std_logic; + signal monitor_rx_frames : std_logic_vector(31 downto 0); + signal monitor_rx_bytes : std_logic_vector(31 downto 0); + signal monitor_tx_frames : std_logic_vector(31 downto 0); + signal monitor_tx_bytes : std_logic_vector(31 downto 0); + signal monitor_tx_packets : std_logic_vector(31 downto 0); + signal monitor_dropped : std_logic_vector(31 downto 0); + signal sum_rx_frames : std_logic_vector(31 downto 0); + signal sum_rx_bytes : std_logic_vector(31 downto 0); + signal sum_tx_frames : std_logic_vector(31 downto 0); + signal sum_tx_bytes : std_logic_vector(31 downto 0); + signal sum_tx_packets : std_logic_vector(31 downto 0); + signal sum_dropped : std_logic_vector(31 downto 0); + + signal busip0 : CTRLBUS_TX; + signal SD_RXD_P_IN, SD_RXD_N_IN, SD_TXD_P_OUT, SD_TXD_N_OUT : std_logic; + --attribute nopad : string; + --attribute nopad of SD_RXD_P_IN, SD_RXD_N_IN, SD_TXD_P_OUT, SD_TXD_N_OUT : signal is "true"; + + signal dummy_event : std_logic_vector(15 downto 0); + signal dummy_mode : std_logic; + signal make_reset0 : std_logic := '0'; + signal monitor_gen_dbg : std_logic_vector(c_MAX_PROTOCOLS * 64 - 1 downto 0); + + signal cfg_autothrottle : std_logic; + signal cfg_throttle_pause : std_logic_vector(15 downto 0); + + signal issue_reboot : std_logic; + signal my_ip : std_logic_vector(127 downto 0); + signal debug : std_logic_vector(127 downto 0); + +begin + +-- assert hits if condition in brackets is true, or overall condition is false +-- assert not (dual_mode = 8) report "Note: DUAL with one slave port detected" severity note; + + assert not (NUMBER_OF_GBE_LINKS /= 1) report "Error: only one GbE link allowed" severity error; + assert not (LINKS_ACTIVE /= b"0001") report "Error: no / wrong GbE interface selected" severity error; + assert not (LINK_HAS_PING(3 downto 1) /= b"000") report "Error: only interface 0 supported" severity error; + assert not (LINK_HAS_ARP(3 downto 1) /= b"000") report "Error: only interface 0 supported" severity error; + assert not (LINK_HAS_DHCP(3 downto 1) /= b"000") report "Error: only interface 0 supported" severity error; + assert not (LINK_HAS_READOUT(3 downto 1) /= b"000") report "Error: only interface 0 supported" severity error; + assert not (LINK_HAS_SLOWCTRL(3 downto 1) /= b"000") report "Error: only interface 0 supported" severity error; + assert not (LINK_HAS_FWD(3 downto 1) /= b"000") report "Error: only interface 0 supported" severity error; + + -- debug(127 downto 64) are local + -- debug(63 downto 0) are media interface + DEBUG_OUT <= debug; + + mac_0 <= MC_UNIQUE_ID_IN(15 downto 8) & MC_UNIQUE_ID_IN(23 downto 16) & MC_UNIQUE_ID_IN(31 downto 24) & x"0" & MC_UNIQUE_ID_IN(35 downto 32) & x"7ada"; + + all_links_ready <= '1' when dhcp_done = '1' else '0'; + + MAKE_RESET_OUT <= '1' when make_reset0 = '1' else '0'; + + ISSUE_REBOOT_OUT <= '0' when issue_reboot = '0' else '1'; + + physical : entity work.gbe_med_interface_single + port map( + RESET => RESET, + GSR_N => GSR_N, + CLK_SYS_IN => CLK_SYS_IN, + CLK_125_OUT => open, -- not needed + CLK_125_IN => CLK_125_IN, + CLK_125_RX_OUT => open, -- not needed + MAC_READY_CONF_OUT => mac_ready_conf, + MAC_RECONF_IN => mac_reconf, + MAC_AN_READY_OUT => mac_an_ready, + MAC_FIFOAVAIL_IN => mac_fifoavail, + MAC_FIFOEOF_IN => mac_fifoeof, + MAC_FIFOEMPTY_IN => mac_fifoempty, + MAC_RX_FIFOFULL_IN => mac_rx_fifofull, + MAC_TX_DATA_IN => mac_tx_data, + MAC_TX_READ_OUT => mac_tx_read, + MAC_TX_DISCRFRM_OUT => mac_tx_discrfrm, + MAC_TX_STAT_EN_OUT => mac_tx_stat_en, + MAC_TX_STATS_OUT => mac_tx_stats, + MAC_TX_DONE_OUT => mac_tx_done, + MAC_RX_FIFO_ERR_OUT => mac_rx_fifo_err, + MAC_RX_STATS_OUT => mac_rx_stats, + MAC_RX_DATA_OUT => mac_rx_data, + MAC_RX_WRITE_OUT => mac_rx_write, + MAC_RX_STAT_EN_OUT => mac_rx_stat_en, + MAC_RX_EOF_OUT => mac_rx_eof, + MAC_RX_ERROR_OUT => mac_rx_err, + SD_RXD_P_IN => SD_RXD_P_IN, + SD_RXD_N_IN => SD_RXD_N_IN, + SD_TXD_P_OUT => SD_TXD_P_OUT, + SD_TXD_N_OUT => SD_TXD_N_OUT, + SD_PRSNT_N_IN => SD_PRSNT_N_IN, + SD_LOS_IN => SD_LOS_IN, + SD_TXDIS_OUT => SD_TXDIS_OUT, + STATUS_OUT => STATUS_OUT(7 downto 0), + DEBUG_OUT => debug(63 downto 0) --open + ); + + STATUS_OUT(8) <= dhcp_done; -- DHCP has completed + STATUS_OUT(15 downto 9) <= (others => '0'); + + gbe_inst : entity work.gbe_logic_wrapper + generic map(DO_SIMULATION => DO_SIMULATION, + INCLUDE_DEBUG => INCLUDE_DEBUG, + USE_INTERNAL_TRBNET_DUMMY => USE_INTERNAL_TRBNET_DUMMY, + RX_PATH_ENABLE => RX_PATH_ENABLE, + INCLUDE_READOUT => LINK_HAS_READOUT(0), + INCLUDE_SLOWCTRL => LINK_HAS_SLOWCTRL(0), + INCLUDE_DHCP => LINK_HAS_DHCP(0), + INCLUDE_ARP => LINK_HAS_ARP(0), + INCLUDE_PING => LINK_HAS_PING(0), + INCLUDE_FWD => LINK_HAS_FWD(0), + FRAME_BUFFER_SIZE => 1, + READOUT_BUFFER_SIZE => 4, + SLOWCTRL_BUFFER_SIZE => 2, + FIXED_SIZE_MODE => FIXED_SIZE_MODE, + INCREMENTAL_MODE => INCREMENTAL_MODE, + FIXED_SIZE => FIXED_SIZE, + FIXED_DELAY_MODE => FIXED_DELAY_MODE, + UP_DOWN_MODE => UP_DOWN_MODE, + UP_DOWN_LIMIT => UP_DOWN_LIMIT, + FIXED_DELAY => FIXED_DELAY) + port map( + CLK_SYS_IN => CLK_SYS_IN, + CLK_125_IN => CLK_125_IN, + CLK_RX_125_IN => CLK_125_IN, + RESET => RESET, + GSR_N => GSR_N, + MY_MAC_IN => mac_0, + DHCP_DONE_OUT => dhcp_done, + MY_IP_OUT => my_ip(31 downto 0), + MY_TRBNET_ADDRESS_IN => MY_TRBNET_ADDRESS_IN, + ISSUE_REBOOT_OUT => issue_reboot, + MAC_READY_CONF_IN => mac_ready_conf, + MAC_RECONF_OUT => mac_reconf, + MAC_AN_READY_IN => mac_an_ready, + MAC_FIFOAVAIL_OUT => mac_fifoavail, + MAC_FIFOEOF_OUT => mac_fifoeof, + MAC_FIFOEMPTY_OUT => mac_fifoempty, + MAC_RX_FIFOFULL_OUT => mac_rx_fifofull, + MAC_TX_DATA_OUT => mac_tx_data, + MAC_TX_READ_IN => mac_tx_read, + MAC_TX_DISCRFRM_IN => mac_tx_discrfrm, + MAC_TX_STAT_EN_IN => mac_tx_stat_en, + MAC_TX_STATS_IN => mac_tx_stats, + MAC_TX_DONE_IN => mac_tx_done, + MAC_RX_FIFO_ERR_IN => mac_rx_fifo_err, + MAC_RX_STATS_IN => mac_rx_stats, + MAC_RX_DATA_IN => mac_rx_data, + MAC_RX_WRITE_IN => mac_rx_write, + MAC_RX_STAT_EN_IN => mac_rx_stat_en, + MAC_RX_EOF_IN => mac_rx_eof, + MAC_RX_ERROR_IN => mac_rx_err, + CTS_NUMBER_IN => mlt_cts_number, + CTS_CODE_IN => mlt_cts_code, + CTS_INFORMATION_IN => mlt_cts_information, + CTS_READOUT_TYPE_IN => mlt_cts_readout_type, + CTS_START_READOUT_IN => mlt_cts_start_readout(0), + CTS_DATA_OUT => mlt_cts_data, + CTS_DATAREADY_OUT => mlt_cts_dataready(0), + CTS_READOUT_FINISHED_OUT => mlt_cts_readout_finished(0), + CTS_READ_IN => mlt_cts_read(0), + CTS_LENGTH_OUT => mlt_cts_length, + CTS_ERROR_PATTERN_OUT => mlt_cts_error_pattern, + FEE_DATA_IN => mlt_fee_data, + FEE_DATAREADY_IN => mlt_fee_dataready(0), + FEE_READ_OUT => mlt_fee_read(0), + FEE_STATUS_BITS_IN => mlt_fee_status, + FEE_BUSY_IN => mlt_fee_busy(0), + GSC_CLK_IN => mlt_gsc_clk, + GSC_INIT_DATAREADY_OUT => mlt_gsc_init_dataready, + GSC_INIT_DATA_OUT => mlt_gsc_init_data, + GSC_INIT_PACKET_NUM_OUT => mlt_gsc_init_packet, + GSC_INIT_READ_IN => mlt_gsc_init_read, + GSC_REPLY_DATAREADY_IN => mlt_gsc_reply_dataready, + GSC_REPLY_DATA_IN => mlt_gsc_reply_data, + GSC_REPLY_PACKET_NUM_IN => mlt_gsc_reply_packet, + GSC_REPLY_READ_OUT => mlt_gsc_reply_read, + GSC_BUSY_IN => mlt_gsc_busy, + SLV_ADDR_IN => BUS_IP_RX.addr(7 downto 0), + SLV_READ_IN => BUS_IP_RX.read, + SLV_WRITE_IN => BUS_IP_RX.write, + SLV_BUSY_OUT => busip0.nack, + SLV_ACK_OUT => busip0.ack, + SLV_DATA_IN => BUS_IP_RX.data, + SLV_DATA_OUT => busip0.data, + CFG_GBE_ENABLE_IN => cfg_gbe_enable, + CFG_IPU_ENABLE_IN => cfg_ipu_enable, + CFG_MULT_ENABLE_IN => cfg_mult_enable, + CFG_MAX_FRAME_IN => cfg_max_frame, + CFG_ALLOW_RX_IN => cfg_allow_rx, + CFG_SOFT_RESET_IN => cfg_soft_rst, + CFG_SUBEVENT_ID_IN => cfg_subevent_id, + CFG_SUBEVENT_DEC_IN => cfg_subevent_dec, + CFG_QUEUE_DEC_IN => cfg_queue_dec, + CFG_READOUT_CTR_IN => cfg_readout_ctr, + CFG_READOUT_CTR_VALID_IN => cfg_readout_ctr_valid, + CFG_INSERT_TTYPE_IN => cfg_insert_ttype, + CFG_MAX_SUB_IN => cfg_max_sub, + CFG_MAX_QUEUE_IN => cfg_max_queue, + CFG_MAX_SUBS_IN_QUEUE_IN => cfg_max_subs_in_queue, + CFG_MAX_SINGLE_SUB_IN => cfg_max_single_sub, + CFG_ADDITIONAL_HDR_IN => cfg_additional_hdr, + CFG_MAX_REPLY_SIZE_IN => cfg_max_reply, + CFG_AUTO_THROTTLE_IN => cfg_autothrottle, + CFG_THROTTLE_PAUSE_IN => cfg_throttle_pause, + FWD_DST_MAC_IN => FWD_DST_MAC_IN, + FWD_DST_IP_IN => FWD_DST_IP_IN, + FWD_DST_UDP_IN => FWD_DST_UDP_IN, + FWD_DATA_IN => FWD_DATA_IN, + FWD_DATA_VALID_IN => FWD_DATA_VALID_IN, + FWD_SOP_IN => FWD_SOP_IN, + FWD_EOP_IN => FWD_EOP_IN, + FWD_READY_OUT => FWD_READY_OUT, + FWD_FULL_OUT => FWD_FULL_OUT, + MONITOR_RX_FRAMES_OUT => monitor_rx_frames, + MONITOR_RX_BYTES_OUT => monitor_rx_bytes, + MONITOR_TX_FRAMES_OUT => monitor_tx_frames, + MONITOR_TX_BYTES_OUT => monitor_tx_bytes, + MONITOR_TX_PACKETS_OUT => monitor_tx_packets, + MONITOR_DROPPED_OUT => monitor_dropped, + MONITOR_GEN_DBG_OUT => monitor_gen_dbg, + MAKE_RESET_OUT => make_reset0 + ); + + BUS_IP_TX.ack <= busip0.ack when rising_edge(CLK_SYS_IN); + BUS_IP_TX.nack <= busip0.nack when rising_edge(CLK_SYS_IN); + BUS_IP_TX.data <= busip0.data when rising_edge(CLK_SYS_IN); + + real_ipu_gen : if USE_EXTERNAL_TRBNET_DUMMY = 0 generate + ipu_mult : entity work.gbe_ipu_multiplexer + generic map( + DO_SIMULATION => DO_SIMULATION, + INCLUDE_DEBUG => INCLUDE_DEBUG, + LINK_HAS_READOUT => LINK_HAS_READOUT, + NUMBER_OF_GBE_LINKS => NUMBER_OF_GBE_LINKS + ) + port map( + CLK_SYS_IN => CLK_SYS_IN, + RESET => RESET, + CTS_NUMBER_IN => CTS_NUMBER_IN, + CTS_CODE_IN => CTS_CODE_IN, + CTS_INFORMATION_IN => CTS_INFORMATION_IN, + CTS_READOUT_TYPE_IN => CTS_READOUT_TYPE_IN, + CTS_START_READOUT_IN => CTS_START_READOUT_IN, + CTS_DATA_OUT => CTS_DATA_OUT, + CTS_DATAREADY_OUT => CTS_DATAREADY_OUT, + CTS_READOUT_FINISHED_OUT => CTS_READOUT_FINISHED_OUT, + CTS_READ_IN => CTS_READ_IN, + CTS_LENGTH_OUT => CTS_LENGTH_OUT, + CTS_ERROR_PATTERN_OUT => CTS_ERROR_PATTERN_OUT, + FEE_DATA_IN => FEE_DATA_IN, + FEE_DATAREADY_IN => FEE_DATAREADY_IN, + FEE_READ_OUT => FEE_READ_OUT, + FEE_STATUS_BITS_IN => FEE_STATUS_BITS_IN, + FEE_BUSY_IN => FEE_BUSY_IN, + MLT_CTS_NUMBER_OUT => mlt_cts_number, + MLT_CTS_CODE_OUT => mlt_cts_code, + MLT_CTS_INFORMATION_OUT => mlt_cts_information, + MLT_CTS_READOUT_TYPE_OUT => mlt_cts_readout_type, + MLT_CTS_START_READOUT_OUT => mlt_cts_start_readout, + MLT_CTS_DATA_IN => mlt_cts_data, + MLT_CTS_DATAREADY_IN => mlt_cts_dataready, + MLT_CTS_READOUT_FINISHED_IN => mlt_cts_readout_finished, + MLT_CTS_READ_OUT => mlt_cts_read, + MLT_CTS_LENGTH_IN => mlt_cts_length, + MLT_CTS_ERROR_PATTERN_IN => mlt_cts_error_pattern, + MLT_FEE_DATA_OUT => mlt_fee_data, + MLT_FEE_DATAREADY_OUT => mlt_fee_dataready, + MLT_FEE_READ_IN => mlt_fee_read, + MLT_FEE_STATUS_BITS_OUT => mlt_fee_status, + MLT_FEE_BUSY_OUT => mlt_fee_busy, + DEBUG_OUT => open + ); + end generate real_ipu_gen; + + dummy_ipu_gen : if (USE_EXTERNAL_TRBNET_DUMMY = 1) generate + ipu_mult : entity work.gbe_ipu_multiplexer + generic map( + DO_SIMULATION => DO_SIMULATION, + INCLUDE_DEBUG => INCLUDE_DEBUG, + LINK_HAS_READOUT => LINK_HAS_READOUT, + NUMBER_OF_GBE_LINKS => NUMBER_OF_GBE_LINKS + ) + port map( + CLK_SYS_IN => CLK_SYS_IN, + RESET => RESET, + CTS_NUMBER_IN => local_cts_number, + CTS_CODE_IN => local_cts_code, + CTS_INFORMATION_IN => local_cts_information, + CTS_READOUT_TYPE_IN => local_cts_readout_type, + CTS_START_READOUT_IN => local_cts_start_readout, + CTS_DATA_OUT => open, + CTS_DATAREADY_OUT => open, + CTS_READOUT_FINISHED_OUT => local_cts_readout_finished, + CTS_READ_IN => '1', + CTS_LENGTH_OUT => open, + CTS_ERROR_PATTERN_OUT => local_cts_status_bits, + FEE_DATA_IN => local_fee_data, + FEE_DATAREADY_IN => local_fee_dataready, + FEE_READ_OUT => local_fee_read, + FEE_STATUS_BITS_IN => local_fee_status_bits, + FEE_BUSY_IN => local_fee_busy, + MLT_CTS_NUMBER_OUT => mlt_cts_number, + MLT_CTS_CODE_OUT => mlt_cts_code, + MLT_CTS_INFORMATION_OUT => mlt_cts_information, + MLT_CTS_READOUT_TYPE_OUT => mlt_cts_readout_type, + MLT_CTS_START_READOUT_OUT => mlt_cts_start_readout, + MLT_CTS_DATA_IN => mlt_cts_data, + MLT_CTS_DATAREADY_IN => mlt_cts_dataready, + MLT_CTS_READOUT_FINISHED_IN => mlt_cts_readout_finished, + MLT_CTS_READ_OUT => mlt_cts_read, + MLT_CTS_LENGTH_IN => mlt_cts_length, + MLT_CTS_ERROR_PATTERN_IN => mlt_cts_error_pattern, + MLT_FEE_DATA_OUT => mlt_fee_data, + MLT_FEE_DATAREADY_OUT => mlt_fee_dataready, + MLT_FEE_READ_IN => mlt_fee_read, + MLT_FEE_STATUS_BITS_OUT => mlt_fee_status, + MLT_FEE_BUSY_OUT => mlt_fee_busy, + DEBUG_OUT => open + ); + + dummy : entity work.gbe_ipu_dummy + generic map( + DO_SIMULATION => DO_SIMULATION, + FIXED_SIZE_MODE => FIXED_SIZE_MODE, + INCREMENTAL_MODE => INCREMENTAL_MODE, + FIXED_SIZE => FIXED_SIZE, + UP_DOWN_MODE => UP_DOWN_MODE, + UP_DOWN_LIMIT => UP_DOWN_LIMIT, + FIXED_DELAY_MODE => FIXED_DELAY_MODE, + FIXED_DELAY => FIXED_DELAY + ) + port map( + clk => CLK_SYS_IN, + rst => RESET, + GBE_READY_IN => all_links_ready, + CFG_EVENT_SIZE_IN => dummy_event, + CFG_TRIGGERED_MODE_IN => '0', + TRIGGER_IN => TRIGGER_IN, + CTS_NUMBER_OUT => local_cts_number, + CTS_CODE_OUT => local_cts_code, + CTS_INFORMATION_OUT => local_cts_information, + CTS_READOUT_TYPE_OUT => local_cts_readout_type, + CTS_START_READOUT_OUT => local_cts_start_readout, + CTS_DATA_IN => (others => '0'), + CTS_DATAREADY_IN => '0', + CTS_READOUT_FINISHED_IN => local_cts_readout_finished, + CTS_READ_OUT => open, + CTS_LENGTH_IN => (others => '0'), + CTS_ERROR_PATTERN_IN => local_cts_status_bits, + -- Data payload interface + FEE_DATA_OUT => local_fee_data, + FEE_DATAREADY_OUT => local_fee_dataready, + FEE_READ_IN => local_fee_read, + FEE_STATUS_BITS_OUT => local_fee_status_bits, + FEE_BUSY_OUT => local_fee_busy + ); + + -- handler for triggers + DUMMY_HANDLER : entity work.trb_net16_gbe_ipu_interface + port map( + CLK_IPU => CLK_SYS_IN, + CLK_GBE => CLK_125_IN, + RESET => RESET, + --Event information coming from CTS + CTS_NUMBER_IN => CTS_NUMBER_IN, + CTS_CODE_IN => CTS_CODE_IN, + CTS_INFORMATION_IN => CTS_INFORMATION_IN, + CTS_READOUT_TYPE_IN => CTS_READOUT_TYPE_IN, + CTS_START_READOUT_IN => CTS_START_READOUT_IN, + --Information sent to CTS + --status data, equipped with DHDR + CTS_DATA_OUT => CTS_DATA_OUT, + CTS_DATAREADY_OUT => CTS_DATAREADY_OUT, + CTS_READOUT_FINISHED_OUT => CTS_READOUT_FINISHED_OUT, + CTS_READ_IN => CTS_READ_IN, + CTS_LENGTH_OUT => CTS_LENGTH_OUT, + CTS_ERROR_PATTERN_OUT => CTS_ERROR_PATTERN_OUT, + -- Data from Frontends + FEE_DATA_IN => FEE_DATA_IN, + FEE_DATAREADY_IN => FEE_DATAREADY_IN, + FEE_READ_OUT => FEE_READ_OUT, + FEE_STATUS_BITS_IN => FEE_STATUS_BITS_IN, + FEE_BUSY_IN => FEE_BUSY_IN, + -- slow control interface + START_CONFIG_OUT => open, + BANK_SELECT_OUT => open, + CONFIG_DONE_IN => '1', + DATA_GBE_ENABLE_IN => '1', + DATA_IPU_ENABLE_IN => '1', + MULT_EVT_ENABLE_IN => '1', + MAX_SUBEVENT_SIZE_IN => (others => '0'), + MAX_QUEUE_SIZE_IN => (others => '0'), + MAX_SUBS_IN_QUEUE_IN => (others => '0'), + MAX_SINGLE_SUB_SIZE_IN => (others => '0'), + READOUT_CTR_IN => (others => '0'), + READOUT_CTR_VALID_IN => '0', + CFG_AUTO_THROTTLE_IN => '0', + CFG_THROTTLE_PAUSE_IN => (others => '0'), + -- PacketConstructor interface + PC_WR_EN_OUT => open, + PC_DATA_OUT => open, + PC_READY_IN => '1', + PC_SOS_OUT => open, + PC_EOS_OUT => open, + PC_EOQ_OUT => open, + PC_SUB_SIZE_OUT => open, + PC_TRIG_NR_OUT => open, + PC_TRIGGER_TYPE_OUT => open, + MONITOR_OUT => open, + DEBUG_OUT => open + ); + end generate dummy_ipu_gen; + + SETUP : gbe_setup + port map( + CLK => CLK_SYS_IN, + RESET => RESET, + -- interface to regio bus + BUS_ADDR_IN => BUS_REG_RX.addr(7 downto 0), + BUS_DATA_IN => BUS_REG_RX.data, + BUS_DATA_OUT => BUS_REG_TX.data, + BUS_WRITE_EN_IN => BUS_REG_RX.write, + BUS_READ_EN_IN => BUS_REG_RX.read, + BUS_ACK_OUT => BUS_REG_TX.ack, + -- output to gbe_buf + GBE_SUBEVENT_ID_OUT => cfg_subevent_id, + GBE_SUBEVENT_DEC_OUT => cfg_subevent_dec, + GBE_QUEUE_DEC_OUT => cfg_queue_dec, + GBE_MAX_FRAME_OUT => cfg_max_frame, + GBE_USE_GBE_OUT => cfg_gbe_enable, + GBE_USE_TRBNET_OUT => cfg_ipu_enable, + GBE_USE_MULTIEVENTS_OUT => cfg_mult_enable, + GBE_READOUT_CTR_OUT => cfg_readout_ctr, + GBE_READOUT_CTR_VALID_OUT => cfg_readout_ctr_valid, + GBE_ALLOW_RX_OUT => cfg_allow_rx, + GBE_ADDITIONAL_HDR_OUT => cfg_additional_hdr, + GBE_INSERT_TTYPE_OUT => cfg_insert_ttype, + GBE_SOFT_RESET_OUT => cfg_soft_rst, + GBE_MAX_REPLY_OUT => cfg_max_reply, + GBE_MAX_SUB_OUT => cfg_max_sub, + GBE_MAX_QUEUE_OUT => cfg_max_queue, + GBE_MAX_SUBS_IN_QUEUE_OUT => cfg_max_subs_in_queue, + GBE_MAX_SINGLE_SUB_OUT => cfg_max_single_sub, + GBE_AUTOTHROTTLE_OUT => cfg_autothrottle, + GBE_THROTTLE_PAUSE_OUT => cfg_throttle_pause, + MONITOR_RX_BYTES_IN => sum_rx_bytes, + MONITOR_RX_FRAMES_IN => sum_rx_frames, + MONITOR_TX_BYTES_IN => sum_tx_bytes, + MONITOR_TX_FRAMES_IN => sum_tx_frames, + MONITOR_TX_PACKETS_IN => sum_tx_packets, + MONITOR_DROPPED_IN => sum_dropped, + MONITOR_SELECT_REC_IN => (others => '0'), + MONITOR_SELECT_REC_BYTES_IN => (others => '0'), + MONITOR_SELECT_SENT_BYTES_IN => (others => '0'), + MONITOR_SELECT_SENT_IN => (others => '0'), + MONITOR_SELECT_DROP_IN_IN => (others => '0'), + MONITOR_SELECT_DROP_OUT_IN => (others => '0'), + MONITOR_SELECT_GEN_DBG_IN => monitor_gen_dbg, + MONITOR_IP_IN => my_ip, + DUMMY_EVENT_SIZE_OUT => dummy_event, + DUMMY_TRIGGERED_MODE_OUT => dummy_mode, + DATA_HIST_IN => (others => (others => '0')), + SCTRL_HIST_IN => (others => (others => '0')) + ); + + NOSCTRL_MAP_GEN : if (LINK_HAS_SLOWCTRL = "0000") generate + GSC_INIT_DATAREADY_OUT <= '0'; + GSC_INIT_DATA_OUT <= (others => '0'); + GSC_INIT_PACKET_NUM_OUT <= (others => '0'); + GSC_REPLY_READ_OUT <= '1'; + mlt_gsc_clk <= (others => '0'); + mlt_gsc_init_read <= (others => '0'); + mlt_gsc_reply_dataready <= (others => '0'); + mlt_gsc_reply_data <= (others => '0'); + mlt_gsc_reply_packet <= (others => '0'); + mlt_gsc_busy <= (others => '0'); + end generate NOSCTRL_MAP_GEN; + + SCTRL_MAP_GEN : if (LINK_HAS_SLOWCTRL /= "0000") generate + ACTIVE_MAP_GEN : if (LINK_HAS_SLOWCTRL(0) = '1') generate + mlt_gsc_clk <= GSC_CLK_IN; + GSC_INIT_DATAREADY_OUT <= mlt_gsc_init_dataready; + GSC_INIT_DATA_OUT <= mlt_gsc_init_data; + GSC_INIT_PACKET_NUM_OUT <= mlt_gsc_init_packet; + mlt_gsc_init_read <= GSC_INIT_READ_IN; + mlt_gsc_reply_dataready <= GSC_REPLY_DATAREADY_IN; + mlt_gsc_reply_data <= GSC_REPLY_DATA_IN; + mlt_gsc_reply_packet <= GSC_REPLY_PACKET_NUM_IN; + GSC_REPLY_READ_OUT <= mlt_gsc_reply_read; + mlt_gsc_busy <= GSC_BUSY_IN; + end generate ACTIVE_MAP_GEN; + + INACTIVE_MAP_GEN : if (LINK_HAS_SLOWCTRL(0) = '0') generate + mlt_gsc_clk <= '0'; + mlt_gsc_init_read <= '0'; + mlt_gsc_reply_dataready <= '0'; + mlt_gsc_reply_data <= (others => '0'); + mlt_gsc_reply_packet <= (others => '0'); + mlt_gsc_busy <= '0'; + end generate INACTIVE_MAP_GEN; + end generate SCTRL_MAP_GEN; + + sum_rx_bytes <= monitor_rx_bytes; + sum_rx_frames <= monitor_rx_frames; + sum_tx_bytes <= monitor_tx_bytes; + sum_tx_frames <= monitor_tx_frames; + sum_tx_packets <= monitor_tx_packets; + sum_dropped <= monitor_dropped; + +end architecture RTL; diff --git a/gbe_trb_ecp5/media/ecp5/d0ch0/serdes_gbe.vhd b/gbe_trb_ecp5/media/ecp5/d0ch0/serdes_gbe.vhd new file mode 100644 index 0000000..58c3194 --- /dev/null +++ b/gbe_trb_ecp5/media/ecp5/d0ch0/serdes_gbe.vhd @@ -0,0 +1,352 @@ + +-- +-- Verific VHDL Description of module DCUA +-- + +-- DCUA is a black-box. Cannot print a valid VHDL entity description for it + +-- +-- Verific VHDL Description of module serdes_gbesll_core +-- + +-- serdes_gbesll_core is a black-box. Cannot print a valid VHDL entity description for it + +-- +-- Verific VHDL Description of module serdes_gbe +-- + +library ieee ; +use ieee.std_logic_1164.all ; + +library ecp5um ; +use ecp5um.components.all ; + +entity serdes_gbe is + port (hdoutp: out std_logic; + hdoutn: out std_logic; + hdinp: in std_logic; + hdinn: in std_logic; + rxrefclk: in std_logic; + rx_pclk: out std_logic; + txi_clk: in std_logic; + tx_pclk: out std_logic; + txdata: in std_logic_vector(7 downto 0); + tx_k: in std_logic_vector(0 downto 0); + xmit: in std_logic_vector(0 downto 0); + tx_disp_correct: in std_logic_vector(0 downto 0); + rxdata: out std_logic_vector(7 downto 0); + rx_k: out std_logic_vector(0 downto 0); + rx_disp_err: out std_logic_vector(0 downto 0); + rx_cv_err: out std_logic_vector(0 downto 0); + signal_detect_c: in std_logic; + rx_los_low_s: out std_logic; + lsm_status_s: out std_logic; + rx_cdr_lol_s: out std_logic; + tx_pcs_rst_c: in std_logic; + rx_pcs_rst_c: in std_logic; + rx_serdes_rst_c: in std_logic; + tx_pwrup_c: in std_logic; + rx_pwrup_c: in std_logic; + rst_dual_c: in std_logic; + serdes_rst_dual_c: in std_logic; + serdes_pdb: in std_logic; + tx_serdes_rst_c: in std_logic; + pll_refclki: in std_logic; + sli_rst: in std_logic; + pll_lol: out std_logic + ); + +end entity serdes_gbe; + +architecture v1 of serdes_gbe is + component serdes_gbesll_core is + generic (PPROTOCOL: string := "SGMII"; + PLOL_SETTING: integer := 1; + PDYN_RATE_CTRL: string := "DISABLED"; + PPCIE_MAX_RATE: string := "2.5"; + PDIFF_VAL_LOCK: integer := 20; + PDIFF_VAL_UNLOCK: integer := 131; + PPCLK_TC: integer := 65536; + PDIFF_DIV11_VAL_LOCK: integer := 0; + PDIFF_DIV11_VAL_UNLOCK: integer := 0; + PPCLK_DIV11_TC: integer := 0); + port (sli_rst: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(125) + sli_refclk: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(126) + sli_pclk: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(127) + sli_div2_rate: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(128) + sli_div11_rate: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(129) + sli_gear_mode: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(130) + sli_cpri_mode: in std_logic_vector(2 downto 0); -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(131) + sli_pcie_mode: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(132) + slo_plol: out std_logic -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(135) + ); + + end component serdes_gbesll_core; -- syn_black_box=1 -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(107) + signal n48,n47,n1,n2,n3,n4,rx_pclk_c,n5,n6,n7,n8,tx_pclk_c,n9, + n10,n11,n12,n13,n14,n15,n16,n17,n18,n19,n20,n21,n22,n23, + n24,n25,n26,n27,n28,n29,n30,n31,n32,n33,n34,n35,n36,n37, + n38,n39,n40,n41,n42,n43,n44,n45,n46,n49,n115,n114,n50,n51, + n52,n53,n54,n55,n56,n57,n58,n59,n60,n61,n62,n63,n64,n65, + n66,n67,n68,n69,n70,n71,n72,n73,n74,n75,n76,n77,n78,n79, + n80,n81,n82,n83,n84,n85,n86,n87,n88,n89,n90,n91,n92,n93, + n94,n95,n96,n97,n98,n99,n100,n101,n102,n103,n104,n105,n106, + n107,n108,n109,n110,n111,n112,n113,\_Z\,n117,n116,gnd,pwr : std_logic; + attribute LOC : string; + attribute LOC of DCU0_inst : label is "DCU0"; + attribute CHAN : string; + attribute CHAN of DCU0_inst : label is "CH0"; +begin + rx_pclk <= rx_pclk_c; + tx_pclk <= tx_pclk_c; + DCU0_inst: component DCUA generic map (D_MACROPDB=>"0b1",D_IB_PWDNB=>"0b1", + D_XGE_MODE=>"0b0",D_LOW_MARK=>"0d4",D_HIGH_MARK=>"0d12",D_BUS8BIT_SEL=>"0b0", + D_CDR_LOL_SET=>"0b11",D_TXPLL_PWDNB=>"0b1",D_BITCLK_LOCAL_EN=>"0b1", + D_BITCLK_ND_EN=>"0b0",D_BITCLK_FROM_ND_EN=>"0b0",D_SYNC_LOCAL_EN=>"0b1", + D_SYNC_ND_EN=>"0b0",CH0_UC_MODE=>"0b0",CH0_PCIE_MODE=>"0b0",CH0_RIO_MODE=>"0b0", + CH0_WA_MODE=>"0b0",CH0_INVERT_RX=>"0b0",CH0_INVERT_TX=>"0b0",CH0_PRBS_SELECTION=>"0b0", + CH0_GE_AN_ENABLE=>"0b1",CH0_PRBS_LOCK=>"0b0",CH0_PRBS_ENABLE=>"0b0", + CH0_ENABLE_CG_ALIGN=>"0b1",CH0_TX_GEAR_MODE=>"0b0",CH0_RX_GEAR_MODE=>"0b0", + CH0_PCS_DET_TIME_SEL=>"0b00",CH0_PCIE_EI_EN=>"0b0",CH0_TX_GEAR_BYPASS=>"0b0", + CH0_ENC_BYPASS=>"0b0",CH0_SB_BYPASS=>"0b0",CH0_RX_SB_BYPASS=>"0b0", + CH0_WA_BYPASS=>"0b0",CH0_DEC_BYPASS=>"0b0",CH0_CTC_BYPASS=>"0b1", + CH0_RX_GEAR_BYPASS=>"0b0",CH0_LSM_DISABLE=>"0b0",CH0_MATCH_2_ENABLE=>"0b0", + CH0_MATCH_4_ENABLE=>"0b0",CH0_MIN_IPG_CNT=>"0b11",CH0_CC_MATCH_1=>"0x000", + CH0_CC_MATCH_2=>"0x000",CH0_CC_MATCH_3=>"0x000",CH0_CC_MATCH_4=>"0x000", + CH0_UDF_COMMA_MASK=>"0x3ff",CH0_UDF_COMMA_A=>"0x283",CH0_UDF_COMMA_B=>"0x17C", + CH0_RX_DCO_CK_DIV=>"0b010",CH0_RCV_DCC_EN=>"0b0",CH0_TPWDNB=>"0b1", + CH0_RATE_MODE_TX=>"0b0",CH0_RTERM_TX=>"0d19",CH0_TX_CM_SEL=>"0b00", + CH0_TDRV_PRE_EN=>"0b0",CH0_TDRV_SLICE0_SEL=>"0b01",CH0_TDRV_SLICE1_SEL=>"0b00", + CH0_TDRV_SLICE2_SEL=>"0b01",CH0_TDRV_SLICE3_SEL=>"0b01",CH0_TDRV_SLICE4_SEL=>"0b01", + CH0_TDRV_SLICE5_SEL=>"0b00",CH0_TDRV_SLICE0_CUR=>"0b011",CH0_TDRV_SLICE1_CUR=>"0b000", + CH0_TDRV_SLICE2_CUR=>"0b11",CH0_TDRV_SLICE3_CUR=>"0b11",CH0_TDRV_SLICE4_CUR=>"0b11", + CH0_TDRV_SLICE5_CUR=>"0b00",CH0_TDRV_DAT_SEL=>"0b00",CH0_TX_DIV11_SEL=>"0b0", + CH0_RPWDNB=>"0b1",CH0_RATE_MODE_RX=>"0b0",CH0_RX_DIV11_SEL=>"0b0", + CH0_SEL_SD_RX_CLK=>"0b1",CH0_FF_RX_H_CLK_EN=>"0b0",CH0_FF_RX_F_CLK_DIS=>"0b0", + CH0_FF_TX_H_CLK_EN=>"0b0",CH0_FF_TX_F_CLK_DIS=>"0b0",CH0_TDRV_POST_EN=>"0b0", + CH0_TX_POST_SIGN=>"0b0",CH0_TX_PRE_SIGN=>"0b0",CH0_REQ_LVL_SET=>"0b00", + CH0_REQ_EN=>"0b1",CH0_RTERM_RX=>"0d22",CH0_RXTERM_CM=>"0b11",CH0_PDEN_SEL=>"0b1", + CH0_RXIN_CM=>"0b11",CH0_LEQ_OFFSET_SEL=>"0b0",CH0_LEQ_OFFSET_TRIM=>"0b000", + CH0_RLOS_SEL=>"0b1",CH0_RX_LOS_LVL=>"0b100",CH0_RX_LOS_CEQ=>"0b11", + CH0_RX_LOS_HYST_EN=>"0b0",CH0_RX_LOS_EN=>"0b1",CH0_LDR_RX2CORE_SEL=>"0b0", + CH0_LDR_CORE2TX_SEL=>"0b0",D_TX_MAX_RATE=>"1.25",CH0_CDR_MAX_RATE=>"1.25", + CH0_TXAMPLITUDE=>"0d1000",CH0_TXDEPRE=>"DISABLED",CH0_TXDEPOST=>"DISABLED", + CH0_PROTOCOL=>"SGMII",D_ISETLOS=>"0d0",D_SETIRPOLY_AUX=>"0b00",D_SETICONST_AUX=>"0b00", + D_SETIRPOLY_CH=>"0b00",D_SETICONST_CH=>"0b00",D_REQ_ISET=>"0b000", + D_PD_ISET=>"0b00",D_DCO_CALIB_TIME_SEL=>"0b00",CH0_CDR_CNT4SEL=>"0b00", + CH0_CDR_CNT8SEL=>"0b00",CH0_DCOATDCFG=>"0b00",CH0_DCOATDDLY=>"0b00", + CH0_DCOBYPSATD=>"0b1",CH0_DCOCALDIV=>"0b001",CH0_DCOCTLGI=>"0b010", + CH0_DCODISBDAVOID=>"0b0",CH0_DCOFLTDAC=>"0b01",CH0_DCOFTNRG=>"0b110", + CH0_DCOIOSTUNE=>"0b000",CH0_DCOITUNE=>"0b00",CH0_DCOITUNE4LSB=>"0b111", + CH0_DCOIUPDNX2=>"0b1",CH0_DCONUOFLSB=>"0b101",CH0_DCOSCALEI=>"0b00", + CH0_DCOSTARTVAL=>"0b000",CH0_DCOSTEP=>"0b00",CH0_BAND_THRESHOLD=>"0d0", + CH0_AUTO_FACQ_EN=>"0b1",CH0_AUTO_CALIB_EN=>"0b1",CH0_CALIB_CK_MODE=>"0b0", + CH0_REG_BAND_OFFSET=>"0d0",CH0_REG_BAND_SEL=>"0d0",CH0_REG_IDAC_SEL=>"0d0", + CH0_REG_IDAC_EN=>"0b0",D_CMUSETISCL4VCO=>"0b000",D_CMUSETI4VCO=>"0b00", + D_CMUSETINITVCT=>"0b00",D_CMUSETZGM=>"0b000",D_CMUSETP2AGM=>"0b000", + D_CMUSETP1GM=>"0b000",D_CMUSETI4CPZ=>"0d3",D_CMUSETI4CPP=>"0d3",D_CMUSETICP4Z=>"0b101", + D_CMUSETICP4P=>"0b01",D_CMUSETBIASI=>"0b00",D_SETPLLRC=>"0d1",CH0_RX_RATE_SEL=>"0d8", + D_REFCK_MODE=>"0b001",D_TX_VCO_CK_DIV=>"0b010",D_PLL_LOL_SET=>"0b01", + D_RG_EN=>"0b0",D_RG_SET=>"0b00") + port map (CH0_HDINP=>hdinp,CH1_HDINP=>n115,CH0_HDINN=>hdinn,CH1_HDINN=>n115, + D_TXBIT_CLKP_FROM_ND=>n47,D_TXBIT_CLKN_FROM_ND=>n47,D_SYNC_ND=>n47,D_TXPLL_LOL_FROM_ND=>n47, + CH0_RX_REFCLK=>rxrefclk,CH1_RX_REFCLK=>n115,CH0_FF_RXI_CLK=>rx_pclk_c, + CH1_FF_RXI_CLK=>n114,CH0_FF_TXI_CLK=>txi_clk,CH1_FF_TXI_CLK=>n114,CH0_FF_EBRD_CLK=>n48, + CH1_FF_EBRD_CLK=>n114,CH0_FF_TX_D_0=>txdata(0),CH1_FF_TX_D_0=>n115,CH0_FF_TX_D_1=>txdata(1), + CH1_FF_TX_D_1=>n115,CH0_FF_TX_D_2=>txdata(2),CH1_FF_TX_D_2=>n115,CH0_FF_TX_D_3=>txdata(3), + CH1_FF_TX_D_3=>n115,CH0_FF_TX_D_4=>txdata(4),CH1_FF_TX_D_4=>n115,CH0_FF_TX_D_5=>txdata(5), + CH1_FF_TX_D_5=>n115,CH0_FF_TX_D_6=>txdata(6),CH1_FF_TX_D_6=>n115,CH0_FF_TX_D_7=>txdata(7), + CH1_FF_TX_D_7=>n115,CH0_FF_TX_D_8=>tx_k(0),CH1_FF_TX_D_8=>n115,CH0_FF_TX_D_9=>n47, + CH1_FF_TX_D_9=>n115,CH0_FF_TX_D_10=>xmit(0),CH1_FF_TX_D_10=>n115,CH0_FF_TX_D_11=>tx_disp_correct(0), + CH1_FF_TX_D_11=>n115,CH0_FF_TX_D_12=>n115,CH1_FF_TX_D_12=>n115,CH0_FF_TX_D_13=>n115, + CH1_FF_TX_D_13=>n115,CH0_FF_TX_D_14=>n115,CH1_FF_TX_D_14=>n115,CH0_FF_TX_D_15=>n115, + CH1_FF_TX_D_15=>n115,CH0_FF_TX_D_16=>n115,CH1_FF_TX_D_16=>n115,CH0_FF_TX_D_17=>n115, + CH1_FF_TX_D_17=>n115,CH0_FF_TX_D_18=>n115,CH1_FF_TX_D_18=>n115,CH0_FF_TX_D_19=>n115, + CH1_FF_TX_D_19=>n115,CH0_FF_TX_D_20=>n115,CH1_FF_TX_D_20=>n115,CH0_FF_TX_D_21=>n47, + CH1_FF_TX_D_21=>n115,CH0_FF_TX_D_22=>n115,CH1_FF_TX_D_22=>n115,CH0_FF_TX_D_23=>n115, + CH1_FF_TX_D_23=>n115,CH0_FFC_EI_EN=>n47,CH1_FFC_EI_EN=>n115,CH0_FFC_PCIE_DET_EN=>n47, + CH1_FFC_PCIE_DET_EN=>n115,CH0_FFC_PCIE_CT=>n47,CH1_FFC_PCIE_CT=>n115, + CH0_FFC_SB_INV_RX=>n115,CH1_FFC_SB_INV_RX=>n115,CH0_FFC_ENABLE_CGALIGN=>n115, + CH1_FFC_ENABLE_CGALIGN=>n115,CH0_FFC_SIGNAL_DETECT=>signal_detect_c,CH1_FFC_SIGNAL_DETECT=>n115, + CH0_FFC_FB_LOOPBACK=>n47,CH1_FFC_FB_LOOPBACK=>n115,CH0_FFC_SB_PFIFO_LP=>n47, + CH1_FFC_SB_PFIFO_LP=>n115,CH0_FFC_PFIFO_CLR=>n47,CH1_FFC_PFIFO_CLR=>n115, + CH0_FFC_RATE_MODE_RX=>n47,CH1_FFC_RATE_MODE_RX=>n115,CH0_FFC_RATE_MODE_TX=>n47, + CH1_FFC_RATE_MODE_TX=>n115,CH0_FFC_DIV11_MODE_RX=>n47,CH1_FFC_DIV11_MODE_RX=>n115, + CH0_FFC_DIV11_MODE_TX=>n47,CH1_FFC_DIV11_MODE_TX=>n115,CH0_FFC_RX_GEAR_MODE=>n47, + CH1_FFC_RX_GEAR_MODE=>n115,CH0_FFC_TX_GEAR_MODE=>n47,CH1_FFC_TX_GEAR_MODE=>n115, + CH0_FFC_LDR_CORE2TX_EN=>n115,CH1_FFC_LDR_CORE2TX_EN=>n115,CH0_FFC_LANE_TX_RST=>tx_pcs_rst_c, + CH1_FFC_LANE_TX_RST=>n115,CH0_FFC_LANE_RX_RST=>rx_pcs_rst_c,CH1_FFC_LANE_RX_RST=>n115, + CH0_FFC_RRST=>rx_serdes_rst_c,CH1_FFC_RRST=>n115,CH0_FFC_TXPWDNB=>tx_pwrup_c, + CH1_FFC_TXPWDNB=>n115,CH0_FFC_RXPWDNB=>rx_pwrup_c,CH1_FFC_RXPWDNB=>n115, + CH0_LDR_CORE2TX=>n115,CH1_LDR_CORE2TX=>n115,D_SCIWDATA0=>n115,D_SCIWDATA1=>n115, + D_SCIWDATA2=>n115,D_SCIWDATA3=>n115,D_SCIWDATA4=>n115,D_SCIWDATA5=>n115, + D_SCIWDATA6=>n115,D_SCIWDATA7=>n115,D_SCIADDR0=>n115,D_SCIADDR1=>n115, + D_SCIADDR2=>n115,D_SCIADDR3=>n115,D_SCIADDR4=>n115,D_SCIADDR5=>n115, + D_SCIENAUX=>n115,D_SCISELAUX=>n115,CH0_SCIEN=>n115,CH1_SCIEN=>n115,CH0_SCISEL=>n115, + CH1_SCISEL=>n115,D_SCIRD=>n115,D_SCIWSTN=>n115,D_CYAWSTN=>n115,D_FFC_SYNC_TOGGLE=>n115, + D_FFC_DUAL_RST=>rst_dual_c,D_FFC_MACRO_RST=>serdes_rst_dual_c,D_FFC_MACROPDB=>serdes_pdb, + D_FFC_TRST=>tx_serdes_rst_c,CH0_FFC_CDR_EN_BITSLIP=>n47,CH1_FFC_CDR_EN_BITSLIP=>n115, + D_SCAN_ENABLE=>n47,D_SCAN_IN_0=>n47,D_SCAN_IN_1=>n47,D_SCAN_IN_2=>n47, + D_SCAN_IN_3=>n47,D_SCAN_IN_4=>n47,D_SCAN_IN_5=>n47,D_SCAN_IN_6=>n47, + D_SCAN_IN_7=>n47,D_SCAN_MODE=>n47,D_SCAN_RESET=>n47,D_CIN0=>n47,D_CIN1=>n47, + D_CIN2=>n47,D_CIN3=>n47,D_CIN4=>n47,D_CIN5=>n47,D_CIN6=>n47,D_CIN7=>n47, + D_CIN8=>n47,D_CIN9=>n47,D_CIN10=>n47,D_CIN11=>n47,CH0_HDOUTP=>hdoutp, + CH1_HDOUTP=>n50,CH0_HDOUTN=>hdoutn,CH1_HDOUTN=>n51,D_TXBIT_CLKP_TO_ND=>n1, + D_TXBIT_CLKN_TO_ND=>n2,D_SYNC_PULSE2ND=>n3,D_TXPLL_LOL_TO_ND=>n4,CH0_FF_RX_F_CLK=>n5, + CH1_FF_RX_F_CLK=>n52,CH0_FF_RX_H_CLK=>n6,CH1_FF_RX_H_CLK=>n53,CH0_FF_TX_F_CLK=>n7, + CH1_FF_TX_F_CLK=>n54,CH0_FF_TX_H_CLK=>n8,CH1_FF_TX_H_CLK=>n55,CH0_FF_RX_PCLK=>rx_pclk_c, + CH1_FF_RX_PCLK=>n56,CH0_FF_TX_PCLK=>tx_pclk_c,CH1_FF_TX_PCLK=>n57,CH0_FF_RX_D_0=>rxdata(0), + CH1_FF_RX_D_0=>n58,CH0_FF_RX_D_1=>rxdata(1),CH1_FF_RX_D_1=>n59,CH0_FF_RX_D_2=>rxdata(2), + CH1_FF_RX_D_2=>n60,CH0_FF_RX_D_3=>rxdata(3),CH1_FF_RX_D_3=>n61,CH0_FF_RX_D_4=>rxdata(4), + CH1_FF_RX_D_4=>n62,CH0_FF_RX_D_5=>rxdata(5),CH1_FF_RX_D_5=>n63,CH0_FF_RX_D_6=>rxdata(6), + CH1_FF_RX_D_6=>n64,CH0_FF_RX_D_7=>rxdata(7),CH1_FF_RX_D_7=>n65,CH0_FF_RX_D_8=>rx_k(0), + CH1_FF_RX_D_8=>n66,CH0_FF_RX_D_9=>rx_disp_err(0),CH1_FF_RX_D_9=>n67,CH0_FF_RX_D_10=>rx_cv_err(0), + CH1_FF_RX_D_10=>n68,CH0_FF_RX_D_11=>n9,CH1_FF_RX_D_11=>n69,CH0_FF_RX_D_12=>n70, + CH1_FF_RX_D_12=>n71,CH0_FF_RX_D_13=>n72,CH1_FF_RX_D_13=>n73,CH0_FF_RX_D_14=>n74, + CH1_FF_RX_D_14=>n75,CH0_FF_RX_D_15=>n76,CH1_FF_RX_D_15=>n77,CH0_FF_RX_D_16=>n78, + CH1_FF_RX_D_16=>n79,CH0_FF_RX_D_17=>n80,CH1_FF_RX_D_17=>n81,CH0_FF_RX_D_18=>n82, + CH1_FF_RX_D_18=>n83,CH0_FF_RX_D_19=>n84,CH1_FF_RX_D_19=>n85,CH0_FF_RX_D_20=>n86, + CH1_FF_RX_D_20=>n87,CH0_FF_RX_D_21=>n88,CH1_FF_RX_D_21=>n89,CH0_FF_RX_D_22=>n90, + CH1_FF_RX_D_22=>n91,CH0_FF_RX_D_23=>n10,CH1_FF_RX_D_23=>n92,CH0_FFS_PCIE_DONE=>n11, + CH1_FFS_PCIE_DONE=>n93,CH0_FFS_PCIE_CON=>n12,CH1_FFS_PCIE_CON=>n94,CH0_FFS_RLOS=>rx_los_low_s, + CH1_FFS_RLOS=>n95,CH0_FFS_LS_SYNC_STATUS=>lsm_status_s,CH1_FFS_LS_SYNC_STATUS=>n96, + CH0_FFS_CC_UNDERRUN=>n13,CH1_FFS_CC_UNDERRUN=>n97,CH0_FFS_CC_OVERRUN=>n14, + CH1_FFS_CC_OVERRUN=>n98,CH0_FFS_RXFBFIFO_ERROR=>n15,CH1_FFS_RXFBFIFO_ERROR=>n99, + CH0_FFS_TXFBFIFO_ERROR=>n16,CH1_FFS_TXFBFIFO_ERROR=>n100,CH0_FFS_RLOL=>rx_cdr_lol_s, + CH1_FFS_RLOL=>n101,CH0_FFS_SKP_ADDED=>n17,CH1_FFS_SKP_ADDED=>n102,CH0_FFS_SKP_DELETED=>n18, + CH1_FFS_SKP_DELETED=>n103,CH0_LDR_RX2CORE=>n104,CH1_LDR_RX2CORE=>n105, + D_SCIRDATA0=>n106,D_SCIRDATA1=>n107,D_SCIRDATA2=>n108,D_SCIRDATA3=>n109, + D_SCIRDATA4=>n110,D_SCIRDATA5=>n111,D_SCIRDATA6=>n112,D_SCIRDATA7=>n113, + D_SCIINT=>\_Z\,D_SCAN_OUT_0=>n19,D_SCAN_OUT_1=>n20,D_SCAN_OUT_2=>n21, + D_SCAN_OUT_3=>n22,D_SCAN_OUT_4=>n23,D_SCAN_OUT_5=>n24,D_SCAN_OUT_6=>n25, + D_SCAN_OUT_7=>n26,D_COUT0=>n27,D_COUT1=>n28,D_COUT2=>n29,D_COUT3=>n30, + D_COUT4=>n31,D_COUT5=>n32,D_COUT6=>n33,D_COUT7=>n34,D_COUT8=>n35,D_COUT9=>n36, + D_COUT10=>n37,D_COUT11=>n38,D_COUT12=>n39,D_COUT13=>n40,D_COUT14=>n41, + D_COUT15=>n42,D_COUT16=>n43,D_COUT17=>n44,D_COUT18=>n45,D_COUT19=>n46, + D_REFCLKI=>pll_refclki,D_FFS_PLOL=>n49); + n48 <= '1' ; + n47 <= '0' ; + n1 <= 'Z' ; + n2 <= 'Z' ; + n3 <= 'Z' ; + n4 <= 'Z' ; + n5 <= 'Z' ; + n6 <= 'Z' ; + n7 <= 'Z' ; + n8 <= 'Z' ; + n9 <= 'Z' ; + n10 <= 'Z' ; + n11 <= 'Z' ; + n12 <= 'Z' ; + n13 <= 'Z' ; + n14 <= 'Z' ; + n15 <= 'Z' ; + n16 <= 'Z' ; + n17 <= 'Z' ; + n18 <= 'Z' ; + n19 <= 'Z' ; + n20 <= 'Z' ; + n21 <= 'Z' ; + n22 <= 'Z' ; + n23 <= 'Z' ; + n24 <= 'Z' ; + n25 <= 'Z' ; + n26 <= 'Z' ; + n27 <= 'Z' ; + n28 <= 'Z' ; + n29 <= 'Z' ; + n30 <= 'Z' ; + n31 <= 'Z' ; + n32 <= 'Z' ; + n33 <= 'Z' ; + n34 <= 'Z' ; + n35 <= 'Z' ; + n36 <= 'Z' ; + n37 <= 'Z' ; + n38 <= 'Z' ; + n39 <= 'Z' ; + n40 <= 'Z' ; + n41 <= 'Z' ; + n42 <= 'Z' ; + n43 <= 'Z' ; + n44 <= 'Z' ; + n45 <= 'Z' ; + n46 <= 'Z' ; + n49 <= 'Z' ; + n115 <= '0' ; + n114 <= '1' ; + n50 <= 'Z' ; + n51 <= 'Z' ; + n52 <= 'Z' ; + n53 <= 'Z' ; + n54 <= 'Z' ; + n55 <= 'Z' ; + n56 <= 'Z' ; + n57 <= 'Z' ; + n58 <= 'Z' ; + n59 <= 'Z' ; + n60 <= 'Z' ; + n61 <= 'Z' ; + n62 <= 'Z' ; + n63 <= 'Z' ; + n64 <= 'Z' ; + n65 <= 'Z' ; + n66 <= 'Z' ; + n67 <= 'Z' ; + n68 <= 'Z' ; + n69 <= 'Z' ; + n70 <= 'Z' ; + n71 <= 'Z' ; + n72 <= 'Z' ; + n73 <= 'Z' ; + n74 <= 'Z' ; + n75 <= 'Z' ; + n76 <= 'Z' ; + n77 <= 'Z' ; + n78 <= 'Z' ; + n79 <= 'Z' ; + n80 <= 'Z' ; + n81 <= 'Z' ; + n82 <= 'Z' ; + n83 <= 'Z' ; + n84 <= 'Z' ; + n85 <= 'Z' ; + n86 <= 'Z' ; + n87 <= 'Z' ; + n88 <= 'Z' ; + n89 <= 'Z' ; + n90 <= 'Z' ; + n91 <= 'Z' ; + n92 <= 'Z' ; + n93 <= 'Z' ; + n94 <= 'Z' ; + n95 <= 'Z' ; + n96 <= 'Z' ; + n97 <= 'Z' ; + n98 <= 'Z' ; + n99 <= 'Z' ; + n100 <= 'Z' ; + n101 <= 'Z' ; + n102 <= 'Z' ; + n103 <= 'Z' ; + n104 <= 'Z' ; + n105 <= 'Z' ; + n106 <= 'Z' ; + n107 <= 'Z' ; + n108 <= 'Z' ; + n109 <= 'Z' ; + n110 <= 'Z' ; + n111 <= 'Z' ; + n112 <= 'Z' ; + n113 <= 'Z' ; + \_Z\ <= 'Z' ; + sll_inst: component serdes_gbesll_core port map (sli_rst=>sli_rst,sli_refclk=>pll_refclki, + sli_pclk=>tx_pclk_c,sli_div2_rate=>gnd,sli_div11_rate=>gnd,sli_gear_mode=>gnd, + sli_cpri_mode(2)=>gnd,sli_cpri_mode(1)=>gnd,sli_cpri_mode(0)=>gnd, + sli_pcie_mode=>gnd,slo_plol=>pll_lol); + n117 <= '1' ; + n116 <= '0' ; + gnd <= '0' ; + pwr <= '1' ; + +end architecture v1; + diff --git a/gbe_trb_ecp5/media/ecp5/d0ch1/serdes_gbe.vhd b/gbe_trb_ecp5/media/ecp5/d0ch1/serdes_gbe.vhd new file mode 100644 index 0000000..c493f83 --- /dev/null +++ b/gbe_trb_ecp5/media/ecp5/d0ch1/serdes_gbe.vhd @@ -0,0 +1,352 @@ + +-- +-- Verific VHDL Description of module DCUA +-- + +-- DCUA is a black-box. Cannot print a valid VHDL entity description for it + +-- +-- Verific VHDL Description of module serdes_gbesll_core +-- + +-- serdes_gbesll_core is a black-box. Cannot print a valid VHDL entity description for it + +-- +-- Verific VHDL Description of module serdes_gbe +-- + +library ieee ; +use ieee.std_logic_1164.all ; + +library ecp5um ; +use ecp5um.components.all ; + +entity serdes_gbe is + port (hdoutp: out std_logic; + hdoutn: out std_logic; + hdinp: in std_logic; + hdinn: in std_logic; + rxrefclk: in std_logic; + rx_pclk: out std_logic; + txi_clk: in std_logic; + tx_pclk: out std_logic; + txdata: in std_logic_vector(7 downto 0); + tx_k: in std_logic_vector(0 downto 0); + xmit: in std_logic_vector(0 downto 0); + tx_disp_correct: in std_logic_vector(0 downto 0); + rxdata: out std_logic_vector(7 downto 0); + rx_k: out std_logic_vector(0 downto 0); + rx_disp_err: out std_logic_vector(0 downto 0); + rx_cv_err: out std_logic_vector(0 downto 0); + signal_detect_c: in std_logic; + rx_los_low_s: out std_logic; + lsm_status_s: out std_logic; + rx_cdr_lol_s: out std_logic; + tx_pcs_rst_c: in std_logic; + rx_pcs_rst_c: in std_logic; + rx_serdes_rst_c: in std_logic; + tx_pwrup_c: in std_logic; + rx_pwrup_c: in std_logic; + rst_dual_c: in std_logic; + serdes_rst_dual_c: in std_logic; + serdes_pdb: in std_logic; + tx_serdes_rst_c: in std_logic; + pll_refclki: in std_logic; + sli_rst: in std_logic; + pll_lol: out std_logic + ); + +end entity serdes_gbe; + +architecture v1 of serdes_gbe is + component serdes_gbesll_core is + generic (PPROTOCOL: string := "SGMII"; + PLOL_SETTING: integer := 1; + PDYN_RATE_CTRL: string := "DISABLED"; + PPCIE_MAX_RATE: string := "2.5"; + PDIFF_VAL_LOCK: integer := 20; + PDIFF_VAL_UNLOCK: integer := 131; + PPCLK_TC: integer := 65536; + PDIFF_DIV11_VAL_LOCK: integer := 0; + PDIFF_DIV11_VAL_UNLOCK: integer := 0; + PPCLK_DIV11_TC: integer := 0); + port (sli_rst: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(125) + sli_refclk: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(126) + sli_pclk: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(127) + sli_div2_rate: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(128) + sli_div11_rate: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(129) + sli_gear_mode: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(130) + sli_cpri_mode: in std_logic_vector(2 downto 0); -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(131) + sli_pcie_mode: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(132) + slo_plol: out std_logic -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(135) + ); + + end component serdes_gbesll_core; -- syn_black_box=1 -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(107) + signal n48,n47,n1,n2,n3,n4,rx_pclk_c,n5,n6,n7,n8,tx_pclk_c,n9, + n10,n11,n12,n13,n14,n15,n16,n17,n18,n19,n20,n21,n22,n23, + n24,n25,n26,n27,n28,n29,n30,n31,n32,n33,n34,n35,n36,n37, + n38,n39,n40,n41,n42,n43,n44,n45,n46,n49,n115,n114,n50,n51, + n52,n53,n54,n55,n56,n57,n58,n59,n60,n61,n62,n63,n64,n65, + n66,n67,n68,n69,n70,n71,n72,n73,n74,n75,n76,n77,n78,n79, + n80,n81,n82,n83,n84,n85,n86,n87,n88,n89,n90,n91,n92,n93, + n94,n95,n96,n97,n98,n99,n100,n101,n102,n103,n104,n105,n106, + n107,n108,n109,n110,n111,n112,n113,\_Z\,n117,n116,gnd,pwr : std_logic; + attribute LOC : string; + attribute LOC of DCU0_inst : label is "DCU0"; + attribute CHAN : string; + attribute CHAN of DCU0_inst : label is "CH1"; +begin + rx_pclk <= rx_pclk_c; + tx_pclk <= tx_pclk_c; + DCU0_inst: component DCUA generic map (D_MACROPDB=>"0b1",D_IB_PWDNB=>"0b1", + D_XGE_MODE=>"0b0",D_LOW_MARK=>"0d4",D_HIGH_MARK=>"0d12",D_BUS8BIT_SEL=>"0b0", + D_CDR_LOL_SET=>"0b11",D_TXPLL_PWDNB=>"0b1",D_BITCLK_LOCAL_EN=>"0b1", + D_BITCLK_ND_EN=>"0b0",D_BITCLK_FROM_ND_EN=>"0b0",D_SYNC_LOCAL_EN=>"0b1", + D_SYNC_ND_EN=>"0b0",CH1_UC_MODE=>"0b0",CH1_PCIE_MODE=>"0b0",CH1_RIO_MODE=>"0b0", + CH1_WA_MODE=>"0b0",CH1_INVERT_RX=>"0b0",CH1_INVERT_TX=>"0b0",CH1_PRBS_SELECTION=>"0b0", + CH1_GE_AN_ENABLE=>"0b1",CH1_PRBS_LOCK=>"0b0",CH1_PRBS_ENABLE=>"0b0", + CH1_ENABLE_CG_ALIGN=>"0b1",CH1_TX_GEAR_MODE=>"0b0",CH1_RX_GEAR_MODE=>"0b0", + CH1_PCS_DET_TIME_SEL=>"0b00",CH1_PCIE_EI_EN=>"0b0",CH1_TX_GEAR_BYPASS=>"0b0", + CH1_ENC_BYPASS=>"0b0",CH1_SB_BYPASS=>"0b0",CH1_RX_SB_BYPASS=>"0b0", + CH1_WA_BYPASS=>"0b0",CH1_DEC_BYPASS=>"0b0",CH1_CTC_BYPASS=>"0b1", + CH1_RX_GEAR_BYPASS=>"0b0",CH1_LSM_DISABLE=>"0b0",CH1_MATCH_2_ENABLE=>"0b0", + CH1_MATCH_4_ENABLE=>"0b0",CH1_MIN_IPG_CNT=>"0b11",CH1_CC_MATCH_1=>"0x000", + CH1_CC_MATCH_2=>"0x000",CH1_CC_MATCH_3=>"0x000",CH1_CC_MATCH_4=>"0x000", + CH1_UDF_COMMA_MASK=>"0x3ff",CH1_UDF_COMMA_A=>"0x283",CH1_UDF_COMMA_B=>"0x17C", + CH1_RX_DCO_CK_DIV=>"0b010",CH1_RCV_DCC_EN=>"0b0",CH1_TPWDNB=>"0b1", + CH1_RATE_MODE_TX=>"0b0",CH1_RTERM_TX=>"0d19",CH1_TX_CM_SEL=>"0b00", + CH1_TDRV_PRE_EN=>"0b0",CH1_TDRV_SLICE0_SEL=>"0b01",CH1_TDRV_SLICE1_SEL=>"0b00", + CH1_TDRV_SLICE2_SEL=>"0b01",CH1_TDRV_SLICE3_SEL=>"0b01",CH1_TDRV_SLICE4_SEL=>"0b01", + CH1_TDRV_SLICE5_SEL=>"0b00",CH1_TDRV_SLICE0_CUR=>"0b011",CH1_TDRV_SLICE1_CUR=>"0b000", + CH1_TDRV_SLICE2_CUR=>"0b11",CH1_TDRV_SLICE3_CUR=>"0b11",CH1_TDRV_SLICE4_CUR=>"0b11", + CH1_TDRV_SLICE5_CUR=>"0b00",CH1_TDRV_DAT_SEL=>"0b00",CH1_TX_DIV11_SEL=>"0b0", + CH1_RPWDNB=>"0b1",CH1_RATE_MODE_RX=>"0b0",CH1_RX_DIV11_SEL=>"0b0", + CH1_SEL_SD_RX_CLK=>"0b1",CH1_FF_RX_H_CLK_EN=>"0b0",CH1_FF_RX_F_CLK_DIS=>"0b0", + CH1_FF_TX_H_CLK_EN=>"0b0",CH1_FF_TX_F_CLK_DIS=>"0b0",CH1_TDRV_POST_EN=>"0b0", + CH1_TX_POST_SIGN=>"0b0",CH1_TX_PRE_SIGN=>"0b0",CH1_REQ_LVL_SET=>"0b00", + CH1_REQ_EN=>"0b1",CH1_RTERM_RX=>"0d22",CH1_RXTERM_CM=>"0b11",CH1_PDEN_SEL=>"0b1", + CH1_RXIN_CM=>"0b11",CH1_LEQ_OFFSET_SEL=>"0b0",CH1_LEQ_OFFSET_TRIM=>"0b000", + CH1_RLOS_SEL=>"0b1",CH1_RX_LOS_LVL=>"0b100",CH1_RX_LOS_CEQ=>"0b11", + CH1_RX_LOS_HYST_EN=>"0b0",CH1_RX_LOS_EN=>"0b1",CH1_LDR_RX2CORE_SEL=>"0b0", + CH1_LDR_CORE2TX_SEL=>"0b0",D_TX_MAX_RATE=>"1.25",CH1_CDR_MAX_RATE=>"1.25", + CH1_TXAMPLITUDE=>"0d1000",CH1_TXDEPRE=>"DISABLED",CH1_TXDEPOST=>"DISABLED", + CH1_PROTOCOL=>"SGMII",D_ISETLOS=>"0d0",D_SETIRPOLY_AUX=>"0b00",D_SETICONST_AUX=>"0b00", + D_SETIRPOLY_CH=>"0b00",D_SETICONST_CH=>"0b00",D_REQ_ISET=>"0b000", + D_PD_ISET=>"0b00",D_DCO_CALIB_TIME_SEL=>"0b00",CH1_CDR_CNT4SEL=>"0b00", + CH1_CDR_CNT8SEL=>"0b00",CH1_DCOATDCFG=>"0b00",CH1_DCOATDDLY=>"0b00", + CH1_DCOBYPSATD=>"0b1",CH1_DCOCALDIV=>"0b001",CH1_DCOCTLGI=>"0b010", + CH1_DCODISBDAVOID=>"0b0",CH1_DCOFLTDAC=>"0b01",CH1_DCOFTNRG=>"0b110", + CH1_DCOIOSTUNE=>"0b000",CH1_DCOITUNE=>"0b00",CH1_DCOITUNE4LSB=>"0b111", + CH1_DCOIUPDNX2=>"0b1",CH1_DCONUOFLSB=>"0b101",CH1_DCOSCALEI=>"0b00", + CH1_DCOSTARTVAL=>"0b000",CH1_DCOSTEP=>"0b00",CH1_BAND_THRESHOLD=>"0d0", + CH1_AUTO_FACQ_EN=>"0b1",CH1_AUTO_CALIB_EN=>"0b1",CH1_CALIB_CK_MODE=>"0b0", + CH1_REG_BAND_OFFSET=>"0d0",CH1_REG_BAND_SEL=>"0d0",CH1_REG_IDAC_SEL=>"0d0", + CH1_REG_IDAC_EN=>"0b0",D_CMUSETISCL4VCO=>"0b000",D_CMUSETI4VCO=>"0b00", + D_CMUSETINITVCT=>"0b00",D_CMUSETZGM=>"0b000",D_CMUSETP2AGM=>"0b000", + D_CMUSETP1GM=>"0b000",D_CMUSETI4CPZ=>"0d3",D_CMUSETI4CPP=>"0d3",D_CMUSETICP4Z=>"0b101", + D_CMUSETICP4P=>"0b01",D_CMUSETBIASI=>"0b00",D_SETPLLRC=>"0d1",CH1_RX_RATE_SEL=>"0d8", + D_REFCK_MODE=>"0b001",D_TX_VCO_CK_DIV=>"0b010",D_PLL_LOL_SET=>"0b01", + D_RG_EN=>"0b0",D_RG_SET=>"0b00") + port map (CH0_HDINP=>n115,CH1_HDINP=>hdinp,CH0_HDINN=>n115,CH1_HDINN=>hdinn, + D_TXBIT_CLKP_FROM_ND=>n47,D_TXBIT_CLKN_FROM_ND=>n47,D_SYNC_ND=>n47,D_TXPLL_LOL_FROM_ND=>n47, + CH0_RX_REFCLK=>n115,CH1_RX_REFCLK=>rxrefclk,CH0_FF_RXI_CLK=>n114,CH1_FF_RXI_CLK=>rx_pclk_c, + CH0_FF_TXI_CLK=>n114,CH1_FF_TXI_CLK=>txi_clk,CH0_FF_EBRD_CLK=>n114,CH1_FF_EBRD_CLK=>n48, + CH0_FF_TX_D_0=>n115,CH1_FF_TX_D_0=>txdata(0),CH0_FF_TX_D_1=>n115,CH1_FF_TX_D_1=>txdata(1), + CH0_FF_TX_D_2=>n115,CH1_FF_TX_D_2=>txdata(2),CH0_FF_TX_D_3=>n115,CH1_FF_TX_D_3=>txdata(3), + CH0_FF_TX_D_4=>n115,CH1_FF_TX_D_4=>txdata(4),CH0_FF_TX_D_5=>n115,CH1_FF_TX_D_5=>txdata(5), + CH0_FF_TX_D_6=>n115,CH1_FF_TX_D_6=>txdata(6),CH0_FF_TX_D_7=>n115,CH1_FF_TX_D_7=>txdata(7), + CH0_FF_TX_D_8=>n115,CH1_FF_TX_D_8=>tx_k(0),CH0_FF_TX_D_9=>n115,CH1_FF_TX_D_9=>n47, + CH0_FF_TX_D_10=>n115,CH1_FF_TX_D_10=>xmit(0),CH0_FF_TX_D_11=>n115,CH1_FF_TX_D_11=>tx_disp_correct(0), + CH0_FF_TX_D_12=>n115,CH1_FF_TX_D_12=>n115,CH0_FF_TX_D_13=>n115,CH1_FF_TX_D_13=>n115, + CH0_FF_TX_D_14=>n115,CH1_FF_TX_D_14=>n115,CH0_FF_TX_D_15=>n115,CH1_FF_TX_D_15=>n115, + CH0_FF_TX_D_16=>n115,CH1_FF_TX_D_16=>n115,CH0_FF_TX_D_17=>n115,CH1_FF_TX_D_17=>n115, + CH0_FF_TX_D_18=>n115,CH1_FF_TX_D_18=>n115,CH0_FF_TX_D_19=>n115,CH1_FF_TX_D_19=>n115, + CH0_FF_TX_D_20=>n115,CH1_FF_TX_D_20=>n115,CH0_FF_TX_D_21=>n115,CH1_FF_TX_D_21=>n47, + CH0_FF_TX_D_22=>n115,CH1_FF_TX_D_22=>n115,CH0_FF_TX_D_23=>n115,CH1_FF_TX_D_23=>n115, + CH0_FFC_EI_EN=>n115,CH1_FFC_EI_EN=>n47,CH0_FFC_PCIE_DET_EN=>n115,CH1_FFC_PCIE_DET_EN=>n47, + CH0_FFC_PCIE_CT=>n115,CH1_FFC_PCIE_CT=>n47,CH0_FFC_SB_INV_RX=>n115,CH1_FFC_SB_INV_RX=>n115, + CH0_FFC_ENABLE_CGALIGN=>n115,CH1_FFC_ENABLE_CGALIGN=>n115,CH0_FFC_SIGNAL_DETECT=>n115, + CH1_FFC_SIGNAL_DETECT=>signal_detect_c,CH0_FFC_FB_LOOPBACK=>n115,CH1_FFC_FB_LOOPBACK=>n47, + CH0_FFC_SB_PFIFO_LP=>n115,CH1_FFC_SB_PFIFO_LP=>n47,CH0_FFC_PFIFO_CLR=>n115, + CH1_FFC_PFIFO_CLR=>n47,CH0_FFC_RATE_MODE_RX=>n115,CH1_FFC_RATE_MODE_RX=>n47, + CH0_FFC_RATE_MODE_TX=>n115,CH1_FFC_RATE_MODE_TX=>n47,CH0_FFC_DIV11_MODE_RX=>n115, + CH1_FFC_DIV11_MODE_RX=>n47,CH0_FFC_DIV11_MODE_TX=>n115,CH1_FFC_DIV11_MODE_TX=>n47, + CH0_FFC_RX_GEAR_MODE=>n115,CH1_FFC_RX_GEAR_MODE=>n47,CH0_FFC_TX_GEAR_MODE=>n115, + CH1_FFC_TX_GEAR_MODE=>n47,CH0_FFC_LDR_CORE2TX_EN=>n115,CH1_FFC_LDR_CORE2TX_EN=>n115, + CH0_FFC_LANE_TX_RST=>n115,CH1_FFC_LANE_TX_RST=>tx_pcs_rst_c,CH0_FFC_LANE_RX_RST=>n115, + CH1_FFC_LANE_RX_RST=>rx_pcs_rst_c,CH0_FFC_RRST=>n115,CH1_FFC_RRST=>rx_serdes_rst_c, + CH0_FFC_TXPWDNB=>n115,CH1_FFC_TXPWDNB=>tx_pwrup_c,CH0_FFC_RXPWDNB=>n115, + CH1_FFC_RXPWDNB=>rx_pwrup_c,CH0_LDR_CORE2TX=>n115,CH1_LDR_CORE2TX=>n115, + D_SCIWDATA0=>n115,D_SCIWDATA1=>n115,D_SCIWDATA2=>n115,D_SCIWDATA3=>n115, + D_SCIWDATA4=>n115,D_SCIWDATA5=>n115,D_SCIWDATA6=>n115,D_SCIWDATA7=>n115, + D_SCIADDR0=>n115,D_SCIADDR1=>n115,D_SCIADDR2=>n115,D_SCIADDR3=>n115, + D_SCIADDR4=>n115,D_SCIADDR5=>n115,D_SCIENAUX=>n115,D_SCISELAUX=>n115, + CH0_SCIEN=>n115,CH1_SCIEN=>n115,CH0_SCISEL=>n115,CH1_SCISEL=>n115,D_SCIRD=>n115, + D_SCIWSTN=>n115,D_CYAWSTN=>n115,D_FFC_SYNC_TOGGLE=>n115,D_FFC_DUAL_RST=>rst_dual_c, + D_FFC_MACRO_RST=>serdes_rst_dual_c,D_FFC_MACROPDB=>serdes_pdb,D_FFC_TRST=>tx_serdes_rst_c, + CH0_FFC_CDR_EN_BITSLIP=>n115,CH1_FFC_CDR_EN_BITSLIP=>n47,D_SCAN_ENABLE=>n47, + D_SCAN_IN_0=>n47,D_SCAN_IN_1=>n47,D_SCAN_IN_2=>n47,D_SCAN_IN_3=>n47, + D_SCAN_IN_4=>n47,D_SCAN_IN_5=>n47,D_SCAN_IN_6=>n47,D_SCAN_IN_7=>n47, + D_SCAN_MODE=>n47,D_SCAN_RESET=>n47,D_CIN0=>n47,D_CIN1=>n47,D_CIN2=>n47, + D_CIN3=>n47,D_CIN4=>n47,D_CIN5=>n47,D_CIN6=>n47,D_CIN7=>n47,D_CIN8=>n47, + D_CIN9=>n47,D_CIN10=>n47,D_CIN11=>n47,CH0_HDOUTP=>n50,CH1_HDOUTP=>hdoutp, + CH0_HDOUTN=>n51,CH1_HDOUTN=>hdoutn,D_TXBIT_CLKP_TO_ND=>n1,D_TXBIT_CLKN_TO_ND=>n2, + D_SYNC_PULSE2ND=>n3,D_TXPLL_LOL_TO_ND=>n4,CH0_FF_RX_F_CLK=>n52,CH1_FF_RX_F_CLK=>n5, + CH0_FF_RX_H_CLK=>n53,CH1_FF_RX_H_CLK=>n6,CH0_FF_TX_F_CLK=>n54,CH1_FF_TX_F_CLK=>n7, + CH0_FF_TX_H_CLK=>n55,CH1_FF_TX_H_CLK=>n8,CH0_FF_RX_PCLK=>n56,CH1_FF_RX_PCLK=>rx_pclk_c, + CH0_FF_TX_PCLK=>n57,CH1_FF_TX_PCLK=>tx_pclk_c,CH0_FF_RX_D_0=>n58,CH1_FF_RX_D_0=>rxdata(0), + CH0_FF_RX_D_1=>n59,CH1_FF_RX_D_1=>rxdata(1),CH0_FF_RX_D_2=>n60,CH1_FF_RX_D_2=>rxdata(2), + CH0_FF_RX_D_3=>n61,CH1_FF_RX_D_3=>rxdata(3),CH0_FF_RX_D_4=>n62,CH1_FF_RX_D_4=>rxdata(4), + CH0_FF_RX_D_5=>n63,CH1_FF_RX_D_5=>rxdata(5),CH0_FF_RX_D_6=>n64,CH1_FF_RX_D_6=>rxdata(6), + CH0_FF_RX_D_7=>n65,CH1_FF_RX_D_7=>rxdata(7),CH0_FF_RX_D_8=>n66,CH1_FF_RX_D_8=>rx_k(0), + CH0_FF_RX_D_9=>n67,CH1_FF_RX_D_9=>rx_disp_err(0),CH0_FF_RX_D_10=>n68, + CH1_FF_RX_D_10=>rx_cv_err(0),CH0_FF_RX_D_11=>n69,CH1_FF_RX_D_11=>n9,CH0_FF_RX_D_12=>n70, + CH1_FF_RX_D_12=>n71,CH0_FF_RX_D_13=>n72,CH1_FF_RX_D_13=>n73,CH0_FF_RX_D_14=>n74, + CH1_FF_RX_D_14=>n75,CH0_FF_RX_D_15=>n76,CH1_FF_RX_D_15=>n77,CH0_FF_RX_D_16=>n78, + CH1_FF_RX_D_16=>n79,CH0_FF_RX_D_17=>n80,CH1_FF_RX_D_17=>n81,CH0_FF_RX_D_18=>n82, + CH1_FF_RX_D_18=>n83,CH0_FF_RX_D_19=>n84,CH1_FF_RX_D_19=>n85,CH0_FF_RX_D_20=>n86, + CH1_FF_RX_D_20=>n87,CH0_FF_RX_D_21=>n88,CH1_FF_RX_D_21=>n89,CH0_FF_RX_D_22=>n90, + CH1_FF_RX_D_22=>n91,CH0_FF_RX_D_23=>n92,CH1_FF_RX_D_23=>n10,CH0_FFS_PCIE_DONE=>n93, + CH1_FFS_PCIE_DONE=>n11,CH0_FFS_PCIE_CON=>n94,CH1_FFS_PCIE_CON=>n12,CH0_FFS_RLOS=>n95, + CH1_FFS_RLOS=>rx_los_low_s,CH0_FFS_LS_SYNC_STATUS=>n96,CH1_FFS_LS_SYNC_STATUS=>lsm_status_s, + CH0_FFS_CC_UNDERRUN=>n97,CH1_FFS_CC_UNDERRUN=>n13,CH0_FFS_CC_OVERRUN=>n98, + CH1_FFS_CC_OVERRUN=>n14,CH0_FFS_RXFBFIFO_ERROR=>n99,CH1_FFS_RXFBFIFO_ERROR=>n15, + CH0_FFS_TXFBFIFO_ERROR=>n100,CH1_FFS_TXFBFIFO_ERROR=>n16,CH0_FFS_RLOL=>n101, + CH1_FFS_RLOL=>rx_cdr_lol_s,CH0_FFS_SKP_ADDED=>n102,CH1_FFS_SKP_ADDED=>n17, + CH0_FFS_SKP_DELETED=>n103,CH1_FFS_SKP_DELETED=>n18,CH0_LDR_RX2CORE=>n104, + CH1_LDR_RX2CORE=>n105,D_SCIRDATA0=>n106,D_SCIRDATA1=>n107,D_SCIRDATA2=>n108, + D_SCIRDATA3=>n109,D_SCIRDATA4=>n110,D_SCIRDATA5=>n111,D_SCIRDATA6=>n112, + D_SCIRDATA7=>n113,D_SCIINT=>\_Z\,D_SCAN_OUT_0=>n19,D_SCAN_OUT_1=>n20, + D_SCAN_OUT_2=>n21,D_SCAN_OUT_3=>n22,D_SCAN_OUT_4=>n23,D_SCAN_OUT_5=>n24, + D_SCAN_OUT_6=>n25,D_SCAN_OUT_7=>n26,D_COUT0=>n27,D_COUT1=>n28,D_COUT2=>n29, + D_COUT3=>n30,D_COUT4=>n31,D_COUT5=>n32,D_COUT6=>n33,D_COUT7=>n34,D_COUT8=>n35, + D_COUT9=>n36,D_COUT10=>n37,D_COUT11=>n38,D_COUT12=>n39,D_COUT13=>n40, + D_COUT14=>n41,D_COUT15=>n42,D_COUT16=>n43,D_COUT17=>n44,D_COUT18=>n45, + D_COUT19=>n46,D_REFCLKI=>pll_refclki,D_FFS_PLOL=>n49); + n48 <= '1' ; + n47 <= '0' ; + n1 <= 'Z' ; + n2 <= 'Z' ; + n3 <= 'Z' ; + n4 <= 'Z' ; + n5 <= 'Z' ; + n6 <= 'Z' ; + n7 <= 'Z' ; + n8 <= 'Z' ; + n9 <= 'Z' ; + n10 <= 'Z' ; + n11 <= 'Z' ; + n12 <= 'Z' ; + n13 <= 'Z' ; + n14 <= 'Z' ; + n15 <= 'Z' ; + n16 <= 'Z' ; + n17 <= 'Z' ; + n18 <= 'Z' ; + n19 <= 'Z' ; + n20 <= 'Z' ; + n21 <= 'Z' ; + n22 <= 'Z' ; + n23 <= 'Z' ; + n24 <= 'Z' ; + n25 <= 'Z' ; + n26 <= 'Z' ; + n27 <= 'Z' ; + n28 <= 'Z' ; + n29 <= 'Z' ; + n30 <= 'Z' ; + n31 <= 'Z' ; + n32 <= 'Z' ; + n33 <= 'Z' ; + n34 <= 'Z' ; + n35 <= 'Z' ; + n36 <= 'Z' ; + n37 <= 'Z' ; + n38 <= 'Z' ; + n39 <= 'Z' ; + n40 <= 'Z' ; + n41 <= 'Z' ; + n42 <= 'Z' ; + n43 <= 'Z' ; + n44 <= 'Z' ; + n45 <= 'Z' ; + n46 <= 'Z' ; + n49 <= 'Z' ; + n115 <= '0' ; + n114 <= '1' ; + n50 <= 'Z' ; + n51 <= 'Z' ; + n52 <= 'Z' ; + n53 <= 'Z' ; + n54 <= 'Z' ; + n55 <= 'Z' ; + n56 <= 'Z' ; + n57 <= 'Z' ; + n58 <= 'Z' ; + n59 <= 'Z' ; + n60 <= 'Z' ; + n61 <= 'Z' ; + n62 <= 'Z' ; + n63 <= 'Z' ; + n64 <= 'Z' ; + n65 <= 'Z' ; + n66 <= 'Z' ; + n67 <= 'Z' ; + n68 <= 'Z' ; + n69 <= 'Z' ; + n70 <= 'Z' ; + n71 <= 'Z' ; + n72 <= 'Z' ; + n73 <= 'Z' ; + n74 <= 'Z' ; + n75 <= 'Z' ; + n76 <= 'Z' ; + n77 <= 'Z' ; + n78 <= 'Z' ; + n79 <= 'Z' ; + n80 <= 'Z' ; + n81 <= 'Z' ; + n82 <= 'Z' ; + n83 <= 'Z' ; + n84 <= 'Z' ; + n85 <= 'Z' ; + n86 <= 'Z' ; + n87 <= 'Z' ; + n88 <= 'Z' ; + n89 <= 'Z' ; + n90 <= 'Z' ; + n91 <= 'Z' ; + n92 <= 'Z' ; + n93 <= 'Z' ; + n94 <= 'Z' ; + n95 <= 'Z' ; + n96 <= 'Z' ; + n97 <= 'Z' ; + n98 <= 'Z' ; + n99 <= 'Z' ; + n100 <= 'Z' ; + n101 <= 'Z' ; + n102 <= 'Z' ; + n103 <= 'Z' ; + n104 <= 'Z' ; + n105 <= 'Z' ; + n106 <= 'Z' ; + n107 <= 'Z' ; + n108 <= 'Z' ; + n109 <= 'Z' ; + n110 <= 'Z' ; + n111 <= 'Z' ; + n112 <= 'Z' ; + n113 <= 'Z' ; + \_Z\ <= 'Z' ; + sll_inst: component serdes_gbesll_core port map (sli_rst=>sli_rst,sli_refclk=>pll_refclki, + sli_pclk=>tx_pclk_c,sli_div2_rate=>gnd,sli_div11_rate=>gnd,sli_gear_mode=>gnd, + sli_cpri_mode(2)=>gnd,sli_cpri_mode(1)=>gnd,sli_cpri_mode(0)=>gnd, + sli_pcie_mode=>gnd,slo_plol=>pll_lol); + n117 <= '1' ; + n116 <= '0' ; + gnd <= '0' ; + pwr <= '1' ; + +end architecture v1; + diff --git a/gbe_trb_ecp5/media/ecp5/d1ch0/serdes_gbe.vhd b/gbe_trb_ecp5/media/ecp5/d1ch0/serdes_gbe.vhd new file mode 100644 index 0000000..3019b4b --- /dev/null +++ b/gbe_trb_ecp5/media/ecp5/d1ch0/serdes_gbe.vhd @@ -0,0 +1,352 @@ + +-- +-- Verific VHDL Description of module DCUA +-- + +-- DCUA is a black-box. Cannot print a valid VHDL entity description for it + +-- +-- Verific VHDL Description of module serdes_gbesll_core +-- + +-- serdes_gbesll_core is a black-box. Cannot print a valid VHDL entity description for it + +-- +-- Verific VHDL Description of module serdes_gbe +-- + +library ieee ; +use ieee.std_logic_1164.all ; + +library ecp5um ; +use ecp5um.components.all ; + +entity serdes_gbe is + port (hdoutp: out std_logic; + hdoutn: out std_logic; + hdinp: in std_logic; + hdinn: in std_logic; + rxrefclk: in std_logic; + rx_pclk: out std_logic; + txi_clk: in std_logic; + tx_pclk: out std_logic; + txdata: in std_logic_vector(7 downto 0); + tx_k: in std_logic_vector(0 downto 0); + xmit: in std_logic_vector(0 downto 0); + tx_disp_correct: in std_logic_vector(0 downto 0); + rxdata: out std_logic_vector(7 downto 0); + rx_k: out std_logic_vector(0 downto 0); + rx_disp_err: out std_logic_vector(0 downto 0); + rx_cv_err: out std_logic_vector(0 downto 0); + signal_detect_c: in std_logic; + rx_los_low_s: out std_logic; + lsm_status_s: out std_logic; + rx_cdr_lol_s: out std_logic; + tx_pcs_rst_c: in std_logic; + rx_pcs_rst_c: in std_logic; + rx_serdes_rst_c: in std_logic; + tx_pwrup_c: in std_logic; + rx_pwrup_c: in std_logic; + rst_dual_c: in std_logic; + serdes_rst_dual_c: in std_logic; + serdes_pdb: in std_logic; + tx_serdes_rst_c: in std_logic; + pll_refclki: in std_logic; + sli_rst: in std_logic; + pll_lol: out std_logic + ); + +end entity serdes_gbe; + +architecture v1 of serdes_gbe is + component serdes_gbesll_core is + generic (PPROTOCOL: string := "SGMII"; + PLOL_SETTING: integer := 1; + PDYN_RATE_CTRL: string := "DISABLED"; + PPCIE_MAX_RATE: string := "2.5"; + PDIFF_VAL_LOCK: integer := 20; + PDIFF_VAL_UNLOCK: integer := 131; + PPCLK_TC: integer := 65536; + PDIFF_DIV11_VAL_LOCK: integer := 0; + PDIFF_DIV11_VAL_UNLOCK: integer := 0; + PPCLK_DIV11_TC: integer := 0); + port (sli_rst: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(125) + sli_refclk: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(126) + sli_pclk: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(127) + sli_div2_rate: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(128) + sli_div11_rate: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(129) + sli_gear_mode: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(130) + sli_cpri_mode: in std_logic_vector(2 downto 0); -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(131) + sli_pcie_mode: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(132) + slo_plol: out std_logic -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(135) + ); + + end component serdes_gbesll_core; -- syn_black_box=1 -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(107) + signal n48,n47,n1,n2,n3,n4,rx_pclk_c,n5,n6,n7,n8,tx_pclk_c,n9, + n10,n11,n12,n13,n14,n15,n16,n17,n18,n19,n20,n21,n22,n23, + n24,n25,n26,n27,n28,n29,n30,n31,n32,n33,n34,n35,n36,n37, + n38,n39,n40,n41,n42,n43,n44,n45,n46,n49,n115,n114,n50,n51, + n52,n53,n54,n55,n56,n57,n58,n59,n60,n61,n62,n63,n64,n65, + n66,n67,n68,n69,n70,n71,n72,n73,n74,n75,n76,n77,n78,n79, + n80,n81,n82,n83,n84,n85,n86,n87,n88,n89,n90,n91,n92,n93, + n94,n95,n96,n97,n98,n99,n100,n101,n102,n103,n104,n105,n106, + n107,n108,n109,n110,n111,n112,n113,\_Z\,n117,n116,gnd,pwr : std_logic; + attribute LOC : string; + attribute LOC of DCU1_inst : label is "DCU1"; + attribute CHAN : string; + attribute CHAN of DCU1_inst : label is "CH0"; +begin + rx_pclk <= rx_pclk_c; + tx_pclk <= tx_pclk_c; + DCU1_inst: component DCUA generic map (D_MACROPDB=>"0b1",D_IB_PWDNB=>"0b1", + D_XGE_MODE=>"0b0",D_LOW_MARK=>"0d4",D_HIGH_MARK=>"0d12",D_BUS8BIT_SEL=>"0b0", + D_CDR_LOL_SET=>"0b11",D_TXPLL_PWDNB=>"0b1",D_BITCLK_LOCAL_EN=>"0b1", + D_BITCLK_ND_EN=>"0b0",D_BITCLK_FROM_ND_EN=>"0b0",D_SYNC_LOCAL_EN=>"0b1", + D_SYNC_ND_EN=>"0b0",CH0_UC_MODE=>"0b0",CH0_PCIE_MODE=>"0b0",CH0_RIO_MODE=>"0b0", + CH0_WA_MODE=>"0b0",CH0_INVERT_RX=>"0b0",CH0_INVERT_TX=>"0b0",CH0_PRBS_SELECTION=>"0b0", + CH0_GE_AN_ENABLE=>"0b1",CH0_PRBS_LOCK=>"0b0",CH0_PRBS_ENABLE=>"0b0", + CH0_ENABLE_CG_ALIGN=>"0b1",CH0_TX_GEAR_MODE=>"0b0",CH0_RX_GEAR_MODE=>"0b0", + CH0_PCS_DET_TIME_SEL=>"0b00",CH0_PCIE_EI_EN=>"0b0",CH0_TX_GEAR_BYPASS=>"0b0", + CH0_ENC_BYPASS=>"0b0",CH0_SB_BYPASS=>"0b0",CH0_RX_SB_BYPASS=>"0b0", + CH0_WA_BYPASS=>"0b0",CH0_DEC_BYPASS=>"0b0",CH0_CTC_BYPASS=>"0b1", + CH0_RX_GEAR_BYPASS=>"0b0",CH0_LSM_DISABLE=>"0b0",CH0_MATCH_2_ENABLE=>"0b0", + CH0_MATCH_4_ENABLE=>"0b0",CH0_MIN_IPG_CNT=>"0b11",CH0_CC_MATCH_1=>"0x000", + CH0_CC_MATCH_2=>"0x000",CH0_CC_MATCH_3=>"0x000",CH0_CC_MATCH_4=>"0x000", + CH0_UDF_COMMA_MASK=>"0x3ff",CH0_UDF_COMMA_A=>"0x283",CH0_UDF_COMMA_B=>"0x17C", + CH0_RX_DCO_CK_DIV=>"0b010",CH0_RCV_DCC_EN=>"0b0",CH0_TPWDNB=>"0b1", + CH0_RATE_MODE_TX=>"0b0",CH0_RTERM_TX=>"0d19",CH0_TX_CM_SEL=>"0b00", + CH0_TDRV_PRE_EN=>"0b0",CH0_TDRV_SLICE0_SEL=>"0b01",CH0_TDRV_SLICE1_SEL=>"0b00", + CH0_TDRV_SLICE2_SEL=>"0b01",CH0_TDRV_SLICE3_SEL=>"0b01",CH0_TDRV_SLICE4_SEL=>"0b01", + CH0_TDRV_SLICE5_SEL=>"0b00",CH0_TDRV_SLICE0_CUR=>"0b011",CH0_TDRV_SLICE1_CUR=>"0b000", + CH0_TDRV_SLICE2_CUR=>"0b11",CH0_TDRV_SLICE3_CUR=>"0b11",CH0_TDRV_SLICE4_CUR=>"0b11", + CH0_TDRV_SLICE5_CUR=>"0b00",CH0_TDRV_DAT_SEL=>"0b00",CH0_TX_DIV11_SEL=>"0b0", + CH0_RPWDNB=>"0b1",CH0_RATE_MODE_RX=>"0b0",CH0_RX_DIV11_SEL=>"0b0", + CH0_SEL_SD_RX_CLK=>"0b1",CH0_FF_RX_H_CLK_EN=>"0b0",CH0_FF_RX_F_CLK_DIS=>"0b0", + CH0_FF_TX_H_CLK_EN=>"0b0",CH0_FF_TX_F_CLK_DIS=>"0b0",CH0_TDRV_POST_EN=>"0b0", + CH0_TX_POST_SIGN=>"0b0",CH0_TX_PRE_SIGN=>"0b0",CH0_REQ_LVL_SET=>"0b00", + CH0_REQ_EN=>"0b1",CH0_RTERM_RX=>"0d22",CH0_RXTERM_CM=>"0b11",CH0_PDEN_SEL=>"0b1", + CH0_RXIN_CM=>"0b11",CH0_LEQ_OFFSET_SEL=>"0b0",CH0_LEQ_OFFSET_TRIM=>"0b000", + CH0_RLOS_SEL=>"0b1",CH0_RX_LOS_LVL=>"0b100",CH0_RX_LOS_CEQ=>"0b11", + CH0_RX_LOS_HYST_EN=>"0b0",CH0_RX_LOS_EN=>"0b1",CH0_LDR_RX2CORE_SEL=>"0b0", + CH0_LDR_CORE2TX_SEL=>"0b0",D_TX_MAX_RATE=>"1.25",CH0_CDR_MAX_RATE=>"1.25", + CH0_TXAMPLITUDE=>"0d1000",CH0_TXDEPRE=>"DISABLED",CH0_TXDEPOST=>"DISABLED", + CH0_PROTOCOL=>"SGMII",D_ISETLOS=>"0d0",D_SETIRPOLY_AUX=>"0b00",D_SETICONST_AUX=>"0b00", + D_SETIRPOLY_CH=>"0b00",D_SETICONST_CH=>"0b00",D_REQ_ISET=>"0b000", + D_PD_ISET=>"0b00",D_DCO_CALIB_TIME_SEL=>"0b00",CH0_CDR_CNT4SEL=>"0b00", + CH0_CDR_CNT8SEL=>"0b00",CH0_DCOATDCFG=>"0b00",CH0_DCOATDDLY=>"0b00", + CH0_DCOBYPSATD=>"0b1",CH0_DCOCALDIV=>"0b001",CH0_DCOCTLGI=>"0b010", + CH0_DCODISBDAVOID=>"0b0",CH0_DCOFLTDAC=>"0b01",CH0_DCOFTNRG=>"0b110", + CH0_DCOIOSTUNE=>"0b000",CH0_DCOITUNE=>"0b00",CH0_DCOITUNE4LSB=>"0b111", + CH0_DCOIUPDNX2=>"0b1",CH0_DCONUOFLSB=>"0b101",CH0_DCOSCALEI=>"0b00", + CH0_DCOSTARTVAL=>"0b000",CH0_DCOSTEP=>"0b00",CH0_BAND_THRESHOLD=>"0d0", + CH0_AUTO_FACQ_EN=>"0b1",CH0_AUTO_CALIB_EN=>"0b1",CH0_CALIB_CK_MODE=>"0b0", + CH0_REG_BAND_OFFSET=>"0d0",CH0_REG_BAND_SEL=>"0d0",CH0_REG_IDAC_SEL=>"0d0", + CH0_REG_IDAC_EN=>"0b0",D_CMUSETISCL4VCO=>"0b000",D_CMUSETI4VCO=>"0b00", + D_CMUSETINITVCT=>"0b00",D_CMUSETZGM=>"0b000",D_CMUSETP2AGM=>"0b000", + D_CMUSETP1GM=>"0b000",D_CMUSETI4CPZ=>"0d3",D_CMUSETI4CPP=>"0d3",D_CMUSETICP4Z=>"0b101", + D_CMUSETICP4P=>"0b01",D_CMUSETBIASI=>"0b00",D_SETPLLRC=>"0d1",CH0_RX_RATE_SEL=>"0d8", + D_REFCK_MODE=>"0b001",D_TX_VCO_CK_DIV=>"0b010",D_PLL_LOL_SET=>"0b01", + D_RG_EN=>"0b0",D_RG_SET=>"0b00") + port map (CH0_HDINP=>hdinp,CH1_HDINP=>n115,CH0_HDINN=>hdinn,CH1_HDINN=>n115, + D_TXBIT_CLKP_FROM_ND=>n47,D_TXBIT_CLKN_FROM_ND=>n47,D_SYNC_ND=>n47,D_TXPLL_LOL_FROM_ND=>n47, + CH0_RX_REFCLK=>rxrefclk,CH1_RX_REFCLK=>n115,CH0_FF_RXI_CLK=>rx_pclk_c, + CH1_FF_RXI_CLK=>n114,CH0_FF_TXI_CLK=>txi_clk,CH1_FF_TXI_CLK=>n114,CH0_FF_EBRD_CLK=>n48, + CH1_FF_EBRD_CLK=>n114,CH0_FF_TX_D_0=>txdata(0),CH1_FF_TX_D_0=>n115,CH0_FF_TX_D_1=>txdata(1), + CH1_FF_TX_D_1=>n115,CH0_FF_TX_D_2=>txdata(2),CH1_FF_TX_D_2=>n115,CH0_FF_TX_D_3=>txdata(3), + CH1_FF_TX_D_3=>n115,CH0_FF_TX_D_4=>txdata(4),CH1_FF_TX_D_4=>n115,CH0_FF_TX_D_5=>txdata(5), + CH1_FF_TX_D_5=>n115,CH0_FF_TX_D_6=>txdata(6),CH1_FF_TX_D_6=>n115,CH0_FF_TX_D_7=>txdata(7), + CH1_FF_TX_D_7=>n115,CH0_FF_TX_D_8=>tx_k(0),CH1_FF_TX_D_8=>n115,CH0_FF_TX_D_9=>n47, + CH1_FF_TX_D_9=>n115,CH0_FF_TX_D_10=>xmit(0),CH1_FF_TX_D_10=>n115,CH0_FF_TX_D_11=>tx_disp_correct(0), + CH1_FF_TX_D_11=>n115,CH0_FF_TX_D_12=>n115,CH1_FF_TX_D_12=>n115,CH0_FF_TX_D_13=>n115, + CH1_FF_TX_D_13=>n115,CH0_FF_TX_D_14=>n115,CH1_FF_TX_D_14=>n115,CH0_FF_TX_D_15=>n115, + CH1_FF_TX_D_15=>n115,CH0_FF_TX_D_16=>n115,CH1_FF_TX_D_16=>n115,CH0_FF_TX_D_17=>n115, + CH1_FF_TX_D_17=>n115,CH0_FF_TX_D_18=>n115,CH1_FF_TX_D_18=>n115,CH0_FF_TX_D_19=>n115, + CH1_FF_TX_D_19=>n115,CH0_FF_TX_D_20=>n115,CH1_FF_TX_D_20=>n115,CH0_FF_TX_D_21=>n47, + CH1_FF_TX_D_21=>n115,CH0_FF_TX_D_22=>n115,CH1_FF_TX_D_22=>n115,CH0_FF_TX_D_23=>n115, + CH1_FF_TX_D_23=>n115,CH0_FFC_EI_EN=>n47,CH1_FFC_EI_EN=>n115,CH0_FFC_PCIE_DET_EN=>n47, + CH1_FFC_PCIE_DET_EN=>n115,CH0_FFC_PCIE_CT=>n47,CH1_FFC_PCIE_CT=>n115, + CH0_FFC_SB_INV_RX=>n115,CH1_FFC_SB_INV_RX=>n115,CH0_FFC_ENABLE_CGALIGN=>n115, + CH1_FFC_ENABLE_CGALIGN=>n115,CH0_FFC_SIGNAL_DETECT=>signal_detect_c,CH1_FFC_SIGNAL_DETECT=>n115, + CH0_FFC_FB_LOOPBACK=>n47,CH1_FFC_FB_LOOPBACK=>n115,CH0_FFC_SB_PFIFO_LP=>n47, + CH1_FFC_SB_PFIFO_LP=>n115,CH0_FFC_PFIFO_CLR=>n47,CH1_FFC_PFIFO_CLR=>n115, + CH0_FFC_RATE_MODE_RX=>n47,CH1_FFC_RATE_MODE_RX=>n115,CH0_FFC_RATE_MODE_TX=>n47, + CH1_FFC_RATE_MODE_TX=>n115,CH0_FFC_DIV11_MODE_RX=>n47,CH1_FFC_DIV11_MODE_RX=>n115, + CH0_FFC_DIV11_MODE_TX=>n47,CH1_FFC_DIV11_MODE_TX=>n115,CH0_FFC_RX_GEAR_MODE=>n47, + CH1_FFC_RX_GEAR_MODE=>n115,CH0_FFC_TX_GEAR_MODE=>n47,CH1_FFC_TX_GEAR_MODE=>n115, + CH0_FFC_LDR_CORE2TX_EN=>n115,CH1_FFC_LDR_CORE2TX_EN=>n115,CH0_FFC_LANE_TX_RST=>tx_pcs_rst_c, + CH1_FFC_LANE_TX_RST=>n115,CH0_FFC_LANE_RX_RST=>rx_pcs_rst_c,CH1_FFC_LANE_RX_RST=>n115, + CH0_FFC_RRST=>rx_serdes_rst_c,CH1_FFC_RRST=>n115,CH0_FFC_TXPWDNB=>tx_pwrup_c, + CH1_FFC_TXPWDNB=>n115,CH0_FFC_RXPWDNB=>rx_pwrup_c,CH1_FFC_RXPWDNB=>n115, + CH0_LDR_CORE2TX=>n115,CH1_LDR_CORE2TX=>n115,D_SCIWDATA0=>n115,D_SCIWDATA1=>n115, + D_SCIWDATA2=>n115,D_SCIWDATA3=>n115,D_SCIWDATA4=>n115,D_SCIWDATA5=>n115, + D_SCIWDATA6=>n115,D_SCIWDATA7=>n115,D_SCIADDR0=>n115,D_SCIADDR1=>n115, + D_SCIADDR2=>n115,D_SCIADDR3=>n115,D_SCIADDR4=>n115,D_SCIADDR5=>n115, + D_SCIENAUX=>n115,D_SCISELAUX=>n115,CH0_SCIEN=>n115,CH1_SCIEN=>n115,CH0_SCISEL=>n115, + CH1_SCISEL=>n115,D_SCIRD=>n115,D_SCIWSTN=>n115,D_CYAWSTN=>n115,D_FFC_SYNC_TOGGLE=>n115, + D_FFC_DUAL_RST=>rst_dual_c,D_FFC_MACRO_RST=>serdes_rst_dual_c,D_FFC_MACROPDB=>serdes_pdb, + D_FFC_TRST=>tx_serdes_rst_c,CH0_FFC_CDR_EN_BITSLIP=>n47,CH1_FFC_CDR_EN_BITSLIP=>n115, + D_SCAN_ENABLE=>n47,D_SCAN_IN_0=>n47,D_SCAN_IN_1=>n47,D_SCAN_IN_2=>n47, + D_SCAN_IN_3=>n47,D_SCAN_IN_4=>n47,D_SCAN_IN_5=>n47,D_SCAN_IN_6=>n47, + D_SCAN_IN_7=>n47,D_SCAN_MODE=>n47,D_SCAN_RESET=>n47,D_CIN0=>n47,D_CIN1=>n47, + D_CIN2=>n47,D_CIN3=>n47,D_CIN4=>n47,D_CIN5=>n47,D_CIN6=>n47,D_CIN7=>n47, + D_CIN8=>n47,D_CIN9=>n47,D_CIN10=>n47,D_CIN11=>n47,CH0_HDOUTP=>hdoutp, + CH1_HDOUTP=>n50,CH0_HDOUTN=>hdoutn,CH1_HDOUTN=>n51,D_TXBIT_CLKP_TO_ND=>n1, + D_TXBIT_CLKN_TO_ND=>n2,D_SYNC_PULSE2ND=>n3,D_TXPLL_LOL_TO_ND=>n4,CH0_FF_RX_F_CLK=>n5, + CH1_FF_RX_F_CLK=>n52,CH0_FF_RX_H_CLK=>n6,CH1_FF_RX_H_CLK=>n53,CH0_FF_TX_F_CLK=>n7, + CH1_FF_TX_F_CLK=>n54,CH0_FF_TX_H_CLK=>n8,CH1_FF_TX_H_CLK=>n55,CH0_FF_RX_PCLK=>rx_pclk_c, + CH1_FF_RX_PCLK=>n56,CH0_FF_TX_PCLK=>tx_pclk_c,CH1_FF_TX_PCLK=>n57,CH0_FF_RX_D_0=>rxdata(0), + CH1_FF_RX_D_0=>n58,CH0_FF_RX_D_1=>rxdata(1),CH1_FF_RX_D_1=>n59,CH0_FF_RX_D_2=>rxdata(2), + CH1_FF_RX_D_2=>n60,CH0_FF_RX_D_3=>rxdata(3),CH1_FF_RX_D_3=>n61,CH0_FF_RX_D_4=>rxdata(4), + CH1_FF_RX_D_4=>n62,CH0_FF_RX_D_5=>rxdata(5),CH1_FF_RX_D_5=>n63,CH0_FF_RX_D_6=>rxdata(6), + CH1_FF_RX_D_6=>n64,CH0_FF_RX_D_7=>rxdata(7),CH1_FF_RX_D_7=>n65,CH0_FF_RX_D_8=>rx_k(0), + CH1_FF_RX_D_8=>n66,CH0_FF_RX_D_9=>rx_disp_err(0),CH1_FF_RX_D_9=>n67,CH0_FF_RX_D_10=>rx_cv_err(0), + CH1_FF_RX_D_10=>n68,CH0_FF_RX_D_11=>n9,CH1_FF_RX_D_11=>n69,CH0_FF_RX_D_12=>n70, + CH1_FF_RX_D_12=>n71,CH0_FF_RX_D_13=>n72,CH1_FF_RX_D_13=>n73,CH0_FF_RX_D_14=>n74, + CH1_FF_RX_D_14=>n75,CH0_FF_RX_D_15=>n76,CH1_FF_RX_D_15=>n77,CH0_FF_RX_D_16=>n78, + CH1_FF_RX_D_16=>n79,CH0_FF_RX_D_17=>n80,CH1_FF_RX_D_17=>n81,CH0_FF_RX_D_18=>n82, + CH1_FF_RX_D_18=>n83,CH0_FF_RX_D_19=>n84,CH1_FF_RX_D_19=>n85,CH0_FF_RX_D_20=>n86, + CH1_FF_RX_D_20=>n87,CH0_FF_RX_D_21=>n88,CH1_FF_RX_D_21=>n89,CH0_FF_RX_D_22=>n90, + CH1_FF_RX_D_22=>n91,CH0_FF_RX_D_23=>n10,CH1_FF_RX_D_23=>n92,CH0_FFS_PCIE_DONE=>n11, + CH1_FFS_PCIE_DONE=>n93,CH0_FFS_PCIE_CON=>n12,CH1_FFS_PCIE_CON=>n94,CH0_FFS_RLOS=>rx_los_low_s, + CH1_FFS_RLOS=>n95,CH0_FFS_LS_SYNC_STATUS=>lsm_status_s,CH1_FFS_LS_SYNC_STATUS=>n96, + CH0_FFS_CC_UNDERRUN=>n13,CH1_FFS_CC_UNDERRUN=>n97,CH0_FFS_CC_OVERRUN=>n14, + CH1_FFS_CC_OVERRUN=>n98,CH0_FFS_RXFBFIFO_ERROR=>n15,CH1_FFS_RXFBFIFO_ERROR=>n99, + CH0_FFS_TXFBFIFO_ERROR=>n16,CH1_FFS_TXFBFIFO_ERROR=>n100,CH0_FFS_RLOL=>rx_cdr_lol_s, + CH1_FFS_RLOL=>n101,CH0_FFS_SKP_ADDED=>n17,CH1_FFS_SKP_ADDED=>n102,CH0_FFS_SKP_DELETED=>n18, + CH1_FFS_SKP_DELETED=>n103,CH0_LDR_RX2CORE=>n104,CH1_LDR_RX2CORE=>n105, + D_SCIRDATA0=>n106,D_SCIRDATA1=>n107,D_SCIRDATA2=>n108,D_SCIRDATA3=>n109, + D_SCIRDATA4=>n110,D_SCIRDATA5=>n111,D_SCIRDATA6=>n112,D_SCIRDATA7=>n113, + D_SCIINT=>\_Z\,D_SCAN_OUT_0=>n19,D_SCAN_OUT_1=>n20,D_SCAN_OUT_2=>n21, + D_SCAN_OUT_3=>n22,D_SCAN_OUT_4=>n23,D_SCAN_OUT_5=>n24,D_SCAN_OUT_6=>n25, + D_SCAN_OUT_7=>n26,D_COUT0=>n27,D_COUT1=>n28,D_COUT2=>n29,D_COUT3=>n30, + D_COUT4=>n31,D_COUT5=>n32,D_COUT6=>n33,D_COUT7=>n34,D_COUT8=>n35,D_COUT9=>n36, + D_COUT10=>n37,D_COUT11=>n38,D_COUT12=>n39,D_COUT13=>n40,D_COUT14=>n41, + D_COUT15=>n42,D_COUT16=>n43,D_COUT17=>n44,D_COUT18=>n45,D_COUT19=>n46, + D_REFCLKI=>pll_refclki,D_FFS_PLOL=>n49); + n48 <= '1' ; + n47 <= '0' ; + n1 <= 'Z' ; + n2 <= 'Z' ; + n3 <= 'Z' ; + n4 <= 'Z' ; + n5 <= 'Z' ; + n6 <= 'Z' ; + n7 <= 'Z' ; + n8 <= 'Z' ; + n9 <= 'Z' ; + n10 <= 'Z' ; + n11 <= 'Z' ; + n12 <= 'Z' ; + n13 <= 'Z' ; + n14 <= 'Z' ; + n15 <= 'Z' ; + n16 <= 'Z' ; + n17 <= 'Z' ; + n18 <= 'Z' ; + n19 <= 'Z' ; + n20 <= 'Z' ; + n21 <= 'Z' ; + n22 <= 'Z' ; + n23 <= 'Z' ; + n24 <= 'Z' ; + n25 <= 'Z' ; + n26 <= 'Z' ; + n27 <= 'Z' ; + n28 <= 'Z' ; + n29 <= 'Z' ; + n30 <= 'Z' ; + n31 <= 'Z' ; + n32 <= 'Z' ; + n33 <= 'Z' ; + n34 <= 'Z' ; + n35 <= 'Z' ; + n36 <= 'Z' ; + n37 <= 'Z' ; + n38 <= 'Z' ; + n39 <= 'Z' ; + n40 <= 'Z' ; + n41 <= 'Z' ; + n42 <= 'Z' ; + n43 <= 'Z' ; + n44 <= 'Z' ; + n45 <= 'Z' ; + n46 <= 'Z' ; + n49 <= 'Z' ; + n115 <= '0' ; + n114 <= '1' ; + n50 <= 'Z' ; + n51 <= 'Z' ; + n52 <= 'Z' ; + n53 <= 'Z' ; + n54 <= 'Z' ; + n55 <= 'Z' ; + n56 <= 'Z' ; + n57 <= 'Z' ; + n58 <= 'Z' ; + n59 <= 'Z' ; + n60 <= 'Z' ; + n61 <= 'Z' ; + n62 <= 'Z' ; + n63 <= 'Z' ; + n64 <= 'Z' ; + n65 <= 'Z' ; + n66 <= 'Z' ; + n67 <= 'Z' ; + n68 <= 'Z' ; + n69 <= 'Z' ; + n70 <= 'Z' ; + n71 <= 'Z' ; + n72 <= 'Z' ; + n73 <= 'Z' ; + n74 <= 'Z' ; + n75 <= 'Z' ; + n76 <= 'Z' ; + n77 <= 'Z' ; + n78 <= 'Z' ; + n79 <= 'Z' ; + n80 <= 'Z' ; + n81 <= 'Z' ; + n82 <= 'Z' ; + n83 <= 'Z' ; + n84 <= 'Z' ; + n85 <= 'Z' ; + n86 <= 'Z' ; + n87 <= 'Z' ; + n88 <= 'Z' ; + n89 <= 'Z' ; + n90 <= 'Z' ; + n91 <= 'Z' ; + n92 <= 'Z' ; + n93 <= 'Z' ; + n94 <= 'Z' ; + n95 <= 'Z' ; + n96 <= 'Z' ; + n97 <= 'Z' ; + n98 <= 'Z' ; + n99 <= 'Z' ; + n100 <= 'Z' ; + n101 <= 'Z' ; + n102 <= 'Z' ; + n103 <= 'Z' ; + n104 <= 'Z' ; + n105 <= 'Z' ; + n106 <= 'Z' ; + n107 <= 'Z' ; + n108 <= 'Z' ; + n109 <= 'Z' ; + n110 <= 'Z' ; + n111 <= 'Z' ; + n112 <= 'Z' ; + n113 <= 'Z' ; + \_Z\ <= 'Z' ; + sll_inst: component serdes_gbesll_core port map (sli_rst=>sli_rst,sli_refclk=>pll_refclki, + sli_pclk=>tx_pclk_c,sli_div2_rate=>gnd,sli_div11_rate=>gnd,sli_gear_mode=>gnd, + sli_cpri_mode(2)=>gnd,sli_cpri_mode(1)=>gnd,sli_cpri_mode(0)=>gnd, + sli_pcie_mode=>gnd,slo_plol=>pll_lol); + n117 <= '1' ; + n116 <= '0' ; + gnd <= '0' ; + pwr <= '1' ; + +end architecture v1; + diff --git a/gbe_trb_ecp5/media/ecp5/d1ch1/serdes_gbe.vhd b/gbe_trb_ecp5/media/ecp5/d1ch1/serdes_gbe.vhd new file mode 100644 index 0000000..52b305a --- /dev/null +++ b/gbe_trb_ecp5/media/ecp5/d1ch1/serdes_gbe.vhd @@ -0,0 +1,352 @@ + +-- +-- Verific VHDL Description of module DCUA +-- + +-- DCUA is a black-box. Cannot print a valid VHDL entity description for it + +-- +-- Verific VHDL Description of module serdes_gbesll_core +-- + +-- serdes_gbesll_core is a black-box. Cannot print a valid VHDL entity description for it + +-- +-- Verific VHDL Description of module serdes_gbe +-- + +library ieee ; +use ieee.std_logic_1164.all ; + +library ecp5um ; +use ecp5um.components.all ; + +entity serdes_gbe is + port (hdoutp: out std_logic; + hdoutn: out std_logic; + hdinp: in std_logic; + hdinn: in std_logic; + rxrefclk: in std_logic; + rx_pclk: out std_logic; + txi_clk: in std_logic; + tx_pclk: out std_logic; + txdata: in std_logic_vector(7 downto 0); + tx_k: in std_logic_vector(0 downto 0); + xmit: in std_logic_vector(0 downto 0); + tx_disp_correct: in std_logic_vector(0 downto 0); + rxdata: out std_logic_vector(7 downto 0); + rx_k: out std_logic_vector(0 downto 0); + rx_disp_err: out std_logic_vector(0 downto 0); + rx_cv_err: out std_logic_vector(0 downto 0); + signal_detect_c: in std_logic; + rx_los_low_s: out std_logic; + lsm_status_s: out std_logic; + rx_cdr_lol_s: out std_logic; + tx_pcs_rst_c: in std_logic; + rx_pcs_rst_c: in std_logic; + rx_serdes_rst_c: in std_logic; + tx_pwrup_c: in std_logic; + rx_pwrup_c: in std_logic; + rst_dual_c: in std_logic; + serdes_rst_dual_c: in std_logic; + serdes_pdb: in std_logic; + tx_serdes_rst_c: in std_logic; + pll_refclki: in std_logic; + sli_rst: in std_logic; + pll_lol: out std_logic + ); + +end entity serdes_gbe; + +architecture v1 of serdes_gbe is + component serdes_gbesll_core is + generic (PPROTOCOL: string := "SGMII"; + PLOL_SETTING: integer := 1; + PDYN_RATE_CTRL: string := "DISABLED"; + PPCIE_MAX_RATE: string := "2.5"; + PDIFF_VAL_LOCK: integer := 20; + PDIFF_VAL_UNLOCK: integer := 131; + PPCLK_TC: integer := 65536; + PDIFF_DIV11_VAL_LOCK: integer := 0; + PDIFF_DIV11_VAL_UNLOCK: integer := 0; + PPCLK_DIV11_TC: integer := 0); + port (sli_rst: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(125) + sli_refclk: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(126) + sli_pclk: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(127) + sli_div2_rate: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(128) + sli_div11_rate: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(129) + sli_gear_mode: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(130) + sli_cpri_mode: in std_logic_vector(2 downto 0); -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(131) + sli_pcie_mode: in std_logic; -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(132) + slo_plol: out std_logic -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(135) + ); + + end component serdes_gbesll_core; -- syn_black_box=1 -- /opt/lattice/diamond/3.12/ispfpga/sa5p00/data/sll_core_template.v(107) + signal n48,n47,n1,n2,n3,n4,rx_pclk_c,n5,n6,n7,n8,tx_pclk_c,n9, + n10,n11,n12,n13,n14,n15,n16,n17,n18,n19,n20,n21,n22,n23, + n24,n25,n26,n27,n28,n29,n30,n31,n32,n33,n34,n35,n36,n37, + n38,n39,n40,n41,n42,n43,n44,n45,n46,n49,n115,n114,n50,n51, + n52,n53,n54,n55,n56,n57,n58,n59,n60,n61,n62,n63,n64,n65, + n66,n67,n68,n69,n70,n71,n72,n73,n74,n75,n76,n77,n78,n79, + n80,n81,n82,n83,n84,n85,n86,n87,n88,n89,n90,n91,n92,n93, + n94,n95,n96,n97,n98,n99,n100,n101,n102,n103,n104,n105,n106, + n107,n108,n109,n110,n111,n112,n113,\_Z\,n117,n116,gnd,pwr : std_logic; + attribute LOC : string; + attribute LOC of DCU1_inst : label is "DCU1"; + attribute CHAN : string; + attribute CHAN of DCU1_inst : label is "CH1"; +begin + rx_pclk <= rx_pclk_c; + tx_pclk <= tx_pclk_c; + DCU1_inst: component DCUA generic map (D_MACROPDB=>"0b1",D_IB_PWDNB=>"0b1", + D_XGE_MODE=>"0b0",D_LOW_MARK=>"0d4",D_HIGH_MARK=>"0d12",D_BUS8BIT_SEL=>"0b0", + D_CDR_LOL_SET=>"0b11",D_TXPLL_PWDNB=>"0b1",D_BITCLK_LOCAL_EN=>"0b1", + D_BITCLK_ND_EN=>"0b0",D_BITCLK_FROM_ND_EN=>"0b0",D_SYNC_LOCAL_EN=>"0b1", + D_SYNC_ND_EN=>"0b0",CH1_UC_MODE=>"0b0",CH1_PCIE_MODE=>"0b0",CH1_RIO_MODE=>"0b0", + CH1_WA_MODE=>"0b0",CH1_INVERT_RX=>"0b0",CH1_INVERT_TX=>"0b0",CH1_PRBS_SELECTION=>"0b0", + CH1_GE_AN_ENABLE=>"0b1",CH1_PRBS_LOCK=>"0b0",CH1_PRBS_ENABLE=>"0b0", + CH1_ENABLE_CG_ALIGN=>"0b1",CH1_TX_GEAR_MODE=>"0b0",CH1_RX_GEAR_MODE=>"0b0", + CH1_PCS_DET_TIME_SEL=>"0b00",CH1_PCIE_EI_EN=>"0b0",CH1_TX_GEAR_BYPASS=>"0b0", + CH1_ENC_BYPASS=>"0b0",CH1_SB_BYPASS=>"0b0",CH1_RX_SB_BYPASS=>"0b0", + CH1_WA_BYPASS=>"0b0",CH1_DEC_BYPASS=>"0b0",CH1_CTC_BYPASS=>"0b1", + CH1_RX_GEAR_BYPASS=>"0b0",CH1_LSM_DISABLE=>"0b0",CH1_MATCH_2_ENABLE=>"0b0", + CH1_MATCH_4_ENABLE=>"0b0",CH1_MIN_IPG_CNT=>"0b11",CH1_CC_MATCH_1=>"0x000", + CH1_CC_MATCH_2=>"0x000",CH1_CC_MATCH_3=>"0x000",CH1_CC_MATCH_4=>"0x000", + CH1_UDF_COMMA_MASK=>"0x3ff",CH1_UDF_COMMA_A=>"0x283",CH1_UDF_COMMA_B=>"0x17C", + CH1_RX_DCO_CK_DIV=>"0b010",CH1_RCV_DCC_EN=>"0b0",CH1_TPWDNB=>"0b1", + CH1_RATE_MODE_TX=>"0b0",CH1_RTERM_TX=>"0d19",CH1_TX_CM_SEL=>"0b00", + CH1_TDRV_PRE_EN=>"0b0",CH1_TDRV_SLICE0_SEL=>"0b01",CH1_TDRV_SLICE1_SEL=>"0b00", + CH1_TDRV_SLICE2_SEL=>"0b01",CH1_TDRV_SLICE3_SEL=>"0b01",CH1_TDRV_SLICE4_SEL=>"0b01", + CH1_TDRV_SLICE5_SEL=>"0b00",CH1_TDRV_SLICE0_CUR=>"0b011",CH1_TDRV_SLICE1_CUR=>"0b000", + CH1_TDRV_SLICE2_CUR=>"0b11",CH1_TDRV_SLICE3_CUR=>"0b11",CH1_TDRV_SLICE4_CUR=>"0b11", + CH1_TDRV_SLICE5_CUR=>"0b00",CH1_TDRV_DAT_SEL=>"0b00",CH1_TX_DIV11_SEL=>"0b0", + CH1_RPWDNB=>"0b1",CH1_RATE_MODE_RX=>"0b0",CH1_RX_DIV11_SEL=>"0b0", + CH1_SEL_SD_RX_CLK=>"0b1",CH1_FF_RX_H_CLK_EN=>"0b0",CH1_FF_RX_F_CLK_DIS=>"0b0", + CH1_FF_TX_H_CLK_EN=>"0b0",CH1_FF_TX_F_CLK_DIS=>"0b0",CH1_TDRV_POST_EN=>"0b0", + CH1_TX_POST_SIGN=>"0b0",CH1_TX_PRE_SIGN=>"0b0",CH1_REQ_LVL_SET=>"0b00", + CH1_REQ_EN=>"0b1",CH1_RTERM_RX=>"0d22",CH1_RXTERM_CM=>"0b11",CH1_PDEN_SEL=>"0b1", + CH1_RXIN_CM=>"0b11",CH1_LEQ_OFFSET_SEL=>"0b0",CH1_LEQ_OFFSET_TRIM=>"0b000", + CH1_RLOS_SEL=>"0b1",CH1_RX_LOS_LVL=>"0b100",CH1_RX_LOS_CEQ=>"0b11", + CH1_RX_LOS_HYST_EN=>"0b0",CH1_RX_LOS_EN=>"0b1",CH1_LDR_RX2CORE_SEL=>"0b0", + CH1_LDR_CORE2TX_SEL=>"0b0",D_TX_MAX_RATE=>"1.25",CH1_CDR_MAX_RATE=>"1.25", + CH1_TXAMPLITUDE=>"0d1000",CH1_TXDEPRE=>"DISABLED",CH1_TXDEPOST=>"DISABLED", + CH1_PROTOCOL=>"SGMII",D_ISETLOS=>"0d0",D_SETIRPOLY_AUX=>"0b00",D_SETICONST_AUX=>"0b00", + D_SETIRPOLY_CH=>"0b00",D_SETICONST_CH=>"0b00",D_REQ_ISET=>"0b000", + D_PD_ISET=>"0b00",D_DCO_CALIB_TIME_SEL=>"0b00",CH1_CDR_CNT4SEL=>"0b00", + CH1_CDR_CNT8SEL=>"0b00",CH1_DCOATDCFG=>"0b00",CH1_DCOATDDLY=>"0b00", + CH1_DCOBYPSATD=>"0b1",CH1_DCOCALDIV=>"0b001",CH1_DCOCTLGI=>"0b010", + CH1_DCODISBDAVOID=>"0b0",CH1_DCOFLTDAC=>"0b01",CH1_DCOFTNRG=>"0b110", + CH1_DCOIOSTUNE=>"0b000",CH1_DCOITUNE=>"0b00",CH1_DCOITUNE4LSB=>"0b111", + CH1_DCOIUPDNX2=>"0b1",CH1_DCONUOFLSB=>"0b101",CH1_DCOSCALEI=>"0b00", + CH1_DCOSTARTVAL=>"0b000",CH1_DCOSTEP=>"0b00",CH1_BAND_THRESHOLD=>"0d0", + CH1_AUTO_FACQ_EN=>"0b1",CH1_AUTO_CALIB_EN=>"0b1",CH1_CALIB_CK_MODE=>"0b0", + CH1_REG_BAND_OFFSET=>"0d0",CH1_REG_BAND_SEL=>"0d0",CH1_REG_IDAC_SEL=>"0d0", + CH1_REG_IDAC_EN=>"0b0",D_CMUSETISCL4VCO=>"0b000",D_CMUSETI4VCO=>"0b00", + D_CMUSETINITVCT=>"0b00",D_CMUSETZGM=>"0b000",D_CMUSETP2AGM=>"0b000", + D_CMUSETP1GM=>"0b000",D_CMUSETI4CPZ=>"0d3",D_CMUSETI4CPP=>"0d3",D_CMUSETICP4Z=>"0b101", + D_CMUSETICP4P=>"0b01",D_CMUSETBIASI=>"0b00",D_SETPLLRC=>"0d1",CH1_RX_RATE_SEL=>"0d8", + D_REFCK_MODE=>"0b001",D_TX_VCO_CK_DIV=>"0b010",D_PLL_LOL_SET=>"0b01", + D_RG_EN=>"0b0",D_RG_SET=>"0b00") + port map (CH0_HDINP=>n115,CH1_HDINP=>hdinp,CH0_HDINN=>n115,CH1_HDINN=>hdinn, + D_TXBIT_CLKP_FROM_ND=>n47,D_TXBIT_CLKN_FROM_ND=>n47,D_SYNC_ND=>n47,D_TXPLL_LOL_FROM_ND=>n47, + CH0_RX_REFCLK=>n115,CH1_RX_REFCLK=>rxrefclk,CH0_FF_RXI_CLK=>n114,CH1_FF_RXI_CLK=>rx_pclk_c, + CH0_FF_TXI_CLK=>n114,CH1_FF_TXI_CLK=>txi_clk,CH0_FF_EBRD_CLK=>n114,CH1_FF_EBRD_CLK=>n48, + CH0_FF_TX_D_0=>n115,CH1_FF_TX_D_0=>txdata(0),CH0_FF_TX_D_1=>n115,CH1_FF_TX_D_1=>txdata(1), + CH0_FF_TX_D_2=>n115,CH1_FF_TX_D_2=>txdata(2),CH0_FF_TX_D_3=>n115,CH1_FF_TX_D_3=>txdata(3), + CH0_FF_TX_D_4=>n115,CH1_FF_TX_D_4=>txdata(4),CH0_FF_TX_D_5=>n115,CH1_FF_TX_D_5=>txdata(5), + CH0_FF_TX_D_6=>n115,CH1_FF_TX_D_6=>txdata(6),CH0_FF_TX_D_7=>n115,CH1_FF_TX_D_7=>txdata(7), + CH0_FF_TX_D_8=>n115,CH1_FF_TX_D_8=>tx_k(0),CH0_FF_TX_D_9=>n115,CH1_FF_TX_D_9=>n47, + CH0_FF_TX_D_10=>n115,CH1_FF_TX_D_10=>xmit(0),CH0_FF_TX_D_11=>n115,CH1_FF_TX_D_11=>tx_disp_correct(0), + CH0_FF_TX_D_12=>n115,CH1_FF_TX_D_12=>n115,CH0_FF_TX_D_13=>n115,CH1_FF_TX_D_13=>n115, + CH0_FF_TX_D_14=>n115,CH1_FF_TX_D_14=>n115,CH0_FF_TX_D_15=>n115,CH1_FF_TX_D_15=>n115, + CH0_FF_TX_D_16=>n115,CH1_FF_TX_D_16=>n115,CH0_FF_TX_D_17=>n115,CH1_FF_TX_D_17=>n115, + CH0_FF_TX_D_18=>n115,CH1_FF_TX_D_18=>n115,CH0_FF_TX_D_19=>n115,CH1_FF_TX_D_19=>n115, + CH0_FF_TX_D_20=>n115,CH1_FF_TX_D_20=>n115,CH0_FF_TX_D_21=>n115,CH1_FF_TX_D_21=>n47, + CH0_FF_TX_D_22=>n115,CH1_FF_TX_D_22=>n115,CH0_FF_TX_D_23=>n115,CH1_FF_TX_D_23=>n115, + CH0_FFC_EI_EN=>n115,CH1_FFC_EI_EN=>n47,CH0_FFC_PCIE_DET_EN=>n115,CH1_FFC_PCIE_DET_EN=>n47, + CH0_FFC_PCIE_CT=>n115,CH1_FFC_PCIE_CT=>n47,CH0_FFC_SB_INV_RX=>n115,CH1_FFC_SB_INV_RX=>n115, + CH0_FFC_ENABLE_CGALIGN=>n115,CH1_FFC_ENABLE_CGALIGN=>n115,CH0_FFC_SIGNAL_DETECT=>n115, + CH1_FFC_SIGNAL_DETECT=>signal_detect_c,CH0_FFC_FB_LOOPBACK=>n115,CH1_FFC_FB_LOOPBACK=>n47, + CH0_FFC_SB_PFIFO_LP=>n115,CH1_FFC_SB_PFIFO_LP=>n47,CH0_FFC_PFIFO_CLR=>n115, + CH1_FFC_PFIFO_CLR=>n47,CH0_FFC_RATE_MODE_RX=>n115,CH1_FFC_RATE_MODE_RX=>n47, + CH0_FFC_RATE_MODE_TX=>n115,CH1_FFC_RATE_MODE_TX=>n47,CH0_FFC_DIV11_MODE_RX=>n115, + CH1_FFC_DIV11_MODE_RX=>n47,CH0_FFC_DIV11_MODE_TX=>n115,CH1_FFC_DIV11_MODE_TX=>n47, + CH0_FFC_RX_GEAR_MODE=>n115,CH1_FFC_RX_GEAR_MODE=>n47,CH0_FFC_TX_GEAR_MODE=>n115, + CH1_FFC_TX_GEAR_MODE=>n47,CH0_FFC_LDR_CORE2TX_EN=>n115,CH1_FFC_LDR_CORE2TX_EN=>n115, + CH0_FFC_LANE_TX_RST=>n115,CH1_FFC_LANE_TX_RST=>tx_pcs_rst_c,CH0_FFC_LANE_RX_RST=>n115, + CH1_FFC_LANE_RX_RST=>rx_pcs_rst_c,CH0_FFC_RRST=>n115,CH1_FFC_RRST=>rx_serdes_rst_c, + CH0_FFC_TXPWDNB=>n115,CH1_FFC_TXPWDNB=>tx_pwrup_c,CH0_FFC_RXPWDNB=>n115, + CH1_FFC_RXPWDNB=>rx_pwrup_c,CH0_LDR_CORE2TX=>n115,CH1_LDR_CORE2TX=>n115, + D_SCIWDATA0=>n115,D_SCIWDATA1=>n115,D_SCIWDATA2=>n115,D_SCIWDATA3=>n115, + D_SCIWDATA4=>n115,D_SCIWDATA5=>n115,D_SCIWDATA6=>n115,D_SCIWDATA7=>n115, + D_SCIADDR0=>n115,D_SCIADDR1=>n115,D_SCIADDR2=>n115,D_SCIADDR3=>n115, + D_SCIADDR4=>n115,D_SCIADDR5=>n115,D_SCIENAUX=>n115,D_SCISELAUX=>n115, + CH0_SCIEN=>n115,CH1_SCIEN=>n115,CH0_SCISEL=>n115,CH1_SCISEL=>n115,D_SCIRD=>n115, + D_SCIWSTN=>n115,D_CYAWSTN=>n115,D_FFC_SYNC_TOGGLE=>n115,D_FFC_DUAL_RST=>rst_dual_c, + D_FFC_MACRO_RST=>serdes_rst_dual_c,D_FFC_MACROPDB=>serdes_pdb,D_FFC_TRST=>tx_serdes_rst_c, + CH0_FFC_CDR_EN_BITSLIP=>n115,CH1_FFC_CDR_EN_BITSLIP=>n47,D_SCAN_ENABLE=>n47, + D_SCAN_IN_0=>n47,D_SCAN_IN_1=>n47,D_SCAN_IN_2=>n47,D_SCAN_IN_3=>n47, + D_SCAN_IN_4=>n47,D_SCAN_IN_5=>n47,D_SCAN_IN_6=>n47,D_SCAN_IN_7=>n47, + D_SCAN_MODE=>n47,D_SCAN_RESET=>n47,D_CIN0=>n47,D_CIN1=>n47,D_CIN2=>n47, + D_CIN3=>n47,D_CIN4=>n47,D_CIN5=>n47,D_CIN6=>n47,D_CIN7=>n47,D_CIN8=>n47, + D_CIN9=>n47,D_CIN10=>n47,D_CIN11=>n47,CH0_HDOUTP=>n50,CH1_HDOUTP=>hdoutp, + CH0_HDOUTN=>n51,CH1_HDOUTN=>hdoutn,D_TXBIT_CLKP_TO_ND=>n1,D_TXBIT_CLKN_TO_ND=>n2, + D_SYNC_PULSE2ND=>n3,D_TXPLL_LOL_TO_ND=>n4,CH0_FF_RX_F_CLK=>n52,CH1_FF_RX_F_CLK=>n5, + CH0_FF_RX_H_CLK=>n53,CH1_FF_RX_H_CLK=>n6,CH0_FF_TX_F_CLK=>n54,CH1_FF_TX_F_CLK=>n7, + CH0_FF_TX_H_CLK=>n55,CH1_FF_TX_H_CLK=>n8,CH0_FF_RX_PCLK=>n56,CH1_FF_RX_PCLK=>rx_pclk_c, + CH0_FF_TX_PCLK=>n57,CH1_FF_TX_PCLK=>tx_pclk_c,CH0_FF_RX_D_0=>n58,CH1_FF_RX_D_0=>rxdata(0), + CH0_FF_RX_D_1=>n59,CH1_FF_RX_D_1=>rxdata(1),CH0_FF_RX_D_2=>n60,CH1_FF_RX_D_2=>rxdata(2), + CH0_FF_RX_D_3=>n61,CH1_FF_RX_D_3=>rxdata(3),CH0_FF_RX_D_4=>n62,CH1_FF_RX_D_4=>rxdata(4), + CH0_FF_RX_D_5=>n63,CH1_FF_RX_D_5=>rxdata(5),CH0_FF_RX_D_6=>n64,CH1_FF_RX_D_6=>rxdata(6), + CH0_FF_RX_D_7=>n65,CH1_FF_RX_D_7=>rxdata(7),CH0_FF_RX_D_8=>n66,CH1_FF_RX_D_8=>rx_k(0), + CH0_FF_RX_D_9=>n67,CH1_FF_RX_D_9=>rx_disp_err(0),CH0_FF_RX_D_10=>n68, + CH1_FF_RX_D_10=>rx_cv_err(0),CH0_FF_RX_D_11=>n69,CH1_FF_RX_D_11=>n9,CH0_FF_RX_D_12=>n70, + CH1_FF_RX_D_12=>n71,CH0_FF_RX_D_13=>n72,CH1_FF_RX_D_13=>n73,CH0_FF_RX_D_14=>n74, + CH1_FF_RX_D_14=>n75,CH0_FF_RX_D_15=>n76,CH1_FF_RX_D_15=>n77,CH0_FF_RX_D_16=>n78, + CH1_FF_RX_D_16=>n79,CH0_FF_RX_D_17=>n80,CH1_FF_RX_D_17=>n81,CH0_FF_RX_D_18=>n82, + CH1_FF_RX_D_18=>n83,CH0_FF_RX_D_19=>n84,CH1_FF_RX_D_19=>n85,CH0_FF_RX_D_20=>n86, + CH1_FF_RX_D_20=>n87,CH0_FF_RX_D_21=>n88,CH1_FF_RX_D_21=>n89,CH0_FF_RX_D_22=>n90, + CH1_FF_RX_D_22=>n91,CH0_FF_RX_D_23=>n92,CH1_FF_RX_D_23=>n10,CH0_FFS_PCIE_DONE=>n93, + CH1_FFS_PCIE_DONE=>n11,CH0_FFS_PCIE_CON=>n94,CH1_FFS_PCIE_CON=>n12,CH0_FFS_RLOS=>n95, + CH1_FFS_RLOS=>rx_los_low_s,CH0_FFS_LS_SYNC_STATUS=>n96,CH1_FFS_LS_SYNC_STATUS=>lsm_status_s, + CH0_FFS_CC_UNDERRUN=>n97,CH1_FFS_CC_UNDERRUN=>n13,CH0_FFS_CC_OVERRUN=>n98, + CH1_FFS_CC_OVERRUN=>n14,CH0_FFS_RXFBFIFO_ERROR=>n99,CH1_FFS_RXFBFIFO_ERROR=>n15, + CH0_FFS_TXFBFIFO_ERROR=>n100,CH1_FFS_TXFBFIFO_ERROR=>n16,CH0_FFS_RLOL=>n101, + CH1_FFS_RLOL=>rx_cdr_lol_s,CH0_FFS_SKP_ADDED=>n102,CH1_FFS_SKP_ADDED=>n17, + CH0_FFS_SKP_DELETED=>n103,CH1_FFS_SKP_DELETED=>n18,CH0_LDR_RX2CORE=>n104, + CH1_LDR_RX2CORE=>n105,D_SCIRDATA0=>n106,D_SCIRDATA1=>n107,D_SCIRDATA2=>n108, + D_SCIRDATA3=>n109,D_SCIRDATA4=>n110,D_SCIRDATA5=>n111,D_SCIRDATA6=>n112, + D_SCIRDATA7=>n113,D_SCIINT=>\_Z\,D_SCAN_OUT_0=>n19,D_SCAN_OUT_1=>n20, + D_SCAN_OUT_2=>n21,D_SCAN_OUT_3=>n22,D_SCAN_OUT_4=>n23,D_SCAN_OUT_5=>n24, + D_SCAN_OUT_6=>n25,D_SCAN_OUT_7=>n26,D_COUT0=>n27,D_COUT1=>n28,D_COUT2=>n29, + D_COUT3=>n30,D_COUT4=>n31,D_COUT5=>n32,D_COUT6=>n33,D_COUT7=>n34,D_COUT8=>n35, + D_COUT9=>n36,D_COUT10=>n37,D_COUT11=>n38,D_COUT12=>n39,D_COUT13=>n40, + D_COUT14=>n41,D_COUT15=>n42,D_COUT16=>n43,D_COUT17=>n44,D_COUT18=>n45, + D_COUT19=>n46,D_REFCLKI=>pll_refclki,D_FFS_PLOL=>n49); + n48 <= '1' ; + n47 <= '0' ; + n1 <= 'Z' ; + n2 <= 'Z' ; + n3 <= 'Z' ; + n4 <= 'Z' ; + n5 <= 'Z' ; + n6 <= 'Z' ; + n7 <= 'Z' ; + n8 <= 'Z' ; + n9 <= 'Z' ; + n10 <= 'Z' ; + n11 <= 'Z' ; + n12 <= 'Z' ; + n13 <= 'Z' ; + n14 <= 'Z' ; + n15 <= 'Z' ; + n16 <= 'Z' ; + n17 <= 'Z' ; + n18 <= 'Z' ; + n19 <= 'Z' ; + n20 <= 'Z' ; + n21 <= 'Z' ; + n22 <= 'Z' ; + n23 <= 'Z' ; + n24 <= 'Z' ; + n25 <= 'Z' ; + n26 <= 'Z' ; + n27 <= 'Z' ; + n28 <= 'Z' ; + n29 <= 'Z' ; + n30 <= 'Z' ; + n31 <= 'Z' ; + n32 <= 'Z' ; + n33 <= 'Z' ; + n34 <= 'Z' ; + n35 <= 'Z' ; + n36 <= 'Z' ; + n37 <= 'Z' ; + n38 <= 'Z' ; + n39 <= 'Z' ; + n40 <= 'Z' ; + n41 <= 'Z' ; + n42 <= 'Z' ; + n43 <= 'Z' ; + n44 <= 'Z' ; + n45 <= 'Z' ; + n46 <= 'Z' ; + n49 <= 'Z' ; + n115 <= '0' ; + n114 <= '1' ; + n50 <= 'Z' ; + n51 <= 'Z' ; + n52 <= 'Z' ; + n53 <= 'Z' ; + n54 <= 'Z' ; + n55 <= 'Z' ; + n56 <= 'Z' ; + n57 <= 'Z' ; + n58 <= 'Z' ; + n59 <= 'Z' ; + n60 <= 'Z' ; + n61 <= 'Z' ; + n62 <= 'Z' ; + n63 <= 'Z' ; + n64 <= 'Z' ; + n65 <= 'Z' ; + n66 <= 'Z' ; + n67 <= 'Z' ; + n68 <= 'Z' ; + n69 <= 'Z' ; + n70 <= 'Z' ; + n71 <= 'Z' ; + n72 <= 'Z' ; + n73 <= 'Z' ; + n74 <= 'Z' ; + n75 <= 'Z' ; + n76 <= 'Z' ; + n77 <= 'Z' ; + n78 <= 'Z' ; + n79 <= 'Z' ; + n80 <= 'Z' ; + n81 <= 'Z' ; + n82 <= 'Z' ; + n83 <= 'Z' ; + n84 <= 'Z' ; + n85 <= 'Z' ; + n86 <= 'Z' ; + n87 <= 'Z' ; + n88 <= 'Z' ; + n89 <= 'Z' ; + n90 <= 'Z' ; + n91 <= 'Z' ; + n92 <= 'Z' ; + n93 <= 'Z' ; + n94 <= 'Z' ; + n95 <= 'Z' ; + n96 <= 'Z' ; + n97 <= 'Z' ; + n98 <= 'Z' ; + n99 <= 'Z' ; + n100 <= 'Z' ; + n101 <= 'Z' ; + n102 <= 'Z' ; + n103 <= 'Z' ; + n104 <= 'Z' ; + n105 <= 'Z' ; + n106 <= 'Z' ; + n107 <= 'Z' ; + n108 <= 'Z' ; + n109 <= 'Z' ; + n110 <= 'Z' ; + n111 <= 'Z' ; + n112 <= 'Z' ; + n113 <= 'Z' ; + \_Z\ <= 'Z' ; + sll_inst: component serdes_gbesll_core port map (sli_rst=>sli_rst,sli_refclk=>pll_refclki, + sli_pclk=>tx_pclk_c,sli_div2_rate=>gnd,sli_div11_rate=>gnd,sli_gear_mode=>gnd, + sli_cpri_mode(2)=>gnd,sli_cpri_mode(1)=>gnd,sli_cpri_mode(0)=>gnd, + sli_pcie_mode=>gnd,slo_plol=>pll_lol); + n117 <= '1' ; + n116 <= '0' ; + gnd <= '0' ; + pwr <= '1' ; + +end architecture v1; + diff --git a/gbe_trb_ecp5/media/ecp5/pmi_ram_dpEbnonessdn208256208256p138702ef.ngo b/gbe_trb_ecp5/media/ecp5/pmi_ram_dpEbnonessdn208256208256p138702ef.ngo new file mode 100644 index 0000000000000000000000000000000000000000..ebc4bf9de4b5f11003733b4e6e3662188a16450a GIT binary patch literal 11424 zcmb7K4|G)3nV&m>0D?Fuo_2dgUzaY$9>qxz2>5S8GT@Z_87INW0?rT zJ*PV!GvD{Szx#dPz4yI)zi%$dR61MiDw^ivPoX$7Axe^1yJT9_>s{#*o&TDJ9$ncK zUbAFnxFPDVZ(h~hxOQzrbFsIic-nONH(E5cWQMo6ajApPn+WsRoy8-<^FpEWqwlkXi&>S{?b~1xHeGfucQ&wM1^f3_D?8WX0juzUMaP6j zf`fdD`ptR1LA?k-g<%26Lg!_Rha$WeGb0uT1=%&<+J%C8bBmRMsFqr7kj+V!D2iyR zy5@9QY+?-()*hIdW!XUn=`9axq`T|Zl&x6xz;ZwBL)qdO7`#VR{TXcc-@8QOi4@Sf zHKp{<(>A74SBPMxmnj4f#Fbtnt=_Apu0njYa7>*+ZQ~n z$yTgNLe7}Y63Ypq1zU{2vv#@9;o;i5z+s>U# z2-A>x$A?}fR03#!+6v8NNV7tirOdvh6~ZJ8_@h<`>(zHSZG|w2nfD@As0g%7Dj#D9 zDWVNVR>Ywl(DE>jEtSl}^*jjc z)^}2~Vt}ekFefF!Kvj75{F0N}4zh^R52Ao-><~V`!`-n0lOOObb2kVNa z&ntI{-r5*|>N0mEL>Sy zGmpTO21=kRP#Z2Utt};cS9^iXt%21K49Nbf(%BV$a+x`phF(rtn&lbF9A=gUupQ;aJZ?wjvvo|jnJ8j^#|=BoA)g>L zFXSSQ`B>Tx^RSQVc9tl!72@vr0h#3v{JWWZmsuN+G9x=Oa>~YD#pM zq?AI|`Yy*5jhyyfiYkR->wZdC3c4s3vXbtWtZYCY+4n_IDKyT$&%8>Z{(XNkX$as# zO0`g&eV@jZLZj|`#iWruJ*XNem_)NC6_U>_j6g2M2^tRJ9H74y{?Z!S3la;Z=>W2u zy>2F!2e9OcjnZ@gOTKqRjLijT1bf|vlxYGIm8v@pCeh-PIF>f?s7ER!?;RDDG6oqB zN|{EI_%tcysZj1AnU*YoB}@3cs)giwpOPuU#8N{kh%g>e3I=>RE0tn|by9gLhULzb zY9arLU{WbGlEix^4G~wyR11waaetRouw;pgBqKB=wuz@biUy|(RZB5qt4*pFlILqu z#wzbEOezJIm!_2hpQR+TWrTLw^ogJ!G!+a~JthHodD`p`{};TnKjizfkjrZ7s7I#X z;0D^d!*zl3+PlLc0#7AvU>$W^#3ssWLNNY?2Mn?SgY|||&@#`Yk@fz4x@;-;mr+I$ zhM88MghJG_KW*f-lkdcr8bcNHKz<^o6l&Q^B7FMMa85Sh=t_L41E1|Dht=N(K5TYH zb=f?ej)AH{1H6t_dmy27cyM3PRYXD+TpYVzp_m2oV`6vkf7w^(YNZYr4!PQZ}+u zGAmFOoL@W3o~TjYSeXbwpa*cjc%Jfi>;A4eX_Y2V+&ur)I!}K7r*~!{V#OCBW3N5Up+=k@061j zAcaD;Qh@CjH4GsKeE5Z>0PlyOp^~sq$0(`S)m~&(#ObGAAXAc#M6Cd?vY_cB;ZzdB ze;vH}j}+JwqI#S+Kd@DD3l@pNz-X|%%i1yQ?RE@Xs~xNN4aKnQ+Ig29>|sN<^RWBc zF>KFv3>&i@!=7%(uxHyaKE!Mo@6&b+8@V0BPA`mCbo$~C<_}xB*dkI2!UM#|gD@Js zRt$T&Fh-gX^RU?qi|gBBhii=zF2wJ#rNqJ3o^hO~*R!>%t{IXPw+_HZg$_ZDC{ z%j_6VEIWpi%ZBm!D0ZJnu><kzyc|4qhqOB=q?TZsoynHfh#c+7q zW5#)C$8cZ{!C=p}PvTItW5`E@vBGqVx##-YhH*k8;wkbZKUgDVOm=&V957@~HXi?e zBjOFZv_|dZ_mMPx_Z*Cwn42&ZO`_+5X2tL{ik@dvR%}2gtm7-qf^jKDwVYwWxQG(n zTTIABKDc}m9i3hi1h$J5(J_#=VyLV{=kTNzdzN~MU3XBF@`IQc=;-?!6M_#e#6-tK zX%mEx_Acb1H;{#t`g}Wvv{MOn zNhwe&DFsRwh4~v5A(Y!uziYD(eur|PpIGuApS(uH<|z_$qk^y5@LGw)?3BEYK~RK5 z(mCDHF8>bEc|@1^iUh>rEd)VS?6YTH6>6Adsc=>J~ohl2r+zj))?th`CWgD+lB zN90uw9(mC*Au4YtaJYz$+sS5ejQY{BBHCKKf`2i`CM4q1vho*mYXtV#5>0 zi)neyiX%lB>u5G`7lb5A7|(m;Eez5pvFRh4m&+csHG0KMl$F1UI~=4;BK|pPxQ#)w zB#dt)qA`?nzgwv7hAw#_g|tV+@1(Zx!wMxlBEFc~aG8ZvN3=hdl~+?p zazuMvmlsz^Z$$gQdE^BW5*yK;@yWX&q%@-KQdVBu;y@Mc*Tv+mEsj#remmL34KEH+ z(Y`n+uW)gEinjG+WpxT|JCdU9#hARn#gQr6_E5j|NwhVkMB52!dn`>F9g||y*Fkv~ zjzmecebbP)-$;=}+f1^lqaP(lqHTd8ufmZQiPn#!@+KS!k=WSik@xLLg~Y2*l808E z`cV=jwqB*lOLC+?qICt?qZZeld@1FoH?<^+J0GEbvmO!I78=78mAT=Bq)Vxx%)+O< zPjhu==5t`)m33eaUw^o^pKYov56lg;`3>R zxG6pKSkRQK3=PcrPRzoVZMV&l%u0>Fi8)$xusQs-f$wf)hRWt(lP&)?^xhD0V7fH&@`ywWjF3X166nlL)+%B0iON93Z zr#M}wUY;<(OqDwlGjqaa+RPltJ)hUCzI*jM%v9AMn8ytV=GDJwK0AS#swM>UnC`$F z_v<&@<)&H$zj>H%8y$t2wYV4LcuWB5-_JU-}suIkjPBzEhHS8a0 zsANmknqa=4bg+5lcc$NQikYecWt*AhKS<_I&1AkG zabR8%-O(PGOn&j$<`I_zbJP@lYeX_vYWz(jI^tw=)Jv^sGGJiVg-N|Ob ze-!rmB~w<{uz4`;;N4w(?~{8ZQ`X$X#0}BVIK`fysIjX`oNek3OxKyd8#YUuvOXuK zytyaPtR)GPm+rt+Ed<^R9`ponh5ROLQG`X}HRzCtC;!O;WL4J^CjapSFjfB&mN}rA z5z_UjxgTD0TEJowNgUbhu>eS?OI?eqip`9hg@n&fYp%GG#r;OedS8E>7C_ zHZxU~$liSpHb<_HyfuZHs&-_XngeshhQeJv()(}l#g*B1CuZU7)BLXiDMfxLt(f?C z2yzfgoFo)egxpkam%9@mz_=gNbZ5Axp`dOP~R^Us=b$A{G5)^Aw=h#xwP2of8oNt7T~Z*KH*5HIBG`V zlszo{9wiS;X_PxGWl-v{^a08omOey@!_r46Z&>O_X~WV0${LnVp`>ByG|Cy4K1M0S z(kCcmSUQ6ehNZJ8UsyVa(uJizplo63JW3XpvM5(r`Xfpemi`xI3QHGIqOkNQlqW2G ziqeFoizrK2`V1urOP`}0Vd)E$A}oE0GK8f|C_z}djPiq}uTXlh^fk&3mi~;A1Jd=B z)L&xW^#Y{^V{VigEES-{U}+f23zmkXv|y2bQix>A=!hlnpFhg_40K56XrA11*$K761SM literal 0 HcmV?d00001 diff --git a/gbe_trb_ecp5/media/ecp5/pmi_ram_dpEbnonessdn96649664p13506f63.ngo b/gbe_trb_ecp5/media/ecp5/pmi_ram_dpEbnonessdn96649664p13506f63.ngo new file mode 100644 index 0000000000000000000000000000000000000000..df6361abe9bdec3fbd8cc1b6ebbddd67cf256c14 GIT binary patch literal 8956 zcma)BYiu0V8Qrmy0HUo{m3R~g+CZAbG@!K;ng&Jj-7||us3!d z0r^ca{ysGg%NXuyOozjp0>;N5o5bl&{i&gz zO{u=pr>*q9vo7ObV37~e8>efW8GupY5I3sv*ym49E{SA$a z14jGB5UJ+Qc(gm7>Q1!9ySk%oNff+UU_ncKd7?S4I<7S+U-g>@Ua4i%bgq^x$M&Js0|CPx%d(N}J$-#ca{;Y<88!kQGRv?5aKBZCaNd4y0@ec_Gs~sn zfKhrcNI2ZPbyZJl+q(W#|G@AT(C>>%(;EjJ`sSr2uOcpHT|YW_NE{#P>)p5k zXmf$(L-d@^Y?ST<((?hkT*`6n%$Ffu-Cit1j%&{Zgy&!Glcv7W5~jlZ58i&1V~gLc zPAb`!NTyOvb5p7L_&?^{H8tO;I{a-(va`OPEj|4M4+4ks4j$aPg~PqA=pv}NCFCOJ zftZ?g5uC6)@-CtYM4jm(IB7F17r|+}H|rv}2GO)b^bfF;*%1fBFU*qtq)R|Qe-v~C z{R4DjNq7R&hc&Kpy3p$?rw3+oLQeUEf?cy>sCnaH?}j+;{r3M- zUGw9BF+N+`SuqsF&4g$5@x}$=CucR(1&mG0ENBc}3(94Ag)5YeP>x+LRw$OvJE-(|R#csd?s!{AOFTs`7Xmk> z-0>_7i`9yxjTL2S6kN9{TpV^XDzmn{wFCB|H2LL^Bmzc98|_KmE0gfI^btihT`Sw0 z0SX1GurYNf0LT0Dgq?jA17_D-eqpK-Sp+DbAg<|LJ-ixw!}#f-puX}FZGAYW^c5{} zQq$Ymzqb!rh)at-z^NynQi1DMT z9*f}7$LBfs(D02Q%1jfw8|{s_n0a7ISCV8p<4ekmcR8e(u6Q>&Xs&8TY0%f`(>ZE% zUk`F1=RlMhPSpBH*u`*y)?cw)42M73mUl5+sKJ}vj7-EuGB-Us3*&;IV*ya$?qs4p z6S0|!RTB@{Q zr&Q~~*&j$HS!IHh1^6OMGTt7IwZ>ZzS6(Yria5K2zx0s2t2S(~E0vt}%`-iH$emfV zDwUl34Yip{lC!&Yvsp>X3XJE3?1jEz)c*j zUb@mBB2t{JZ(53xmUiieV%UL8yOO#p+PRvAjfv`rVKXo7A|k-XT-u${0K0B!cSHkh z>80I84X{6#p2=xIhxeu(z)E`x4q~@^EC;dmy&(s&#b<&Jl2-B#k`7D7lu(QNTv#J6=fSiBYH<(fB3Z6|x>k%M-j{S5B!JD~N9#HM zeOZkN_GbhnVr+bWL<7#u{-Or-{Xjtjx_-dYfSw-=X+XyhJ1%f_4&`)7Ju4jwI*8MG zFz+C?u2RdEM68}I9!@%CY~?Tjd`FpdkaZB9e>S6#tnsrET_hz%UBZzZ)sCn&K9bf& z^y;~S26Rg0uq}xweL51-C3NX%Py<=xBYBPJ(NWVWVy$CI2eI{I0Q5a7<2sgg5bI?! z4kCy+fX-)%8WEIo0RwP=wA4zfZyrvWrBqPuB7M0CiF;3fOAq86E&CCE;=@VV9 zDX9|HRp`-iT_sBJd_kAchvR7lqHOtiUIUh#Fa@+OWd|pM8nEe;u;GpD^(S)52H!C) z1A9`ewWE2dM2q&tNG(d|B2+|MqMYqhmI5(4ax$v{R`ScoO##d_Qk`)`L;Twsk9KkM zKbh1*-u^EXHDG%$L^R+EowPOJ4gUh2LAUb!oC<5er8{G4z)_ygYaq|h>5zly->HIw zSnYJ$L7b{HK?ebx%_<;w*IC#yUf!Q)avIR{vq=r;-&tD&-kvXoG!QRdv>Ze)&J{Hh zkkNo1y%=_Mf(j0ze=p@V;vip2J4FB&vjp=ObS&#mF6&<4MEcTOw&D}*q}_p}ltD=i zM{wSvrfGrF_%hB5Nq4a?nff%xcEYQ|VK{Yg75l?)>W6{A&9aRVO~N&U26}rh7%*y? zk1{_!ms#*v2uF;&lJ-Pp$O3p9W{Pi=t{0>t{;46vE2vXFntAi7*nd!c)VOqO{{K|} zXGL|s9)3slj!0U|41@`AE$>vO#b7>+@P!b@CzetAl*VV!i1B%rnGcv^NEt|1jM5ib zFqff`>Q|CXhrnC~BgVBNHU+j^%F&p4?d2cB=P~2bs$`|lThzW~lqP(}JjjOS<8qvf zN*y;ZAD67cWvg(upW`0qZI2tck1K++V^%=_=rcp0VaLr1&La0nq=GAuTN-k6+{g|4 zDXW4@lj|`nxIDSOh@0akZP;r=6n1@9`?WFh+TGJBnX@YYxJ(tU=;xR(dh0Tq^Kk_~$3)Mo zW4`C*n1^{e21zf+FzVydRk*yLW32bqWujo%o6xHIaeW!=4I7QRIA#Ney)9V5Wyn1Z zwfeCxQwYP}Rd91m5e$28g^t;Z*B1tUA7}bGCPs!mKjZF$FUDT(1lzM0X5G53qQAZ8 zS=aNdGA;CR1wXeV1J3h&$h(cxDgM@=C3&gwa%mUg=<FsUm? ze_cdQUh-U0;j%6Qrf{~OlQ%jSO`W{*dAXvCfJvRaC%S0iEEl15@)qWzsoR%z5irTg zE4zyp&T%ep)< zFznkaxh%Om3r=;>L1EZ;=iD4m4h(x*g^ouHhCRJfS0pzRCF&kk|Y+PVxM_6`$5?0ZWBW{LSTwohAESry$eAErQ(N-s|+;DJ()Q1&r zHMqj+`w)XLE}$qTn5h}Ap!)K_qzsm=j_a9@!78Z3d?sSBa_Xp`DHtx)GqEI0zF?tV zZ!|fcG zrM~xYBgbW`?>pSWaiPr0v)%~GMo=~;b3L9zN)=IGxR^-c3aQUuOrdb029FAuJmEsw zF_S2RvP^(KBQRaQ4%Fc8nV06-yP*$BCqI<^{T7kvOb(HTUkm)oNhkkw&tH^M<#$l> z>w#Zc+k9pawDUNR~qJ1(|)enR2d?bb=CX~jQsXr z4=80OEq~R4ywm1|bb0L8UVrsfrBqQGZSqjxubgy#-B;(8QkrD$#{+x6vUb;>7rv&H zDxyO|1LdQ_5Wh`HT7N&sS+PP&^v@iBhVZ0B!O_ z+41x3pfmOxUtVgH$UFSsX6TIAFl0(9zopK$8U1f2SEC|p=>O>q2z<9K*6UtaP1zL@onYEi{V#3_EG z@hfk5_gC*PRZ0~(q2zZWzjDf5>lYtZ$~)!Ggp%KM{L0BUU0=CODOHq(lHY^;%1P0o zH)@np#a^~~#j2vL{oU*L7)q%kGTZE_rmVT1HTB)D;xv@}isbLz`1Q4&^HrNFdPB)C zP=00LzFYrsn^LNnE=~t-LD;=+lE0;gVOOkG47p{)-c41wU*&k ztaS{nV)ZkuinX30RjdsRr($hnC>3iH!>CvT454BTGJJ}KpzyG=HZyDrH^h)B)-c1R zSX&q>#oEd+Db_ZINU^pvJc{)+L!($b7#79a$&e`4E`~#~b~6-;wTEF)ti23@Vm-s~ fCzi#~C)NnVo>-#{d18$*+=(^LP$$+thPnR%@**u# literal 0 HcmV?d00001 diff --git a/gbe_trb_ecp5/media/ecp5/serdes_gbe.lpc b/gbe_trb_ecp5/media/ecp5/serdes_gbe.lpc new file mode 100644 index 0000000..1a17d86 --- /dev/null +++ b/gbe_trb_ecp5/media/ecp5/serdes_gbe.lpc @@ -0,0 +1,97 @@ +[Device] +Family=ecp5um +OperatingCondition=COM +Package=CABGA381 +PartName=LFE5UM-85F-6BG381C +PartType=LFE5UM-85F +SpeedGrade=6 +Status=P +[IP] +CoreName=PCS +CoreRevision=8.2 +CoreStatus=Demo +CoreType=LPM +Date=06/28/2022 +ModuleName=serdes_gbe +ParameterFileVersion=1.0 +SourceFormat=vhdl +Time=18:34:24 +VendorName=Lattice Semiconductor Corporation +[Parameters] +;ACHARA=0 00H +;ACHARB=0 00H +;ACHARM=0 00H +;RXMCAENABLE=Disabled +CDRLOLACTION=Full Recalibration +CDRLOLRANGE=3 +CDR_MAX_RATE=1.25 +CDR_MULT=10X +CDR_REF_RATE=125.0000 +CH_MODE=Rx and Tx +Destination=Synplicity +EDIF=1 +Expression=BusA(0 to 7) +IO=0 +IO_TYPE=SGMII +LEQ=0 +LOOPBACK=Disabled +LOSPORT=Enabled +NUM_CHS=1 +Order=Big Endian [MSB:LSB] +PPORT_RX_RDY=Disabled +PPORT_TX_RDY=Disabled +PROTOCOL=SGMII +PWAIT_RX_RDY=3000 +PWAIT_TX_RDY=3000 +RCSRC=Disabled +REFCLK_RATE=125.0000 +RSTSEQSEL=Disabled +RX8B10B=Enabled +RXCOMMAA=1010000011 +RXCOMMAB=0101111100 +RXCOMMAM=1111111111 +RXCOUPLING=AC +RXCTC=Disabled +RXCTCBYTEN=0 00H +RXCTCBYTEN1=0 00H +RXCTCBYTEN2=0 00H +RXCTCBYTEN3=0 00H +RXCTCMATCHPATTERN=M1-S1 +RXDIFFTERM=50 ohms +RXFIFO_ENABLE=Enabled +RXINVPOL=Non-invert +RXLDR=Off +RXLOSTHRESHOLD=4 +RXLSM=Enabled +RXSC=K28P5 +RXWA=Barrel Shift +RX_DATA_WIDTH=8/10-Bit +RX_FICLK_RATE=125.0000 +RX_LINE_RATE=1.2500 +RX_RATE_DIV=Full Rate +SCIPORT=Disabled +SOFTLOL=Enabled +TX8B10B=Enabled +TXAMPLITUDE=1000 +TXDEPOST=Disabled +TXDEPRE=Disabled +TXDIFFTERM=50 ohms +TXFIFO_ENABLE=Enabled +TXINVPOL=Non-invert +TXLDR=Off +TXPLLLOLTHRESHOLD=1 +TXPLLMULT=10X +TX_DATA_WIDTH=8/10-Bit +TX_FICLK_RATE=125.0000 +TX_LINE_RATE=1.2500 +TX_MAX_RATE=1.25 +TX_RATE_DIV=Full Rate +VHDL=1 +Verilog=0 +[FilesGenerated] +serdes_gbe.pp=pp +serdes_gbe.sym=sym +serdes_gbe.tft=tft +serdes_gbe.txt=pcs_module +[SYSTEMPNR] +LN0=DCU0_CH0 diff --git a/gbe_trb_ecp5/media/ecp5/serdes_gbe_softlogic.v b/gbe_trb_ecp5/media/ecp5/serdes_gbe_softlogic.v new file mode 100644 index 0000000..fc7464d --- /dev/null +++ b/gbe_trb_ecp5/media/ecp5/serdes_gbe_softlogic.v @@ -0,0 +1,1060 @@ + +// =========================================================================== +// >>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<< +// --------------------------------------------------------------------------- +// Copyright (c) 2015 by Lattice Semiconductor Corporation +// ALL RIGHTS RESERVED +// ------------------------------------------------------------------ +// +// Permission: +// +// Lattice SG Pte. Ltd. grants permission to use this code +// pursuant to the terms of the Lattice Reference Design License Agreement. +// +// +// Disclaimer: +// +// This VHDL or Verilog source code is intended as a design reference +// which illustrates how these types of functions can be implemented. +// It is the user's responsibility to verify their design for +// consistency and functionality through the use of formal +// verification methods. Lattice provides no warranty +// regarding the use or functionality of this code. +// +// --------------------------------------------------------------------------- +// +// Lattice SG Pte. Ltd. +// 101 Thomson Road, United Square #07-02 +// Singapore 307591 +// +// +// TEL: 1-800-Lattice (USA and Canada) +// +65-6631-2000 (Singapore) +// +1-503-268-8001 (other locations) +// +// web: http://www.latticesemi.com/ +// email: techsupport@latticesemi.com +// +// --------------------------------------------------------------------------- +// +// ============================================================================= +// FILE DETAILS +// Project : SLL - Soft Loss Of Lock(LOL) Logic +// File : sll_core.v +// Title : Top-level file for SLL +// Dependencies : 1. +// : 2. +// Description : +// ============================================================================= +// REVISION HISTORY +// Version : 1.0 +// Author(s) : AV +// Mod. Date : March 2, 2015 +// Changes Made : Initial Creation +// ============================================================================= +// REVISION HISTORY +// Version : 1.1 +// Author(s) : AV +// Mod. Date : June 8, 2015 +// Changes Made : Following updates were made +// : 1. Changed all the PLOL status logic and FSM to run +// : on sli_refclk. +// : 2. Added the HB logic for presence of tx_pclk +// : 3. Changed the lparam assignment scheme for +// : simulation purposes. +// ============================================================================= +// REVISION HISTORY +// Version : 1.2 +// Author(s) : AV +// Mod. Date : June 24, 2015 +// Changes Made : Updated the gearing logic for SDI dynamic rate change +// ============================================================================= +// REVISION HISTORY +// Version : 1.3 +// Author(s) : AV +// Mod. Date : July 14, 2015 +// Changes Made : Added the logic for dynamic rate change in CPRI +// ============================================================================= +// REVISION HISTORY +// Version : 1.4 +// Author(s) : AV +// Mod. Date : August 21, 2015 +// Changes Made : Added the logic for dynamic rate change of 5G CPRI & +// PCIe. +// ============================================================================= +// REVISION HISTORY +// Version : 1.5 +// Author(s) : ES/EB +// Mod. Date : March 21, 2017 +// Changes Made : 1. Added pdiff_sync signal to syncrhonize pcount_diff +// : to sli_refclk. +// : 2. Updated terminal count logic for PCIe 5G +// : 3. Modified checking of pcount_diff in SLL state +// : machine to cover actual count +// : (from 16-bits to 22-bits) +// ============================================================================= +// REVISION HISTORY +// Version : 1.6 +// Author(s) : ES +// Mod. Date : April 19, 2017 +// Changes Made : 1. Added registered lock and unlock signal from +// pdiff_sync to totally decouple pcount_diff from +// SLL state machine. +// : 2. Modified LPCLK_TC_4 to 1:1 clock ratio when CPRI +// is operating @ 4.9125Gbps data rate. +// ============================================================================= +`timescale 1ns/10ps + +module serdes_gbesll_core ( + //Reset and Clock inputs + sli_rst, //Active high asynchronous reset input + sli_refclk, //Refclk input to the Tx PLL + sli_pclk, //Tx pclk output from the PCS + + //Control inputs + sli_div2_rate, //Divide by 2 control; 0 - Full rate; 1 - Half rate + sli_div11_rate, //Divide by 11 control; 0 - Full rate; 1 - Div by 11 + sli_gear_mode, //Gear mode control for PCS; 0 - 8/10; 1- 16/20 + sli_cpri_mode, //Mode of operation specific to CPRI protocol + sli_pcie_mode, //Mode of operation specific to PCIe mode (2.5G or 5G) + + //LOL Output + slo_plol //Tx PLL Loss of Lock output to the user logic + ); + +// Inputs +input sli_rst; +input sli_refclk; +input sli_pclk; +input sli_div2_rate; +input sli_div11_rate; +input sli_gear_mode; +input [2:0] sli_cpri_mode; +input sli_pcie_mode; + +// Outputs +output slo_plol; + + +// Parameters +parameter PPROTOCOL = "PCIE"; //Protocol selected by the User +parameter PLOL_SETTING = 0; //PLL LOL setting. Possible values are 0,1,2,3 +parameter PDYN_RATE_CTRL = "DISABLED"; //PCS Dynamic Rate control +parameter PPCIE_MAX_RATE = "2.5"; //PCIe max data rate +parameter PDIFF_VAL_LOCK = 20; //Differential count value for Lock +parameter PDIFF_VAL_UNLOCK = 39; //Differential count value for Unlock +parameter PPCLK_TC = 65535; //Terminal count value for counter running on sli_pclk +parameter PDIFF_DIV11_VAL_LOCK = 3; //Differential count value for Lock for SDI Div11 +parameter PDIFF_DIV11_VAL_UNLOCK = 3; //Differential count value for Unlock for SDI Div11 +parameter PPCLK_DIV11_TC = 2383; //Terminal count value (SDI Div11) for counter running on sli_pclk + + +// Local Parameters +localparam [1:0] LPLL_LOSS_ST = 2'b00; //PLL Loss state +localparam [1:0] LPLL_PRELOSS_ST = 2'b01; //PLL Pre-Loss state +localparam [1:0] LPLL_PRELOCK_ST = 2'b10; //PLL Pre-Lock state +localparam [1:0] LPLL_LOCK_ST = 2'b11; //PLL Lock state +`ifdef RSL_SIM_MODE +localparam [15:0] LRCLK_TC = 16'd63; //Terminal count value for counter running on sli_refclk +`else +localparam [15:0] LRCLK_TC = 16'd65535; //Terminal count value for counter running on sli_refclk +`endif +localparam [15:0] LRCLK_TC_PUL_WIDTH = 16'd50; //Pulse width for the Refclk terminal count pulse +localparam [7:0] LHB_WAIT_CNT = 8'd255; //Wait count for the Heartbeat signal + +// Local Parameters related to the CPRI dynamic modes +// Terminal count values for the four CPRI modes +localparam LPCLK_TC_0 = 32768; +localparam LPCLK_TC_1 = 65536; +localparam LPCLK_TC_2 = 131072; +localparam LPCLK_TC_3 = 163840; +localparam LPCLK_TC_4 = 65536; + +// Lock values count values for the four CPRI modes and four PLOL settings (4x5) +// CPRI rate mode 0 CPRI rate mode 1 CPRI rate mode 2 CPRI rate mode 3 CPRI rate mode 4 +localparam LPDIFF_LOCK_00 = 9; localparam LPDIFF_LOCK_10 = 19; localparam LPDIFF_LOCK_20 = 39; localparam LPDIFF_LOCK_30 = 49; localparam LPDIFF_LOCK_40 = 19; +localparam LPDIFF_LOCK_01 = 9; localparam LPDIFF_LOCK_11 = 19; localparam LPDIFF_LOCK_21 = 39; localparam LPDIFF_LOCK_31 = 49; localparam LPDIFF_LOCK_41 = 19; +localparam LPDIFF_LOCK_02 = 49; localparam LPDIFF_LOCK_12 = 98; localparam LPDIFF_LOCK_22 = 196; localparam LPDIFF_LOCK_32 = 245; localparam LPDIFF_LOCK_42 = 98; +localparam LPDIFF_LOCK_03 = 131; localparam LPDIFF_LOCK_13 = 262; localparam LPDIFF_LOCK_23 = 524; localparam LPDIFF_LOCK_33 = 655; localparam LPDIFF_LOCK_43 = 262; + +// Unlock values count values for the four CPRI modes and four PLOL settings (4x5) +// CPRI rate mode 0 CPRI rate mode 1 CPRI rate mode 2 CPRI rate mode 3 CPRI rate mode 4 +localparam LPDIFF_UNLOCK_00 = 19; localparam LPDIFF_UNLOCK_10 = 39; localparam LPDIFF_UNLOCK_20 = 78; localparam LPDIFF_UNLOCK_30 = 98; localparam LPDIFF_UNLOCK_40 = 39; +localparam LPDIFF_UNLOCK_01 = 65; localparam LPDIFF_UNLOCK_11 = 131; localparam LPDIFF_UNLOCK_21 = 262; localparam LPDIFF_UNLOCK_31 = 327; localparam LPDIFF_UNLOCK_41 = 131; +localparam LPDIFF_UNLOCK_02 = 72; localparam LPDIFF_UNLOCK_12 = 144; localparam LPDIFF_UNLOCK_22 = 288; localparam LPDIFF_UNLOCK_32 = 360; localparam LPDIFF_UNLOCK_42 = 144; +localparam LPDIFF_UNLOCK_03 = 196; localparam LPDIFF_UNLOCK_13 = 393; localparam LPDIFF_UNLOCK_23 = 786; localparam LPDIFF_UNLOCK_33 = 983; localparam LPDIFF_UNLOCK_43 = 393; + +// Input and Output reg and wire declarations +wire sli_rst; +wire sli_refclk; +wire sli_pclk; +wire sli_div2_rate; +wire sli_div11_rate; +wire sli_gear_mode; +wire [2:0] sli_cpri_mode; +wire sli_pcie_mode; +wire slo_plol; + +//-------------- Internal signals reg and wire declarations -------------------- + +//Signals running on sli_refclk +reg [15:0] rcount; //16-bit Counter +reg rtc_pul; //Terminal count pulse +reg rtc_pul_p1; //Terminal count pulse pipeline +reg rtc_ctrl; //Terminal count pulse control + +reg [7:0] rhb_wait_cnt; //Heartbeat wait counter + +//Heatbeat synchronization and pipeline registers +wire rhb_sync; +reg rhb_sync_p2; +reg rhb_sync_p1; + +//Pipeling registers for dynamic control mode +wire rgear; +wire rdiv2; +wire rdiv11; +reg rgear_p1; +reg rdiv2_p1; +reg rdiv11_p1; + +reg rstat_pclk; //Pclk presence/absence status + +reg [21:0] rcount_tc; //Tx_pclk terminal count register +reg [15:0] rdiff_comp_lock; //Differential comparison value for Lock +reg [15:0] rdiff_comp_unlock; //Differential compariosn value for Unlock + +wire rpcie_mode; //PCIe mode signal synchronized to refclk +reg rpcie_mode_p1; //PCIe mode pipeline register + +wire rcpri_mod_ch_sync; //CPRI mode change synchronized to refclk +reg rcpri_mod_ch_p1; //CPRI mode change pipeline register +reg rcpri_mod_ch_p2; //CPRI mode change pipeline register +reg rcpri_mod_ch_st; //CPRI mode change status + +reg [1:0] sll_state; //Current-state register for LOL FSM + +reg pll_lock; //PLL Lock signal + +//Signals running on sli_pclk +//Synchronization and pipeline registers +wire ppul_sync; +reg ppul_sync_p1; +reg ppul_sync_p2; +reg ppul_sync_p3; + +wire pdiff_sync; +reg pdiff_sync_p1; + +reg [21:0] pcount; //22-bit counter +reg [21:0] pcount_diff; //Differential value between Tx_pclk counter and theoritical value + +//Heartbeat counter and heartbeat signal running on pclk +reg [2:0] phb_cnt; +reg phb; + +//CPRI dynamic mode releated signals +reg [2:0] pcpri_mode; +reg pcpri_mod_ch; + +//Assignment scheme changed mainly for simulation purpose +wire [15:0] LRCLK_TC_w; +assign LRCLK_TC_w = LRCLK_TC; + +reg unlock; +reg lock; + +//Heartbeat synchronization +sync # (.PDATA_RST_VAL(0)) phb_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (phb), + .data_out(rhb_sync) + ); + + +//Terminal count pulse synchronization +sync # (.PDATA_RST_VAL(0)) rtc_sync_inst ( + .clk (sli_pclk), + .rst (sli_rst), + .data_in (rtc_pul), + .data_out(ppul_sync) + ); + +//Differential value logic update synchronization +sync # (.PDATA_RST_VAL(0)) pdiff_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (ppul_sync), + .data_out(pdiff_sync) + ); + +//Gear mode synchronization +sync # (.PDATA_RST_VAL(0)) gear_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_gear_mode), + .data_out(rgear) + ); + +//Div2 synchronization +sync # (.PDATA_RST_VAL(0)) div2_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_div2_rate), + .data_out(rdiv2) + ); + +//Div11 synchronization +sync # (.PDATA_RST_VAL(0)) div11_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_div11_rate), + .data_out(rdiv11) + ); + +//CPRI mode change synchronization +sync # (.PDATA_RST_VAL(0)) cpri_mod_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (pcpri_mod_ch), + .data_out(rcpri_mod_ch_sync) + ); + +//PCIe mode change synchronization +sync # (.PDATA_RST_VAL(0)) pcie_mod_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_pcie_mode), + .data_out(rpcie_mode) + ); + +// ============================================================================= +// Synchronized Lock/Unlock signals +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + unlock <= 1'b0; + lock <= 1'b0; + pdiff_sync_p1 <= 1'b0; + end + else begin + pdiff_sync_p1 <= pdiff_sync; + if (unlock) begin + unlock <= ~pdiff_sync && pdiff_sync_p1 ? 1'b0 : unlock; + end + else begin + unlock <= pdiff_sync ? (pcount_diff[21:0] > {6'd0, rdiff_comp_unlock}) : 1'b0; + end + if (lock) begin + lock <= ~pdiff_sync && pdiff_sync_p1 ? 1'b0 : lock; + end + else begin + lock <= pdiff_sync ? (pcount_diff[21:0] <= {6'd0, rdiff_comp_lock}) : 1'b0; + end + end +end + +// ============================================================================= +// Refclk Counter, pulse generation logic and Heartbeat monitor logic +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount <= 16'd0; + rtc_pul <= 1'b0; + rtc_ctrl <= 1'b0; + rtc_pul_p1 <= 1'b0; + end + else begin + //Counter logic + if ((rgear_p1^rgear == 1'b1) || (rdiv2_p1^rdiv2 == 1'b1) || (rdiv11_p1^rdiv11 == 1'b1) || (rcpri_mod_ch_p1^rcpri_mod_ch_p2 == 1'b1) || (rpcie_mode_p1^rpcie_mode == 1'b1)) begin + if (rtc_ctrl == 1'b1) begin + rcount <= LRCLK_TC_PUL_WIDTH; + end + end + else begin + if (rcount != LRCLK_TC_w) begin + rcount <= rcount + 1; + end + else begin + rcount <= 16'd0; + end + end + + //Pulse control logic + if (rcount == LRCLK_TC_w - 1) begin + rtc_ctrl <= 1'b1; + end + + //Pulse Generation logic + if (rtc_ctrl == 1'b1) begin + if ((rcount == LRCLK_TC_w) || (rcount < LRCLK_TC_PUL_WIDTH)) begin + rtc_pul <= 1'b1; + end + else begin + rtc_pul <= 1'b0; + end + end + + rtc_pul_p1 <= rtc_pul; + end +end + + +// ============================================================================= +// Heartbeat synchronization & monitor logic and Dynamic mode pipeline logic +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rhb_sync_p1 <= 1'b0; + rhb_sync_p2 <= 1'b0; + rhb_wait_cnt <= 8'd0; + rstat_pclk <= 1'b0; + rgear_p1 <= 1'b0; + rdiv2_p1 <= 1'b0; + rdiv11_p1 <= 1'b0; + rcpri_mod_ch_p1 <= 1'b0; + rcpri_mod_ch_p2 <= 1'b0; + rcpri_mod_ch_st <= 1'b0; + rpcie_mode_p1 <= 1'b0; + + end + else begin + //Pipeline stages for the Heartbeat + rhb_sync_p1 <= rhb_sync; + rhb_sync_p2 <= rhb_sync_p1; + + //Pipeline stages of the Dynamic rate control signals + rgear_p1 <= rgear; + rdiv2_p1 <= rdiv2; + rdiv11_p1 <= rdiv11; + + //Pipeline stage for PCIe mode + rpcie_mode_p1 <= rpcie_mode; + + //Pipeline stage for CPRI mode change + rcpri_mod_ch_p1 <= rcpri_mod_ch_sync; + rcpri_mod_ch_p2 <= rcpri_mod_ch_p1; + + //CPRI mode change status logic + if (rcpri_mod_ch_p1^rcpri_mod_ch_sync == 1'b1) begin + rcpri_mod_ch_st <= 1'b1; + end + + //Heartbeat wait counter and monitor logic + if (rtc_ctrl == 1'b1) begin + if (rhb_sync_p1 == 1'b1 && rhb_sync_p2 == 1'b0) begin + rhb_wait_cnt <= 8'd0; + rstat_pclk <= 1'b1; + end + else if (rhb_wait_cnt == LHB_WAIT_CNT) begin + rhb_wait_cnt <= 8'd0; + rstat_pclk <= 1'b0; + end + else begin + rhb_wait_cnt <= rhb_wait_cnt + 1; + end + end + end +end + + +// ============================================================================= +// Pipleline registers for the TC pulse and CPRI mode change logic +// ============================================================================= +always @(posedge sli_pclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + ppul_sync_p1 <= 1'b0; + ppul_sync_p2 <= 1'b0; + ppul_sync_p3 <= 1'b0; + pcpri_mode <= 3'b0; + pcpri_mod_ch <= 1'b0; + end + else begin + ppul_sync_p1 <= ppul_sync; + ppul_sync_p2 <= ppul_sync_p1; + ppul_sync_p3 <= ppul_sync_p2; + + //CPRI mode change logic + pcpri_mode <= sli_cpri_mode; + + if (pcpri_mode != sli_cpri_mode) begin + pcpri_mod_ch <= ~pcpri_mod_ch; + end + end +end + + +// ============================================================================= +// Terminal count logic +// ============================================================================= + +//For SDI protocol with Dynamic rate control enabled +generate +if ((PDYN_RATE_CTRL == "ENABLED") && (PPROTOCOL == "SDI")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic + //Div by 11 is enabled + if (rdiv11 == 1'b1) begin + //Gear mode is 16/20 + if (rgear == 1'b1) begin + rcount_tc <= PPCLK_DIV11_TC; + rdiff_comp_lock <= PDIFF_DIV11_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_DIV11_VAL_UNLOCK; + end + else begin + rcount_tc <= {PPCLK_DIV11_TC[20:0], 1'b0}; + rdiff_comp_lock <= {PDIFF_DIV11_VAL_LOCK[14:0], 1'b0}; + rdiff_comp_unlock <= {PDIFF_DIV11_VAL_UNLOCK[14:0], 1'b0}; + end + end + //Div by 2 is enabled + else if (rdiv2 == 1'b1) begin + //Gear mode is 16/20 + if (rgear == 1'b1) begin + rcount_tc <= {1'b0,PPCLK_TC[21:1]}; + rdiff_comp_lock <= {1'b0,PDIFF_VAL_LOCK[15:1]}; + rdiff_comp_unlock <= {1'b0,PDIFF_VAL_UNLOCK[15:1]}; + end + else begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + end + //Both div by 11 and div by 2 are disabled + else begin + //Gear mode is 16/20 + if (rgear == 1'b1) begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + else begin + rcount_tc <= {PPCLK_TC[20:0],1'b0}; + rdiff_comp_lock <= {PDIFF_VAL_LOCK[14:0],1'b0}; + rdiff_comp_unlock <= {PDIFF_VAL_UNLOCK[14:0],1'b0}; + end + end + end +end +end +endgenerate + +//For G8B10B protocol with Dynamic rate control enabled +generate +if ((PDYN_RATE_CTRL == "ENABLED") && (PPROTOCOL == "G8B10B")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic + //Div by 2 is enabled + if (rdiv2 == 1'b1) begin + rcount_tc <= {1'b0,PPCLK_TC[21:1]}; + rdiff_comp_lock <= {1'b0,PDIFF_VAL_LOCK[15:1]}; + rdiff_comp_unlock <= {1'b0,PDIFF_VAL_UNLOCK[15:1]}; + end + else begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + end +end +end +endgenerate + + +//For CPRI protocol with Dynamic rate control is disabled +generate +if ((PDYN_RATE_CTRL == "DISABLED") && (PPROTOCOL == "CPRI")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic for CPRI protocol + //Only if there is a change in the rate mode from the default + if (rcpri_mod_ch_st == 1'b1) begin + if (rcpri_mod_ch_p1^rcpri_mod_ch_p2 == 1'b1) begin + case(sli_cpri_mode) + 3'd0 : begin //For 0.6Gbps + rcount_tc <= LPCLK_TC_0; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_00; + rdiff_comp_unlock <= LPDIFF_UNLOCK_00; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_01; + rdiff_comp_unlock <= LPDIFF_UNLOCK_01; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_02; + rdiff_comp_unlock <= LPDIFF_UNLOCK_02; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_03; + rdiff_comp_unlock <= LPDIFF_UNLOCK_03; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_00; + rdiff_comp_unlock <= LPDIFF_UNLOCK_00; + end + endcase + end + + 3'd1 : begin //For 1.2Gbps + rcount_tc <= LPCLK_TC_1; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_10; + rdiff_comp_unlock <= LPDIFF_UNLOCK_10; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_11; + rdiff_comp_unlock <= LPDIFF_UNLOCK_11; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_12; + rdiff_comp_unlock <= LPDIFF_UNLOCK_12; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_13; + rdiff_comp_unlock <= LPDIFF_UNLOCK_13; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_10; + rdiff_comp_unlock <= LPDIFF_UNLOCK_10; + end + endcase + end + + 3'd2 : begin //For 2.4Gbps + rcount_tc <= LPCLK_TC_2; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_20; + rdiff_comp_unlock <= LPDIFF_UNLOCK_20; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_21; + rdiff_comp_unlock <= LPDIFF_UNLOCK_21; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_22; + rdiff_comp_unlock <= LPDIFF_UNLOCK_22; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_23; + rdiff_comp_unlock <= LPDIFF_UNLOCK_23; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_20; + rdiff_comp_unlock <= LPDIFF_UNLOCK_20; + end + endcase + end + + 3'd3 : begin //For 3.07Gbps + rcount_tc <= LPCLK_TC_3; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_30; + rdiff_comp_unlock <= LPDIFF_UNLOCK_30; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_31; + rdiff_comp_unlock <= LPDIFF_UNLOCK_31; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_32; + rdiff_comp_unlock <= LPDIFF_UNLOCK_32; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_33; + rdiff_comp_unlock <= LPDIFF_UNLOCK_33; + end + endcase + end + + 3'd4 : begin //For 4.9125bps + rcount_tc <= LPCLK_TC_4; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_40; + rdiff_comp_unlock <= LPDIFF_UNLOCK_40; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_41; + rdiff_comp_unlock <= LPDIFF_UNLOCK_41; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_42; + rdiff_comp_unlock <= LPDIFF_UNLOCK_42; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_43; + rdiff_comp_unlock <= LPDIFF_UNLOCK_43; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_40; + rdiff_comp_unlock <= LPDIFF_UNLOCK_40; + end + endcase + end + + default : begin + rcount_tc <= LPCLK_TC_0; + rdiff_comp_lock <= LPDIFF_LOCK_00; + rdiff_comp_unlock <= LPDIFF_UNLOCK_00; + end + endcase + end + end + else begin + //If there is no change in the CPRI rate mode from default + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + end +end +end +endgenerate + +//For PCIe protocol with Dynamic rate control disabled +generate +if ((PDYN_RATE_CTRL == "DISABLED") && (PPROTOCOL == "PCIE")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic + if (PPCIE_MAX_RATE == "2.5") begin + //2.5G mode is enabled + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + else begin + //5G mode is enabled + if (rpcie_mode == 1'b1) begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + else begin + //2.5G mode is enabled + rcount_tc <= {1'b0,PPCLK_TC[21:1]}; + rdiff_comp_lock <= {1'b0,PDIFF_VAL_LOCK[15:1]}; + rdiff_comp_unlock <= {1'b0,PDIFF_VAL_UNLOCK[15:1]}; + end + end + end +end +end +endgenerate + +//For all protocols other than CPRI & PCIe +generate +if ((PDYN_RATE_CTRL == "DISABLED") && ((PPROTOCOL != "CPRI") && (PPROTOCOL != "PCIE"))) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic for all protocols other than CPRI & PCIe + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end +end +end +endgenerate + + +// ============================================================================= +// Tx_pclk counter, Heartbeat and Differential value logic +// ============================================================================= +always @(posedge sli_pclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + pcount <= 22'd0; + pcount_diff <= 22'd65535; + phb_cnt <= 3'd0; + phb <= 1'b0; + end + else begin + //Counter logic + if (ppul_sync_p1 == 1'b1 && ppul_sync_p2 == 1'b0) begin + pcount <= 22'd0; + end + else begin + pcount <= pcount + 1; + end + + //Heartbeat logic + phb_cnt <= phb_cnt + 1; + + if ((phb_cnt < 3'd4) && (phb_cnt >= 3'd0)) begin + phb <= 1'b1; + end + else begin + phb <= 1'b0; + end + + //Differential value logic + if (ppul_sync_p1 == 1'b1 && ppul_sync_p2 == 1'b0) begin + pcount_diff <= rcount_tc + ~(pcount) + 1; + end + else if (ppul_sync_p2 == 1'b1 && ppul_sync_p3 == 1'b0) begin + if (pcount_diff[21] == 1'b1) begin + pcount_diff <= ~(pcount_diff) + 1; + end + end + end +end + + +// ============================================================================= +// State transition logic for SLL FSM +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + sll_state <= LPLL_LOSS_ST; + end + else begin + //Reasons to declare an immediate loss - Absence of Tx_pclk, Dynamic rate change for SDI or CPRI + if ((rstat_pclk == 1'b0) || (rgear_p1^rgear == 1'b1) || (rdiv2_p1^rdiv2 == 1'b1) || + (rdiv11_p1^rdiv11 == 1'b1) || (rcpri_mod_ch_p1^rcpri_mod_ch_p2 == 1'b1) || (rpcie_mode_p1^rpcie_mode == 1'b1)) begin + sll_state <= LPLL_LOSS_ST; + end + else begin + case(sll_state) + LPLL_LOSS_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (unlock) begin + sll_state <= LPLL_LOSS_ST; + end + else if (lock) begin + if (PLOL_SETTING == 2'd0) begin + sll_state <= LPLL_PRELOCK_ST; + end + else begin + sll_state <= LPLL_LOCK_ST; + end + end + end + end + + LPLL_LOCK_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (lock) begin + sll_state <= LPLL_LOCK_ST; + end + else begin + if (PLOL_SETTING == 2'd0) begin + sll_state <= LPLL_LOSS_ST; + end + else begin + sll_state <= LPLL_PRELOSS_ST; + end + end + end + end + + LPLL_PRELOCK_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (lock) begin + sll_state <= LPLL_LOCK_ST; + end + else begin + sll_state <= LPLL_PRELOSS_ST; + end + end + end + + LPLL_PRELOSS_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (unlock) begin + sll_state <= LPLL_PRELOSS_ST; + end + else if (lock) begin + sll_state <= LPLL_LOCK_ST; + end + end + end + + default: begin + sll_state <= LPLL_LOSS_ST; + end + endcase + end + end +end + + +// ============================================================================= +// Logic for Tx PLL Lock +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + pll_lock <= 1'b0; + end + else begin + case(sll_state) + LPLL_LOSS_ST : begin + pll_lock <= 1'b0; + end + + LPLL_LOCK_ST : begin + pll_lock <= 1'b1; + end + + LPLL_PRELOSS_ST : begin + pll_lock <= 1'b0; + end + + default: begin + pll_lock <= 1'b0; + end + endcase + end +end + +assign slo_plol = ~(pll_lock); + +endmodule + + +// =========================================================================== +// >>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<< +// --------------------------------------------------------------------------- +// Copyright (c) 2015 by Lattice Semiconductor Corporation +// ALL RIGHTS RESERVED +// ------------------------------------------------------------------ +// +// Permission: +// +// Lattice SG Pte. Ltd. grants permission to use this code +// pursuant to the terms of the Lattice Reference Design License Agreement. +// +// +// Disclaimer: +// +// This VHDL or Verilog source code is intended as a design reference +// which illustrates how these types of functions can be implemented. +// It is the user's responsibility to verify their design for +// consistency and functionality through the use of formal +// verification methods. Lattice provides no warranty +// regarding the use or functionality of this code. +// +// --------------------------------------------------------------------------- +// +// Lattice SG Pte. Ltd. +// 101 Thomson Road, United Square #07-02 +// Singapore 307591 +// +// +// TEL: 1-800-Lattice (USA and Canada) +// +65-6631-2000 (Singapore) +// +1-503-268-8001 (other locations) +// +// web: http://www.latticesemi.com/ +// email: techsupport@latticesemi.com +// +// --------------------------------------------------------------------------- +// +// ============================================================================= +// FILE DETAILS +// Project : Synchronizer Logic +// File : sync.v +// Title : Synchronizer module +// Description : +// ============================================================================= +// REVISION HISTORY +// Version : 1.0 +// Author(s) : AV +// Mod. Date : July 7, 2015 +// Changes Made : Initial Creation +// ----------------------------------------------------------------------------- +// Version : 1.1 +// Author(s) : EB +// Mod. Date : March 21, 2017 +// Changes Made : +// ============================================================================= + +`ifndef PCS_SYNC_MODULE +`define PCS_SYNC_MODULE +module sync ( + clk, + rst, + data_in, + data_out + ); + +input clk; //Clock in which the async data needs to be synchronized to +input rst; //Active high reset +input data_in; //Asynchronous data +output data_out; //Synchronized data + +parameter PDATA_RST_VAL = 0; //Reset value for the registers + +reg data_p1; +reg data_p2; + +// ============================================================================= +// Synchronization logic +// ============================================================================= +always @(posedge clk or posedge rst) begin + if (rst == 1'b1) begin + data_p1 <= PDATA_RST_VAL; + data_p2 <= PDATA_RST_VAL; + end + else begin + data_p1 <= data_in; + data_p2 <= data_p1; + end +end + +assign data_out = data_p2; + +endmodule +`endif + diff --git a/gbe_trb_ecp5/media/ecp5/sgmii_gbe.lpc b/gbe_trb_ecp5/media/ecp5/sgmii_gbe.lpc new file mode 100644 index 0000000..90af093 --- /dev/null +++ b/gbe_trb_ecp5/media/ecp5/sgmii_gbe.lpc @@ -0,0 +1,37 @@ +[Device] +Family=sa5p00m +OperatingCondition=COM +Package=CABGA381 +PartName=LFE5UM-85F-6BG381C +PartType=LFE5UM-85F +SpeedGrade=6 +Status=P +[IP] +CoreName=SGMII/Gb Ethernet PCS +CoreRevision=4.2 +CoreStatus=Demo +CoreType=IPCFG +Date=06/28/2022 +ModuleName=sgmii_gbe +ParameterFileVersion=1.0 +SourceFormat=vhdl +Time=18:38:05 +VendorName=Lattice Semiconductor Corporation +[Parameters] +CH_MODE=Rx and Tx +CORE_SYNP=1 +Channel=CH0 +DCUA=DCU0 +EasyConnect=1 +MAX_RATE=1.250 +NUM_CHS=1 +PROTOCOL=SGMII +REFCLK_RATE=125.0000 +RX_CTC=2 +RX_CTC_HIGH=32 +RX_CTC_LOW=16 +SBP=1 +SOFTLOL=Enabled +TX_MAX_RATE=2.5 +[SYSTEMPNR] +LN0=DCU0_CH0 diff --git a/gbe_trb_ecp5/media/ecp5/sgmii_gbe_core.ngo b/gbe_trb_ecp5/media/ecp5/sgmii_gbe_core.ngo new file mode 100644 index 0000000000000000000000000000000000000000..689d37ff85a1fe0309be2fc808eff3fd0edf4b97 GIT binary patch literal 475624 zcma%k37lJ1^?zqt7Lg?=iULYRK^DQxOji&MWwRg~x?z!jQ zck|wxVyRqdp4K#Ln*8U`hL3)wgnu;*bSAsIqn&Hoqiube_Gt|t%Z3Gx%}R$tNnQte z{hL)Ry}2Uj$qrD+W-kD^i| zk!V|2EY;Z_ZC~4-LKA;T+eG(@CWvOGTg!?x=AT7VgR03QhgeTKm;OTl$(S7hHHz%N()NdxI0dN@RO) z;&>>_*IaoO{W`ET0BD9Z78@GuOSN}SYiK{W=#Uee4F1A9EWm0woBFBU`V#FWT_!5yg=G9~R`^VND%y=Eb`^0c4oGY!|CPhFEgwaEVB{Sk2MEU| z|Ed8>Dtd7kQmW-SaO8tQ`*JQWKTv09;c|YRosG-)S+?-ZX$`p_D&dZSByEdl(NNwN zL8w;0n6)pPa2=gpr;#Gj76(18Vdr~FB29y-foQi(b&2WD5WCW_PGdOCxgUpZqM9X> zC)Q;NF%rku4bxN3ht*38qNz$k2A!Wc9RQ-rM&Rqjdo24BHg=to#-(zhIXcjv99kcP z(7TE?A?g3b8MG=kR4c8j(%W6u~S8m469e?oCxB+dPT&EAoQzanjJ)cb*A8y zfrqOTAt%BjxvAnr&?)POWrd&&4h*efKUXcyIfMu#op#{hs?mT$0^Y2;#R(vEt8T71 zB#>9Du65c#msSlqRp9@sk2?V-$0{u{tm7-4R?$Q2HOIU@FXc4|&aD1jR)dlQ zYasWkE{Bn@o)2nr=$Q4lvIe0i)*p2#^u>B4uSvmG>z9@WA!=5stU>TUc8D&;UN5^b zVvAAhSzIB_xF}}YJELswA4LtKQ~%K+^g7m<)uhZDhR$a+ z1pcfZs#Gmk=XWz)T785YgjY-RR!tIX0#uhiSa1OFX=%Fb0NT1yZelBI4YolEP_z{> zS+%ByN(|9rY5$4dfVX%WDwwyuoS;YZ!;`bW4Lc0%z$` z9H>*XniR+Lq`U^v-II$NL~rK+abyQ$Y`s+%1Jr(87-EfU`?}-NUfdwm*5=i32vyZx zw+PT#eQIq0TpT><=nT>xZ#V{G>!R3ZMi*T4p^KZ;j(OcD^RzmYnjOuokG6GmMw?o& zQAx%I+q$B-8^FI8L|Xt&YuLI+26QS>nXrS&G{q-nnMJ$t_Dn~jZ(X!KBb&vopR``9 zMcWKwJ8^ae;<_35auDFuZrer>`gLCpzLxlc=sfA<1(Da1tQlU>jD6SEvn2BWOHfwK zr2PNArhV~5YS-4&+4m_2$99G{Ra-v;@u}sj#yOm-Vo&9{8hI(UTMrap+cfh;9AEgo zs^cwD=e<-28|wwF^Jd|Gd)*DzYujs*5t&T?Pm(HPZz;XDa5L;mr-q-1{IAlONbBV8 zbjs0K5T$Lzc2~9kQ_U*di_1cl$ z=<3T1iX#m9{?-a)R9hU6wXp=2sJL`-_`I+|pAnE2;OW|TLO{jQt`Ol)C2r_g1heCdAEj5Q;4El(Kn3==1)!LI;pp^$NmVFFx6F>av zybmk-kQn=a)R)qULw{82rV!VU7TzciKkXMFD0aLRdTLVHzZS3-xBgv}2G_@iuYoRa&pN}DQAF2 zY>icXwK)&#t9Px0)f#7=+`2UAr>&+^EbPm30&QIwaPy8k?F%Drvevfz5VG|e(0X9% zchc*eb)go|W;Mj>%%67;wLT#=CF__?af4Mm!MAo89JZ&@x|4i#VRm`h>#S-NgS76f zw1JgnU~8|T#roayEkU!Q+7NZ+d{~vjTQ4qn`C8&`y|m~|>9gEqt;;I2H-UCXvsV7Mj(K|QOyBKcuWCJg>-%}8z8a=_`gW(y zy6DbG&`Z_R_uR6VmF!)&-oRAPV|E)NR8Q=kVXsNWa*|G#eAsGYXM$edPVB*u4++Z? zO$CsRLmjw@p4QOwY@{kWC(2yMO?)$dT<>{4?8T9dJ&ST496vh0l~$BZYER5ct81KO zNJmHkU-m$l+GzPs7 zot>>q%;fKXU2m^A5zLIP(=;u3*L7ULDTC;Ay&>yBWXsz%v*3hgVUBdYFYkmnZMrUs zIH5U&PSv0mLT5v$7LJ)RAG_XWIU$yhWhDoM5H0^r*&zZehXx(jGQAcaWz`|{b@>=B zYYm~Nt#Btn?9TF0hXgyb{EO+j1P`5CAFFp* zPOZD{uxb)Wv!>`Q@pbv@%0L@y$tPIry&A?k7yo6>q1+s8OAYW=qE9{8)3eg5v3;}o zw8zp%^EMzRdtS)e03@O@q#F}1{-hlW$$i@{$@aI0Yf4Ts)*>d`PxWD=A;q7bH*2ew z+uz|!!>y;w(6ysd>#q9wB>so2k)+Fueg(>*$l1_W1|+jidNE5S@)ac=mFYK@cY&ON zw^%L^aBBwoPE~?U6P!D>AVZ%9Ncc{pd@J5ZkOl^nRe!ZP0cy>sG7^e?~0XgH$pGQ^vi~G6+4_xQR7Yq5uU=V@;B^x#vU@PD=x+=#|`k zFKMNzTI`xw2NpjM!~r4edRi?&PJP&gXpdA87f$(H)+>+b_B>Sd;uwgYC-YvMYhga< z#o={N+VbK^nVx&SxFl%LRc<1tIxtc67?Qc$BV(@jYy}6ZN8RdHCjbWpv1dW=`E}WA ziV5HIk-V2+9`tBcqiTu?-Sc&~DR|4U-W+yW0?x4hsOZHR*3SXfh7IyehbJsA&Twm! zTO}Nmq$gpJXSl!a#Z^6cyzFX#$+AE10+G%V2ahxeiQH$iUJZ%$kAS;6rbeeX?$9rIPq}5K9zPs($;vM38)X;D=y!d`a-mYYzm#{O4A$a@(m++Uh^psR7bWTt zwj45f7VQbzV%&xxcuT$w#8FfbkR^Kq8ic?tdaDkxKs~NQi20&r5ls;xTe7F(gk(Z4 zxzy4ymhHvQl{JjPT6#!G!`S^LUkYm&vvJWpU5>$9^t5HmF(ByH!ZZ*^jF9<@B=B~T zm95Ld#gwau5?QzWD|rnR8vor_+S#(ht&>?tC9luy+@J!a? zhayfH<%8U;**-{`E!(J4i5FVtu}$lo~j1u z$o2NR{u`=!37o5C*C|#F;Ts}SW9L#6q^+N-@s0`P0V?6G$=azWmS%SOCe z6P2CynRKz1IkFyhA7?jA@3=M{qnYB`*mXj|smIyaeUc4P4@ublu24;g2$Hb-sI(Ko z9O;(dN5lcjAd!|%&q9U~uSZSN=9#=x7xC@-ht?ueuKS%%L{e+fyMi8bl3KF&(wkxS zU-P6_o+-EJ2+L!KskJCj^x%?;XRxcfeZKV5b1CI#=oy1x?M+pHOCZ!B(#Zp-Wow`e z#4B3&m?qsv+G>cOl;iFbHHagB^)+GG2{>a4)#K8(ai}uGx@BFfjfSK{*E2zuRS-g9?$h-W&GYUOl1nkB!Zke?fa8q@|uw{0ZeMnmT7&Tojp_F9y zReY2Xj?75bheS$cZYueZboAP^56Lz^74RXOkUN>Kun&t&&GbclNF-|J9=}GL$bK{H zql#A;8!~rUek_~49i%bte8wPSRs7g#4I9=QJ*L`$l38s<6DM_MRndvpD2ql4HA}cDJnWQZVH(Vlag^{L?ZqoLw6mj7pqZ z8!E;iHLNEC{B?LcUvZk>&1ox6%)l2-SBZRUgtS8HtZ>zDCT|7!$nZ8STGdN6ZeLK zIvf6ObiGNuc-82j%#sad%V<*)`VVO%C(~!0QIBdpyR%SpxsSZ)xv%WSk!3w!33zel zSkI+iLLIZlvtA9PSI?~xFV4K{c{FDr=+mb{27*p~zhEFR#d-!U0|C6V8Zd_LcjYr_z z_m%@IWCm>PD*NzJmd(X-sB{GH781SDre@~eNA1`z~ z??-dHyZHCM+D*JyS^ThXoSGPkrRNv@%s0sm)8?`-PxJrvSLYUesXUKl?mYM4OVZR2 zYWH30MjqT+U)N>({{0YC-4U0x|75|yNZvmhFfdX>;~?DDq&n@T7w%@r?D$C#3=f0a z5`Arauuvh5|Bq!8!xE%vDgD_ygKK{V$ZR0S=f)o z&SK+&ydR4_#Kv<$n!Q4bZ`_;pW1FaaO2Lmt;oNv;-jC(R;R_(m4L744Z~UmQaud7! z8Q)+wvCr9%pK)#&Hcpg$X-0dz>`OB!>$AQzJC@G*(u~R}ey&Mv-6%K5#w=k})E$SR zh~epF&?lm0b4*dfHeQhSVP(bK81V~=tWg`67X7rjQf*ui^rN|6ZEW&2&VspdUe-^W z3&X}U%6>Gb_{P0pjon_+B#nRCxA7+N{e-NqKFxpLmu_ZJ*m!%{SDmZ-#&OG+m)=c8 ze0gpjH(pop zWcCa{`I>@J*5sj`rKt>3qJK&Wl-{9mU@9Bz*nRn_BzVs-B>N*niIw>h<-#`fTNp8A zdsT$a-Z8ZZ3hvO|p((9k+6_g@Q;I;Mzc7V_92O64ovIx8~$F&*N!Zl!(Yt#3UDe8f4k_*%fdYTg}lFj6vx|x{sK*0LWaK<_LpG& z9lq1@7ii)tHvGw{B*ezoic{F&N;Ukk;1m+7yz&C-EL1T`4DtPSTiiGdd`S=mGkI`#T z@w{}tdX8uB+F%_-kfVGtmLJ@_wJ!u>x*dJqm)v|=5!j&v-JPjeA{uWWY;PN!)^Po^ z7SKiw<+sdWV`C1CZG+a~#^x-*svAuqN0YgiOJM8)=ZI&<>9fnW`tZZ`U$l%AN9Zar z(2|d!Ci~*;{6qurzC&x)=zKJt!Qfn)u3D)=eDLIU`6;TZpT@hk_*hvq6oQ5Qy+Mdq zKd8fjJNCKuQ$gaD219&dgY~DvO?p#hb-Rs>M4f{CYL>#hhU@g zD@d4hXBOR{{m~`9z7XF5T31r3)$yIIhX~nUYq}jAB1t#o6gYX#mC>e|ct{B0pT=Qw zPLYn`l-9*yCAO`stv$oDT{D7`Q z2Slx*Is=`)_4z6ziyprFfk7J$18amsj*pH^YZ$%Ca)_#hbC6&CnK$p!`EYD>Wzopv zk-PCzbD&%n=kg_RxG>&Kl2NF+FprP^weUfZvEP&&igCDC<*2u&?BK*7`D_b3`KkrR zYZ1_=!%l|Z+(kj-_Ib3UY+|tO8U34OVzB2KyEbTIu&o7YNO z@6h5<)4`k@Jt1V$Va(gI21f3aMk^*Ixf2-MP&8?AO&rS@DK2>-*^2Efd8} z!|0n$y^_8%RbRAwY+pnp%^jbii%dOavcejt)| z$;v%^U!w=+zOL`gfLofQ-M=U6hB;>aFX!DbcSL<1AvesyADl&)5v0LUG- zJhL0^8yduCt}r$`7Z$5VY(l0WxCR0)ti<6uY>>}YQu@XzVsU0j7-cUu9?CdJS+(C5L>uyunm&o zJU|I9pQ@I-APmC9MV1Ffw|0D$F52x)Ye;>z1b_{p^0s%%Yo&wlivXjqwM>A)tx`6e z>4As3xwpK+Ho#?E-W6m+Ukg+lQr|S+d8JivhiQbjZK@?Zb#nofSf&eTEA?$E+X#m6 zh7Sen>TnY>pG-StIApP6UB=M_sEH2-bqbFi{v!{l+J=&bi4`t6S?=&OAk`o$MKWB~VrQfr4CeD1#I^I6AwblLubtMA+`+3_0A}&2*;Ffy)*(jj zokb0Ti%_?XRd`|AoIU!j&2a}qnESZD(tR~0mncNZ3FfNj*cjh&W1v2vp zpt`^E6mDvk-Y#$32A>EzziP4OZrpx6edA4p(?zXSdspH%#;&hB*3vMp*K0?dUB{~p#CignoSYFo zRMs#_w=Wblj3FQDjc6FtEfWqqux6Hw)RRE$UNkeeI;UG2gdp`bS2PGg>XY9dYg4kB zo0-1LbD9+AUw1%*IRExL9cxw_z%P`XVv=vEilt!;(%_qPT?Q%Llhfqb`OMt1hRI%L zBA{UmTyiAuz?vA4feW0j$g8pI4(OV4SaV82)8qvFA`m;2c#jgsj?ZZjf|P2sGzdW& z2&N4lI$^!Kr)x;l%ZleRr3X3ltWrfyftU$ z7~Wl$(ITCkcwNw}hm4*$q-f-1A)I(~$V@VUcG4Md2b)v}|5`L_GR<~8TQ-p)c{par zUxG$b0`LZ7079I=J8#cJ&olP&JNHDRk4*;P7-JtpQrUJMgiV7{)yG%ORwZ;hyTS%d zrS~h#Kyd|~II?J9lqa7Hn<$priRJ8p)^pg})$BplZHq*CVzA)W!Q9&MxJQS@idA&S z?@Mk4QZk$`TPBLdV~09jc2_^vkckZuw-!=$S995oDz7>GyRP;lG5)(>7x2-f*$e$N zkpa7|_Vl_MDW>`E!@_2}GM2k8^wVYccTLNAbfxs~I=tv1c!RpDA?(FbR(CfTn!$kW zIwtRx=itBU#btGv=&iUFV1C!p9#q}A>^eN)7FVB6nMg-G1je*2>Y2VgXFj!-TZ(A8MFwD>B86_~TXfUs?iWoFl z+oPuiyc$T+=qUyq`PRCbxV_*=yw#4ESrt9TpoOH0zPaRW1?3@nLe7gLd82PM*g+1q z+6Vjf(XPL{8a*TEu_hVVx}gHXcinL#kNk2v8`9CLPJ zU$~~lO{JU@N%@Q(ZGq5pMGd(Ki@0IB}zIHV{&k_j7pdSu0(XE!CX`FOJ$UagPB<&QE+j;FZVhnfQVM$6T2B zSj8*PMeoxQFV1BzpY!6#>WR++cKI(`g^9b1UP88gU$MM|EN6FlU6noL#7Dzk4GG>S z@?L_YJ#oN5$nNbMMYo2!O`W(m?IC0weiHKH9EZm$UYz6b>xdWUIQ%^4#W@Z?Fxclf zJW%q=a~$q7;2ehsvmSXh4v&Vtgp9)zc`qU3@YAxFkd4K6vtB~R;eLaLjKjBrUJZ`J zu0N%n1ZF*hz3Zid8%3yh9};k*2=wkFD{d5F-t~&dE`q%41&=I3yzB2C6an7#e8_DV z;T@Y>0P3w$tij25TSktH%HRRE;nm}=WA~9^aQ4)f%7@UbYYP}vSkOnLBVKbX=QlqA zHA7P@=3}F2BgXGv#)@7<9u-xLtqFyJ4o=?#T#XyDv+ z;<&B5Jqze9)pb=`g6h7!Q;zwt`{tk%;vnwMX;P9*<6);1GvLM*#Tuks!}#1Nw^cIE z3gaktX(3|9-dGQ?62tJ{cvVn0hoQW2kqblO-1xz;E{7z@9GwQ{-raB<+TT_-FdWPq z&n<#+xQhCBV^>bY)Gf%LfO(8FPkOhQn&oh24r8C)4l(Dp-kmovOpS!xVpGSteZwCW zlEacc@(h`{TQ3p&POHF}>H`wv#!Fr9t6`!m^?u5V-oGXdQ0`-70IKQH-s#Rvf08DK}mj(m`g_zW3EZj^VihT}F~3T+u-mj(wMM$?23JfB-wdQsdlL%$RXSpDb_)@cXC|^39)mTZBgkkb9Vg1 zWr!ob^J0e~&UR+s$R^@?WmM>kb|F-A2uDs%gLYE5`m;4BY2ZKmM?h7wsyYH zwC}XA-GIb!e%8KbWtcpiW~DMz$9>;|qAkIiuy1D8zLw!TuYS!j-gkD`=9y#LPbbfl z__np`9Dd))090zoeZih9=q(pcZZ+Z?Qn6$=o>w^dpMV#RE=MjO{CB0!AQWE?;nEZe zba?DQ#6z~V%L^8XZ{$3b`U6>fF#eNS9}=mSxW3>+BJmOj(mo{8Fj1=bko>$wB9-@H zNv`)(MLs8<@bgF>LiPm7nOZDH}=k$XenT1s}Fae2)1kbN(lOZTTrnmmUoJ(VW|fhx2~4bosuD zAI+kkd|k+o7T4|!8fp1aHt~;=56L%P{*(40Ik8{xBRO|>Sw5PWC&@RLeMpqt#P16} zBqu^c$%o`8B9fuJAB*{yyr}5M(x+p?J}fFm@&lF+$)b=vE#k+r+Wa}-$3it&@grFj zo-dkNHN)(i1AQygq-yd@Ssz__y(5?QVI^5V6Y^oXZYAI7fa; zi93QO9WL8)BjsLkxM524giSh}LzkIi8Rfc}d=GRCep73<(QkhED)B(hsKqjISk_2! zJ+wkb3QWJLDYdBFRWc~4S$J>QL~)WO-)eGD&CWdmla@@re9%O3<|S@QnV73LCKbg(IO{B@(i;lV)H7?t0zxuZa$(6Mz*f%553|s zM?6;{JQizY4P;ifJS@_UY>a4=u6>a+t%s+Vtaq z7spK8^j+F=$+Mnqq`Yf-G;!{3I=|%AKrU~(BJag9Gd4YKz%k7>Jz4h3bGi%#fqPnJ zZAhn047}ZZSqO|F^ax~fjb+l}qStBcBYfVxGHkX7FE+R3%p78~d11xO(Z?I|W{$OO z^GtB24j{0b=ac#oN5l+()T-o8?f-n=w+_Hn#=LW?2$9&$rAZ zE8pe?1vAOoxB1+XnPm0b++Q)1veIt8A?U-3?z{3nEDfLKW0=)!^MsGTQX@BKeH@mL zoo(I__Gwit_xSn5t- z*Op9_toZSOiIS~km$5C`P)Chz$+Es9Yci=XVrlN_Gm3#B_GB~$A zr0=G`=M4lhbkp-D0$IK3n+Bgzfj9lBY_LS)TR8&({0H6^B!MwVF z_|*ky=uxSrKR@WW>HLV-AQODkhbmrz*|_O927(E<7r>$kV(eR-CzC7G}<&(180W_@|-&2vRxp51A`#GmI8 zc>Hn8PoM60e68$9Q+v}h70~+JQyXWxu?HDm?d69nPdz=#5$WZd2#1|x^;eaUotY9Kb)-Ir3w?aBQ`aE^q7LNIrj{hSkT7rs`oTd3X)sn01Szf>_0 zFml1C7=Zf2Qaq`+H|>_?A9Pv#t-K~V8#fw@MZ508Y;5`$2Lg~g#CD6&hY8ginT;i5 z@%IZlhO)o-1{a2kziM_7a*de|d;%dgAWO=^7!Zd!?$H;gD!}TkwxEgSN0l`UEexF$ z&{Suk69a$B>KI}$@@z!IW@E)zyw!za@3W#1(&g~Z?n?y?nuP!io@eP8#%geWR>#oU z!I@zlLoYJN19J3s7P^r+stBy!+gWgB;2#xT4pAArN_TG-x-t4@h&k-dL>psA1a%BP zZ(kA7G5S0$4Xi%iGZCsCU(V@r@NaB!MaK~4OuC?B@Oe}oxTq(_O!z!@n@bmQ8J$zs zbX(v{{3$@re&C6NkGWLQ-LdC#npg{5-t$6U$LQYMgTU%tYe5JmQvqQ0Ft?y*8rF=i zP2OMBF?3_+fXh8}chNIC#xG^HpOw{h(MIpGijKkM$x2wqkU_T|4#?59W^^OCK`7=iOcnkv7`wd_2-S>6aOyfLrKp&JXYD(e`!yX?`lj$wqBU0u{MxOd=o zx`My5z~@BqS&!L~)=RjU9FQ%e3x|z^htW?r4rdSiI_M=>D6&i zaF5eW_WV*40aFLB2pcpQ#9+}tFzhE}4FrSu&a#1ENYX(A!RRJ|d)#HLT8ah&Avt(q z-asHCpEqPx3%ot>2ZJT99tV%Nyp~w=56E`WHRLTU7r!-Wup7%^$=wYu6$k#GA$40= zVGbJHZD!+N4|z?otRMJ(#Y@ns9|a6JLU`cg27>F-fuCi)8qFNPu!&$deqtFkmc( z>==yyOM7u1-X8c=$Utzyye)4am^X8R27)u`h=_sUq&&*hICJv}D7d}lLU-VA6$8O} zF|A-A7{2Kx1HnxDmZ@=k9PK+nD9n(x4^oeoJ?eOD`$?jW{BQ=s3uBYNqcIy$pUar6 z9v4&xL4ncr%AfRLhCeSxM{;7Hmxy6>oOZAw#3dpZ-BK4uSfaxPo5e^(H`Ol@&1gDg zv*>tqAYfm@!{|`OzQpjZel~1ha-oeT>(b1v_9AFcZt+Ld$&ESDRCgVcbO7hlx-O5e zLdy^6lkba|IQD+$MIomxNnxn5nOh!C8z>Mv!zBZuUZB0@sl1EBry}rQGg`5g8 z^}gfe7B|Y1gq?3lyJUIt(0W}C==ADcU=)M5`#S-r99r4^Bg!xTuUDK1@@4mZ zmIINeM|c0Q?1bpU?yrZP5DzO>e@98R^$n#4qw6q4t=AEmAKa|b*&nTuWsO1qT2RR{zLy0P9$Ae-Lyc$gS0n0jM{Pj9LBDuoJ;>tp1HA!?vGs zwhez*JymfiVOd!9Ehm7cSN+5ZAdOeu@3a9|R=wl|xE`$fTEJlyo~-(O!2!_OSF#Gg zr025@=ymKI%Z3Jq*6^D#v2%kO!~p+Mmr4$-f!qhmnjEdo3~CU%7JIWU1;1jag1Q;QNTDUqj6j<`+fvhs?)rDq-bBFv&-by6~(fnUkckS{{#h$9YREm zfzLH$YgdRt?sd3O98B&0BfWzuG|NSJVlEqY`W9DDyzSf+_7LpMq}(Fu3S2!V&$2x7 zYN^{(a1oHs{iA4uJd2hS+?>oaWtt$(?w!a(u(2LHd@zD;?3DC!xS=fEmbT4Gq{V~1 zE3!ZvTsAm_S6_7bB5Zp*=%LmITHY_)LMIBhzmspxI~fZ9n4 z?a74!ASy_4d04CV_0Qb9@^uSM=!>!&C|Ed-E61o6LqDFO>D{u(t9bO z4p2Hed7h$D34~o1v7J38 zr(x2=?eDDsw6$g7-y^5)wma%?+uU|a$QF#nW9h+YXLB+dOC+LmQStY7hi%(!U9nVW zJ3h$FR5}x|?XHTc9D3AxpwhWS+p593c62>w{@l6&MPXoXrT8Hdm6|vYyR$%5Pn3hn z=1$we{L4YGXo7B^Qw^y2bMU2dm4Q4yw)}FgE{O8TnkSJz`0uKdw19>0I&~TCtnN0N zS&EmPRT6EW>XvZTLxCzF)szeP^EDAcxW@mZ;*{Yw;MbZAiu$VGYckxXJfa~e>q~!a zIT~;4jzQ?&fYUUxa@F@VH5T7>HH1DxE!s-Cduph-iN3{#%DQx!vI|5j ztM|G=G_!h#8$>)--vMnTay{?R`09^jT_9V3Fz*5ptX16848!W57hNC&UC6pXU_SulbeQwR>emH1_@622augfMYZ$_3rE~}(v3iS| z6hrr9unIM=iLUQMS|^4E2HPcHEDMywAwq{o(d*}Eu zb05$u9OvXnd@APva1)O2On-<4#K?>TR^(hk5S7h8BixL1_c_)nGcBMH{iZ z(k2V&+p;g`y$s;X4BdjANw>80mT}k-soTNjX9D$0?x|YUvyR>k?WO$9Jo-bWt!;NN zy6OU+j7INKrraE+LTk~WhAmd;0tB)3*08vs>5;trQduopKW=RNwS~OFb7_FZ(w-{<(z<+~9N8f6k8{i5IZ@qlsqtPcn3+ddRBKKkjRu{ls{UQUBLqA%0k zDJ3D=*MUC057@Lu{{5nkMBh5~NYLaJIT~?->Yyq&pG2b}0-Q~wKV}1mdRVlbyC`f{ zRBt=wE~)s?w0rC4%3x=B?1Gxynm17^n)t>vpYpopbPEE9xOHXwxbt36Ub{+}&w%9~ zWp@wvD#JS5%3DTta+9A`f$HGCBKN^81REup;L+J7T-8zw$7L&pP2ulIt>*%n`%qX( zqh77Szos>`^`WP2Pf~4q5+4muM`QSccWg~}qI+K;6y5uhb#ss<@un{ETes2nNs44A!4i zL&(e_3>iraHs-R~LgzF83P97yLU-U$x^HCBYVw~x9${1lj`Gz+STe8rwu+u+{^ZNT za^?kJ76X|1Y01xHjN-s?5kHoT(7-AFG_~jCe6`W^K**nFEYI+#8L$)m+r~HzG!}f? zmM6{!F7`JL<@fuuD6<2vtN7XGI}e!`eVgXX4Vi!Y(tNT#^PDd&Z%sTFM%#w0#&9Hm zMZXLj<8eGWQ)a5aEjVy?$d?zdzhCy_`Lj{xAzyX=>Nhaom*xT2z^VSu@h7-}nf~ew z%D~(G^`-gq{Pnry4g~!5>0k1%?7ShcNb=MvVKc|Ae(J2U4~hIwN&d6Cy9y0=pQ+R6 zlA%dH#gKZ7pIO$#x8-~^S$mITBur+J*KPNgK>F?z_zpty$-GftetS$cmdzydI(1~& zOv+nuzX^c!w#>tq)M4iSs8^3(^06v&<-g|6%9}XJ7k$h!;gXN|n3ZgJykhPNCqnA< zh?$fZKvHM3QSXqikUviu-(3dlje_IFmbR}1rV`;>ByA5)DZ*Dp+FnRcWrc58w7pcB zN`x<2v^`->CBpYCQdd%>5q~=n`#Wq?@vDRUR4gOVnk`Ykqr0Oox-Qd=Q%~7DVk5FM z2cn_=#~^tJ!5@)QH<4fwbL?Ved&s?h>LS`Ru=pcE`04jQ=^C5j@kKg;KinvL&eRFC z>YWp+Ft+_M4Ays0l+HvWnWc`6)7n@YCqvF%grR{Go&RgXFkz#?6Xy6z$B* zdlZ`bu~NRh2%IGV!ge0YDOqZ9uBKAINt0VCKG2kk+8(%B?smHZe!AE{rp_;b6rYbn^bqvPg!1cO>OcuE-wYAt}FZM zOISzz^`+~XqOZPqzb@#@OKeh>FE91z69F^dBsa02N&B&!p?CS}a?;;k@YCh|ov8S+ z%;en>KbG_NR$pDtp<>QYSLW~i{xs+Bk9}>+{QYjo*Erk$a>r$T!`q47+ zKLXZNHq@VIO3CjdhB~gkEtlHkt1T0Md)UvoOr394{Ae|GznAmnB``nq*O#gL)vT|+ zwExYrFE3N~OF>_rQ}^2c2EcQ};LHbP;g&<_xSPPF+j5ji12cKcu_gkOc+2Y|22)t@ zw=|Rt1SZgyx8w{2k88HP%hU*OD6jp8sTItKYhO00pag7rcgfTW+Yg#lIPP4pM%lnHF|U2eGB8ZJYhMW(7|x|@|DHE6Oyl-bO*&1p_&bJtRzRL_b!B5UQoN^M zR!kc#D}8=UVavPC_R;jxZ2@0Z-e|^Glzn;L@x!o^cP@& zPRxVny^y0ssTv@B%sB;@&AJ{ROl-N}qD#>7n|xa42I}Fk zU(2YM!Kt1{sJFG~l7-Xi<3x-1h7A-;aPL)RFB5HoOTCLLCWg^T1-y*h4|SCD28PMs*FYVo z$B1U{hYAL9xdG`rgp^aBd+-0aK}2}{x`@ljOc|-aRa^#`DC@%>S#Afqfoju8{%Y^~ zbk+lNj}WswFl?{i67;}~ z#o5*W3wm*OYQliC-Gh0rJR`ZM=*7878_#-ibZh;nx3{u;YVq1(w=Riz&A`f9PjDpf zwyg75kuM#k{*r?kXBN@LE7ES;@?O>YcCQhp?iMTWk(Yfxr;+8}T_Q_UQYYX$LugPtIUw`O(m%A?E|mGy*OGUm z%%;9G-Efn5{9Xuay=$V&eXlRNVYb-!ma-d`b?qG#tSultD1ArY{!KM%&pt=4p1xoie&yCKC#@q2{8W!G@reJRrIgv@= zZsXIqgoL_QA8?9G+SFu-smIO6J|m9W)}nZ6f9(??1#ML@{JPuIZN6dZeu!yUsGK^3qXLrw%$tTmejGQCs1 z#Kn^Ec>)cceSPt0TjzlMTn9g``9;Fr)t4htC8GZTQ1^*4Z!S9#ZbPE~(HcYI}vzj zEeSdic4OsJd4W=E`B$;2HLvWHp~!y}qC^1nom+rNqkQsAeSwW{>w)Oa7Dboutkwzc znmN&WudkR{s`f8a;q<7|r+&4Eb2TWtanAM+1PtzQz{7c+05lhf+jX@RzRxl+tW=p( z$uu}xN6XkW{ESf`jF~iuksRnPIf3dkwS5;+o57+O?&yD(!}XD!YY?KoINuw@gXd=y zfz>k;@z{Py7Eq&|tTW|MX#4AeI?CvbE|+#~(OSH>f1WMXt@a6`5|6mkm9 zRU1J0Y~`&#C;+Rr%V*gppT@Nkss>EtCN|RIq4hXo;;#I01Xu%JC&Z+=^>N!!2VT0u z8h1<3seuah&$JVehZ$237J-RNsx`by2Cw$sN6`khMq^d~_dJ@f2@5KccNKw&|Mgd} zCb~*Bumizd`EUf6^b;jv=Zzs;NJnb#ajh(=*5ogJtb8nA6;|({om|rm@wRNwDb%JZ zdAfd2OSyi@@!k_ERso0$a8gY|{)+pabL!^i2!E;qLj1}KTs<`?`uJJ}FZX<)QoU^A zee<5##pTU%OA`V=orG=aBaynM`8IZ0S&@Pt$sPLL2Zc)!g4O<8by_l zsN?bO3)8k3DmYr7CwkQikIu#}uhb>*&}uY{%bE$a^UtD9(;GYj46BDysP1AL5?fyK zSaW#JKp+NdK3LKS^=jAhkJQ_yaoU0GB}KO^{9OJkU6!4js~g3OCT!~cc};z$@+_>Y z1BLAJ=PX?o>a&X)q~2axb534E@!kx4S`#X`Q5bF86$aYa(U-xW&l*Z~$Gh>F7mnh+ z0Zp2|o?g-+)q~TDZj_B3ZE2`_wRue-?MB(JyYf1U_27{Zpc%@m>Yp>GS4$=$zz=tN zi!SU1=I#RQPFxhI8|fbClYSkL{yHtk+IsP5??=OR8?^>$<9@)k24N$Cw<1xoUZs1D z?nj1DG-TnJXtF?8+mMD~Dc6w(*x=Q{rzl?(3XdlZY)G}yhTNl1sC#jF2@z|zl-bsi zGOSE*$0vJubJDt%T~yC5rDK`FZoJUZo=gvJKzqrG-Cm|W7Oy@LkFnWNF4>HF;Hmml zi#R_yz3j`fe6$V+!Ow8?qxPuDJ^qT*~(1*LU3ZR-hZs~xPn;~-FuFu_nfa!(eZ zr~>EYmABolHmhBsGJ@VZT!gM39~K-8;lYTwgDSK4>9Pp5MQ1{*JKD$D`kyo~=}S|n zdFJ}2xzYBvG`wjY$%!zZ7OO_ObtG&<%J{-xXMu>zPVI9NX*Tz(5Xc!F_2%g5-W$U} zr`u>u{=&w-=PjU(P873X@23kMl$Ceivw+cT%`$Cp$o5{z7H!*DIiF8!f|U7i1yCas z3%S-L3)kLDOAd_7!QML}4g^wIpeAJ(QqzRYo}4a&-R#bj3&3X3I{(Hr`n&(QVogdA z*8kzX$?tRFZ1($gKc^Cit?TdZVGeBr+*>2yQ)+tzWXW z{YTTM#+m}5*x#vAbwF02*aC-3cx5m*6ci=SF==J>^VzzJz2?O3rei)dHk3jLWad>PA?~*SMNwPoH&TQp{s=`rv3xtw*uHMRcpx z1*t#pf?@cXppK~}DfU!Yht--Cd)j4Kz2dq2!hkN#@I2x2xJh~ZSkNUZaftl{sB-|+ z0u)pGvU-LAhVASBP1}v}Av}$_zP@W;-ja72f#`oL9#L4x-5eAc?;Op-Fyuz8+U5KU zak)7smzlma=E6_%xM+yuLRH4xhG30ViTjFWUsu17k;_H|g8ABqfF>`c&keZcs6xuv zkxO??GpunhsN92 z40T4`zu&>Bvr09yGjV)U?a;?VZjiCrGfk6aI6hyI7SZ#XHj8R*qFjgJ`qm;YC1{Ys zD5n(756SSx8%1#9q&!6GuJhL5W4aWY+ZzaIQtD@|z3+|aD9hE}ceq5^fxRcVK{UPh zbT^1-?>*mb6N$W69ql^e%LV5mwvk-lOkrcB4G-+bbL8dPrxgJKO&hH4AWdLY)lS-9o1(?hb2G2kcsN=HZaZ`kgUEx`-{)q09f?>{+YYgZRpX6~K`DuA7`NQOO+&`T$Xw8@=awheFx z%0r$#PXN*ck;i+ara85diTlq>+uF6}(bE0}UKnldk9c8N-ap?9)ArdRk8Oe@4k|M1I%WKgWx6H1=N-@W?Zd_g~?~Im-J#;Kex`_J_Rod8)l%{aE7| zMD<~z{ik^4IVbkq7lA*{s3Qb>egI4Z5r94aXSqOhf92aM0M+E_=#b*~iGnVsM*My^ z%3$n~rPR^5B>SFUz<{%L`2l3lgLxOqFzhJ=Tqx6f&vyZ878sg6pS4^l1F-UxG*G(- zNSBr80;nU%tJa$>APvsxayp+0TKS%=QisbCdvvN*| z$ujmxK%lC>HGK@~=ogA$8frbUe>2(kOj*Yv`Z-HM)iBtyWAnox>hW=yANyt6NWt@M ze=dST%eFZ*aTWb+(8i!oJ}I?5nfEgC>_%=EnM38Ti*sMuk%-}gPLtn_0BzJz-Y4$- zMNaJCZ;{Jw{34wG9$D~;^0agN!cdKf#p7aF&PykMWGmP>Oy~Am5En;k@U(`TpP;O_ zNBE#DSCs8bDkd7Ud3#UT%gmI+k(+;A_EJ2yl{?<;?c+9-++BF-Xk^M6B5aUswf7M7b|&Uq^;dHi2bg?B^xm&VV5AM{WS7fv79nj|zA!$SK|qb7bG=L!JB{PwZiz1 zs^4pC$$|$;FAB|RR$rY-EGf86v7(O4&d%YeQsFt{^?%Glqt;QL-}U~(aw3?J*S}nJ zA}mNND^7&Nzdq|kkg9{@Wha7+9uEVkw}lxoq>eUfePJH0y+Je0ycl^y*{KI);M|-O zVLpt^3TY6U`+!qTCd&1HgN*HgB*yiBw44y1Yw7(**a`8Omh0sgt6G?($PdZ~&uoZ$ znUPrmry@sde?Gh8b;NjSHc>`!5vKGs#yJH=DudB zioL(hg5tP4s1~WEiTC9V6i*Sxmw}KN)s*`1X-<}}3!J?}7K|^V4Mw0Yss57n=w`Q# z5RTF1FG>zBD7%yt#g?xzYhl29ABvc?@S7!4X8e6=knV1(XK-&T8}-yg9ot#(vfMN< zp$2=3CKAq!t}l5Rd~KBDoyr-sz;Tqvue6MM9NF=C0dVd56(CvWX)2&_W5;y zrR^k|^r&%0jSl8b6grM+I@%vLl6W@*j*jh#_^>QmV-tQXgERhtqCr=t;aE$_L&cCW zDym~Y$eCDd-4#Fdcm=#3J!q>Ky(RC_#r$ZJs6UuC=^?kr9te4~#3J@jNRF|;ut7bx zq-EL-{jy}T+N?VIw}?@b8}YF@Srdof<=NzUK?AA!KYD%{oTvX7Pv*hw_Mm=Gx_62M}@$Mqp+#yis=?4q$3xD>`~z1v>RQz~TX>S$61Sr&*do zAu)h3c*9~{58Ih_JweS>b%M!0aqaK(Mveot_6Q@#AERzM7FrJPu$#W=1YH_^xS=(m zNpWXtsEGm^ztr-GN*Bk@D7pyr2vrL~yD#izB%EVkrz_5Op@Y0e zGvCymlvrYx$YINPF%31>D1hYc!`PP#29x69==C|T8U~LCEn^=Fdez9$L%`AZa0}h_m*Aq9C(o*{bnLL}zAXsQIVw%k)xn&%LFM`QhqG=C?qXqTA8_3iI?EX`*301NjmRGD zjxunEpJHaRpo8Mi$cyyHAu3TE&%0%5w$C{MdC-GGe8b|fQ(tD@O@V-h@qTRE3u!0D z5Z(0FiW8ECZ@4C?VVtbnDn$+B4bx4HnmLKa$j)$Gj_$FvCy#VALJ&oL28B+r>eqDSPM3LE)J7&CR7(eLW7HnuJz*EhrGDi1y4SMw zjC>>L66F?T{TlOlpSX|?K$9AhjAIRI+B*`dMoki)B*2Hn5X zX@WMdDLG8gi3Lu8L-W3ZLxN*x-?if=T~h1Vyh8#HOB@xzHDe?m zmyi@2Sz2}=V)p2Y1EH0LSqC7_UK(^DV)$~W41Z!8kt0+!AZp{*aYY_lj$rXq+7LV< zS2rffqkeZpP&4b*O?8;3W(RoL|j7 z-CeaA#EUY`5vOT6$Wwn|vj6I`he4_?ZYVkJG|g_|1`F}b%qn=~R`IFt5_H3RO`$H>j3)PxyhV-CFp_rwXiJ`A zaka(0T>o#&bwTBXL}joIa4o7;=R%@j|Hg&cUGo~dt!UmWFP3^YJA9)8BTq}2u%;sU z%h(T$2VlzfRm#L%F*UHm%gj@ZY|eF^d2D20TiR<(h+7LD0&lxgzzO>f9f)M=nzXY6`Jc8KrY_@Km8P)Nx zGJv`zRKEB}!Wx8Vc08X2Wcz^fz#U%43uPVUnQHr=wMM08_MBPoLJQNJr=@JYb3xs1 zm5|&0w)={(th|EP@jKWz+euY7{;p$mD84_h$+3ydJYvdqHi4Bu;PZiMFbA!txuBtN zRE|hG<%pqfgt(aLX)1aY#J!%g!frw~HEmx2tacBK)?kvCHN#vuC7A}(PCsNl#duzK zY}O$RpzZ6_maPb9=XLh^QoLF%m;azHfbO+@I&8}$W!k=6tX)d0ZQlyuTEZ;D)^=CK z<{93$1G(xoY%Tj^xhl*XC2oh~=TV(~;mt6QIG6q2A;a{GN3#wQ+%>gHuBpuwhb7+O z6u>Q6{A8yItY7iX1(=|dY=vw6nQ4bju8#IYIpT+mQ~XY+83ew6ZrGs(U)$eH3EO*S zLwqRcgkU;;0UNi4xV!#<)@gJper&}l!(P9o>_BKZS#kip>x$1zI}y&pU*sK#JX_Om z%J zmv1UNXc@Y>G*OL>`bD$jyn++rVNLuqSwOX>nN|I>oO-No{i`ge8nyb1PK4gX->=!G z%kiT%NOBTe1cy^nBzn?Lh()*a^#L1Na7k#*$;-BkGsIffZpLWr^tjW~C0&0C#|GkCg#3 zjF=;Bcjj@yNd)n>2eQ=*-mCm1SiL~1w%u!0FOZXMKd3RZc2A9AQDJjpn&(Gt|0>q5 zXzvxPb_Ij+2Aktle$nP=@(1OTr{dr zd$naYV8w^Ro?lX=p)E6@Ue#)QE+SF`>fwU6y9>C41y$1?3{|fL(kCuSvtrsuaV2HTYiy9zO`3eu-qng*@ zwx5GqxcY{?pMzmuvquCR{OZ$eyf#7(bApcUC`f0|FJ17S_3C@$K7AhKs~ZL*O4|>k46oTM>#+!io~`Likp=oOVnS^a zJwdY8jU#yeuqA0Nf8>0<@3d@Qr3rx9e5`l?Mfm`-=7 zdq4YVqYsa{h zd$H1Mi|`!chN2YEPixXEgpiol77ILd4DUU__vQIJbYM3*2N_MXT4&%lMrTbsSH2Yn zN3O#t94NGt(5$-hm54IdFsqe+hfw}#U(@*o2oSRL0xQSI6_VW|xKHyA#0d_gvbrOw z!PiW=`?%sJ3p7R*KW;du6+c+qw8R91>`Eo5-0zrS73$&YuC~O?RLkQPmq)?r0yCHe zXOI*w;4XcZCEF;F^q*CmI+nh~bR@K|OaHehsX?^jWERC0g?W-G=!(K_H}iW}MmBG> zm5gj!IYlAL^u;bk9vY%jM!4x{QiO!sSa90xd9I--^8B=>DDphem67M`Az~Zcn};n$ zk{ai|G1*a!?jUGQOpn8iXsoDOOc1y3sT0fA<4`D zL6PxAwai~NMUjUCV~QdV*SLD*;eo7@k%tQ`MZrUjyhuH^dTerH)%euFkvL_Tm?JI%z}-Ykj;nnK-g-PJOB=B_B#}`X9OL;QV%BYT9hfALr#rr?P9zkC1mG)psXh zhiQ%YVeS-uHhe+7&Ghs$@lzdmIRovY(@(Gg`En7Eb$LM0X)Ltq7gU=QKBeeL=u&g$ zMps7Za1MQ&cqf%!6;nt`$K9?TrQ*&yT0nFvi{RtL27QZGaMF*R__qWS zm}9k5)tm@{~Ysk{T^uMUVJx+!vY|SPuXR4k%Grn4SaQ4FL9lJ*`-RMejPb>zx}GjoM5-XomXn=Sa|uQh+qZ>J1#EdDdCeJB@iIc3xL&-uoLQ_>7w?}fqlwA9Xogl!NDIPcCz4@bC*AJw1>=QP`7+w5 zfkjQZazZY-Rgn&KX`=@VrEONmbN;9gYhH0>OgT`h32sMd!_hE-L~1S zxC24AZ|1*hLe?QYyA3Y}$F~+2oMRI`EseR)FVr)75JM=2?CrIkz(Yh*D|ITuo+ru81|&|215iF0VR^5_w$C8k&(@lRsVx=&;`^cGYdt^ znokCmfo04g_p^5)bEM*=ljcLC$bs1nOn%)(6V{`q11qA9lo;%SMROM-kb{xJ*6Y?f%P|zJ z9y%01Z4*W16&uDcbFCdb=N)dZTLG+mWzK0E^&l5Up9^}IFU&dZNi6_G&N7b&{W!06d%_9= zWlRso0$lmBoXp>%?YT6$Pbo6Dfu}YNz&?J4e9v8~yY7JSQoYt0n5U=xS#s2x085!$ zfbk{LdF$J4k@j2+Q2OM&;zIE)O%e3ozf5iW0OY%Vstb(5J2ip1!cYXOBy5LN@8*+( zI79cb={)Q*ziBxrnPrv_BwwVIS)qK9J*Rr+1b8rZsqIsA#(lounDauu1%5^F(Ero= z>poo?2Dyt%%VD7LKHndzd^1w!yqr(VserWY8#pbLMuY{&M0fuV{Ff?LlZ9ApGncKsOc{fCx1IWH8b7X#_XG@!|rLB7VzOT?(LuWI`>Oo{SHI=ItP*{?6ixN-XhLWsF?{8tO_CmEoFFeSRPY48rzEjiU!ngxbthiZ|p148>$iJCVK)k3vs(ta0i z5GPMPbts9+6KV#e+Ax;J${c7!h1bv0PQ<9T70s)*(XS}!88c_#osv$}auOL`9c)lW z^T_C_g{je0GJ1ttq}gQboeR^V31#e<4HNO4+GK}`cv}6Ug^6fp8GO00{c!Njn5G{> zebM|fhKGuHiWw)EVa|A#8OPb7GLD1~0(l7dJBdxjSQwTjlCi%QCgSHLjf28vJkNN~ z?6{!Wb+#q#r0NIK9sRam`4GEQnS3|FQN$E8CgnUus(0N-Q;|17EX!#i-Gwx!XdX@F ze39+ZJYg>LGswb~nh!xH7Mnf>+4y7B$Do>>>qnscjfCbyfS%`M6y0@7HxqXeeACf5 zGvYD0Oq&dk!Nu9;LvTqp<~;-zqQTdwWwQ7%!#E}GA$X{#7Ci>7<3`fQ-~zie<{@NR zE!BJ+o?HvFJ`UT>M-_)}av0-%R=9BHxBz5;Ir456O?+^;NdE3(_Csdh zpIRP`ac%CI^JuD#=Dl?v0~VV1vwRGgXx;;~9B6zAgKTum`535;=AASjgKFbL(5?#J z)W*FMX)3I*3a&^N!S_A}7s1bN+T`~kn}wUTN`At}*yKY{5j5{y^o+_{dq3ji(1P%> zkHN$Dx#1zWEH>yKgUX`0civ-gSv2?Y8R4>M-YxFKklp6aMIVRipt-vrL!+MAU;~4E z@FfA`?YyT!3K?5>#Plc#&enG{nMP(a)e+g0mwQ%cnHrFiz|0ycl@|?8TdYYb)W*df zH3>`{Uc~r(dMaZ087=&aDH9cjL-TJBWKBuo0H-@QZA!HN2P`X|51#1 z(hU84SmJftV+=qwCHFKv28uV?%NG=CH~D~%20pRg^6&$Y&&1m~9|Q9tVH-XMiaYtB z?PCD&YtEzTL@x1-KT0@FeC~5fSCkVU`&E#;1fR93j^SuA@q=H50{zynf}SOQGJS3- z(6=-&_Mqe-$c{Om6j9eNltG;|HkleRrmFgcQ7Ov%oU>-&$t=ELpK3T8??8ucJ?|OC z_T3CNK`LgEld;~!nr$j;_XE)8L$Bnbuvnq!u?j{WtdO8g4uY7?%~^;ln-4uer9`+t(n9$ZOB-mc<0Fx^4ic0 z1j@AC!zG*zN*+d`VUE5<2$qnB8TJ+-Sauqc<`yByd&6nDEkdYACq=giL50@;6^JrD zLUmEmpx^b67q*5%*Bht#D#4dzo-wxO7eI_(;||+mk&<|my$u#AiF`gEz7%=81xn&)`qnH`Ib0Xn z8VZSN?6lSXQdZvFsz*vm@2%RReB2k^T3nQj)=-rD&>x+OG|tb3SvpegVU7&!)p)JH z+zP|1Js%Yx?JI99ZV@#=9vA~SDKx>M?t$KDhnWpUb0OA;)V z6Wt<)j>#G(Xj{b48E0c%tUN~U4d1u6i17A?4;W>EP7-K<>mSK(4Mt;JKQ6a57@hmp zQ>x08i{q54p?>*RVU&%ATeb+3I|qKWzW!@{OMV?BcjSQhmXI{)JfQDy5#|`=C89@e zO9mYz^}0bm@X`K2&|korv-pxo!}r@_lM>!AZ(ESuqZKqZC2M~>?_8*F+FL`W&*G_3 z|9xRANcqkRzRjR%SZz+>}pQYiI{MMZE;{L0(H5k=c<4wga!ra|e!zGa|0@d8& z=K!|Y395xel%o4@B93baziMdCqK8?U=g6*&;L91aXAMlzLxp%IMPAdW#zlnY4+$+2 z4Dszt67FdKLIZG=E*kJS8x?5}iG0;cTn za7&(^p(`}A4>f#{&@b0C-{y0I1Cx?IQNXPy_&R=MsH^7nemmZd*bTSY@^!k@k?Qp9 z1AHML85(kH-1d5PWJz9Xez72)MOPu)=5pNIe6)a+wXNJf6&zf)-58^Iw%H4gfZH~2 zp9(Hvw!OI}6&z8fT4vYJP{%*=fy%bqjqQpIR~XyY7Pm_Ur#fvHZ=VW|+S`_HsWV>n zsKYoMoVPul->$&uhC|y++ZP$#(Q1>Iz_xib=}CgN2e+>~boICGQDgi1L&bT|cIE&J zU(Lq__A}}PzB-PpsBP!P%X>buB>8-x)Fy8jgl8p0hYp$AZjNl1AG&YX_OgsN^!T36 zo5HI6XQR9y-#V^}2}x-yZplq)3g`}E+n=^ihYs@E7H^*p9Zj@lBjvjjl4{x`x6R9L zmk#Zo+aB6JKYV+v?b+>f#P_<|S_<109qq;29*=LI4tMG8?d%feyQZ^@4#(GUd&hRV zrDL_W`?A{?D|N7@v&l+{ByM)JeZPHylh@=o(1)E-iBU5Xv5yX7Y8+qeOq^qaJuyDE zIyJmDF?Qr+ux1y1tm>+hkns9npit2xKDzcKLM(@m4viCPMpTWjO(LC%*GMe*$*uuR z1Hg2JFhA#Zb!sT~?Q;qd5>%&#AcAJnXuk(;k9BGe5MYU?@=LCbo?jAX&A0%#mQ^&9AeP7y{zzPojkrG{v85t@p%(Uka6Au&{ds#j&IWU@mLDFG z$iYa)iWhPY!Iytl6ikO?kBhG>O7dtNKH}Y0FFS^gX7E*4*p8Ha&bm(n*}0m&SBh^s zEzU{dprP~*p&6g(4mki3o&L9|Lk+|vx$rp5Pw}4)kbr;EZGAN)cH)V^~a4c#six&*+&~U?ljALAIihiR1xH(KJa>!e`8kK-vL4 zi1Et?PXDVihTDJW|BSruXb)uhjDINGGI=we$~kf;UppI$hVs3dLk#5f%vc_G=m9*S zGvs+HoDUer&Tg?4p3CZt;RQv**cwF);M~v1#*{HGx*3aInn!NNJPUH}nKYivJ2ZX` zoqE=yfu^ymly#|*oM{er$?zK_iX<@=X?(OwBuTnet2Ovx?@pE;-hfv%O1Bj43$}@%b+l70qd%8&mo* z#?KvSIC5FcbC1YLx^fuzb8&x=G{gg97@{SAwk1_L7^h#C9H2Xt%*iE3IKC=}QMcPn zM>-7iZ^`RXMPUkE_@kvL?)9Z=3RMo{C>P&YaO6W_>9Rv?MGd1uS$wn;bL9}s<;yS6 zInp7JExl56D6Ewf@!h6F4+i$K9U_V%?3$dS5aG({mP2t!D?iRUBxJMx6a~?#8b2My z!lk?fAS;!FAiew*k}}0*zqDCJ4>b9?8)7L(Zfcii$!Km#(%Hg~3>QiA$jd=gZ5m2oS62(gm&vIaoSbQFKiU^l7;Y8QlPmXXOOt zQZ5=xYar(6@k5@3AEWScxm&*2aO5mrxli09Q{-<#6bw{!WhGbC(tB+MfIrGlq8k_$ z57YO2=N+p#!WjBH|4GZCD2w|jKQZ3*{^3iaJ5*1oIjL$qu#XoVEPSpxAj>kcUh{F@ z!Hqfri}@;s@nVjwCEqKM)=8sIN{x??NR1vl9!E-v3uA2RgpsL{H6v0dRVBuOxk`t( zMkuC6*H({6j-5~q^=y`qYz@1dq+I!##2_SZ$h%UES8`q4C9x1BZ?#+!MU>p9=#m3S z{E*?&7{ivXQh99kxKwiNNq9hrp6&4c9Q>fu2JnIQ#JsCkNgX`aXBH_<#$v9V4)cXPm0u%nn{ie{3y!|% z=&)r+X=Dp9N=?f?+SaTgLD#L5#&G3!Y&?a(W0I-F2`7ym3sFvI15(s_`dKq(q#7_s z@L0TPn-XT6t}*y(pmlmv3*O9>Ih~*6YW>z`b6W@-8E)IZxHS~UsrBdV)=zCHn zP;{W#b^=hCHCqUbO1G`k*3%ADb#$x7koMM(<6DKH{@R9`TZN&F+m6p|6-K$O)VB(w zxxI&03JcC{zNYiL5SV}X`5Cpg9)(h4K@{di+r(TciZ5A}FQ=j%qT++gcSW}C9WrXc z&d@n?>-Qn!5romHbL+2LwMJ*q&1YxZ#Wr$6wR}X<7hpZ!!GOAI*(KTzT(Xq%<)hY5 zt*zqt(qYxsaeTS3OJS?_(3iHc(XGO$99lPQHG}-Z$F_myR_*bnykl}(#qs64!}YD= zXy}^1YCm*k$An*%F?+9AJGHssM^#r1rvuI8Ygr}6@k2sh5h5_DK5s%c78EX9u8l~X^ES89 z5cBz{>x&MJ-p?seYGf5%AFh$-ZthFq=+L%H6rfqd$=%->KYBDSui|%?V<(P8h!ZD~ zT!2X@UNx6E@kzgxxY$^8szm^0a{#eM22cZ$=9-}a)IjWjYvhTiQXGhDm^DWP74d|7 z&C#~s{6Ol(AIty?RZ{bNE5JgX)l_K#7D}~df*D{TsWm5p<3&FZ6;vY+M%=itcdr?5 z1yGcU+JNE!j6h9|7C<4THIsuVB)q0M$fD#l23eFGT?5a{DkW#C8DLRzrh(%_QF6`< zq9{4?JXUpR03~OZ7Eq+*oF8OSa?Z8_C`wKnI6jY*oO8_pi;^=xfU2bA%nh*U@VG{v zg{rtJDLMDY{kCFMOu2}kMRT@hMIpeVd|eSlQNAt<*s7#_EeoP3Uu%LW%Gc^_z&zz^ zT~Lwob$gIS`MN2nNcpYK1QA>j2$^1FSe{oju=DV@~Tea9C=3l zOy~V9{C*Bx?{I#EBhe!YBOEbIw*4x@D=~0nr~!U>uC}Pd0w*FlMZZ85)^WNuy)X6hFF_ zX()zB=mQM>cSbOLQspb%t;l>9o=u6w5zRT z2y@borlM$I_lhYB&L>@|In+RSm?V#cFkFL3Zwy47%|R4Pe_c^X#H53j_dyi&D9e$N z^C}lefhD7kXb?H5jwp(dr>al}QDAc{M>dcg%*-hyGB&AEQxpZ=l6NRNww*k#pkxp& zj4Bbue4!H2&7Vn^+Db;wAJZI)ZYxf@)pDqTJ^G&U z3d62=+fk!^NKk7xD;z)_9psD+XDl4(}9qBoyGznnO?!I~5&*V%RzB5EMdB-61IQ zNK_G|w2P@Ia=S}RQDl9Wf}+S`#8MPnF%-oPB8sA(?3!b0ERW=_Xrm`%hf@wW*&zCi zoIt3ac@|8lVwJdqqAu>HIRu5YYtbPnqTR9%K>_V+6EPw|7j|mCkk)VwC^c#9$mEz5 zo!jlnh>>GCrF-ZEM<6_Yy`qXDC%c=9qI~Zjb13TC?gb^H0D4)9qU7~56h-mu5m6NB z_0CC(Yo|Bb(l_DqilD%I#~q4@JvB*GQ5e084naBDGwTo(1wRLeu2j*=Rn?O zfJFnoZ`M(v5{*Wf;P+PSFV)A7Bh-GTL{$-LznDW%7xpVS1pFsDWkJl6uT`npKgyEv z;}H4fYb8NtoRM7{fd>&`EhCQ(i9%T=dc$_dmJzsHRT5YFUgw9p(;I{;Td z61`1?(`y7}yfc~==Z>Im9+$6W`#_t|qFpbR_LB6VF&6I#pp_VF`RwN_dhX!AW^CL&8)W*&P`NxCcGj3^RR+!2BFe z4x-ZINIqif3js@LAJez!5a>ru-<(4rWi%7gR;n zw)q)GJIL8bZI2tww%J|EE42F-BND(qnpc$XeyIv_Mz`l@^QG#bHvSiv`>)Iy_(SQXWls^_e8M)7qvvYO7z=0thmiYDp`j3-y4M zV{UA8q*wkS3aJ+mbxN7No*Y9YyGvFb$;l^ckhqBm`fEARfUtv7Q(2nX)MRANbPOz* z83o2Q?O+_?vY{k&t&`B7!iGwV!~;}5=#6TpRdV)gn@O|704HLfWLa+=l1@s}WHhw! zPvxcYt9JOJv!g$`B?8oru{nbWS78t)zFP#@v2w6u@2r+oy8di6OYkGO>~zu@d7y-g zLN>hDY$#Ckr*Q}5jbbV4OnGn&Q(k$5p(siU%RwQonfN8a($LP_mpb5uhE$fCn>?=o z(4Mh5RaG&Xxf@N=iOs1W8pG;7yUGUHB{rua#?p%j+?AyS{uWfl9%Yr^+fi{~cKGATnk!2ag z>D_dQyx63cm#&5XG9gEoFg8e+qjmAhqU0iM7(;TAMly>|NV`jmIuM;Ha}L4_r~Z|! zYf}bFgWI^+2Dhg>xYADIfe5%50odlSo$+cs&{BNq*5S7<(7~7Sa$wTT#Sc*i5Du4^ z8)f|xL!pC1urOiz1*#rejTqRzXr%SakH~pwnOl|mhHFSe$mCIsD9leRu(~meiW2*| zxjHzmSvS0k`-4q`BRlU^Nb{#A1kx&xKVICj$Ofx3S#@yueXhkt1(QQWX|BwJ*MVn> z^nTgLQHi3lkRc{+wU~t|S=C>9ui~m94eG+#I^?^_xr(PepKvv*e451yhsgqGUCmKr0#f$ zDw&*PjkTF6s-f)4t_~;lhU3kEnA}1|CHII38F1=bwy@?MMgVu*y%a6lPxooUtK(npn2{1EkZUx+WEXfOd@vdz z^k(7OSSZ9fdT>ySZi;#+Csnj3Rk^DFbHSB6hbxZx<2^;c!qS)H0R=Wh(QyL{TF!*L zgEP{+q&uNB=PjS6RG=D=i@xfh??^>uyS_+0?WT%Hu5!TB`nh0Z-fxsTPwuc2T4(zN zUL7I9(y7{Utp3&nPz8(~1kYbNp5&oX^LN;r>C#L8pnG>X-NV5|Uh~D_^;#|wGI28E zi+dIPNN+tNkaM7T`JMrPoOA@tWkkUyk2PV#?TnI#%Y-GOFXe@YL zYo|!z`#W;w&bf972S95Am5Dn5@;C%>U%ar43c(>?$a{Bxzm!w1Wg>uD^cN%OSgta> zK+xK{@N9^kStVmpR$L095f61dA`--^6-(t|@&s}w7a>+x1$E}acsos4bi3@N%JI^$ zJD|=&;u;|=_gf}ahO=7?z#Y{bkZV4|oGmXv2KlmJTuk$+*>V9nE9RMv5g>f&rSN1b zU<+;R2d3vkjS!IQ6Ai!`Dz#FWgOK~p^=2u+&H93$1dHyqD*Qztmmh?_V0noq^@;l4ztB!4kRR`Oe&KYjJy7PcJdqT>tWh*I1BFn~SADFYxjRY~=Gd0HWf+@Z< zXvV*o%T6*JkGj5|3)oUXq$!w;|LS2lni#UKc|=+wg)kH)9Vny~H-GhbD1?&39#!sU z4=x6PywE%2M0QTkhKbd(v+Q-dR4h%aesRPL?lDSma`+dmGJ_J85ZV(XTAGYJRnNSD z0(Gj5kJw}tQBlDMVr{uF=b54<%L##(9vVD%axGe|c}QMk1376<`*Om)A+cA#@Kt}J zuR{t#=K^j-22sE&Wofi794i%`ApIcboY{H)+&+`5*jq8u#v zCK@JI^HE-Bqp9pVKqJtz>?X~%piVE_A6mD5LV;o|)L9;4=Q<*mdz6J0qQ=E}M>4oW z^j#F{*jY(+F4*P-@puk<#~QG(0$l0Ix3hL;Rq{mx!k)hDA_J$3h%&^bAs1})ktS^Y z=@x|SSssN@d6A$sV?%&thL#Y@7E1pQCRom@0v4r79w%YPGP($v^ehLNg{-&w!#HG| z9M^|aq)_axLn!?q^Q=(cL=ofgoPE;_MLH8Ec+$Bux;SFkl=Cs&kXT{i>_Ul*IyUh! zinP1R5o0gsAe3>(jx*<>(J>2+&c5W~k-14ZW!*Waq_k0zI=F5Fg@hy3Gc8xeKlt@0 z+u(D0*c7c+_4jMw;dp{Ff(WMNL2`V7%EJTrdAq&DsfD(B2smnD!2MrhpnFj-m`*%w zfj&?U1YTM39l7Y(7nj$syF)`0pYHuI3g5^!eiEjlqFY}kL~?zuqPin}*N-lOccgFc zIgQ^b&JkIM6H|?&ZHF_|avHx^jX#(Ur%H1gBb653gCSjPyq8gmt9zG^J6 z9ML7JUzf!l&J_`-u}n2q6dca#tkYN%cN*&yCZ`(L7aY+|S*LMJ+-cmdFn6j(zTk-N z%{qCQj}P3 z3Ops+5q}E!PN<2-`#FK%G(uwo8XMziY&7Wh2O6K~vVk9_5_q8ss&0ekG~Ub7bE&|5 zQz7u65kwo2`H%Bxd<Ttu4>zyOsk+z@LCk|fS@3a69M0?&ouwKH`LwJ!=9zBuRUUzRqR>F&x z^62bHOgRyM<%e?B&n)E~QY_V_$3@!vpl47-suF+YhwL4Krbyu~nvZvIo_Iq7xPx`# z9TUVwh<6wp~e)QR_(VukY|-p=GBbyomK z-whC{y8}4d6N%J)0o+bG;yn=a+uJElyuarIJP;!FPyn|h5F+(R0Joz~yhj7Lo)O|b zUi90GSj2nM2=G9O)YCy+lz7htaJv+U_d)=-i%Gmf-fwT081Y`kU-{u&*~EK2fZH(w z-jv?D1FIlh4~uyHqkdkuBJo^vjO&&o-XJr8ixcnoLVyQCOzBhf^Sb7VH%zhEURRxX zeGSE=Sh_}tcS6q3>tcbIdMoDV^?;U0y^{;@qQrY&_w%|Ji1(o#;F-kRs0VnkE>fS^ ze%=nCMCuDYz{?V^7!B|=;{7k@@o-F4&?`E0q@RYQcOm0At1lWa=d9`Xbp)(~s2QFQ z9z%7;LzFh`w3}y}$zR=ENaTmshRqsyQ*W{y7SG#nb;5m%UdRvOHrd4czqsOc0D0Ab zNJW>9^jnl@#$tm0d0#iVIpA&2PMU+`l zv7>y1POKAoj|pBB4%co_EQ*sK#~0UpTvSZrb<%)q$K(BUJA1reUHhreG3>=kbvwd( zdwaZwts83x{APh$cam$5>hD*IW$g#}D?h~h#UkE+;vTO;{ONkFLdf*tD`($SESUmn zCz05#Al4;yg%rfv1B&9RFEo!Szb_$9e=Qo|nZRAADHf-T?x2V{C%a4{ zX6iADh4mV}dB=3!JY!Ajw*(hED!PjV9vKXr4yxXyIw4m1A(Pyjx?f^`9=l2Ph$tSp znH|fjr4#Qlhp|;UJ^oYo(;jp!rH4oy({7GoFgbg#2Qf` z-btEbl0QBqT7J0Y=W%Y9$6X%9KyMFmMj9^_Xrr%fBI%fcNU3p?Us%XPDYn6je6=%QCzpiR6L4k%1)*-iQiKGcei`$ zAl*eIsa*pVXJVm96Lu^#)9+*|a;O z#zI}Fx4d=~nI8?2`N@zj&^T|*t)D`a zIF8XNKo;#=oH=~{OLS->mM3FkO4N2m2N-uvzoZu(&4^XUT4Kt_Vm%#viRB%$V#-m5E2^w`DR%QtbPOhz9}TU6MOSpR`NjEH ztf50Ov3xW{Lp`lyDr#08_&6PD;V3F560Ldie4Hs(zO9QXn&#T5ie2!{t^cG$H?i#f zJYFypA>hcoSQUwjgc&31gq&E_&yYW9O()3MbjVDSJ(m@$Mp*7th^PL-p?UtsVdNuABo=5yeIF51ceSC%q5Noxm8TTNl%H&p z{hY-X6;pn-K;bM0TLtv8MC}$AtM)R`y#i5tAnQGgSQRZVukz`YCY;cl^5tRXz0o4#8s=>k*7gNuO6LluGGqPgpS&Tf( zMhnOmrdmL?fSS#Evn{lscOFycfjSS=1&q7^$P0j+&(wTS^Fb|SP=8@f_j_v z-htjb(0iY$4?ukY>O)52`s&mTK>mlRk3oG5>QhF32IOZze#z8VpuPh2b)I7RMn|hC zrfz1v?{Z@5chLKRkv{_YBapww2>Gjx&A?GW1Qd=1u*F3kpAyw|1=ST)cd}B~BZn;} z>iBfHZWj%$f~eb-tkj|S>vlCnUGFSWdqUP5vVEB918N^o`x_*SM$yWPx&!h=9jK#K z6mLzAP-~ecc2afl_(H0X=00rx=E}zSw{0#^QCG)$DGe>?rCBdy zincQP*S;Wkk`+i@KIPQLQ>!MNv1qNYvRm zY%x)HZiJ|FEo^a7_eYkU583&U&5e<4u8qwQbqknU0BQlKMFo;A$&0$h5mC34mWjG6 zve=@cjt(+K-SQY(mZ)3Jva2Aw3bJdMS_^6|sB0OS1M*rRZ>S)>8}isRQFjv~ZwB&a zAa7;rHc+>Lx`XxZgx(#NsC!f=y~iSGrGMjL6l@46tL-{ zZUZAX0J#CkjV8(d6GO`qb)V=&eHuY4C+a@ei2A&U%@lQCGV&`RzqCZ%*NprI$ghFi z#IkQ8+XUHn7U_L&V8j0(b42}L94%Ya{mjT;fc)7KDG`M%B?{Pdk>b-u99yDgid0uZ zrn<$@vP7!8P84?AsqQ(E+F4hNcWRf&N0C7dbcaH$*xMGVU8AmOx5y0_KfHo&REQN( zXzk&N_9(2Ka`6>(ze21yB#L_!wyU*ww&|ON@6l}wv7$e;_RYDX{o>P3{p|O2_d=|w z)J3YV?urhG9QEX;-_cDBv0|_-QaDU-4lYuMM0Os%wj15c5G#&_!NWY!VTH4QeE3ef zr6E=fkBUTFMMVYO%n-|;zz(Y|PSlaMSpFvd$8tnf#?i7xY9ME45VAAS5~;x~8v+^m z9>%g`AR7kRu{!A;7eOnBi}e~&#}~1gxJ(}fddHVU#)kd0y47|6yzHm-t@ za19~CW4Eo5t5x{VTPQKT+p*<8rxLNfD-D;8SHUk^}-_ADw0t~6^JhU{LJ-3Qrykli04;=ePfb69#=@s(WG?98GLe#4T!L=!F~SlqQEsaY*586QHeeNo1iI8FQtuV{7q67)-xVLdV|1Y_ zZrBV#KbECL|1mH6WJn8G(E12kpT=F$XBEqz74OpZH*C=Q!qfV%_2Gcw_tRxKk-{27 zzs{u$eyzR#>~*`*)i{y*D$hUEr9_*o-KIRYo-WE^i-{DS=ZMsI0B#2Ghd5C`V*4R0 zQa{Cr`pL#-h}5qn(~0wIR_Gl_rgyNh8A9(IA*!>5EiUw~MWVXpu*HPly+G8?d7)$V z(R)UT>RG_13w?L;uI~=n?wZi|u!-uO#TFI%UKUY%8`$u_PmZX4<7nAJ-=Aes$fDpL zz_J4&I{>nSO+p?LL(39+Kb@$`tT$SNTl6eZ-K z0ybUfLn??GlEj+QO- zF(y%CV`y1IAIGxskd1?^nq?CptA^}koscyVv~ogEYD6WA*i4~MiV`)cfK3?X+m%(7b`y9Kh_EJEIHV8j1Aazx!3N6Qv^-X!Yo7+RLl?`7G2klhQ}{Vc<( zrr!@4UEUD-gAufHLVrjj>Y*YwQ|SMU67|mlHeKkCvFtI(9)s)&mOTO46OcV!LCB}` z*fgO(YZLWc7F$&4FIYsqXkf$t0?S^8>}AMaW!Y}PO)C0V)x zvR`eH?qCwtF@}~U(w%jpx=v+LOlGlg8SU=Cvn{wI_|WC%tzC+1wkly&>yk6SXg7`$EQRPa11aItnhYJ!z~x zX{X2#YDQgK-2^iTSTN!&J$ImqgBMk0*k0xO-$_tyOTKkW=Gm*&sjohip1zXV`>1Gm9-M z(q~yj%`&jze-p=P6h+!FMY<(RvR3G|Y9c+G_0ERg*-?=`r%1AMBO*N~C(`E`MEw!6 zKSFi^+q}>a=?lR%S#KU>^B}v3WeXr%0NKSk(pwZq%NFTNOrkE0p=F8m683&sUZgM6 zM0#nQWLH4%3jDv4^_D^JN?W9t=SjArfK3`{b!4)TMTUYe_Mp8+hb^1B7KKW)SVHu zaw2_~K~x@k`KU1>>g7B(O{8Cq6NS|+jnysvI@^4sDAI43BKeXi>mvOw+gu+<%NFVP z*yj6?y${)k8d>=edLNo1{cpDUks;C_fs2DN{QVDP|AFjNw)rXaKGj9~bGG?K94%X< z|63&FR}qo^GAGhsv(2xe_q8d~|6`k*43XXh%(rZFGh~|~`+;r#P(Vu;>Hjmx%1>Er zQIY0fdpQ$ezf$YP6%Ob3!>Iz>dLBV=93U#3eDn<+BgvPA8W$EJx)j|fpa z#?Z1vW@nwKU7)vfPGll%vu9RhdPYU&z!=F6ilb$V%pn$0hZ@-Mzh8lTt*o$NwX9L>&daBW;n1<%y~+VADlrph482EVih~3}%}{pf?2Ep#_o+D`GQ6=J#=; zj)m-4{Qm>nJU)(=Eizh>WWysOQg;@Ewn8=)C5pa%y4gb%} z5%tG7TDHiX&rx0o0~h2(X0AapGm9-MGV@vQBIsQN?gH+?!lKA5G(~0+hq4$l9I9n5 zwMlOY^p-?LhA)I>mPSP8@|?(A!8WsyWg%O}HkU(hIW$(V&6P!wS!s&Q>MU8gDvwPQ znKcoju8E;#iOgD-t%GbGWY@C4TvlXqQIX+GrkNWeB6EFCWNyrp-c1E;y2#v8LDVhK zyG0WjUg$Dd=rXrif?jK`Qg%`CyL|2ik+}o66&{8H);U*{d*@Gwo&y$!kM-yoMI^UdWN`MGIS8WC}V_FPqpRBJ(QSd`(9S zdatw1H*Ar4!w{Lb*d|uL%v+GX%Qn|*Xca`}J)5k2fbIRP$k=QXt6%2Bh{$YU8CJi{ zzq5GMfGMngng2lcFu$nRrlSrzz*io@iKw_|@Ny8Yd<+KeHeDW>TKQC*lJ4@* z!0fbWmMY$SSixk=(xh{FI`RINqdBB_7ex6^>TDe0oSnl>v2#pFx;}39_!<|IaO&F+ zcA$lOAm>LykX>$G_Q^W2;<$);v5WI=-Ngi=!dPjT~R6(~o6>>5ZoJ#VL6+`dbZ7lA*n(8Sv>N_8vFYk@Rids!+ zFR-cJ-!G~s!w5GQQn7p~>R<`MxUwfliFayDJqb)>))pt;n}&M&n|Xv^{sb-q9d0OH zvp~FEb@j9&;TB}UTeTN%)$9f#KMTMdH1b6SxO0c~HJW4%BiJPck@Sa?4|e~c3XokzA{!4r)}bD=@I5_9@$+LH zzNh_&cU%_!oGK@O#M>iIyn_*m{1ESOP%0+kb^w{UO5?H!#I;uczyEZ44v5_#x68yO zD~s%Sam9$_j~CY<(_#7IlJkuCUR*MN#N)XBR4k3_hR6!x^VT(??-8gIA=>b*qbX01$IvbM;F z7uSofOXA6Kd^xI^#FOLrnqv9#CF_?29M@k$;#!#OQQ#jxYHA$+&{GB=Z#< zTN#&^Lyqh3xzcfb*!uT#L6A>;Tj zd9NnStjpHCw#j*Wyd9}%LJ(;INReA~wZ;yR)_YFtE^4=oxR4!On zfXHbmmt1o1mDPs0KO8wM8{N3s&2v%L&GY7xS1Nvh#yC2_R5Vk>A7K( zFW9chXROBWA8G}kk5W zU;GeZA?QX$?(EM3Ch^1!(ez=HuX~ZdrG50TWz7)mClYUziG1oQ8mZF9%{iWmVTQw# zk!M^UyLmh3M*f!8|I0y30p4FE-nN60s|2+($qB*(Hc;Y^m!LBhOZH~H<#VH5TE7lr z^yIyE3xMk;Xi~a4%T_i$H!UuYdt)0uHz&OD<0@$M6^RcqZvOSpbrUox-ORR1-JIw0 z*v&^VpPLcyk9Zgw2Z#hN{)D>;nv`xXuuI*{cX{mQWB=IvnmOq>XdEaKAD0`Oph@Xw zp;hYUQkTbWK9Bf%(rZfx#U+P+obo}6NONqfNM zv74X#V>9>1XQw~|C(}Qb8=Ig>*_#KgQaAr}dFeFly2TJOWl0n^4QHzMc?#%bN9YC zL*q!1+_~J?1Wige8*-&?K6ZKRrl;<6^Wlo#bd&Wck?ff(QvyMg(#>aPshh7{9=qw~ zADfGZA2t&jF_G+5Zft@krJHZ`Qa9h_6p!8P=^vY22S3{v(n^usv)tGOO-eUEn#!bC z0>9dd$8Pp1s^eAf8&1*uS^aum1=s+Q?9*t55fkb{tcau%LUGZ0bIIaWiu(*2HUGgA614Z({LU2^wxc1Z>Gqg-OarFuEK+$89 zew>W!K;6%iaUG&59>;Z<78chiz1#kP4HC)UmCM)fTsvM|?RY@Y1EVm+AHvDFf_as3 zB~1s$M?uM0EG(`MzS*5-!O%TeSa=?a)2SGyQEE+eXJZ+~2^ zy3*ykpBf1p$6LOre-p4{MRIC>JI1kHtQzGj7^|$WvvVp2ieHZ7xt8K_T+@uOxSqbz zB-C*tSs&SsxIEk2pRe-TGc==i$Q#_8#2+h~(+>RDt$BSfhxqMv}u)P@+9)1txR6F!Her=SC`{DJ2YRf-gxU9P}M~8oO1aJn~&{y99J-}GOl1=WqsWijO&6}*f_qi zL3;^tRf*&Ug)-yVE(TboFCjpQKRmV?@q#hPxPoIVs{nKW2Sd1=w(r zTo5Z0m)Adzf#VALlk0u3mSkMP8jx{4774_4Nii(0XBSsczD9`TrP(rZdHwU^3dSJg z3dSJg3f7^F>k0Hsez?A}q50aZ-*!GgBSrGca{2P+j~7=k1{qf{1{qgyF37l^E(GFQ z6`HSJv#h%T8zqvf%jGMqzS{9LsxNPzQT#HlV4q}M&j-hGT|8_YFX;3hML$|3*A>f* zW4jnI3iRa^(xMONP}`okUMaF7{_r?rDWThjxbEve@3>;j{+od~K_qXCmx-%g3>?=R zhO)i=alM^ax*XT7R#;pIUDoedz{ZH=ZTT{BZEL=QvC45=@8*W$m*e6W2G9K)#*{nO-jx{%XARJzyt^+`zV42H4 zVed1*x6+hJs>;KQSlIAwG{xfz{H3TW5I-A@*QdP4@7~+|pL?$XEH0A2W`kW&FQ>rw zRg@jF9kpN^Uv~EMdV^9AHV~h1`{?-J?iCNc3dB1_BMf~guG-EyH?AG%wJtKQz2Ca0 z7KoEYZ5ORfTtAWt{%lWNz0GoQO|_*pC$1giVR2ozeq$Q28vLAlu}oY)xpsb=xEicL zT)Vn61MfU>^L6h*SJ48W5VgCN%nW(6Tvt}m|LqvZ^7%T;Ru*|2_X^F|74JX246vlA z-K$K#@VzEw=eLP#wx#TGT>FRSYx0*nZUC%S)JDtYtFvq8w~6ZlI}q2w?#k;Q$D5XY zG6b-RqV|w{czx;Ja>~wc6W2mZ+2ed2ZiLnS;cq=l7YHYb+9M)m;@UZ{?EE%yU7l0+ zIIaPOu(%>E*VBcu$)a|kQ6{dQSasy*w~1?|rR;HB!$R{lyxUsZQ=KAek13b0-GAeJ zt;+@CIzBXC7yt75Qov3XwOYA+_0B3gzfHbwvXni}*XUT-IKDEya2{Z%iQ3VH@NvYO zE0mqzBrbg0QQ70T#%IIgs{GrccLAFsYEO!liR(;d=C@f_@x4oBkmITe-9P49hV}t$ zs;Et5%f!`UD?7hUzM{c=of4X_*S@&maJa1#wWpTL*Ll`&8`puse5HzE zDN(DJ%a`|r!EckVLt?6*JdTa=u()DR9{vkpx~Od|mKjIyk%ZqSuEQdMxMt?V;<~Wk zD>nd^7PV)^%fz+JR(5`yxcV2BJx}oW{{!4k6Se1+%h&a}-!`twV7}&t9#8yWKmG#T){9!RT)w==Dt?=M4JxR9@;F`+ zx_;diy|xvw22p!yndAH5t0lMjyrb;#D!x%3h$~wWR$qVV^e*kU8%1q4SEjza^OxTw zUwBJKAg)zej*?jq4z0Ko@`##PEFaPoC zg~{93AeQN(c3ruAHCSG-+kXC7KCU6beBBV5uTzZ9Nw}RMYHuu;FYo;2w;9J{Vyd5< zFYiK}KVS3u^?wJjGeqredYN&YZ7DmyO#aB~U+HkQGV1lMVx?Ewi**}gw!NX#dda39 z`SnZba6KEN zbZ2^%fJ^J|t+*D*6ins%nd_yS|JoNnPsTa@pwmG+y@daymp#|gxPj|e2dhUNSG}RH zD;VuX==7^>Q;&~_sGri&Yn4p>sd^sQwZtACWxVFgDpUQRKQm?U&qxWF60nq7!onCE9S+6~ko-6%| zkdrL5Nh*}!$B%Zlqa>Hdirtq{`9i*f0F1$@U6^;0_a_^U+y zk$OybN>*IgKC`+VMhipwH7=X>m6oJ_N{8!|Nd2i?)fFqQ!~MS@u_BOOL$cw@NKike z!*x!g{#347mU=xH-TWd(8wlyQ*yx4tN~L~Eg-gt4`m0>GS?bkpraEu(GNjkF(W~o< zcC~e)YT#<>u;S{!sMVDiO@j2MvJKZxnffUmE?bT^emAzDsVuN+Ig{!liZ!e}EP zJ;zQje*ID^T)NL~sa!$HO0Vj|{vOCR64HCRZ0d1=Ov_g~Tp@+}Q@L>0VqUJy$U9%h zXrmy#k7UEeuU|@st6$u})!*{`ID5g-ix_P*qz_PRxcK!;>2URz4O}>lWuaGc%)ZAl z+G~(L$WAYQ{Zcwyg_415s9?plw6Aas!p?0 z=jGHnIPo>(Ir>wV6+^Az`MWXgcu4;=Zo|OW5Twp9)=k0G1*6J z4166x>I{>NI(#czF|=!bMIJItg7o!*4FkXDpE|?aMjgIq{fPd!cy3GkRmdH_xDq0n3^!u#rC+B4tJkEH58-04e2{X8y)z){L~qy#|#X6omLFZClkrnL5MFsDa^t%Zeef_Rq!`?Hx!zVAntJd-SO@yl2$mh+@UiHMX}RGE9Z^ zqjvoRzaO7E!(5{dCj={oLBgYFkzpF7pHOVt1D|W+3~iZj)h3bk!WQ=>@Ga)(T>Yv2 z~TrbGHacKrjNLrR_D zQ@5TW!(rJ!EH2-d%m>Sm0e1ZZpD#+CVWB~XjPh|S9qxK~NLgf<0T~tS`UgHYlsW^R zD2sliJ!D)ZSuw=twpxv`WI^Fq28LS&D~8z%Pq)Nq??J|`cKrjN%SoMK zl~IR!mi@!lJ%5})hB=T?&#r&q^ERn7d~MX>F40Pdzh>9|2pQgojJq8+?SaqHq|UG| zW~hrtEg7DS)qNYI&4rA|?D_{jHs%b*d)v0-YWzNzfdPk&MRNf9G0b&tsSif`05V$1 zHahUJaq0{qmw}5r0)l}d&1J>#((LIQFxrQZkruaM z;A7*|8Ez8|4B3|b!%D{=hcMcF$iPn?7}mS7aTFgLr_SJV85l&tN{9Wc{vL$UK7tI< zu7BWT6Y@9knWf`5TKlR*Q5VvBu`^=~0*!&bS3Pl?o z_}Dmgh6-_wz@d)iG6p5A7@jGpxecQ&fQ(l{HVk}hoH|1pBf~J46~m64_HQD?XOJ<> zu7BWTW6n^*ado%7#`ETA#fsroA#wvUEQE~L?D_{jHcp*^Kjf^ohc^T(h9?slHzUL6 zknx6H|G>w_sWW`d(FdV|)P_=C!?yfsc(-XW%b;P#HdvtQh*7nzs=dmO@6%u7BWTw_sWZG{WLRg}Kg%;G_A^T+_HaoyYb;c7;Oz? z{ASlboVW~zlSYO=99BArizXW2*Lw+a6<1AfVnrX@OLmJ3-i_`;`Z zV6=6RS>C39z;7T@KPl^gUreNFRfeiDD~1LQcD;bnzJ<)IJvKUAWx-%I2VyZ_jbCOo zFkENZ9;P06;TDXx9x|^NZ5XaeP(NIUk}_NuqiIzgZnEs7D))HkEsVASGHZrx7-~eR zpVS#{GBUU<8OCpGx*fCF2${9xHVm~cgMr^0Rdu-CZPgw&HEKrI)O`n;w|5Mj5$7l)2Y;M;_mGqvPRb4bSGCX3*Fjo3^1~P1hOu?>y zXr7>DNnM8)F`8D@;YrK>;r>1MxiQ)n$b8DKe=t60Qv;*Z4* zc-HdREZ_XQs_1DeWVW&EAD)sig8EBY2i^OXREG8nZIhX4NwyB;`j35NPHY=wc93k^ zWb3#_P*i3M9cqd;I_N%vp)zC<F*ZyS>$eGck+pkeO+BY_^e$Gg!^TSd8s;pAbcev3wknx-}3mg8CzP zsmy81k>+UfcSW+et*nAJ{E(m_-zeI;XC$Z%zX8_aB4O#CZLnR46I)jgkB7rADOnQv z2IGn=RldQASF&q2LJzwkGa_2cr+cFqlh0JNl;kr#Nq^b$>7*ijWmWl}H%O(*r+d>H zkyMrM`Eyh~t@TAyl`rGh(d5Ln2QpuC*~ph0r+Ji)e8vasFJC?`6_>9^j54#<_x!(# z-lobYQcKanD~l<%zAo#ItwbL`K;{%Y&NBq+4;=L1?fO!j8;cI!%W8cuD|&yIFCUkR z%a>cc_37Tj##*0Pj+IUA`#wpeDBr3H4M+pt3z_o-8~KK@EJ~;Ky)I*h>W}!leEGOk zTt0vC)~9&r;f{NebeM3V)aaU z`SNk8xO_dMG!J(0iu|gQ%V)Y;+!RYuz6X|_s)9M~gUoO3`o8z#6s1&JpXp3~`SNk8 zxO^{!C^MIjUl23-x`&cH9-evkm8kF24O|{x`q35W;U~!46}4`CrsDqJH*oorNyX*s z7vr+fflL3W-lh#)3Z2}B^8J=--&bM%hKJFE0+~nc`o5284(h>n`3LU;nK~rJz5Le4 zrQ-5M3{t5B7u~BUSnJch214!o&ZpY_pmhkA@eW0zHezd9NVNM4itAbtMw_c(s1n=_Y+al}zUA}x= zDlXq(i`Ms)hvv)WYwhMXl<#I&il>f>3!F94!_Saa-KOvBx<{f}l#YA{WWB%3myb)u zc1HQ`O11B+p7@d6jz0)l^|5JL4x4|K^u@jW)@OW7>GI{{QgQi4 z7^Kqrz79@W4&M6GlH7)^@1a!tzShe!9!C#{AghU8-*--;S(HlaGo8sVUp_7smv6ML zRngXGoJP>jyklatY~<9D^-M|nzN}}X+LQtQ5o%OPL=T4{>q(ow&oo57?AB-UciHmw zIK^5Jmv3B%%R&b(Z+i4LO}+^UZbSL9LnY|@vO0T;FY;66PBJsg3oG{yShH5FHt z&)k9}tU6OX+X*Yv42~*aw*xU+3?e~F6IR{1R9rq>Kvnc(XWs5p+SE!^Y-}MWhy%9b90$DFQZR9gfFlq8_bkb~938do1wQqgK z862(ktxFjExr_U=myb)u<(tm@aryXa4=&%&tQ>0JH_DTud=;PRI}pi#g{)WOHu4!K zm^Asmjv9)al6=GBhO}5v=(dU#L#+;XD)MouxO_8XG!HJ{QOrsEaryY74OPC$@f78I zV9)w}=<^t4jd$C~XPjWtHAD)R8>BcEv0)M=CnjU zv&~)HX50FDB$-rPz7NrZ_T%z>?9|(|flDmPZFuVVCe^;L&55$VqlXiawNkP^cuhsq zTA!)4NX3h5d+O*mP^QSN^>sDQ>ZI2CxKv!e&kRzjR~_9yPttsO>$^%959QmDYTq~b zfi&{0$?uT0*{1L7)=#9_5;T@9S!u)k#f0E)|z=NsQ*fTc5>j zbN3oi9uMU^oNC{fxVym~^pJ!s#isA;W*nz!@~x6-wrUHKQjxC*#@2pn+61QUYMj+c zO+GFam+woREcB|QmcgbSynHE=+V}lgqP|ae)e-HselnKjBxEIR`o3<@3q_^n)1_Ta zlTK19@^zu^_`MF(tWIk3ajCd`%R@91-umwJ=xv&O_c^)E0omo8B{_BI8Tb~fs+qBmAl+0}?U)_@Qefl*n1FotYLJy}Q z`xd*t&sa3I^_jog z+XRirTi-?xx1oIZr`q?m+H~X*^zbKS-)qzNnFbY_e5S#NRJ^#ht@NSOLJh*(@LfnS(J)UaccTeJ}i|FADWVf*C`%HrhO+M4$LrO)y zRWe0ZrNV-O>Fl0b-^Zom^6f~{Jb3HdjX7yQF5g}cx1oF;q!jzUAL1?|-(Qg3#-{Hx z4JtJGOoI<875PkOZB;(w**!J+xKv!eeFmwt*0(>&r7Bus)%{?I+fcq9CF%S0r;atF zPxeO-XCb?bXnpE14JtJGOoNY<pSk@Hk7YVs(oMn4I9Zy`@bPO->&a74k|SHOoI=pcyaCfKGRtn3k9aLdupwZOU32; z-N|L4!{(Doy-hpwo(^#v$~QRGzR!DV<{#+c9Armq`o30k`f=a+ZOqdsby%b z&(vC^6k4C@)5Gex&unuS_h;Mp^*9!yQZe~@lr1h_kMcHE44i_?u<#ri)-KdUYGU$H2F-oo>G#JOU31D9Hn`1`I?6GHch?4n%TRdh`-h>VET8F2 zuC+eXncSUq?PzOP3v%a-7&t*`q{#^PcOb8&6Yyr$|<`@Zh35G_aQ@^PuSe0)BV%g3@nsc7HV zmz9m&>*)MUiTXa>xbL~>%+{E&0(5>NW_{}D@e(Z=zt_>dKDH0-rxsUAK|agYcel|W z?wPB@zV&gbxO@dnD=uHeLz%gJgPh!k@@18z@6!)lPKUnu09h+S=QNwX&$9J3Oww#q zmd~>FH8uKkry?JhipwWODIb^b)sWt%9lXO>zG~|Wq}uoOz9q8E1M;`g5luAD4>DH_{-Ldgkr%T9h*L);HG4ZP@w>QtkV?OeG)4ge#$QKbyYq z`N}K{f~WR<&sTKQY)ePJ%Nh4^sknSmgH)P)<3p60%lB52+fcrdLP<^?x^Z9D#%%No zm7((xo4(I<22|xU*;2adFsDrvZ)xS+#clR|AD4>DH#x>-q4Rz1B)v^Lc;CUCw4ZwL zPAW;?ryuwEmfq(_)~lfNn=b29hpDf+>~WuYZcml(5G#h-nsKk>r;fuCm4M4PEk-#H2k-2=A)B3nnT)uBb zng^F}qoB8G@@OO*Lp*ON?q&CVx;Ouoj(l7yF5hN} z%R(otwuyS1Cf^Q)+fcsKsrG$)hAwD{@>PS*zuWYEx|a+Ryvvr)eBk3@dwHi0E)|z= zw?Qgx+_zWYQqgPPpQuTlGU$RmP6^ic>BfC8hju@K{MSO~3pRb9X`H6YXR`gD9rtmm zxP1F1stY@KyLS-vHm&s?q$W+iDiWFc>^NS6E?3K~F3cv^v)4hFs-}JopyS<14ARL! zPTXCt!GDU9SBsb1QGXfkUyo)}!=%Y|4T&W0d9&y!kI8dG(5a70`=fn(LHi@)7Cx_KeM9`JyeIZlLAajBL)2hygwxgPL~^9;5{IJTYl=bkXIvy zn*f~}NEkh@Rty3S+~7URBOa5hRBcud^6DgT6Cu53l=?`o8a@4D;6uo}L&D7oY17b! z=Dy=*N6WKqABDWTlDJ_ozL=^gD6dg`&CTz926+vmFsiZ>yyFFu^8<0*Jdh6L;K_Rk zlRSiBc$%QVr^T1&1=>Mg3kA0jcsIFO&}LVkr>-~zd5^mx?=ke#Do%s)T1y{Y=(7(^ z9>{xIfwcRg#C;od-v-_9%1vVnzrzT;g62^@F@_PRQ#j zLtb8j`S-{BRtw#PCQRl_f_Gk$26;b{#WHOsLS9b^H%y7^eW(O|U3D^l?29Hhi1$a>yIxg1nbw zkT=vtgYt&TuT<}RBjmk`=2r#C-Y(Ff?9J}46Ju_Nyb&Vg4Nrpa-lMHzYb#HSB@-{ml{d0kYZHvO>GcibS6(W21>9VQ5 z1o-e~JC$U+*tzOk2O;mAf?EjE=c*Z8P{3aai#k!J5z}Ugf2{kiHuxcin*e_7SBrf3 z%O}cR=(ryI1b^zW~f0Kd--{;Qb}zteN$k~`ATz_tz3x&@IWHPt=Q}DT$i|{yIG9HrJ2Uf9(wZ z+89(7qtx@TRP_~U*UY16QgB0z20W-iqW_TVYJmSv45}`J{~iZRb#Jumz4@Kc6vfR2 ze%@#J8%c-TFyYV0k^4=;0aF4owN%MDTkYSZ2Qm_dM92eiI{mJ{kNUCLz0$LX%}L z5}P+4|2p`4CUKL%-1_NZU2J5KRWC$LHdy>4a&I6eany+F^{l<8#*1t9Qt2ztv&cwSu~-um*bGRP@<_a z7sf|Uta~l^hq%B$I0pXVE*j(?E<@%E2f;rK&BL(QxyMO^vhQ(^T7UaA@Q*^mQRwt_ zPWZa=-Ayko1pk;MZW44RR}osz(s^*A&n?$sT}F{G8Up_WnFjga5|1r@J|-OKM9>qb}%xGa8I^~CGP^T=<{KMs*2LBf>@GrrX+@b#~`JcKQ z0%!{1=73HQx>>3lorP;xRRn)r#togW5owTrjUv8KrW^QICvlVT4c{zP#vbS4o4S7k z{%;iA(CG$FxY5<;vEGN#gwEFEcLA{DE2@=@RgO_PExUmKdnDWx1OHYR4f1c54^27u zD)_gcd5ZuU6O%M3W0JGOzRe!+??A#G=yZ=rgZz7x;bNuj;NP9ZO@fT4Sx`ntW!-Vt z*WmvV34aWMf4|I99T43)TY91iohflh|4pGm=_eeu!ph^|KO}#hP`uCx%vbV1R|H&wBSkgaPQT%6OLl2ibh9(L9 z2$24=z*3DC@3~UC68wLAz<(B*&&Ozx|AKpS+MF$D5-_C`GVkWRU8TpWHC&BLEfctj z5a3l5C>Q&{eaF2JC>sa=zxaOT`V1qzk}`huO?@Fy(F1`B3IwiVL4hiv$=BWdJDMa+ z>4CIBh+=g5S`@t}rb&?g zlA6Pl33z*F90E^ANmwfZ|3dlkQULd!bwS{n7<6hXk-g_);1_T}H%SfiV9a(2$QY-3 z>40McAqIi87>U_Q#J{l74N=1~1sHn^5_DI+^l;-}aY!HMBr$V65Xivc-gJS=lD*C` zYSXRvKp-ID=7d1L8nG8f&X0n3PLxLUejwb@vg+p$=pDmNfIwe?c*OHUpl=)kFNMfn ze;5801MepumTZBe-VL2vL!c0Y3!)H+L`lSf5^gvKTO4N*zjTa^pLqcSub{_4aR>~K zlZZnww;=*}S2|h5)$xCCN^FI|Fc~+DJkm`fj&k8&nDw_3i?~s`!~Nc~5O^(tn+SoZ z8u1NG5{*M(yoV&4fZKR1=#~Uawq5$$@#U8gnB;=MM9h9lf<$~Lj++O(KL{-1K4HbO zH>yKmh71Ax2vgv_B#DR}cmO-_z+8pweGtVBuaORNMUDu&=G`y`0`t-Ld;tP6kwpB| ziGRru_)L}cvn2i{f%i9_Ea|AaCV4Xi7A0^KA@F64BwHrohDlc_6e|#qu4&eF4Vpr@ zIly~{OLsQ@`ia7&5LoSjz$yg--^j$rx+reQcrHYJc+ZP3#o7#qzy<*V>k|<8K1w1c zB;2spE;5ff5Px14%R^u*X13J#c{tDNJ@! z247>52Kk!CMQMQ_0)L{9)9B-@n%mg~{v|@r&&)^85$TDuBUeD+Ul9WTBq8@YfnKG{H(v2v(FKSeXbEyh^~o5)iDahF$H#zhV%) zCPu=l$+)>8c)dvWs$;tA(Na?lyIF$Z&1k8kdbv%+zmgEF7bh|6$8ZxM*dR(#f_Dk~ zDz^I(O)d!DiNW`%+1-ng?nywfVVp&56cY#Ssf#8L1lBbI?ayg}M*66X&g~RUJ(s+#C?>z=Jx98B4x+9ZeY2 z0fRb4NzUmW{7ZpgR*dXri}+U(f?ZTuyE^eN8G<<&q>c81IZ~BIHBUj%8-;;$Fk)VU z1^GQSJnz&-6Z%+ysd~yRC|`VQ{_B7yHw1%m2=WngFeDzC`{#3L3gPB}U_XVWdQtqX zqEkQ<26|JRpj!k{ha^nG5gx$ftxE!l)70fIX)_(O^1 zHQ#YvV)l1vazb#P48c!$P|VRcyG~g&VbI4I^cfFY=!h>LH6KkF^b|T=>|qXj2W^f2u948+K zU?j)YqgzE@fx`2cc#(qpWIv!L*A%=#I*C}w7hxJAq=(}pzI z28E|&($6Sv;<;BIEKEHQg$J=nYvV;RGat7q(Ulkb zZ~Pkye{_?hswiegEsurIO7en*L!t0%@CnwGX*C6pCVI+{tQFS0Z@g z&4pLN)O;7Y(kzPEVVB3kr(@mB(03r$#R1#V81?y)LStsU>SlU=yy!qJkZWP?trQZo zi~};;B`so>pFFgA4#;?IhLd7NF*9bcvg>@7+Zh_y8RQ!TGU-NRu4QG{#Vk8`LDOm= zU+*T9dNk%itK6?>J*VkukgH*d?o?>ZT~Q0pGF6Xm?+NnNQ8Fn>W4@oThzV&2=2ryy zsyLbSq%oJG1Vw!vSIKd#;5QG*l@er9wJ2t%77C5o;Rh$x6Fz(R!X1$JCeHI}B_eI9 z8}q4)>9|ce^fZJw<3uvKpix_0(Lnn-fef~b^3g5;XGF0j<=ly4hUdhf~|xjCX+lxIk@Foy0alA#+~T_Kg~EA}Z^Xscj|x1v4hgWX_t}=Hg#4V~PZM z7Zhr16(Y9IaWaukZJ!Hhn_dA`-4-pfX*a8;W?;NpG0ldBs~L4%p$#AL#*}s=tmF6u z{xK0J4l?9PcYL-7J=FkjRX4dSM$(ZVILblC`#ksu-V4)A#0VYL0eVpeT14pB0ujiq zFnzr0m{$KIY41*wcy~zDUIur`tu4~N#!I*raiwhvO^$w74?}MNuM_VFSaRKk-n1X* z(wZ2t5}U^LKwOKZ+3dL4NyDv6FULSs2ihz8Fdtsg6XT08~5cGV6>Xxt)8?2>?!Fp(VCtS zMgug$*jI5MH}$6~*w#Y<)BDTC0sn3lnS_8hgZD<44d9Ckb;uRG9Kf(Z{i%R>MyCgF z?ND*RwiDjign+fcThn6$ILJjEmJZ;nG3t*1lG~<5fOy-~1lZ+T;q!C#%VD%zz*|eQ z0kk@bjqOsaV&jpmwZRAC6owQ|Yl9<1Y9oMYYqfKyzIW*u6Kdbl^b-ud6}-2`Qs5db zQ{N=N)VRh*Xv!KQ7!y615S)tfLAA%sOm7c(HfsJu@GpLv8TZb=m;(5_7;9Q-K2-j~5Nl zctC44yU1k#?CL6Z-pHD#2+h|FN3J^H#fc{CZrRwnv`%G-K@hEGmpf^GgjM5;8{%nP zYgs(CX3NBjaiw1oy`kb=3z6$K@ZJ%!;rfjt7>5dIgAx>TJHjs@t^i%VBn?uws$)<)IqZf@eh+VZw#HNi&sO|a$vGFQZC7CD_ zNyq}5v{@o!xO4CJ8!&P`@ZK%iFq~6}U-G*&gEm&8@l*y}FrX&He^iEP_`k-0t8f@Y zY|P5C=)697?-gwrCMncU$_%*9ho&VAnLC*dSqZMg$WyIv!O+{m>lUmTaD@Z)b4iAx zaU_XL;%Pcu?WSo7L&rZoOo!i+Ooy>|Z2SR3?*MNjtR($thPDS>Awm75&Y)dQkn}3U zZpM&SrAP<-CS>Y#3@WJ?$83is-^p2kqL2GGq^nQysF07qtgu=#+kM z0v$8}Zxh9ap`Jo~kYDNy+LanfOLWLy7-9?u6s<0h;obgiWemLwyiMaa4EIEd5AsW$ zfuBeTLuXJZL*`4IVV>`|Dj0euE-c%FcFj&1vNj@%)<0xz6j)tcTMZ_ogL}a%L~V38Nq_4pBeE_vLf-lc_(#MV9Im zap6*(BCax?y$$Bi!qA4`O*b9GjH^E@Un*Q$J^B~}*DI{(xyWK5s@K9eHCqZ z5qPtl#4giL7}tt$84Q5N;O%PH?#GmJJw_D+7v2pi;=(0UMO>8}9UFuyAXgLcK5yz9 zSv^kpPxYwvRaWh5vZ&`Gi?WKia8VZ1Yjv}|wUFxp@ODqw)Z;s)T#wUb1J^RnHPOZN z`l4?89>~=cyg3dVu9+6~I7gwrNt?6pzvhejcv}DUDJv&wUu5wZ)ho-xxaOX%Ssg>0 zf!F7@;riU79xsRM3m0Wox$v$v<+{hV?aLObMo5WIfThHF`f`r*gp|K`&AFDt#` zGWAEe$O5<`E?fY|xLO~sy$eH|gEttp;adHl>d~I-8%57Wme^6QRlMD|IdQrzayZf$-afeL(k$NP{1gTyf zdH%#THFC8CZ$FQb zi;ee=8uYU76OIcsKccJFC!CB@8_|X=Nu&&;MaJ-Eop(r`Jp$gBOnm}l(C#!5UzhHe ztQh|G=o!cYN6OGuVhpDXUTA~P1@I1V+URgzpng(jz$KJ4t$M82XKfdkOEQL5jyM_H zJqq3eQ`@CF6y3!mzEWqXXwZQyzAVxK7hf`l9+E2yoj(TNaKc)LqI-`2jlt>E>p+%r z7BS#bPR7u3UF~zo@Hlt}#%vg_qi+6#4mF}QF{ul(FtmsvE#zPfb;i8$2ZlZY-a%0t zhME%flX_j;YOISM3C57lkIgq7hrULJC&4R)Y#4B^y6BfWL;VCztLkuHR5w-ig7ewi zG3%$mJH%t1H9n<6lV37x%c&|p8%VMyi)Z;PS=0J8Dx&de@D6obXI*qBkYt~7*0@BM zVw0@NN?o3{@8P8*(bx*S!=2Vyo9@Bcc7|4^#`VC4tbdYe)_A>neR`}eX5AXRBa+6f z>G&2G5YyzO)arE|E+f|4Qp0&jqG?qn>S6X;^T+9sy<(52aQ_+bjy9dHSXb1NH6Oc5 zP*3GWw{l5VB%XR&(A~#Uv-ZYySRc*o$;c~YQ|;9yy6cZ{h^t5Vx$9pKm` zYqD~hmwMdnzey#u0q^UIP1db+Jk|qb`>daJ(Xx=N(-wtvSyySfdF?nR)-;0tv>I8xSA0EQL~=&PNX1$Qd~TEaxio{74*c$y$}lI&7(Nh~4sX^!s31c} zd~hIP%@F8C5iZ>nEp_P4_*I5^NyhLIAAR&p)V%{4(!l$n-T9|q3G2d2hn^BGfXeWR zhcPVR?P2}w-TRTD6FvzMwb7x!i~6~AU1+OyNL=0?3Taa9ko+vh80ZWrwujzr;X6!} zjt{z;&PcQ$#%G49qf0Yb=@5(3w5kq^er zCC2dTzi014hR)z!@3dyX=Xj`}lo{|rADUKW*yLsmo4F3RwR+(D`@X;p?F*m={tPhbo`zuB@iGCYruRVA$J0^f!}{iMu*4`$J{ zD#K4nRu>0k#;{&`{GvFg#)KAI`x`lu$!$A*YIKp-Kxc^I)k)b<2Cl<9~ z_#pb98SqInnpR~v%5^x#b;ukpOhbkq;Qhr;hfkf-01;%U` z)}c_^FLj0u0!^zjTx2@nBZW+d4`v?t3>ot9kwZHj5)$>3I>T0`Az>g-EAmU5x5WE8 zqS24$s7>+rG8r$KwbfM>J`+i?)vPNxby-LJ=Z|340m!LfS8AR-^{n@chODnl=(5f# zxah;IgOF3%XyaA~5Q*G}G)7S^h;HGu1;^Cu#9vQ@u@H{uSW8iwbx>ThEtsgJK4} zNr=vzzY9I(Lr!(mr6&c%XIS-_Xn?=F^)?OglmSKq#8pQ{KTPD@rIB+(h zS3w2Dw2vOtE)EZo+he^U=PtaIJSlKD zOt@}NZY*kg_-ru!ks4FE@CjkPP36)p_2V_mrvg>3hHfTY27md`cCxTnu5jy)wT`dn}cXt6)-Kz05gjz zm=(JH#nFur@FmFUC6j`96gTRz zxjF*&hny~P8^BsI>W~4N4jffMe7%4^o;@JHu2cc>&J}HgJ~LoWUOfNcH{|y60Lby$ z0Gdv%S~tTlK$(KNi;znz$S*ooz+i|0_DYx(%<1iP1do!Ax&U%|I{$xCkY5d|fPLZ& z@FkZSu)p~AysQHVSO__NMQcDy1^J~4RghLM`Tx=i^2-wyu+Yf>2b%lAj$g%(Ed25- z^caSm!k9In)fmCl-bfqN|1J&qhVdwt+~oShcnZGOy<=tpxfYB-j+C(G!k1{!JV}0P z(+l1#>22zs#srwTXB&4e3Wtao`W8^)?j{-gq!Rz*KB)b?F|p!jDUk6=PesR-IY44S=|~828i!z(Til;>*0IqXgn40 z9e!e)E|>vjX=1_0Pa@zekTX@VRuJFHLLD+dd>xD4rZv4*9=%PgS>wo28`tyOLn`1b z-t^`~&4BMGj@%I0f`Ag_%(7{E!FvUL#@g5=p3vJ=Kzucf-ewQT@7t(=^CVWqA1Nk4 zJh7cPvz=VH4~Co%T{a3fDXt*Cno|#>DQG+nY^xaGL`Bo8fasl`*gh}T1{VomRzHo= zVF=_buxo=(Cw0iG*wo$#p#DeV*#hGG3u#;xa4|ozEen|x%vmJdS?!iz5%5*WS?aV_ z5Z{_c9hMH@m?ZV50xpj-1y?7E15Oe=BM@*X5l_ijs9L^)k)%MfE|p0SmQ%zCv>bY{U07WO)+)6G}<>g)}ZoaA+&V<2O z$Sv5uiC&r4>&i?$i(Io+Olet8=+$v56=nRCl=!;eE++?AZqis8oKMQmsG&()cc`^Q$ude=x4jC^+&EKzJ^e-;Y!Cgrv12Z z7B#C|tVo3;uQ%1F)jBplf>yb15twkbWwUTKqp$CJ;RFJ{0lBxN0%)v7t>?YpsfW?} z4GTbBPp$&i-9Pu416V}5Kj70iIw#47ZC;7eFU2h|R`X8xw6;SuAy9(IK#Q>jk6$gB_`m;|X;3UX>CY}P|7cQDP$yfvIC+ltY zfY-7DtAOqJQJf|f2W*%4h76G>LvDMUgCuCBVB7$s0fv>50oGzltAOb;Q?RpK9I#=V z9`7RH+mM?jq@dt81{e)+h^u6P^;lXJuxp$F_J|h;ym8F9xd=D~az!x(!0!z(8sI3o zWPtZXX*?A$M`3^gr8wX|$GT4t@Eyp_lTrZOVSv#9qYBNK_Jeev{Lc@PCIYpqfcXvv z*vC;E@b<~CjK$PbA@>D21;8H_nh8HhCX0HT{XxQ?%vS;Xxfo!9SRAm$(X(?9a2n*k z6i)&0kO4+haJpPFz$X+MPX!E14Dc1n1elX_FP_jnfPn8p?#nJ4Krd@EL@l)+EP59v z$%rXf;){%)ZUf+8nE?)$O@O&0l-S0eIIRWKA$O=?16aa~4BgU00&QEx_|jkl;3&52 zCHM8X28b6K{r0td54mK>ea&iiYRH4Ad5JB-4yTI7CsE%L%&x)wio z8yIFpSuMV&6tBhU4PNmh%xuV=B^hDZCE55Wb;xURlBl<(R*UZ#0r7N1uNgmbm;iG> z7V1|!UK;`5gWP$t4PXgt5x-oehbG4&`Er)trj3iI8v#F2XY15jThSeh8IVk$^ zT6|y7+fu8=51llv3b=tcvxK>`z$^b|4g3oca4zI-vg<5LT8qZn0Q(;G6C>c37@fAs z-6^tKY%sa~7s&MiR@RzPd%(UpIinLQ9~`L^t;n6Qqpt7lt-2!%tw%W z+|;D#v8Y>T%W4t7s6jJfwTK_j(A%^Q%jz^n;z@}Co{>y|*jaqB^xHfH{1|di zyNqg4z@nFQlgt@laBZCIlOF>t@riqVR7;8Xr7?N#S>BtSm(74j;~zG-X9`C91akio ztN~43xjM2ib>-@DP~zd&ri20TVw`pszOo?=5QkqCuNpQSxnkfeBO19_Gvj`UNZRm= z7oOPchhM9cdIn$FB&|hXC5u{oKY4x{!h8z8@`@3L)#4UbD3Y-@{Ia-CDse6D2^jz@ zvs&~yi`C*H;kTtL&LiLg@Kv>`MXPlh7BlrUQ}RD4h<%s8&j?tJ*J2G`i|6DqhtSby z;Je!@Wf_ciyPdOmo#CR2NNp-0PHUKJ*4Hrh%JB7-kZUpc?seM;XS`dW^ z*(X1htFg#xwrSkd^n4FGZmc`+R!qGFd`%oB2ec9{Xl$bD>B)3zQ-#BCEopc~fDgqO z;3MXm^$DJutFDm{@C)!gY;*p^57$zMLh7ApK!8uo9l zAmEqad&UOPbc;Z1gLfrqCInh*gXhqZ_9He;!AS<2c5Jku(X=+$KFSnKOPUnSsqGpY ze&!kkTn4@lHU|lQg@*o^f|j?z=g0K%v^KaXp|@#(ysfGVX0S1wuZy`2;u9v}sjVJB zz^}lU6|<@0<1T$Bs)G1=9QtDl;>QT}HVx3YyhH;`XYo|PZf>Ta*KJbJmlL|Spx#;p zTn;`lVFP$d&}Xb2B!$$($8h*vJ!)iC92h3+Z5m)#C$*`7dAxrP7CV9TjK7qqISc_; z;HT2;P9Vn1ajgv+FYdJd`5}pBOabXW`JdP{!1Bzj0=^Jp3idTuF&@Pqwy71z)N%0j zc3LZF)yDbvjczps zE$;c67OoRO{f{Y_$InbE;J^e^P%;Dh28VuJcI_$zTm`x~m07n$-2S+OPj@P;k0at@>m|zWPdSFNeG(8ldRk0&MGp2y#L#TS2 z)&_YGpaPC|GQe@g+Tf;8;}_pOjeu*wHzsBcXnL$fQ_yM{SiB8VIPyQMV%=I)74Qw- z1}BD0-3%Ti+hS`wV(PEKH{Pxd8pod+pw(S-W2bC?kThhfs(@3tg42otZVI*Qk=6_W z*W!20Y!o!DHaXRK|t>E-d^qRRDNk~O_~NmI@G=DROs&c6i#*MV=Y9bhb~hp`im#v%XH zW5aCIjtxFFqyl~l9!rK+ zqsI;4Tkf(^(AeHI1&!5C+Mxb-X~2608dn8eBNaO~@WGNl$NFAJu8rXP+K$UO4A7dM zaTt&i7k+ovz_o$b?51K(Z)I}H*-8}=@H_Bru&dbz;?yA#L=&zdlPcw!&6}i9f2we} zh`;Ebh;O?YFsHvbro+A8BH$+QZAk@iJX0ZcKz<>h0`BI3dy5?#E0cA{HkA?Zd+_bH z12hf;GzBw4RE3lQb(dT!;J!Fh@aMSc*yy+>)MjLh7y>51w_mhAHgqr7VpWXaxc={e zrv#c-1w5oMz+V*;Aa;L=ISrmhz|G)0D%k)!ozx)*tP$1QQaVV2{E9{eJRvc_lV(6X z!?o{wWk0pIT~YrqnZpDnK8Oy57#P9T3875tNrUCx>Tedkqa_JF zw5@`=?>`X0E>$G@Zj3Hh%g^i z1$pNAXq)6iwn~l)SZ%o>$ZZ(c{^)s&RP;wJGT6hQp0_x)Khl3dOOJCQz;tLCFTwP( zj$4-n&ts;$p{FAb)6ztonwtPw^^g<>Y!Bgv|L<1F-kt<*BIGr~9qp4Id5z+I&sLrf z0}d)M3SS2@;7EuCLG*{Xe-sQjip$X7knoj*G7Z94683F2VJQqa=79mfD)2%h7jd7A zV8m00j#$XaS~N9wIrfaN%Du=>49D?5z@@U}XaOyz8VM`^*r& ziCtU^1>bm}U@bP7oemOlLku^JoN$u8L>xB{^d=X9nmoOm$L0#N`a;2088C@}d9 zHp06k+$+q-?bRrLU^PxN&zm6m+ujt0!ag1taW;uBNs>v#7X^6hH3$xpTtdqK09J0V#EoBVHwiNfz}Biceh&g_AC@?ld*tFbsb>o6r_&4HV-5@FBr4DD z_9zs-7sUXcGZ}-z`3i0!)92?O&Wv@# zuUgCM=jRJQ6IrT-$=9DKTL}t3OX4O$@M$Lv3O*f=)on5yznUF`;LJD_E{)Nk!ezqy z18?XDghg}hrX{KawGi>+!xA$H4!N1~?h*=Nta4}*@y z)$iFCp5Wz5dggT5BthZtSgsRTuANR+uAR}U%fH31Z~uYi!Y|Vo{>d;3{}NZYpRECf zXRusl`PjkD|3bK|fS-wXL%4#228Am|cRbOg8JeQFxuDZ8Q49-L#=VpH z>ZrXkjo9-i&qHNDoetsZ9tdBrK=>w!28C-nCXM++K$8=~H_Fg+KXc#n=h);WEn*P9 z1)bJHr*+&cRh<|N|N3VL*N)@nfu4s%8r1Wsv&~^|3kcW4l=aZ@oeB*KH;|Vqp{{5O z;f932#A#5^DLE%Q8=>_c0njby1;&`DLPOlZi?YPK)B^f^cS>28FYPKEFOc z7ft9iLxKFV0u9Qq;I6V1&(7g40)#s!AT087iOG7SvuB{m0paJPkYADKRXMujVm9>>W&MRAg1oNgZ&}gQ^5`M3^`e_tNJO9*aR^Ly&(PbC_S>*}i|Z zZz23<0yh!DlbFNsBx!q>=Nm$JViY$QPf(C`>dG?*y+!{@YFgFSLZixov-yizD z)q)Qo{E-L3c$Nu&%7VfR93MVa;ZHOn0-j~^oAA7vCT5nuV<3b-cR+Yy6!Kff9r)K0 zOur-q=}srv`%;8ga2T2Yph))CL~(OL&i)wL+l-^<@iK(BsvXld0sl%sb`^z$?Udn_ zk#Xpk8zOuA6x`6~SvT3+AA-?e#Ua0)gX|p^A$$mnqYje8N0Si7`GVY=og@sq^w+mb zkbe+&v{svcICf+5_Kpxf;fC;UaY$>YW_L0K5y1iZ9TXO^Q}iwI#m*2uCqVe`1Z3@0 zNiGU7uto^-Gck6N$Ie3jg?_(7q=JGQ26g8?a-vldpC=(w*#(g+W02n^$x?~#iFKE~ z1ra9(U5!D%h%D&1vuU@cWgt=wQ&vOzo;=kHa-(NP?tn;jH$<*S34A;#D70Q*VJ<{! zVo_@1o9(JH!d}-1N6RP5LF5(zBDE5b-`~OVDoB=z&e#Ky+7fO~$nWD}K`(mlKK|oj zh}6fR`WQ5v6OMA$pI;eM-RXhI9SZcimSOa&u6*LY{xn4HPT(d&ene&t2YHqc$?gvk zw-X}w$&k-awD~7PwT_CTA<_hc8e`C4PWWnU$f>57S5pPI5JXxq!bpq6h`l$YLF8c! z+J{pKqhw4L5ke5YfTesb#Bv@RpWpcOUWl|7AkqrK+A!Y8bMlzSmZCOoB#0cqQbu{I z@#4IVb@CwM!Bp+g;gmRYI4#tF%7MEe(h*a2#8eZVEa+`#R&XxLmKn#*1NpNPEa-iy zr(;$Vh;)wP=7N0L#e!zJVq-g2f{2JgA_mQKv!IV${hEK~f{0gyNRK2$@>pIGzvJ`) z`F4o-WGnz;e8Tfuka(kf&2bP3Vo=Zx`KNhaXA<)r!UGWLrQjBV{Dl(BYq7iGkl-+g z^l?EX6odS~dCHA-X$Y2LVq$uRCPST+KwaU}$RyBplPyr%%ftUYnlm%^! z{x;+k9yg;fXk-F<-6qqZUiCc(-_5B7kugq)yoQZv6U!?(kyQ|xjX|?T$luBw=I`+AZ~4`m5P2WV_P!f>JyfF=)OU@^`VI z{2yYW1tUIz$R{D(@IZXb$?|$4ep<$bN0Egw+yuzqC(@w&{hnDZs%0tD=cVbv~vBuQ_+NZEyHaMgO7Y2t$XhdY;0@X*gkMu$AiB0 zoO}2~JP_BRd378j8yQCAJLjD)H<~vjA@U6dC0s18L}K5m(612rJ_eCroREK!1@(f& zDc?qPxHW_u7P*p}rs`E$@ebNq9wIw1)eZrAm6cdf1A^;ZcnUaR(lmNt!D1vs_T-Oj|Tziibnc>&7Sz>UDGUpF6JH1d+o6L=Gj8lBfDr zd1h_TAJBxUHsJOf4>}>gIq(rY%zs1k_eglBgE_q0(d*47%OG+R9VXG?X`bp&S*iHR z5Ht~IPUv;NhoySJbLF68_d(<=ruqw;Xfy8cA;*|o?)?ZN|6ri zXQRo1PMt9D3O5V7LfKR2*Woa*OdKK?qR{JUma5k?u|=c5r~w1ZOSoaGD|xCbmCm8L zr(j^EIBp&oScRNC2Uf+cN))mlN|L=A3JlzdBDN7}X1&^p!^SNC9R|8$xCt<@u1tdl z)^ojb_Wxt;Jm8!t-aej(-U*6;h!7D0Q6z#Q_y=7OkS2s)1YD&E++DJFv;$H$p&tmL zinPno1f&F{Ns*P_ga8T%h)b0w21G?fe4m|UW|ENQHQeR%S-72<=Xt*SduC^6H=Et{ zCM+_8{doma+8Ak7N_*p<=II5%UKK5>1i+5BQib<(`^)~{*4t`fk&Fu})j^?EDV>$^ z9dD{&uYnddM6lPAX_fso!!X0~XR*i#_L@FO=_=8xl&MBEx!VLgCH(4r1aw3 z^|4XilpBkiphaUZ*qiy8Rde(3KJyU)4=Q#%sHF7egh@(9^A6*{{+<_8vj7_cXFtJ-y9a&3hf}AEC34%#boTK&w)Q86WI^D=*ml_;C@y-rq;7 z>`A7geKSybJZjkcDUhP=fT5{Qic@*{@|gZ$x1vQ#0PLwjT4hg@#5c!eVUdgrW?AOj zHA0$tWzg4PALPZw1ooi~^lDhd=Hy`UVWvvd6Ms_?J^;xKD zYoCi?$D>S&pR6s#x}{iGUexOF2xP~dG6gT<;Myu9*jM@>WgXeQS7Y63GxYk7_+0PB zAq3-XleCr_(aS~=QudI#Edtm#2OwpKqSbwkc3+#}V}q)#ePae^WdTwS2vn8c&xkW- z6}$rW9oSG&pi56;wj0-Wo1x<%i)OY@fX`0g6uzOEnhj{|z1CSpvjZa7_XolLEulPw zW{1qsr44a%LIp>%1?=Zc)XaX~xTM_G3Sd|L;AksA+8&iwr5zB;-&}`7<3-$>i?}t% z8Dq*1!hm`$z61NuL2&dALfT=*k#;mtFL-PS*sq(xeocXtQ$gx5<;UQ$fq@^ueiIQ? zjCEY1RcY6Z&1yg22kf`JxR@a2Cm*d!xveg~zt#=*J3)*mL)tHXT9tOoc)jYrQ((V~ zvHrwZcf7PJ$Kl@o0Au~dx9h%KF?p?d)#Htd%>+j=R2~EvP%uax4k&8*{-pCGaFjrKOUPi&@1<4NLf$_&-q`~V zytLuSkFoy8Fb2Hkt#zgU@8BqdvC3eqB5b>?#RXxObP^oTV60*o%gAGuw7ivEvIIEF zW32KRi&t4onj84X%m+tBBREQ8tkT@-8Os}kudD>e3mEGKjP(@Xt}<%9iWM`#Q3oA9 zgR#nUtBRI2W9xkZj(TQr{7(UESufkJXT3k9mt6#ow=Lj!&Ibct;8rhsU+R3hH8>h# ztcDn?0^hER!r+P1j)9{w#;PO3fY;2_;ea>1>jc zvIQJ26|BuwR`s6uoj2cbgJXmj7mPK-z^Y~jdvq=LB{;^I!7&%GQHP@0m*WUHun251H!C38hRY!06(G%{0V=~5?jIlBe%qq)2cb01-IHqH) zX&9?hkX3aJ{;%f8Y2cWNv1Ved1tP0jY~1kj-CW?no+_p zyUZKxi^hN>fU)r8$2weQR!(!ZVpbe1j)~wn8U*WZFRR+;ojdkbRN@52I*zei9K#bh z?QdQZ9H%hWDU7wB$NDySaDUCg;P_F&#RArmJk}UYM=_suvuWj5L63AM^2trS-%TYp@8J zFXHl-$g1uc^O)qV;J6z^OLY8?iB&x?9`1}^)Hv?@!Er~yC)ctnCj^X5miobIz^c14 zIP<8~%9+>O-}~YyERxVt0A~Rot14(2Q)tp;EW$~L0jr9Ltg5K-!{)a{a25`tr2(8q z9;<}0?JH&Wg0ncr%ddd5B(EwNm~q)&51db8Rbd&NrB&vzjCV}hYpGZyp``%MXMC)x zoMlCF&q`QiMoX-!AhIfx(K&M18{jM-L`wrWpXaeE8K=*hHXoc7{gCmq5u8v{9S2Q~f$XEO^po2uY^Poh=MR_3i8*EPl>12|iH!FoZZRo2ViA68bm z49+%Ya9R{_cCgSYXNSPq{o-bDwnt~}C9qx*XqEL^a6`IK3Y?t-xQJlA;bT?5dfS#Q zxEP$>WL%I`WL3@&#m;B1=Efq7^??G`TioGq!BOYcw&3g~g0p83oPA~L(Am%M?7&*B zu?U@g>;o(B_13$VcLvY?3!KRYa3wW)<(LbPt&5w%!)(0Z3vVqY&r}t~% z9DuRXFc$x&!kI3#mGid3A`3VNt6w9y8DE@O@-R4^ z=*%gDtuVJLCM}l_O#r9Ihl?4Uqgj=6jNIr@zvEbhu|{F6;w;OyCjujmmUn_PL&n7j z&WS#X;hbceyyc_0ScJ|#QNUJGrd77mW>=kNxLs3B;GFCS=L~^XIcF&I*BogN&glVM zM6i`nX_f7nK-*J)<1YJ|f{O*5^GvkLInTGLoFx9kBDOso-2HfOAOzoOlm4-8oj8?)G2!Avl)@ zago8sXJ@vm!ScJCUjyfA+%CT#oa+Q;wN6>O^60PNT#L@u;&xT@(JEVYOVh5$>VtD5 zZr4T&IJYni=T`COukW0~A_*4**lHM<)vLj*{V#t4PDKRgwjelnDzwVEOX%T$VGb6d zvmLlyxci3TZM7{e3I&tFxfi!+Ej?&O<(2%-}rY z)fyf}vmcn}&T-R6a1~^Y)@hK4+ED2~0Zw6uJ zNi6w|xV(dAzXkBUtpYW}_qxuWIbk?B{}jRbM-ZI%6wT~!KQ7q#9}@ck*8LO2*PME( zSV)GJ^!SPiTxLxW*Q)~lg{HM6ZS6HIujL0|e ztF1Lfo2DYTniJ?2xYj}h+elK^N(NUeBe*Q0*0PQEmk(@XNrX-&aJ9qpj2^^imms*h zU>BNT)LP<@>cSz_)q~i5p#6n*lLA`ZM`mz+sKEPvv$odT0vX!_;OfWn=;|-@F81qW zEHZ(sFK*sUmPgxcL%E47`hhD&09P_vrK(g~SDLu}P@ea&NW#ScwmBlLvSo_%8}^zB zu0b*`MsN+2Xq9W2YCdK74T}(ED9V*(q*b;BO2%u$FN4d8RSqw>++?dgBK{QwThPoJ z{${?_$J*`dxxalCaBWd=v4B*9Z&yj7)6Sa)a4BfD4XyU_ zSO*M&V$E;|-GNp+(CR7XP%0BNB$uiSu03eA8?6rd8R21ZV5Rzdz;ytf?)QRJ)<>(P zXVpLNzmx^8Ll$s-tAg!_kyhD`DOvMstOnOnv^s)T6*yrt3QED6~OgV0Hmrx>QJg@ zzH)go?nM{S>H=Dw<+0A0>gIW&1-O1jtIKFrUu9N;>i7OK4P4jI>Ka;|56~*xCApIG z*cou$lyNbF)X+<-q{e|pea1Ei*R239BG`U5(<<9lwQ8O@FM;cKwE7*b-j!*U)Lb6i z{O%Lrx{FqK(ds&LXuBz2vJBn;uKQ?pAFWz4hf*uGSo1D}KsFe`^{)>GKIJ#ydl+)d zxZu8bjjR=sK*p;Ul7)Gc+6il&`I>_KlmK$c07&hFjH8opRH=^TKrW-=B7xM!!m7Fn zuiyPP3FLALE*6lwb1Tu;{q})pKsJdWR|tai5x44XSg`4r%^+7Yfc(4{q&|M;u%Gzx zD>YApT*Zfr8Kfj5t4dKS%(9dM`6U75Y5|aJ601rRcP4j6iy9`7U-5%9kXsE_28jdj zgIrU=#R5{giCGOdHF@nb73A6?$gc-M!b3s$SSGpTrYAFIgZ!oeBzqPE$Ws-NKE*#m$6jfc!69GE0=bJ3WE4W0 z?Ppc*z5-dafc$|9(n4z^c|Nub*m%RW>8YRv$>~xz$&u zA6I@+ALKMYE&@oKxYbs9Z=vTefIQd&@*owYZD!{18?|vcBUTL!;v$2z!^^663oD%; zzYDS>fQtyyo*=8*ue!hfz7gKYC*fiM=^(c{Ed0Ca+Dee|5G9ZFffV3Y$9(6y7P}1c zSU)ZTNZ(tS!;^*&FE_!e2^NsYt04X0XH{p!qu!tTf$TMdJP9w(-?eCa;xt_Q0n>ce z$QqtAygK$qCy?i2H6E&@^CGLdBu=?_bvnpdSmnd2pSjglrI)b;-jlcps}^F_b%|Ns zG&SzFZzRY|1(25nK>9Vns&31F3djBhc?DK2$ErJKR`sW;)s*VbfV>*3{8)9DTm3Cp zDe`gykk?_=TCDnqTm7q^?EGsPkiWvJjdZ#> z7OTF+s?vVuu&g+>2OfvyBML4SNG)e%RppiYReRqA`FpIwgH9JIsHNx!{3*cCUXahJ z+S+;i>%0l%OR~0hRm8s(kgo@{wHq=nMv!lk9rIVL!^yjR%d6Gh7VxhC$af@d?ROLY zL}^g+av$@!J7#zb(Rm1w6oFzu9*v z8Qcv;a5o5oyHQXxYwW|t4DNTyT2nu`n+o7=L7cR}RxM<3w<2q;aIF=twIOS5aIFn~ z69fUe1!;NBgVQ>#1a}7`xZ9)K*2HCJ3%EO};BHCQk`3Ta@`C$+gxQ8`R$NOpYAC4z zTtsj;Cjka2;2wmw=@zYSs0sh_qhDEDvt#*i72E>@+L}wo#R%@Sptk10H4m<(kh)R0 zhJ&1YFsU1hYh!VZob0&AE8re)fliYwn%zV%%n|(1>kwJ<`eAxj5ZqG;=M?QPw4H9! zTH@sh_jD25lSOUqGZVOH`oTSwM3`d%_iPp18D6a}6W8Y9+9zafKCWfq+PHvLw+Po3 z;@Vu|Wr-KuOHAPQk+m;y?F(F6K-N~`+Dcqo6NUy4{91otwLRjv0o z+1>mIxHklF!Rk!{tJ>mC7Mgqm?#<|MGdld*&#Dw(v$Wtp;NB+TVgUDcg;nkJ?;ZAR z7jW;8aWR5>H_NztuQF)hYZt-22OaJ~hYL;2YQK7PR)J&SK8Vf^VD;ym@UWEowL?3= z9T35NIEaKi)-kVD@&5wu?=aSP7%PiAJmJ5e_Dz0ppY-8k1~k-DoYxUXW#4TDy9 z!;gyq?whK%_Nx~c6S!}ay4zTH8|(1Y4eNf#Ivn`ie=6GAT?rTb|DI1<``dzlso?%c z*47@F@h=5D2CdEm7W@nA?rYIKc|`E!4uboVpKgLDw=8x3vo?70o57P$0rx6mR@eld zLVj@nD`{p$6iY{k9c64Y8^rRyFo( zW=%x=3!S{HXlqTet|`{F#+A?z>uDVZilI+*b< z1w5Ti%&c=@^egSBf~ONU?1T-ws#?SMy||db(~WFE4nK>m~ z@PD_+%sj^GUyt4n9yy4M44#oB+DLRVQUuRv5^anH|5Cw|!J~~gKiPba3q0en;W%vg ziJ=ojmPXFgVEVdF9++M*zM7Rlf~O`_rG z>cP>~^Ah5OyUFL`^8>%T0G=;=xS&@u@OoBZ!&TUDuA)U-gXOCg@O(}*!MUJktq7iF z2CZ&`ii-rEl_bC>Y_-XPUVK{JRuMc~g5dEJFAA1y^MdDV0=C@;p6zDv;AIRf-(>>N zPCs~dla_n%uRQ{I_8GOh{n%=s0-gh2ZS7zX7a2U{@Z>ox;9uwuYYZPl@6{GVF8r10 zApGsy|GfAE)*&+16i+@i^)O^^z)Pi-{h_AN`(Ehb5Ya7*9W3+LcaVt_Ui=m*+_Kk& z&?8o&TNZt7?_~ULrL3ZT6-9lj<~Y%d{3BFPg-pMseV-L>*~b@*)3R{eLam2D=1PP1 zr5KG~DW1p9A~RE^WJ!0Ihq`#|Pm z2D#^gPa$A;g;>zc&X2x z$7R+(8`{4p0hyPB+N~p6%UlNNQ-*DOM9X4N`i3-t%!{&iYf89f?^?k)K8w~nXgmj* z=K|WTFVyEvF;2@O3)fFP4w*lR+O0L=mYr4w;$z;=1HS=>ruF6&wcTt7kFu9lkSlDJ#s!pIeQ*5#^KEW zO`l@;`h=s%S&aGI7_Vi%!V6#g6fy(IS%CTcD;URT-txsdt$@r!$O+6R_JHtc6Op4l zi=Nm&7cvjveRY2`pQqy~pZjzlb4$qF8^l}5f^^$|3dHf5>$^2$yFlh{S-Ulk>Y2|M zrzM=d_vJFk+-cNqy`w(yo8N~y4f88MmkycVpik8wZrR}jnYQc$D(jy;Hm%zW8OtTS zgHh31f&n_EF`p4Fi_QFc_87=m>eKF|4!7*{ixS6Y(cMe%c8ZL}+I`(}xMlC&zBnz5 zRO?nH7i28NJF|abEt|yiS-5qPQr#iL7X-XbKJ2sCK|>s$g{C)ew;D3$nE>xer!Cv~ zY~#xV4W$1D6`U+Q%#9;ad8wRzj%<5y9oEo!)B$75{o=p-que|}Y@=o0+xF8<~$ zkD#^0@w4M)fkuyLS?tXwt_?60FT&t2eZws~*H_}SEZU-cFZ@CHZ6Dyzg{jYP>@CVHJGvwclwB>_%Eej9NRWC0Ly(nO7CET*}JMp%t&@X3dl*1ojo3XV&+_KZN zmN=XR?>`uG4TkPQ&L4fWWg#_A%K{7M9JmKVzxD$D9Gtey6EAxH>T}*%4?{N~=QgdO zre~+lLL8s@zRFeYZ~Or~a&BOpO^k6`<{hI-%U~$ZL-6PFVV@nROL1D}$*tV)0zO4#>+k^w zBDPj(%fazfGMwK1TrJ2@aEm4c!Yw=Cmqy{eqwQ%U?VoV8Td893@f1~iW(jTC!w{#X z!FTOh{7vT(}MTYe0v0t_914??-36=~TBU7N|=WCFL>ua#*dGC9}CtcT~!6VZZ{ zg)VJoFii_Ge zx#6vWug0}hMmLNZEYo77P|E+^9`KGR@aKOxS{*! zvdZBx`HC-G7P^Bqt2`e2la+88-T;g3GZlk&3#?X#x`ggx&obdL?S!h8p})`#@xEqw zMBFEY%kUO@&GxFO-9)dIp>61X`^>G1cF(<5rfKk67Vj6Z@4UU=YPgtEL-lQ zWeZiU-7Y*relCW~LO=4!s_D~y=o2mr{YWyawy6D3lD0d6e?iu3G7S4ypzT_#T3H?Z z^(&iYhJIC>`I-rag+>o;+wPjN-LOsgyW4ZrU+6cyStZc+gfHx`*Ev77^QB|i5%i3I zqpi`X#VG05ekU9*3q7VF>rGL6Q~_;Q->kK(CjdSXBwQAHu*ih+UhUx`S{dpWdMZiQ zTev?YYeggm-s^)p=U267mtc`r7J3%Wkc$$e;}MsX8K8YWazaWTR&`Jww&vZ1*!C18 zz3tZ?5u~-!K48cN?P^%?4}3~csED`>J!cA^6-3K&VR7#^CT$NRFV1;Wdrr|*8QL9` zH!S{r9oC+PBmo}>5Z8BTCk+J*Kf@}#>uF`g_gIlWove2s>U(McgUk@2V^9BxC5uL2 zkTa0fK#mSV9}kbuA)>ITN$3%N_%x!(vie?hfsY}IEGxy&cY@@)B(JBP6{Oqc8`(;}uzK=78#X%&NsZO`3dRNOXO0Y<7@!T&Lm#4`l|2Ql z&pIgvuy;vA%lYpYM8KaQsi`pmzyT5sqN$Y_;9!y3YJf>C{ZzrE*6PE6MJwf9jLlHJ z_bdqj4ijjQ!~q>leFE4{VSpX+Az)D{Mg>RbnVt^;&p}dqF#$lg@$msivW+8vT>}iT zhamuV~W!!EAJP%3lOFDpKf{`%QF}Z-xyNWCerPvz<9ok9eM%jjTlKCpNC4KOB zGX?DP&@%>;`WPqo7|o)iVwncvDPA5069QZlRTcu=W}>!4!J#G! zm}K|HD(DE_Tzsw?0$zlqp-Ozf^z8z5sKJB)_gEs!LJA)6N0t%5m@za27*J?C0x0u7 zI3l(WCXKTAyLH49M7RV=ZoNJjow^Xm*$I^p@G>Ng)lo1;g%IFbZ)9Li!N`vWtpP@Uw6YN3xd1KK0JX=9g%6`2Pm@D{ z9SR!K4e9l<_Gd_%^l;Y;Z9Mm*`8FxoJUw(aqxsj$N}IK^pH*#L`;amj{}0a~XxURp zL}$cW8R4pl|A!9m_(VX)HNR$=YuNY-Bu(`_)Z++Oc*a6~XPYZLr>9)~doiy5y|`Y} zHlK=rX>B#zkY1s)60JeYXs$n+8? zz9(YsHAtFc(Bbl$G#}b0XShP=RhmD-HI3^P(Z8OUb@2@hcpZ{_MjftCCC!KS$r-NM z=8(5A*A9{CwL@ik-9G;Y&TiobBrP=QaLtpcpPb>EFHnC(uVVt^I>!5bFV$p0u3sQ& ziCKqhk(c_(8LrUTx#p8_E$}g}g}i?mPObJJ*G))TF6eMAS96xj@1y<**Sq9$gmxN$ zkKSW>9NxJYwt`KKZ!HE9^D^q zON`-nuEUlki}0Q-cmPSi>FH268~p*#&%z&~!=GG-zXB{5zc(3h6dC@-=c(%H(15ym zjQyffkQx()zh$Pw12bb-ymDqM19n<`I;*0Si>7|+C-Gdg^if;F@UKc4@L8^mA*=bT z$B+Ra*I&13Y3o>BcldulD!p?Hw#Ftwq*7Bb|)r`qc2@G?Lt%8ovaIy&3{XY2))12tFL0{;*k8dK_~Q)Y=q|6B=kFSMCchx(j*Lx zk3M}zFX`V2kz1obfj{AkY)7^lUrR#ENa%Nkh|oRr^c;ktOW-SBWSy-(t5Wkv3w}~3 z`6Hd2;j`5vx%79`I5~^hCc@B%Ytx_S?3G+Sv{`H!Nd8zSXZR5JNQUg^>=}vrBV0+G z%j#qO87lP~hFniWGXC5p?wKz>CZ76vbiawG4c{ihkZNK5W}t=jn;RFmypIfJ@p1Dy zS7`8g^pOnN*QPS_N4N%K`0y+*J;<~f-(&Pjtat{WkT2+H^P(k^E3tmVw}~(e_b~=% zSQ|XFW@StphYaQL$@!`d!^?rkXD}P7En#qT9Y*+>4nLY+E`k-$;&b@*ba6@Gf+3oLjc;5}&3E?uX)_^;%gec@icFg)ZYvFt3l9=re>4}pdKJC{Tl1B; zHkN3H8AhhfXW{(dG3k?<$}D7f4&QxXiDqEhw3D^?+9zkU>8ucE_z&s3vlPZfUyP0W z&!O{2Z(xIpkUTpkXG|NueUH>8eBYxMQ)?IMH+-82gU`em7MNJSfstL-Bg6Cfh6hnc zoAVnbD8y<^LWN28U^p2gCWnaraiKr}rbnOZZZhKr{o> zhWp7GZN^1&9pX6)1erF4Zyu_RTrcBmS7LI;w3%R}+n2aDnE`4`v^nNu+8nnqhQ`O| zT}OuM_!1X2S{ufI17rA;IK$^YYD*YS`WeG%KVuj)Yr&Jq@CqdVAm}hGXMPfASS3X< zoD~_vIj+OrC1bU5qz1lV#;3!u)=&K;&agqIwnPW*VqADGeVOafvwertSb?v`!52OP8 z3{`hGUycklA^BH>jt-$;;Az{FI0K)D6NWn`#_&ggF^s?Q({spB3*Q1I>M-nOdM3`m zk4c2#FPSmi=Q{ke?DdAo@EX2TO3`5m{krk-bl}G%!tgKC0pCr;bZFkZ?d!rz@ zxXC*E@Ku@mNjyJ%?nD@#_c4ab7RK=R`k6LKE5kVh-P5<;qw(uhwSI)mOynST-Dj!BgO1v+Whb07dUj}dJErg7mVg& z`_G+hXv61DgrSy&X;V9#AMCq-oz1L^3~%Eb(Y(NwllVTx=bA*D zhDMgNMhav2#NWLeGBm_@6nG zh3VBf+?PlQUd30@NSj>dO09cZuosRmG>jLaul5O@cc8 z3tu%z{p1YS8z$DhFKRLtIgt`muk9~O_lX(AnzSyD*$kiND`swvAehocmxZbl+ zFrrrq=aP8;`n7NQUC7k}-{`2eFQA=i75GQ_CU??3WUKRLtI$4~tcuHg#fa`OJ= ztT^rtaD~7hRZ5Ye}qfs{c8m8Ur!%(tw65U_-0ML{*@|IKRLrS$V>eZ zu2CkY*I3@a4&J@q0J-qBlB4wcS9*Z@$r&z(iTWd4<9Pp?5Mcd&nW5_!$khf?GW7bF zEK)x?!!=T({s`AZndvo|_phe&z8rvDZSno1icY^Dydwh?o5G@m%lFkTHa}7uT ztExEnTH$M1sVxaTTZst0z3T_}Fmwk_5ut~!xmpB6cf!}v$~uC79_3PB@OYs)HreW> zk)cxy9h`%qJ44D+z0gYokz1o5I!>OHZAs`&{)o_P&a8e7L%)wN4G!vrUhk#m ziElN>CZV@UG&G(bbgbF%Hw@hk-$JZ6%y4oov`H}}a4TD!{Nsy`>822z9SX(4VdkZS z-*rHo?vS!mZ(rXcQ1eGC5wF*O?W49N^d31P^uf!GGcj}zd;_!IzW$AwnkOEbW0TMa zgox1XbA5IWLw|s;bk^D7(w7JSTj+R#?}*y!E-zpLL*shq_Cd4yK)cK=Lds!5r|a!z z=6YNGjEFA7kBrhVwRU8Li+I+Ew$<8esd?x-6Nb7l^oNjgG^XpZ!F8XYxzj!ghqiNU zBKUEE9sPe0*sNsr;8RnP;Uj!eb&T@tto?vU0KyEU``ZusBFl7#Kl=$avR!D0tiAXjgEop(To>z0@L$r-)wMCh3|u>esZMWho7{}^fI#X3t#=t z^ztlD8iZU)U@f6De&HMEbC&CwXuY0NnOs8T6x0B?t zEbm{>^8WSq{;m>orQqxB_4?NfUg{@j@>qv$C*i6fFuf}B{&lD1)Nhf?3f2mG{i~ii zXSv?CP=AE$dEUP&2U-8RW2y8za@oLINw0r3%wfG6N9$G9!t{EH_pix;D?cI^zFN7e zsxy8y%VE7*M(b6b_b)T=U-JgOgTHOhl?vACdi|?)4(rv{AC zdT(7H4UOkog_@L{jG+gDwXRO*hwM#Mc{ z9K@Q?hcFD68H3Zz7?SU8eIFS{fOWW{!w@i0KZ)ybOr*Ai!KE?=57*(XM(4johLK>E zO*#xG1nMVohEp=NB@83E4r90uU29*ejtrx~I#N%E9~J5+aR$6f8vYQ5v0R7oUY3gv zQ||eZVKi9B>FIFMNBumS;Yw7y(EOpFOl=9nCj!gGq#$Fsp0CSoWEcb1Ph_23ME_b> zca|A`|+emER3P1y1gATj0NjtRfpksGu@WNb>MRk!Y~6vg^w3A zxef!StkbSzjsxoqL5JaP@bMY=+=DR8;yTRbI+Sa2S7XQk>nuGT?qi1ueG=D!&pil3 zCf6a$&vLQrk4mesVmw$gb#w^7<4mJSoPp0h2*U!AD=cUXKhDz`DQ}KNsOQ zvOOLHpL-C7&lSe7OkxbrRV(#6GJFEoB?cXa0@>)3eC|OQmYW#EDz3w%-3_&`Hz$I1 zxv0ZXI2(PE&pil(pX=}?*TJyngf>=B0_$o$9g1h8Px843VOYm?*brd3$R)p$jtpL~ zuG7=u$!sw2xd&nRN@ls(VrC2%jO(T&!(_02rRe0Mw50V%?UVR^!RH=?VXMj*6fa}w z{IaDmGE4#M*Cri?XUrjj$6?@e55n*bKfl<;bw~@&(5^2}1?zTMhoOA%@frBsgD~vo z*NFCU9cq64ZDnMb2G%`#I#kR?f8cWu!myv~@NJOgV)(qVZINL*SoOXM4ZpAR@%jUw zdk}`hGQB#3r`vmu_gRdgXMpvvURSL4-@0P>#XA&{9I_+ce?meZ6Cy&NZ**@XhW-?+ z$Mmv~v#Rjtk$XYB&`yp`LZ851%mlA`x5IG^Jrk@a1f7moBO6PIgH`V7r1)Rr(@P#MGJ@D7L!{caY?hYYj9deNk#!+X???qI0T(8f%S z3BwhEF|@GjWDqVid#gT!*_Bwr>_29e4v7 zGQs-0jtl5I=k3?5$;L-7F1#S;P6C-1NMWD_zh0-I6P$;Iewmo)r+yM=$nsHJ!tfl|p^}&NN$6^OBh~Y6IWZ+AY)in_1++4SO&JrvQ94k<1Kt~=P;{HQ4H05 ztUpw@us%7dZO7Wk@CDeasX7d6v(XK1I`~tOc+ zMWTN6a-rWR6_MJKT-4$^)aE*TQlMQEWLN>VT6#L{{D1p{KEqxiilGkI;Y~m558pXw zPr{0oV2k~EEByj7{dAD}(bIwS$#^fmNvPRFP z;aixH;rGSAXqQ&jfvvqkhXJRZ;m;!(;_Znp5U4F-SY=^4tl_yRf7JOjGOPz%C!PL~ zzRg7aB+kHZi6jim*b%Dd3Lonab=J4@A;Si+y|2UID(L-B4DoW|!uu1$AHuLfVLEK) zI;_98uO2dN1ltF4{9L$<|BWGTE=oo-eCcNl>qVx+@f&@fLWZxv)>F`7C>@~N^2l7o z)uF6HZHW$BIKwv1u*2WyZDiO4wvP=u46pn*9pW)Wyyk~6?2?!cdreG-EzO%XK!(j= z>#x@zUS)bFtV6^P76`*WnK2xc8AIuV%{m~%7O+|M7+y0`JrieGEK^&;aLCLUj&L33 zjK0wV8McCLkY6VkcorW1B+L+T3zf!T8)}R=R9(ENYghTc0ozEu&@GtxqeI7;8@O7@6q|$|Yl+zETQ86J z8$)jg+gPv8R<|)y^GAo?EJn5?heB5;6D=d5Cm16_4`{fh9fsZkwh0!U&|QMmJn_)o zWNJ%7PYOhY-f_I|RSdloY+j>I=nwwmR-f?EFdAZLt3NW+G7@?!8*FVed~A?ey7T-p zWY`6^sloUR>GM_U=aHN5ioWbf7}Ccu=?KG23ms%^vki>l=UnIQ$gmr1Gxf6H&-B;~ zt`vdV5{5Y-t)|(C#ofKy3-biU3Q2UuFy& zmyK_P3&hVK)Z3)8~uEScDF%+ENp(ZjM1lt-t9p+?%!57Vdzn!I5 zD!vjJ!;pzL$0EbGU|S#1(P2S07(SPybl4PR3|oDS;i=l=zCngVVB2igVOYj;ns_c& zMl)=)Fb4W?Upzk&r#MF=!(p&(^Xf3H&IZG}XoekJhuvIVfa293_nnWkPfG~4rdgWiv#E1D}oH)gYA@_4rjd7QQ|tN0<|SN zoaH*4GckrmujIln{&O7%+gUvwE@Xq@=V*rW0hWtPGGn-Q&vFGBPJr!#Q70GIvcYgu zj?&?0Gh?`_GKS*4ZvKM|C&Bi!q{DD4K>Z}1i{GOeu6r57O|FB|)^i#eegNC`fDXgm zY%tu9W(aZ}elv%3z@O}_YM%Z88BT#M7^4II$&PF=M(SWv@T61D&3r#n8@Y%SX&ahT z@c9Vor*~AQ&7a}?AXl;S8-GQv(_s7E6s-;8dP<1&oqaAoM*!TZ$yqKwm(jSSTtUW_ zkN2l{cW z^!nFJLe6sWxe?)diubQFLDuiDTnqL>uJa(3((7L}OgYQN=SzgEtdHsSEbm_%^R>Ez zTo*tptLpUono7=c@i`RXsvt0~ioAb)S!#rK`Q#!<74-U7Z82xL_`Hg6RpMM#c>kJX z==?5nT>`0+UjKTNV&%~O$md#wt19nb_4xQTy42`<$aNW{7xnsAeIEtOnf;N^zX(@- zmE}?3{cGtf)3wV#KZEp^-uTr(&RH%#CnH=9`S{g1!1l*MfAsSp*Ah-V2rkv&C z^EATsuFUjmE;Ft&9bb3{xvqlruA;L)HdS(#i_hH%S4%VFY8B2So*SK)D-S`gYaq3h zqPgg|`f^J#lB={C54Pz)t5IdLHYc;tBC>ywxmLv7jWAev&f10dJ!Cjr)4v88u7hNW z$r)ql5;X@*jDgS72t#|mpLOzu@`DV^E00==3^zdP5VM~#hHiekfsdYZ#?yh%$p}Li z3(G|}uEVsln`a=yFCcaC>f}OX&J$gS3^s`|q;VY{wA%V7GTa8q z=GS3J^FKZVpBoW|fn0~dT!*LEtnPyhzkxJRPlv&l$7kU4Ai|JtVmb^rv0OAz*J;;p z?tql8r^C?T<1_F%4`IMBHpACGgc^uFPg9f8MZ;PV^8;5IXc5h`P- zw|vbRWcULlx1`e_+|uJS@VN|O80BRQW4R99)7C6PhCe|X70_WAWqf=FK5rom8C-`6 zT!(T!25IL>cR|X~(_yUt@fr9Wg)mGMm=2Q#mWvZt9;nFh7f2KJbeLdydBRa2U3*B<@`=~J%` z!(58+=&#`9cno~*K^SKH8N)oT!~eFwqul~6t%H{s8tj~DTD;Bybcu#oHUxyW)+ckP?0$nXH9g?c(H6&{~~&pimkQX|X7 z7YbuA%y?!DGW-kDQj1P5R%C;L&pimk3W+iJO^l&w*L>{a-w0<<14tdOaP!$_4|U zdk}_AT!*bP%f;Pd`FbEjZjd(X>9AEcgg=Sz7kutP7`B;NF1}G2!@6a#1sU>yv`x~< z#W&et;Bybcu*1t3b_mNskf*^hG)yc*8*pL-C7vqr{nj_WY`E$enEa~-ZKEEjcZ-`|f6MM3&m zPlpWY@frBsgD_l|m<~5hjA7^UI){*<7)aOsI=Psf4ITL0gE0IWU<|iq#!$vm6t98g zE)G)AsKYQb8#?g02VuBlW(0O>D19r)wT65luZ+=DRu!*%#qWx04Tp~W}I@B~Qz=;^S+^7ws{&pkATRPfSV zq~;MALm~6pI>_)O)(3QQu{In1fzLe%L*5``DBxoZ@7Yg|LWYu%n$N7musIt#@VN(J zC}d#_MY#@(y}3#u!&8u2$g9J!%lG)X;BybcP>kzPg6oi{Rf|uMp%kPR*VEyk{P+xf z?m-xyjEUK0X7Vdk}`wewK@}B4gN_Hvcj*l!4UJL7iNj z%7zYn?m-yJ85u))g)v;%_&1*G<$fAc%UN_7&QTfupY!f`$1Fbg&=|U0QMD<2H~e96 zm&X*z zg#ZIeWSM@7O9yB>lHz(2RWS8!Uo4=Yp00DxjezALwZ2iO58g9ThYT=%k0r89S3&t7 zHL@L1(0M8vupw9QT}v!rQ~$2ZWwp_>0;D$5QP6D&Mg}1}i8~K1B6~3bjQCLs>x08~ zdTB$gERFkg?19JF|u7K z#RphM2w-=S0gB#Ozz>7HyH=fqfE6LNhaoVzOwFm*~SV5%g2 zc;>xY2>2qTP73HK_&oJTlp%mIFRc#k#e-zpkSYifKYP;32w=p|s7N22W@3P|OtF1% zws7~(K_dcIgVfkBh3IU?9S1PtH(y%d5TKLtu@pnZ%kMZ~sze(Szz67B_!6Yf(@C+ra*#UIf`oRG7yXfC1khdG99c$E>^3tP1{iUeB!COJf=gl*Y`4H@ z9ad7i9P~1zE>d(-Tq_DD1Xx>%gb4xGjVj{Ov_#p;E*KvFB z)ckJO5wJF-{-Tp&cVk~93;}e0rbLzz!1M-z$TD5Ph-oYVycJ-8e`vcz%)XIpV_xmM z`8Oan_S!lf_1sPUk%2=BwqR*u3Oe}{NVPK32b~+EHY9Z1;OGSKZjb>!Fh2}<{YtOt z2v`SF|MtdDvAb0iObD<|R2fllSao0Ih7iDEuUjI^LV*0VUjs}7reIp$SirP=-nJVr z{)m8eAq`&)7WJGys$k4DDgqcY5{6QILXCtWfbm8>cLyVFM*#C%sDf!lV*6lP(V%(Z zsCEeWCZrY8*-6};qf`h1c2;N*mf|>l5YpTDBij)LojaL70$AL{087SBt`P8Oo=g)0 z{twbhDDf3^;~7f$qrqe!aC9_aDS-h#6FbZx;H>iLg%PkGq?OSb2i-Qx$0zs^4~0%# z!6DIr<&}pHY<<27UOBo{yGmIf(kh7Yg^M?3iF3eZ@c0Mad1K2+W+UDYMl$;X`2sg; zP-(YQx^VZsCz0zdNULm!&lT~AJC@Lxi$K~g5&3x}m-DDV+Y+u<9zNjqS}&|V*iS~T zw;}CiS%)jS*M~Y2_8H^7Yb3vW}Mm!2g z6Dc&7tO?SmgA0z+q;Bg;a7pGvfh04AFl zpw05If*&jyG9Lk(LRzwtO$9SpU=BE6pfEhe^JH2^00;0sI9PlbFkia26#_Pcv;o=e zgOlSZxHPIPq~Ib}MgWHiOhJeAFyQ?^PC5~=Iiw9!v#H?BI12iGR4uOHa+Q`5K(~bf zj+7q;tYQ7MBm%a8G`HTNF8ZjUzZYjRWq67=_$dqr{4z+(2;f+e0gewm3}_i!rU3%B zgtT#nY$_OiXHaM_-bPvY^`N1f1zJb|C;1uR6!l?1!TFj80pEi(uO*v+(YFnS0CyWH z3|DZwg_aS(843gZEY3LCyZ!AJ2-phJKF#hpxK^Tpd5VAZMV5tjl6@jABY<=HIGAO4 zSiyO@UeAYsts!k*cE`cZOc@S%(NAHxf@+YK5x|8iQ*ep#VZeo^+uEVd0%?onY^HdZ zj|S#|*Okb!kb+le5xET^?Ta7-Toq>=Ty+_=lixOww%nUdz=JZ4%mIHhMwW#De`QJ& z1-}#+;QBb@;6?k10tna^(!R{@ICxBqgbD2=eD23n{0D1C05|b*klu&SE>7ZXru(%Q z>mgt}NZXX%aS$)QhCfWfVR?keGNRxxgG|c^;5WiU=ay-^%&P(&8zWbHNc%=dIQvwV z2`wHOpNDT?zO^zk%W@A=XgtbA*R}t(GQt&cEs=2TQCVgW2(kOdLAmL?OCu1l1ElTM z**D^y{Kiad2;lI-CJjXUJR0y~Ak@kb+BXiH8Q{@}4j>2RHl0((B49^II~<6=Z~SM# zQf3-ZoiJt-@HzRv0p9ZdA8+RaFGKbJ|9$6A zDYBI)iRrHhZ4yzm^iN3ZZ~n!^^(U@t-G6kiKf~_bzt^=9MbZ$Zl$KKRQH_d3X;Z1B z+K>8F^lyt)Qhx7qX3sgZvopTd_4w`MG2D62Ip_VH*ZDhV&djiI6!51w2mI9n@P94q zkrgn5pzvo;H33~t=J@yu{+X%ZAp!WO1z^|S`z}Gi!BF^nbpiXGpo0HqDv0Y%(klTA zk68eI+tB+e0uF(~qtyi*${in9!Rg{wA<5#R(>P#JZBKSBexO}@6#<7r5%zMHv!^Fq zNjfA?D2u0`#ZQC+)=4o1i|SbbhW>r!1q2)hMdpRR>~i>o0Vhi*26#@2g`aq3E1gH5K%`nd9SUannq|Mgs663&7R?*1^{d)EEv$7gQGz@1v!E#|OM3 z#==nrkvCmQiY~PPyljw1LckGF)U3LIk0+Sp;{)F0V&N#@6#}qDEW48Y9r&;d0?JTy zWpx3cQkmo919r<)5LZ<&1zi?^eU2t;AmDva)H+ekGMG7lAfqhfk3iGG!t}c{0ow_{ z4i0vckGp*% z0*-;AJ~jhZ(~2t{5T|j{KLV2*;B1-2rGWPcz@cV9yq$DLV8wg{91BH*>;UV!S%L(H z0#@gC(gVdjJqkEn%eoXP8ky|h_fB$GWE>QYkgapJ?E6#}*Q^I5qm2q@x>;%4y38ER z)1zFYy;)p%uOaYaCvyAkekdB_wB|B=Gl1)5_<91*&>5d{9f-}AtL)1pJ15FDA(6#Z z!A3pV3pIfJV(J0J1Z$K*3_c@ViK zKv9uRK3d%=x8xFo%;V}c)6KBx6dt0nJ)3(^VtY%XA+} zFJI5{a&ug+sbXq~=Hm>Bw;Vpn+_d@SYxj*_jDQoNNU;Gt;ihe^$`oOMFl|xNXrtZh z)XF%sQNW;+14g_i!2TQ6|9J-7h=2u96pULdSblnj6RKc&*v*^}7^-0T=UECu`L7w_ zD4=0IClNN=&PrVbcLyWGYA& zvFML%6+L1Bc-7R?U&at#C|Z!RQSc(K(XkGAWrkxN@J_ce90e>Nq#12GAdczMKdRso z4+nhQ0#Mtt3#Y7WOopN*c7Sq>B}lSU2OO^&Z93q$Zlg^HoWWs8Tpds>+oga{2*4-J zfO-FQy}WdLV+1UOq9<$sXAV=0jwztw(<;0SPJ2x?hSRfnMZ##)0lUe}MgdnPxPs3m zvddtr-LJlffJIQW+H0M~Gc1<5%qZecIN*%gDsxP16mZ5ix6!5p-lj4e1$;im0oS{- z0WUg|KN$gwp=e#q1~5-%2@=Ot!5Nd{MjOrI88cEwn+~{EGTL;&dNF3BfG>+I-sH&! zJiqVfBN4C!ie9nF;u(VyEI|R7ne94YL@|cb0X5lZ(*ebUA{6j7FIVtQZ#LiyEic}K zfTd8h*=eI-*9^zHf_Hk1j&;Ctx6!5pKAmCH0ehxcI10EW#sRm-vH@ovIvvN8HKst3 z`IRGVHlu7OvBk+1)SV>Sx7&%*(~^OyjOzK@OmNNa)ngRciuGm&wfPmAX=%8Yw;OtWjD7)ARQ@}q(7XK5=2K@22&&j=+8Blb@E{iKp zF<}2>2H>$cQ?R&ZJR5Mpt>;fbz?o2dn#V@L&?yGIi}BG*k>c734tQ1~8}RE+4VxjL z0>yQ_Hh@u$rFd$};B7KXk7RLieNWc4QeLV2eapq9hnt1R?Q*s%#?s+jvtn_hjkGSt z9|=cV7vqoEXyu(gNM&})b$<5JPF!-D>~uaL*K8d1pzs=E;IW$ zS$b5sfhre{y~dp{bHSo_`62B*1dKxQV8vQE(*RFz{`$n369SzR;H)(<7MB8!5P+l1 zMXxx|abDjZn-H)Pie(!GtyZ?19?!`MQ2EWB0XQa>m9xmzPI26dTyvm!tjk(BtNSk1 z$yvighg7(U=w6?>D)yR(QrNLw*(FS>L=_ZIOj!e(?hw$NH9c>alW>Nwh*Q8qF_fC> zHPu-JJbz7{G6b9p#U(Z!n`r@%Ui4y4mOD8Yy=j-bSX>HN=HUv?v{3NzZ~plV0b@`+ z%|^lU%mqNz2fSLz0Gt)$fB}oK%ZmOA8H_#%#eSPISf056sQQ4{arLQ!A(6#ZuIwy6 zchAwk5pW(9N4?f%u-wHHSS>zIYU8AidB*Y7Fj&4??b&1a6S~zv&-UsJWEau zVAoUz;KL$|msn)+_(T23J)?)9c(Gj;4~?+|PYs}$r>0rFRAliAi!9#u{o!{IZ~+uA zv&-TR$x{p1Ph;s(z$Zl(ul8n_!PUjJY9io5C|(t}DT9+Gmf)#TaHxl+M*(p@iIu^1 z7G>~2uR5y`@L?!^-mVP#-7LXV132Er(xZSciZZy-B8z>s9Ssoh5h#AyE{k`^S%Rkq zaI(VEqkx-47H_u5;(~$~jw0Y9D1OZ@iyu$01Wyg%RGuCMd{bocHj6ASUo(Fs0xpK) zEp}P_lzM6b6`7?+0k?}Rem|96Ns7;&OD@wNh2r;IHkIUMg(Y}u6rAg3=~2KB61u*@sDde>;9;|ZINWMGaK#}6TnfbpZ4@k@nqmo_8o-e%OOFEnDHQz2n_UL$ zeY%URxPKgqkHoFZplO9t^|QE+mH~Lo!^&Vu*5o8Uro8;!b*&Ikg%YsI;;Ek`S%N~r z$1+sSX+&*!PajdRq;`x0o@FkBSV{U0Sxg$V ztn2wJcRr6?%b}!x!kWvppoMao7PRCh+|3D=5tOTeiwk$5xo#lforfAXLBJ=Vq@fMq zjCm4Ekmu}-WvbDZ8({Z1i%S775=Bq)nCb=sUi!$nUn1ZND7o0C=#@2P=^1XnUBR6Y z=-dE%^I}T@FZFT-o0}_d$yEvGH#_Sg;7TaD!fBJmvt>re6*N79LIA<^s0x{X2Gb)g zbpCl}CyAv;0b7W=aZSvmVBQ~UqkVt3MZhPaq_x8uaN6SV%C6$_lt1Asc*QA&^qATsG0sF;V7Wt z{W!#?E7(qA;i!T)ryRBQ35623QwJP0nM}!?B|%A7)U@v(3FvnQv0(e6|8a&J4<-M; z{r1a|eHD~+(lXiWLciJ?p8Zsi9O)nNUmN$p^e)y~N_M!K?rd9auSMJhv5_;?f5Zn_ zi)Qv)Bb9BdnMB*{_uc8JwEEPHp>5U+GP9lLfWF5t1k8FlVYL0Lp{?w646XmDjvkHc z=t*a^(6O&&FQ(wIc7E`wmKR# zBYB|f_#cBlX&uWNJB4P@SJ&|pP1vxvyU)#aybo0)Z!VKU}@l8eO z!^&evr_rYC*p->+6+p>s7uRvNCkGvUi3x!PH=>TuLdkSf=W{$A&E*HFp)7OtOintQ z(<3^T^^dWWB>LFvC^Qo~zRlx`@@O2_uzKy0nGf1N&J*QvURHVZp~a@3@g+9ZeC!L< z@i{1&qgeMdrg})*N5jj02)o`s4s$amdOo;Uy?Q+=WlYarN1>U}@m&@b%NZ+=gFMVg zYo`djHf$eXaB>}AaGC3MSM0%U%4650_kz9uppMT& z$#cmQ*RiZzVg9*}Q$aG?a;n#5K2%Qs(e#Lpru6J}6q*SgKT=qFLdPi{qfOUwrd!xh zM{FY4`0-6O2OWKhX=l7qj5@}l#|6a`9CE(T8?|>C5%4obrhNj9Y0lBT%lti zWwhx!RwacEb^K7Yk00jJK6XvcTXD4ubzBQ2J9B6s&F8I)qnJS+}UNyejL71 z)nj6uT*u+Fgl0m=y&6kT=(sRuwCOr7^9md4__b&szj5SH9{;C2c1+SfDYehae}Obb!%%cIat=$K3jeON#9v~0BLI<9pJ8|rvSa@5L_FJ{{;{_eP~ z*9)6az89e6=a_Y~I29!3g5c3^+H}u8C;4V%F5j+|$S$t6hH)Z70FJrz@ znT;32S>Jh$HY(pNu^fvGcS>s{v*r68%cD)N^1I`g(t%{E;zcO=*JCZ;j5|Hdt&k5S zqb)c2`k*-akEB;^`DO{JMCsd~WIlv^QO#)6k%Tc~r+fE;X@~wx`dYX-V zgA&ZesgZ9OM?N+338{p9KgJoekgtOKB{nMG)ZPXg%6FL~NBR2X4W5DWy#%Efdu`;) zlNrjXkuRSmr}r0_Ip&I*Q{|l{q!RM|5@Sw;e6tm!O)q_mBw<7O+In-8Z~3jgR-=3` zLuo6UA))EP9KG!W?v|DyC0hd9A>oW7*^&OyxYd?VNG0U^EzW#!`KI3QGTQXgSL78o zl&@>9ZQtX|e{G5KZGh67?ApF^H*-PosxRM+lrg>Pw|zn?A>Z#Y=7Y;;cr~%8yk-2{ zXxGullVj<7Q+=QX%J&MCn%6 zL-}Ur+V&0K_2Vv-ZxfW3*|mLZCFbJPl)ep`F}>=SJ|PvCZ#Ec8Rl4#T9wZa;i529u z^3Kh*?R(X~2qV^b6-vW)<33F`o^JI^-!``~y=u#6kV?o`lQWCbC)TnH`HT~WSo(0; zp82A!lsrF9t~yF~lFMP^J-kxY@zR>skD+|8LFpo!(l-?(+i0WXzL`rh!qKUh znd>pM{v+w>@~ur|*zn|G<{HIl)8%_6BV5fSkxxh^4zRn1z%O|7~@?Dl-afN)%HKR?Juca((So*#^PU++87kKF_`Q7oqE>95o-hk3i z?MmNKg}D&&{mJo&O_%TYjBtAC`!ypREqzl#GNxDku0u#Apvl%BpYqI ze3?UTz48jFs9!2yZ~RyP3HkbRnR)4(J-{Wx;oIu!#&VQz@7X=xL;1GAl+$h6KILjR zb0OqgpJAhwS81*q!_msCtj!3g%hy&jhSTMHRmrg7Nr7^WWVGq>z2Y|7boqo-LcV)4 zq&kMNVD``$i!0C1~7ZF=P$i=Ol!Y5S&JZPE5I`7FAQuCa%wKL0t&_clzq!lvz0x@*h@l|Uk=_Hl+y zFMYS+5&cI`!%f0{pruWs@|xPx>USMNDk0zf8B*z`FW(?ldbWAif&}BE@^wmPm%ig( zbxfKu^g@(x8%(+0rtLGGZuPs4!A^!uPFF8|R$WIg*%;2g>)0Y0!_iwsvkOwpCQ4tS zL8`QTvr9Z89G1Qwxwd^RYF@S!<$DLF+^X4BUYWZPrO&GC7#3$JqV!pH9b;q0aJqa} zUB{@DF`RwZA*2$eZ%Ts274nsHK4Q}=?+mX9hw=@{we7n+KA6n7Y=U*UKM<$D*V6xp8ym!d`otl#v~@^qHPr(g!YYsK)g4uHzAf*@S#z_D7Vy$I+AiqowZ=DO)~P`YbDN zn=$hODBn()GEcVdI!q6b)6zGsgT&m@3aH@enx2BErEl6b31c`qY%cGIq4giHywh%H zCOW{O@|m6qr}9nf;$;D8NlT*N*+ME&`j)d`hHKuHDP|P%WzIHFc}mSuK3CnQQ&GP6 zVajsJM!pURss9L`F5e)h(MHF8GiS@zm*v=;_Umh?X+xAN$J6kg^{ zTlS-6i}GSL%KO!7o(YT;OlwAh zVB&V3$i%Jc&TbW7L#SpFH!t`OdNE+=3<*M~$DufuU?9cenDfd8-$AIJ3qo}@@ZT$u zfX+B>Zt#y&$=>-ggc_tE-|Jz~@+;)Pv#p+mP?HpH3ixqmf}Pq;@pC(^KMz6@rq~Qq zJdelnH*~z-w%Z*Lx*QK(ra`E=Mv}kEiyQubH948k)p6Y1P<%Zp#Gy7R+!P31PxWmf@ed)7)a zjD}D*Jk&J-1%DA$L)|spJP_(dHMq-(f2k1aE3p(q{hW8~z6Mq8gN%JJ>OeO?G%$7b z;SbwDXg~rt8T>80?2x~ebf0tCKnM-SSc6g2DM{i?c0p)_29qAa9lexIdPFLC%{dQ3 zIEW98^1`Gy6@KVVbxoU%Eg>`xy^c*laej;)Dju(06KmKELirdA-)A3uUSfxW>y$Q+ z6e5&Yg3zQmOi?vz0+v6%tuM*%Me;f_Z}vHrJ$gnhb2S$? zH-r`?AQ0k*0?~NcLmz$*p{01}F-&J!%He>}auqk!e?@}qtyFMxLg*=aY!x0`<$?+C zCt3Ovo=`h{^Tv1xt;T?>oe+42Mq7tb*T$gY4;M*fy$3=sCL#2ShwN>{?UfjKzff2r z-Y?V|?~eKcLa#~?+7yR=Gut ziIIS}%J^3b!gs`Y!0zrwZSuRKNrFWqR0#KQk$^pM>k)%+Zx;`Ex3vFIeK&;f(qPdT zC(LZ`Ap!d&aq~jBzn2FbAT_({?+s{jz@mH&!uL2yz?2=Br}yCj%QyU;KWjw0ZJk_jFbaKe0tcYBRi5Z;@_%?pL= z;_OgiVJi52=^+SzgGh4)CO+z6X%yV=ylTU}4Iy&66C$-$m^7ECF=?)6=AKEtAcE~{ zP*aRGk^3qrcWnLn zqYEK&86LVc35AUu4hNoL3L*+5* z0s-+Gn?xv7aDO4bGP=oSUJuG1P ztBDrBZF~zN6M2eGRuQ8@N&De zNU(FFN6lyMhKL^%`w|cDOYsE1R=*$g3F;e2LHSLXU@vj#F7^FQ!-5bAYZ$``Lt@}|%*zs- z=8*pTCFXbJ*(Anr!n7JLeyB!r!&O6?L*)55l>dt*Wo^oV0oQ4`c_6ahMfTPwal;F@ zJ#=pa9@~J&Hmc;kN@CNtpJM1bH7R>`>t~+T}mrUjmVBahP_R2L_MQNE$n2 zh-^>6z!DGH+lk|zPc(?U?iWEVa5AzH9+m@qg+j(wbj`S0VXeSt*w_IPnn zsldQLU1aYI2_mm*;QND%SFlqHZ#(o1M80yvoEMVd`<7VA@UuX&%?m`>3JWBLF54X_>rE$PhN=phNdT+R4)dgts zK;)Ms6dk7C4!K~#)p(6_W{d^&*K^l=@`3>n!Hyz=9YypsP8_WvC2{jYv<|_K*1^3xxL4On_UdZ5VTzcg>6RgSj^n_)A2fkzeHAz4 zXq{k({MW@BZ#uUeqUT{O>^hW1E5G)I$yn-lK8Pa(-)EJL(O3hvLR&YGzZ zZH6g!k$H+;oiA+v{a%P(lElpm_m_A{w5!|@ZJq#sH;o6p&ATVE;Ax1qRB*%e%VQ*9 z8{BJyd$WkdQJ03B2k!45Cwtf7))p1+KLi4_*WeKMbyJ2v=qc3I@wK* zO~#Ou6^IrqWUs`9e`!#G$I@k?qF626)z%Hsat}nyl2B1U#t)sF+B4Fz1fnxB)(ng_ zl^2tWX^txos3Rac+l!k6D!RLPte&xHdq!La(SQ@86)HqSD)Ah~`^@SC?0z=MnW!) ziYf= zUG2pUPcA4;swxwtP_>6-Pgo z2>!@;Yi-GF8An23$p@4Gx!usz(xSSJ zG~^{QsJsMA#cMR=6?pt|v|LH|n&V&1B?w-fBJo-#aKn=urjR{s8Y{7BtaPbl?^+N3 zm4v{47ujov@!DaU-P~lag9MfB;}Cd1PWC#+adSgpx0CGM=u*biTKqa<~mDn~0?&gOA{iIt*u3rU}w_zH$CZJ+`ilot9!41>tK`DEB z@Gs=bqsMw35rPUP;id2_`sEaLuEd4Oh`a* z5kl#;D7Zwqc-OEAP&o+`oaA)i4;;4xjwSGq$KyC!_zqEs5eAG<=vx&_QZNRRco$;| zIZ}VtzK%F>cosuGA15Jk2yjoK+al!ISKoBk%Mf{5C8NT0$T2@@79s2HP+Q@M^T`Am zTBbve9<5r0tb6e1;W)5ffg_ry+$`knR%xEqzeguOMAQ@+8$DY7ZxQh(8(;-KHtG|0PGvpb2POJAjj`C$PW>1G4bDm=1 z{q*%c`VWOjC3>HiU}=8kvHoI2|o(Z|MtTuSJ#0S(-&txbnppCRq7Az4mDXZh^@77`c)p zX<|t(zMJQ!A!P0I&iG&@M8;sq2_zs1nRmo0WUX2AYX1R|k$6U9S;)zs$JiMe(yZxf z_wg5az3e0x#_2SRMky8{YjiDJiWincJ>=>-9kOt!*COO;Yi~d6Y>3>0oI^DhvcJP3 zBrI(c?SYd4UUHqE4moCwW)aeH;c5NKVE!)T?43-99DQ+;g`7On%k}(f#oMpo46Tz) zzLJnw-zOj7-VGsZzqj!34mj!S!q7@OWZ~KTj0_=bRrP4o9K!u#WQv%DJl$#Gz2?^~ zwZCy{+CyfY(;+9!uu8K=kHz!Og7EEeGLfAQIr|0GBF)pP7FJXY9 z%p7vDxH~oU7M>j^7n;O|arJA`vW+QnT}o^iSHEfvC0yjnHEq+cYs1sM`K-gFZi@w;0h;kKX;Ek=3iHbCYnhR5JOMw&_!T%X|u%_DkFJ$V*=~M8_!ZO~dVd2vA_X>u4$e9kO-wRNW#mM~t7MI>FST+^QLV(4^ zI||6B{^}w36dd@&bJK4>L<-QRu(Y0EdqmO_%^D_EcW^_K5)q_$l~m;X*pAEXKtcEI|&4OEQc$UBOIM^(@X* zRadY{ip3>>6TVS6;7#T%&c8-o_T{eq2>2QJPIuV=O8krk;N=;%+yJlg8Uai8@+>ad zlQJndCX_t9b5;cc?g3vN*&5KQl7y~~GbaR^0^({DqfJ*Zv;67`wwG8qs^H=zQxMmj zm;eh`I2JE|Ycv9W4!*N&Dv8N)Ydn9lqJ{l9V7lobc4D*7;x29$mjIT2t1`gSZ!{BN z-X+qsO;`PdfP2AL&!&=?nhzbY8+XD1aS07`%mG7pIgK`5!9HAl0yt&7!~xGVmq7&l zt#ZV72>1o~>Zfe7II}IQKHz`^gC>Avy$x$-#+wx^eZaZ@`_5M(;FsV#SF#4g)jupj zqKpnGyNouy430_~Z8VE<)sNApE11v2Q3X|v0pijgQx;Eo$MfrNQ)eLHSKw>lu>s6% zQglEvk*WVg7I$-}O`;5%nkXHxNMqp$AX)Uo6ui?6IC+?|qpb%8s)2L;4HX-}DJ(te zSO+XqnNa}F){Hh?!9a#h2dq?BI0BfzOJaZ%>zbRHys_G~jhn_0@W0@@AZ`PAm6s(b zvN*<_2*CLXV>kk6{E=`v;KMSr6TrfeZU$KRwA+-$g)`Lk-Y$P3;6Cs*PTBx2cC!Qp zpp}9F%@|HsaH-p9(*c*O%tio<2k=TVX`op_9L@L-|3C%{UxV*rrwyQ$f-4o~L@1c4 zst%Z`st&j+1JHX`l3stzS8(BH0u@{+Lt*>W&hfwXL#}VY*UW9hwK{`AFM8`3mKem~ zDlldwtoAv(kp;scse>-`J58UBn#5k%I`Fwf-@@xQAlLuEcZoNXt7f|G$HinUA>yx* z3lmEZIfB~XFaeM}S*v`V~ItUFZ z!`p7o@V3kuj{N*%Yh?Hye9cW|m+PQkyt1_I^TL9`pdn>=Ng}h8WN|W{e(NK@zJ{*% zgRhmTZ{e;R$;2)B9sl(8D;XA!o_@HgME1##x}NGbxSqIf+fsCW0DNs6Hp=T4OeA{l zt_3!AUBO)ssN;C)(gOwP`Umh`liixp3XcmBiCgj`t|bls$K{A*pZt!GqhI^6aJmw$ zXgu-{!I?BwWlA8{YS#-l5|v=jW85V;0#3*1jGM6 zz3C2Q_!)fd?FwjTg{6}_gFewj;?ryy<6`x5(wOvM8!Jh_-`1Xm48MTy2D_4at9rtFuy4tHrRE-ep7RHnn^BN}fk-e279 zeq{I+d^c(~HMUQZrIR~De-@SIMUjWjJCY|PiaasS@K){q4g6Z0yfEpc%vIc`fEdsOy_N2G<=omN!AyN5I!hwQ;Ra0+VEqf7Stwgdsm( z%+eFX#70~ftWFqQ_uqQ;?dbY%@by+~TvtjYD)}AXwM8*A%vn&^xTIYOe%ZT^UxcoY zg3lw{xUP!pj!(ihE}Um^sq4zP!FBD8bylG3f56w*ZR7euH;bP0S>q~w7MHqy%4=}_ z`N$#9q3eIa*I%-6{g9JI&)v1armp*WSc{5J_wW{V14A;jv!}W2_@YTjh4~L_s1AO-+Z5SRuK^-g@@M#6cMHw#Ry*xgdz!{!- z;|avC3E&&7*)TjAW9j6q13vq};t~e(oJ0Dm44-r03}5c~tR^y?2EL)H4a0Lho!lAL zI*knEsfjcLJ~hD^+PkiJ9T{qX?_R}*VLeYLcZLnI42CM+%THwASjUm);0;HIB128^ zjgV~^Ht}?FXLvnfWFSv;q;%VOQGMov%@v1cgKK66+45DqJ z46S9xfDc@8hURDAe+e?21-^X6hT#YKFvkf9#`=M(u*ZXSW<`e_Cd5QlwpF0 zG2korErfwQS(s+PCkr{lrXiu9k>Nb> zl`A$3=Xp*(FGSly7|0`xX$E|Rku!9ew!I}XGyvap*@mG}H5f$OLUlNYw^8`4Bxl(8 zTTgUR^L+5lblWgoR1F5vwoo1X39ds24`;ZjvDOwD8iH?@WW&&`8VsUsp*lRq^8%m# zX$E|Pl{0*rdY_D|F9csevthVaJ$VMvwh#vLs4QdX8RHBOwmfzW z85)Bxq}nias0M>*TL=SrCO6H1&*XB38O(SRk>{#ZcCm#gJ@d_ z19_Y|%`mox%o#pw^4-12&=h$TBK%x#_;uDO|9Z>gMXi>QyAPn)$0x!Qnly<)>9<~vUf*F3I2)oYvJ zddI`-*x1K)P14VOjxRV}Wk z+!zw#2Um{mK@a>drxGdVQYH>Z|%-}laW%-CNj^XA0(S5Un$aOvVcID81 zRg3G{q>+ofwI)5Dm{voS`Z&{$kj4b$)5NiW#k?CHJ(ac%(LmnL=C z-4}d=4~tHcv~`_+#E*m(uJuO&iH*8$Dl;YUX5_1idltIx2)_TC8YJf0^c;e{>o?uR z1^EfrTawg({}9)CA1Macy`CM~0bO?j-~ZIiI>cO?9>dAa^|p-sCojHD=l`Josh_4E zC9ZD--+oiG!d&Bfix|0`;1^*C_B zPCYLEo3m>JHgQc}1uT}K`1k&vMAx0sY?IgcMkeOl&UGUN)3qw$_`+cpm%2V^Tnn;m z(9#j;x(mKnIBuQS5xh1}|BmZA{qQUa$^|z(kw;zsVRU_{aK=b-AS|W}~kE=FJRNuCIoSAib9xUqI!xIqQ8q`f<-X{YWWs#m_qOO^WQ3A9ek2 z%8=I!f3DdaU3UfFF_(?&?-Uvr|H<9;J~xX?T$9)CiejdHG-MjO?uO=+jq3w37XA3H zCuM}Af`{fOSX}D5wlS}F|F)|FUEhMQ1-8rUpS&!3?yh4Bi%VUf8Dm`?zUNEtf-%z@ z3@Q8w8E(b*fF*2BKjmTR9G4;e+&KwL!s+EL^OgX4YgoFw^`Da-o?!bnpzeizk>NJ* z*SE=ieC-(f*)h=e&58lvOUB|-9nSM`hK3HV!;6P@;X0t2x8wV~W7az08_ifcb_}$& zv0}hCg0r}kp^?lP8q1vF+CNM0K!!W;P3kFYhS0+@ODAUr!{gADp^1|-G!r^pr2aA$ z8M@<(-aR%919*|nonfEK_$h-F=M0w#9bVctt~WB=iLa=))1gnCrIS0urz|RMh{(I{ zS($9E@x17*Ac@&~N)kB113m<4c|_m)b<@pF9J;;V(mn>qWh|A${47ZB$AA z+r5zCE_~^_&Cm;92guUNU59|i;!+(tVF#r@DCWOO=n#D4{vU4#x@ojfmYTQjx^GTe=CHnh{BnR?O;_-;fNm+H_>)Qj7^oZ+2k_AW&R5BP6! z*ywPTo28Sp4*1$d7MC*IA@RJpGr<`qbc@VHhCcXye%Xeh4NoU$27JSR218GUGxQcZ zbZFe|dSvK}pAXP%7+gG^+!@N$3sT_!A2nI^S@`x! z7MbQnf1$%YE}j?b&scX3GW5sSTH5K*k*AZh4)|is42D5To)<%7oFQ-P{l}1D0Qd(> zHhIxiW$EP3aI1&Kr8?Xz8Agx(Zx_Cft_R|WMeMSm7mt2i*Xh@_5LYCeK6-Sd$#UGyc&y=sxI(I>a;ovV%W-5=j@UHPV@a>_Kxq5`5wHIypmUxynv2~824L=ZI zv^6Ag4a@jQxK@}Fd8;oAN4aKMa8<-_Tk;p)a;`Z7{IgyEpSVQ5qFf=Fy{^F@O^@BV zaAS^07w(PY`PP)dZ(iTTg)4Ef6Xn9;8-R^ADx6_pLc&qu@O8k(aJq0}@IwLT;-fU_ zf!sW|IcMi9z5|}a2zVd(=elhaJW;^eUL%YS7;qYGI-ux{Dd0kS1(sRj7Nrh0NdAIc zBf-DWbmhT?3ulN#OB}w<*yv9eZmw*!>0A${j5eK1RA9=r#FJGM@I6FJ@>exOu2JA$ zVpkI$QjBTqT#s0AJ(>}Ya^WWzjB#}?QL`x5vTVJIysr5UKwWF*fq$8uUQ6S~v~{j! z8UCnVCtO4CX36P|)>GMBV>&v{ZQ6+RRHMQFRNSU~ooX)8{ZPH0F;z_H+8uh}TLDrR&-b2ijoGTQW-u-a?1=`~?Z#);^Fbu|`_0&Wt3ubTn= zS~6U?`E~>x2mVcVfa_c=L7`wthD}$no7)&p2i)Q@+H^oMn5KYl#h z6gxkOQ>T<>UET-b!PS$=Z>xyFNkyKIx8C&(4G zXhNdfVvJ32iE$|9O1OE6`!H!LasH3pzt^mg5pV+d6LxLf4zJOPE}WRfAddA6EmMu* zXoeadJmi4*Aukq=0)Fb`fS+e|T6>jRV}6{EfcfD6)TU9ftg|~)%!vRLLtSE{fcUvX zV>mr$#icX_#Fb90SKXJs1mleg*4a_X>#stI!z0PJfG619nht*^eEuB0&u?>(0?G&BJuQe1S|mmw>E(II(wENQAP*MPa17H;2x*ZrUQN< z8EraXog}kSz@HSZU@EH&{wB3~=$7XYa1!``maG-TcjdDL1>hNy(MACcclLM|n_jU; z0rA5iEG`8+l;D7Wdd-#Ouf&jH>t-UL7yQ3_tpV|qlq^93DBc@MY`TJ9Cyn8B1;3Mw zHeJCB6=tJ=M-{8q<)P(w6(QGT@c&~c+yR-T!?`R6PATq>3y0sAGse}q9&s@n;i@=I zw&I#!aBY3$Dujv}9vi(f->aoJDhJ~%ZK2m;)o9b}>}thm)49aOAmyrKF+d3=f1h{d zB;+c>FJQ!T;L6lS&&R(q80@*kfRu98kFkPQac;^~(DMH4YTNB})SzZDR5)GMfcWVT z_fkYIvkG7KNH+P|+!A4T#^sVUCCs9WZlLqE}uqAIJd77n6)}^_&%BZ3@^mp4A%T z9UY5Wk>gbgTkqd|4hcVi8;R4)70q@T4#wz+LeIosyN3Q8m z;jw8;(r+Or>GKRtzoDMl^qSDbYh<9p;m35@kHn>1={MdJJLMXf-HlZYaXpZ)G)1l% zP%$uWtykqGF=N_PFT>q%;!iJVmn4nh^cspQvC==zg&(hDHp(?DyN2RxksluR;dbPj z2^HpB18g3rvUxU_DQ|VXGWjW&;m#@NGQ2U6a*g5x&5AKuHFTW2?GmDSO$93QBx~V9 z0S!aYA911=w9E?|T{w$^hF^U(#-$mG-xy{#3OGKMRYUVGkuJGu>siP(3o0hWthw-G zPAnbHWq7)b*eI9bX)j`&8XUS{J_1(Ych?fu3gTz5m?N&B;p+m#M$4dKnFRwR zAI&nx)w6g_irFaO;|{Lia+ewK3GXEfyY5H80Ddq{wE@f=Z%_sC`&`Tk2Q+o1G>c8; zQU??lYZUNFY?V!1I4)Uo!Dmk(R}dh%*dYF;bHfIe>F)7!xauyd( zJzY5L8`ABEpyD})jb0rjqd%$_exBKAqg?o1W}}VjgpTJ&D{g*PKV)hpaaWj4z7dXiUwH{GU!R`Hh7YeUZ{0!E=iQ*4B*o#BLLsA;B} z0-CN8Xogz7@f|-lm;v~9_HYC%z|r1IyCGL4RJbUS*y*wc#E{leZF3Jqm6Rm$Ha{`ol8txP_EBKpYWx* z(;ECt^3H(g9|W9>pIx-+6Rf&@{Di8}F$FZ0LcPSbNg2aYK*M020`Buz2{&@#__vTN zhTkqt*$9^@k}h0t)#y(b?%E8SE?lOVx^NG2R?79g%1hjjS;LW^ZO3D+rAVKKhJ1{wiiy!?NGp!$k~Ikxh*-Q&YD-lhk)~-B4wAe0nO-GZ%Zsj z!eML_(mzsl2%zytY*fMUaD~|^;2*B6oW)M7_n+!{$Tc4-{;=UPbx@Sc)Im|MV0%to-1#RFP zsRQHtYY4ay0%v(_gtM41G+l;Kz))u4vn^=&Nnds%6!4r_mT-ad6D_K0Y(uVxA#kqC znhU=P&e9=*P~ogT#AW#G0v9ecDrt;MD}dn@~n~=Oqq$dZRTZLSYLZFRJO|ZHRXZlVP#tK%kvwBV0Acm!{55&slMkf&$(salkI-oW+^xP>;@K2>2L&w%P`;I>Ql5 zKyhb<0(Ns}wI$fbO>6l<0=bsrXP=Wc!VPh=62hy5Y3&WoS<~t`+cplrEX~rRT-`M; zTrbI#v$&+MYU-Dp5%6&c+$q}tRwHMvt{m_i&>4Vt3&4J6z(9ZbriWIN?p1|=d0jV~ zIW&FUjkd<7sT!)FsfD&}>O>@Qd$pYXwcS9u&}2 zr1VCmW|ApGih?a5en*~#qk#8$vx*)zDo@w^Nk*>a5E$XG=BkuEEFE6-OxIbI%d~)# zaz%Q$jd5w6#m|g08|BJNS#b^T^vPi4dIAEYV>Vo)B$kfg${d5}TxW3|xL&5-MCTHt zd&)Jz%QLhfVa`ymbHTob|3$zR5Xg7h0FLG-DgZOb1bT@(rWsF!3TN3%jN{=bV4nfKmk7Mmsq zZR@Pz#wb-V} z3OH8)&NBn%eXkvhd45H}ry(%c2GBH6*PG?p8gtIF7))iAC}{i%Krtgv0T&9uMP|U! z!DPoXSALIx&p=?I4WLOaJ&SMU?gii(5(6SOTfpcR9+v_>CID44U|y*_YQ~@|5O6gF z974e%(S>Aea$Rg%a8m7NF$T;a-UpK%obL)j1SAlI`HSdp+UdXdgvmJShwa+#)? zXwftE5wvcE%VNg3wCEY;04diqi7YO>o?W1hU5Q-JL14Alnk&33BW=ocf#~Ut~C%?Yp2&`9%I_NUaj3mo37VwNu$lapgpRvaFpxiIB!e- z<21D;flZ#<#~f*cfX_oGtoa%z}J#l8H#P(JCA&` z6S?9LcwMpPGCZx$3!35SaZy7D$C)6O~|}eL%A$w z!k9qhexm zGVS;kQ{3L9T)(7v#XRWAu9%&7AJq`>WeC`PiQcN^uoCV>D`xZyiJb@qJe)m`gBg1H zlby#R*9HjuVUwYzE|CfswzzVLoZtjl<)}=qf3h>Q-xB$*b@#4Au2&%Nk4=V}F8e5# z>9S9+0DF^62bQ7M&+TE;n*I^Jf;D2<1#NTc>)vaxMXrqy#Mk|0yib8$+eas{WN4+= z8`yCvW+V)R%lKnlW}DuSiOCGgRVS6jg%?=sckkSRT>pXKnK5fFs|Ld~gvg1@aL=D| zIeC{JY+&xv$2{v=a-`=J1l$C{b3E37mX`G}g)`igqJWJA;6)aI?Y%=5AmFPI zyub#~G?1k^YZ`~-rl7dnP63+=z)LLvCsj=&Q^Bu6u$dj;4;gCdfcw;wR?sj_MFFqK zt`fz0j{a|SB(q7cL-0zMbX3b@~ z*+KO(-R#IILk&~$l&fvFURV=WZ@rF8HEf39bvAm1B$rVeoh$n~l!YPxAHN9^OFbx8 zMOHV#F)eWt_b)R1iL$|6*OI| z=vkb(gdiscE9rv;plyp<2)G4;ciRDGP6X--{v|UfIV)(G-ll*9 zRIcD)Ga#11)QeZ0iGW)nIM8japy3;s1V&e|yLQrm(VZz4mjVvU9xox+6IBe-IU(Ux1zip7SMYh?D7Dfa)Py+u=zYa0YdDb`$u7x-~5!`Ibw&d|yx zUUp8D>wdS@xTL5q$&#AyK=A&gHJ52DN%JvsmXoEOJD0d&OSvYgR$P~_y6PL`+73al zP5Cl>iiYcDT7{HbJ~mL;IZ>{X>`n_ihenajE0Ajk1WRr7vgE2?4ZXxI70NX&TQB4) z9&*=Fd=hUk0*a|c8+}f^$qiqVwIlCA(5G1!G(!u- zGc@FtSf;Ro2BsBX^h(||jie9E&tXyN;|;-x0Gwk63|1w#@A8u6XFDMnu>mx+;T+I% z#qW6<^Gw3&fSEJCI$*w=g`)~SD8`oyB$I-{h04X}wjxc)`w*Px$w9#`+zD5(QaI*- zhI^>QMga}4o}++^96XDcWGVPqaz?{#pCMoZf{RktfL02cp0*`r5KPzF_6kl?G89yW zf=?vP3a*so9?RZ9zz-m3_bLm+;tHYyZR{$?Y0QaGP&noaT1{I}_E4bQa z27K20+QOsPAmAJ-M z9dpAgig?bNt}pbWH_n~KWq4SEa=j|X?3#HM;Hz<)oRwWhCwkFq znK0UD-7t+zb->KwwBEXiXAvmiTLSQHGa#Es`C=R_1!9OnbZvPw;+yj-xGCbB_b~qdZpg5=zpTjPc@XzGVrVDq# zoKk#c)D#a)+?qnDIps3UDNDhm+Hta{Byf{~ydE9*{0eSP2+oyRA{9&G7wkST2<8Nn zxOt)UcFaPU6O~|2Bo1@tsAR9ogMTGqKnI2FJ(z-VZVf6tbxG!|jmgWs6Vc>?IoO^R-{f&1 z$BQ0NQ%R_p%G0Qrs+6~B))D4xh~XyTds3Y&4gdA7{3QiWn6n8FZIYm1DW%t9pdOQ8 zQdA)+zJbTyz-Wu9T3eDZXTAz^w$ZcR7RSHbFlT#`gxrB4cS!hNbllM)eFvpmxBhfC z%-QM14TJ2W4t6E*FB$sHbdzWwr{J+VNho%B$=*H>%>OzDdH;>GL@Gz6dR{(%2+aB3 z17Y-C7>tvEKj3yC2EHF;viGkC=KPZc--~3g3X-^ap|XcY_V8^KkKBr3?jR1TYU8om zUMT5LoLANH;-yjal=%v=FX~GxxVaDfbp-!)LN=gu~K8s0v{*-{@1Yvs@kM*Q^0>NKjc3* zdBc(I7eiHB7gSxVK~)Dgi&fPj^>A6AT~O6Nftw7$+f{bRA9B1{ii>@#I>m8wL-6J# zI}~&~uAf$a2UK-V;if=UH}0$I7VpzXZd-~b4^(wcLe*`G!vR&dV>-8?=k6-myAzLf z$9y`XvJ`zswEZ`niP3stKzv_n)!kI?yA$}A3{`y{BwF7%Zf=-x*v+C%I4r+ZH*YLd z4T#|;LDe9Q1RU(e4gViXy^T=tuOt+8^0H_}onkF^U-~pujg+D4z7$lArdcrtqu}zZ zs&Ouo$hahKm{7ix?B#2?d7!EwMfN7CxVfNea)Rs?D!4gePFEVTM24#36h!X!Fn5u= z6T3zHtso*(lergb-RKrIbw) z6>TV8PHd7(ktIpGZLS?1XXl)=yXP#+8J9}()#`#MZHgpmNfM>$CZ)7VNaFwcd}cl~ zv%52X9eMos@fgm0F7NmA^ZwjF^O?`Yae1h)$isx76)P+X(9EU@Jzxvhs4vfWnF_;h zDhws4u#`(IgTyjKm?g7lv%UCBp&8g7rd8(|BVB!Ctx(YBBH&V_X0%)P8m;`*-o1+o z=Xv0b4|=Y2GZ_n=R46BDMxGHcPl;^)vpp5Q;6~D&G-FUom*JB;Jp0K;DtrkspHI+? z`{KIS5Z^mDeps0bmq6emiDnG*>t4fsO=Azg3m}CGUzVwGnS*Iw7RTkG!q*gb_qv43 z1rMxc)}e(8KFxRlLFajJ&z3#M-4eG5nLD{)YI~Y7 z6d~vOwU9%OT7}GsEg!j#W(-a;JT2syU6O^&D#1;C--9)rER9;ohwlqmgsi-!?VAf} z#@$|KC@tjJyZsg+D?KuD!2+5w0Gux%J9vu5_D7G7jyFB+)T-Om3us0kKP!$}$e}|M z79kz)Ueo3(D%lFoi6ZT4X3uyCL<7v3W9!;X!lFl29aA>0NgN4<$R_+CP zPFZtP+wF<_5Uz^QfnEUNQCFc4khQuwVPqJ=ZS=;FnZ@R>~UiIhPQK z+*Bu>889l~lz=%L12q3wTotfT_hEn|7paw{Bw(DpI=$30U_-k);QERRo{rmar5lM4 z__ds2dYL|zOU*&yrW#JoY2l`FMdR$A{TSEOyY$KM=w+FPdvK_8{FMEr0NhOl&!924 z%-L94j;VmMUsYiSd_K)h1zemKR|Sl_MO+TpSrJ8VdZSck(Q6-Q)_u|00Q{N?rZ{Z? zt%{ynFPI|3f-5DVn7OHdZ>71ZfNDKsVHx1`!7^`N%sD&tk>ncRH*BT^qfPVJaIH;~ ztr~8fVrEctHa!;^m-%OuxD9dP&bX!=b7gT&S(_YF>+9p-`i2Ug#lX@sL#?>1?3K=H zU*bN})5*BB5ivUv7N7mP&3khX;CxF3Gvq8BRpe&BNES<~D*+iZwMN|;gw0g5S+0@lmc{&-kv&vUoKYP0ROkMOR2#hsQ_W_|hUy02&t^5@M=A(;ty7KJ z@TnWz=uenxHcc~BuNr&Zg;2eh3L~(1Rr!eu%AD4! zF?$rz?Nm4PL9S)xni3Fkx$658BGvc=(x}1bUJTVgQvsHytxLE%bYdbZtlEUlRQtaO zu;!1A2*!(d2JxAd#T)1K0>eHkn4Pp{z(Rybf*k{ITeP8{U{%`|qc3C(Y$B~OU?QzI zGI#E%6avF9R4^xQ&48KsDKlWIF5)rP_t96JUzFVvxV8Uq%C zL|o2*k-A{0rRWS3M!v$<(to3Z1zsD5_9-E!!VFlh5OH~Vz-pE#4->S(7Ruz-OMZG9 z3@Ivj-fhFsDJkSsm;vi$A}(WKYaki})p5-3|_4)y`n} zg9@-zY0c2Xed-LxScWrT^++%rP3R1>{5`6G;UE<(iQ6#rI(0i>eM<0i2CUKwhP?ru zVfe&%;alZTDp)GnFx-+9aw?t|#<-s`u;nm~0n1@JL*CZOgTQcz3gUhnhQ9GrX261* zh|3t*Lbk?$g>0SS9!K5l!El%gUiI2A-02r`DsBg3PQ)14`kuys^*x(>~t)~_=ZAH4J@F#JUY%bhk1LxmXIzT3X7Hs*_rfjt&6 z21~_#uTDVqQ7TxGvQ~{1d!hTJSztM0G3M7y^~eEnG2I+FAgLx_Ke%$NGx^yizqAF+ z-&F8sG95;&bYRuh48x~&SbH_Qsna@Rfq+5txsIvdWNv)AS!ndb4DgYQQUY+wYOT%C z0r66Vr~iT?03M@)w;VQrqh&LU3OF`kcH@ABkNC`P>SQc^F0BF@iDQ85aSIAi+7~~D zUXXZeMhB><@(&fP$tc9S;U=b4B$Z2D#bX@IASzeDo5h6%X0sdT!V@3i#<->&^b5oJ zFVHHNZn)`^l*6I8HP`uBjAi)==9(*d#8o(*U;uiS#ax&+{~ZjKBLtV9JLx@;_{F3K`XFvYx*;9;-XGQ13$ zMtwS9f%_#$%@?k(&aOz%9 z2H^CI;>AlkGzVY~6?_u60kkTEWod<9ZDy?6XRAumOD`S_uwa{BNeVs>WB?ZIP;Th+ z+fo4LBA`tr$*`8%P^ZJFfd94@8>1HvxGOF!Sg>2k1XMgdho7|_fK{pBOS>{?k;Qln zXwEtn&{!zZ%OIY>o5QJ<#K?9Ih$ozxJzT+$u2IQd7_R12qk``wo19gjvN8EgpK7>y z9&oJZu0SwE+C3&z<7{cqSDb{;z=PEu7k@SOFRnehzqC2b@WnfHNy4S4~>9 z6M!|S;CMvg4f^1ulfMnrRz>D^3W!_z|D z`W&z!pCG4?>)%a%S$5qS;BwN;hKh~h(v8FohmBNbgRq=6|4y2-riVYAtEoOBnAsw; z=-~q^-buAT1>iX}vzgBZFuhW$Ih)>+s73GJ4*HDlhXb}U0NeU9ir&n2@|OND9|2%3 zn%UY0(6aeUhgJd8q3v6j*r1Tt?f(xo+@haot{78n&a! zrQoVVGkZF1>}5P9WcpOD^tP*FT)B!!1Lx|U%;LgpGwW)6hQUToU7Cq~JC<#)X)WL2 zGS;=3TgAA>2GY3tOL{>Ykjf}%cvJCcaqcDn)}xuXxorTgdav}Js$zgs^#aKaceepJ zFcWa5&->Y?+OGnzKF!Rt1597IQFAuC5oSAdg=IvEV_S>OZffuKx?Vmx;C(LLfcR6t|&#Q@Vcwm)#_NCV)UPcz44*y~?y zY;SqA=LSS?L^H<)(#>rXeeT~WM-^D*e(Y!eB(D8261^$g;U4MDT(Dnb?lI?(24B{P zHZuB<}EhXc5rRN~;z@R_a>@0Wtl1FyCpxtB_+IH;tuL?zXnLSRX?#PG!ps!~Z+ney7iDX*SGc;(ek7BpzL zl1k1D;DWBRQ^KpHru<04wH zt*_&hbx%=Ag9P2%KS{yn3EiueW0tS)byU(gfeX9EoB5fH3tadsPKEmv5wP$#*YsIc zr%_2uc(;(K@PIC(@Q}aFlmkUn(%M5Mt&&vI*2!eFP2hq=3=yaBmm2`kt z2QTGa>=#~n9UNEPao=hxxlG38ro5XxOh#u10zugcmk1cFa(lvk!hP%$te4TRMu_K45q+0?PG+&w$0`o2lTz$!aXQ`xzjLS{IntH5Y zE$Nb@pCSo20;8jof^`h9`ck!HKeVQj-X1Es8EL*%_bRzn@mw+HMJn+^mlwLu_vyMC zrB1jv^{0~l(AD2fMMor-$^j??=Le|Y9WHivmz%<~oHW)SXLk=LD6|t<)`;8maX*zj z?x3OrZszwCt|wuFru;4-QAxl>C6oNjZ!-HUu5Gt3ndacz?Mt5Je);fwHcmxToh)Pl zuID7}{ehA~iN8NEwONY!El_As9~TAN@w=DYRI(^R#r3_+ZwaoKp}j+#-QfXU$x;^; z6(!l-G8dJ^;}pEi&+cA}UST z`DyCq3cE`{!&Go$5{$cb@LT7nV0Rz8`yfSw+G1z&n_R<2nL=d=k`8exA1A5gV;?>A zE)SXX(PIxv+6x#ZTLb(BjNmN_ld>&=%L@%&cK5j-mxF@+xRftEG^jmXcJh$Bz_JUw zJZEuzyZtn1hD0;(=JCFFPzd#}NVWxYxS`PVhSD zp_0E9J=Q<|_A?Hmq#yIc8(t@5;T0nH{5B)Lr;x)9XB4?AKHV$Fc}KTT-lI_E6k;N6 zRXw`b8P1ns$qE5NS*(L<3{8ryebDM7}dRkvr~UAx-(9pS_*|~dT3g8 zmjhz!;KC6MgUgzg0j@k;roO_jgmCztN;zFZsI`*@orhTU6(Mj?1L^uz@vanV3tbmM*UJv!75rcPvDAa#P^di!>myx_ zbb*5!dw;p&p)V-ZA&JXJ!B?DmtXG|H6*O2!p^jb}bbf*cHT4OvLCu`^`3A0}5T+TS zP6`EIO9-#v^7xcRs~1wJGjzEEG^mA3cnxalY(Md#!xXw2gk3glJ@gQ)QD z>N0}wCAZ64UZPMRc=tv-u`VE>f*afye*67M3f(H>a#Qd_Mfcj2yyn}ZcT(s!4~1A) zwb_WZ#r^y638N`=hl0yb!L3HD&!my4OAIlk;C3i*5#8kC~o zE?M{5?VU62soy9x#6h9^kka9LK7@uR8g0yfmqNp2n*C9d3Rg%D1RCk0(5r3=jnOrS z#wLH=uyX*M{1jC@6q>GU4oy!joOWw#3XO;8VTe`W5}HE=$ z^48ciYzCYVV?6YQjacDiS<7`7P$-00&p}tzh&4-kbyC$~a6+tLf$1r zQD{yIF+EiDgWmy-^ZmFSH0@WOu;(3UUy;vWv(_!N81fe**bA0h;ik~?1cg?6gjZ;Fs@1kb_fTk65|@vH z`;x*dxIfUo{jlW}S_9Q@m^SmD@v>pQ2 z`zW+g5niE>q#DW(u+>ICE|7jKJMiyjFaA;}lnk)DEpGgkpddC~2#F_BXK!8%JE9v1 zeX5X@<6!}J;O=vUMlVdUyImd%EtE(iE<}+iq_{{r!@>N%b5ZEqI7w&myFG~agF>Ob z+{pMOOK5MBBrF$b>ZG>uA+_3;QRo*$+lN=>7A2XGl#7O+;UmeZ=mBd>9b4VHlR|&^ zD0Co2Qgd1Ny3m`uf5%b^9YM^)z`~NF5MMX7pi);<hQfg!Mw_r+&tRiSWY4}~kitG!Q;)xkOLz+GojxT=iHO;Qtw?$tCkZ{*%{DSW1j z!qwvxt|Dt8Y ze^aKR~n zXt~4g6cxQJvAauCxB?{I>|uAE0=N*eKZooLb)7vVdAVN~4~4HvYOm^q(fG30BBi^z z%x+E!Un`R|Ai<<`mvOnN_(~_c>*=QO4G1}iOSv&Y;TyeFbi&R2dV48+vqIrp_}wk+ zFF)o3F6P%afeRr~+i-Uq#@1i^DSSJ>8xWxI04GBFncrPL3g3wo?cnk9B+5JLCFv1< zH&CJQKp6T7iG{p3jtePzjQb6dDLlljy=xdoQx_hZpz!bn3poP1MmR`f4~Zx|(o5k7 z6)I}tVRy{355-BE;$wH?6bg^=Q20^qH^GU&V2Nj4%x_`>7vet2@19KIuK-DCP~jI) zaQP`bDZ%b0$8muysIa?fJ_=7oiq>)M`4WXO_LWLxk?k{U#9vbi9;fhgDT>qp*hg^| zE$F6jVS=PmpB}KkyK1$yuTZ#1p;&!4Npq7dU`W9QtIXykrI26hqM;-+zgYo@1tmrj zxQiiV3?b)B?5^C83vVH8=3MiA6rPtNX(^YoFhJpjPLdWoS;!X=@1M_Q`CBN%u0hfCb$Vr1A@#_IsIo~>X=yD3LlyRXjHsKOiL)tir1_N0%Hfvnh ztsHci!WglK-*!-OO9zwqPKpMj2N}FfSKV*2w^PUKODT-TJiOLP#UFWCz;zA;g6cIM zUE+Fo&Glccq3{P@3a?j4`p3rtewd`eZ~Lg|3SDAR7w^}xDXS>F$wlFh;#ff7jGxF9 z{=`k;tqCD9{Hg!)4bN1A6Q*UY(8CS(39q926C*e8Jwf5^FvxZn^?NwZlzoxJ1!W_W z?Cwh!%m{pvCq%$&<%w5;hncu0e1Hr+z-WR>aT8gcK`$SjaXOP5odQ8_=kVTxMY=+ z-5qwJdk;_%rrNmsOTy)%qLp5Dcg#oOzflF&q}bi@6s`b897)_o2!D~2B2}F1u1W$I z8VY0;?yBLcD$)JhBz9LlNoCvP6hX77<@d~sQ^#MxX;G20yzur>ze!w(6GEJDIoHji zo$IH(?jEcku`G_%^-_5k%yXx3k@Xdd)JH2dh5MmNzXub7$ob5)kw!4|VVNRLyzI_} ztBFLBW?W`71%LS|(t`W7gkK8@D5MUhV2?{fHE4!_Pa3wfm%e<_sbQgj8){4JLbu1S%r z-4yAPph(vg3+REmrue@bk9HlRbyGkYV17Li@_Goz-;PTNhQuEQ%p7NdjX4s!Bm7@h z9$+1k<~DP%%-5|SNztS80{VNl)!%BjoXQ8W5+FiOxjJqUGPn7fYs;xT&(B7Qn#|FE zsm~75W#*J6&&{FoyBut&sf8@qW)-r^lEt^ZPvrwJ29ku#g5T8j^>mq)t9MBaq4L|n z`J9kBGsk7YS?P^cp0QNkH_k@Rn)Ydh35$?)+0k{AsN4(AF@7!NbXl?p>1gaeuawHs zO=3i^g&YTavF9e>9NR3V+w;aQxBW$O9yrfTvXGUq6ZAr#MabO9+!fVHz7uJ$oYF!* zdY^73lgylJj!Z8kc>o64$2ccsPP-y*A+ySDODYk`{n-RPuE{Le1&A!p%DdP0?@uGH z_pwC)E##Entx{AecVj_{p4gCND+yZ2X;b|cGHGk1-2|HPvBZ`mw2(79x-3FE_8 zM|Xs_PjgdS#`2*Ehf;6uG<;N6F#5LaC#?luH2pgyiWAi*Hok<^aV|m0E(#r2eN!TcBZt5~z zVJ$CPiW6>CT?o(K-Nw=#bAvqNJ#sAWF*nFlmlNkbh`0+B&CPhX9E*;coAFLNmMn!E zUkIItfg~0~H8*vsbi$8tyUVS*0eX}EbfqxqR38@Tdut1~c<*js`)xcmXo7$Wdvd_y zv7hmNIGz`97w)J%dBlSPv)k1`WlspqZtUp_9t#A_Zf#R=!!rTlRtdL-pXv|L3b&^+ z0I}(<@qs^%1JFf<+c2880>qO9@q<;>N2C7A@KA7o~_H{fJ&0LMkN?Ulq3Nd@ez(j@3pqW32-%|!f*UGT(x{z zTzFDqcH=pVMqmrw;U&)1lI*r_+uiS z3-1zjXQc*@Q^b$i>pBpz=O|{koB(rcn=ren3>|bg&VYwC+U_SjtjWl{!jx~U|M!0Y z)0_%1%(TqBjFQyO55*ZpT`m6UMO`)0WpXy4kvI-`AfW>u@@E1bj=z6gng_rZRQN~S z8W7Ke#7~qianJr|ZVb@;V{Q!4{9|sa1r1Acz@t7^x_B=BqX8lptA=V`1+JD<__rgS zOV7nFx_BmA&Bd+(;mF+VbFo`OgyRe+T*63r)Rk$ZlyA?hxg7y>A)bl((qY6FvHz5d zJ!Ao67|BQ+17r`}v|@$_Zkd3GWvs4;AH})d@a^C zJOIGfc+zLni2WxE_P4O$jl!ttA7Q~d24MXxz{Bwii>pilU>gLq0X*#%?ClYR9I%m- z&2CuhkC$})J=)&`oQv=bGNW3G7b5WhQ$%N_rmh=_)cR%S&fFUMn48*v3%B!<%Eu5isTxSrw^uXY9uc!=$_IRkDvSG+~ zF}dtlaR#H$;|zG%t7QRHhc4;b9I7v-V0*WX>H<}7nrd}Kr{e@z@)s!Vp8bsEzl5@) z{E8G>+Ai~2f+-LoH0wMRCoUYU5aD^z!+phvMu z^#>_6vZlt()L5yuYGe#-uDZL=r26;VM1QEh40{P=8`bI@h^aq$s;yKT*j#l_mr3=8 ziJ#Ah>P{5wnbBA3?c2x6>{MG7v!u@)PBpkX#bfSV^-YRN^}uHf-+}7ODR{HXCe_;# zW|3B{y4tL%vq2V?Sw~giwEG=njUfD2Roww7Gy@@T$r}PQ@A2)gu<4 zGx$6@!+n|^Fs`^~`_jL`(1n7&fDMB&!Legd8#*gHsB2w1!w^Yl7^c|)46{}~RTT_Z zQ}BK}J9JAh8SGba26Ziq#pQMwVc6lpls>+?|9Ja-V7P{YBkb&8EF)B$;Ygh6XFtv` zO4jY*_v#F9w>bv^a=KD*lwy+?-UO4ueidg>S3Ox=&M+4LtJAFDxVT;~epWvC6$~B< zj`dhGV0RLe!G3lOYKvr19<()D7MC-O_vs9e8Ft87yJH&|uBG63*@mHNlF48{I|kb_ zsjVopxQu~qb<*sBtxmcf3gbtsfT0@&AGfnZErrQoKZC)dE7aBpML6CvV>1Qd3 zPQ~reQjg2+P+!sQ&_L1|s=ojD8ZcZ>!D#^-hSqvoD$dYWkINYv$vQ)0ug*Z<5A6+x z9u%BmS036YPu&h3^tha%sZVDRUp7O3QT>S%^}uig1qyqWyN5$Eu3M#VTXl=9a=hC-4BMFvBT5O4!6nzqT+Ti+7{06f?QjFB%2Di@eRG?;MF!aaHz8J<)aXT1o z3uo9R>kPZSI>V>lyXS)8PHaP0Y#7vCJg3HBv@M+BYeg)5V5-|-i0>Fw-$g;iE(_+T z4F}ZfkiP8D&QZTXC+KK99fRTlaftD5{3ZsEq0kG-~{m)sxF zbAQtiWf>Umq2Mo0YX)qM7jo?Ez-PGHP7)T@U|5r$1u3`Aa6q#IM)GsFjX){M8Hj!K zHfy}tXn*Pq>veu+2lfV~u){&4HE5=Mau5uID0nbwV~36YQ)V!2#Nc^xM9~@kHW+HO zy!r<)_$Y|2l9nrAcv;}o8TNZbT+Z;1M`t);v`E3Vi}r)zUc7-2uwmHZ7IG?H9=7TH zjDfwbU_4nXZe9wL_ww};)cA7^MFi+U$D z^6GuTYkT?x!7vbYIZ<>G^xR6>&moxdIFi;B_K9J6M&6bOv?B z)#7OgUPux@&d|oNL)*CCC!W3ZieJF+AYO~IvqMciEruP^#|HKc20v$L@6+?5gHtaL z*WR_OHW)_YRVTRuGj#In4E19Qig?Z_k~%u98Ssvj z_}SUPmI1GMiMX8M3h?QwyN~bk6jVQi_pR)*ppG8>q^h+|uS}JZ{CdeW)m?PeD1q_j zUN#;cjn}#CRG%+mn`&aZ<<;C@pooBm>c+YoPjy#Cw|qBAPyWQgUD@0O@8)=1HkNPd zH_NtdnJsg_d0fQh4Bb6CLywfs@K-?%)-sR5i);ZKh6__d&PlUC+n>zB8g?-FIYZBY z$bDqN_MZ<-h3c_*tu0}rx_uy9^}o%64n7f=tG?M|Qr)QS6Td_C!+2}XF4dP>r25~g z?xbUL)m~jSn%@;YmZA>ijKfQLcB(t;8Fx~HTg`^TT|8;2?vKpS^SZ1@-`-ID2;L6# z*ciO4B%)VbwSmo3Js@FHy(Uq68dQ%b=?;gD>Tb?#)#(OT8<~d_=5TxffcF)J8&`dI zz@&P@hOsX|^`m&xF=3D;fX97~)s^w@5dvcz{TeLnK)p?SLZl_w!f2;m&pd#XO)sN|_k!tyc9XCVu zQ+P?&PW8QU5#3O2k=K^J`Vd*f<*J{ML|#MnI~y9F3Dr-N^rXus)x#|E`roQHu(|4h z*QB~$$2V_-YP@zEP;69>@C)*jrrK(=D&Aifak=U#K9lMTi+{sVKj#^|&n(-h9_Wv?1!mPFo%gw*dNSU|wp0CN zN<^=?>VP8Ra@9e_Wbgw=s@wt9Q%I6rHU^&@7tt%OdYVtf<*G|$lj=7{E?WuJQ}Hgj zVxu}=646hp+Ol#zr(2AxF7*l3Xj1C#Zr=&2r{V2%*+zBHovk{(HRDZ+WouTXh`3z! zY;#^WANbfosGg4Z-R<%^q(?VWovxZUq?RM)Qr%))_1vULHJX&x4esv))z6YtZl`)y zK#-qQwdDZWz~-vw>#Z3K{#@wd~i0p;WeF$ z+u;$v&aldhAL#kW7^ICJ@tT+P zu}5XlU%A9_x!aZ7dI6>c0W&Iycn=K+bqE?}kajRLj+jiC-75C7-ei}E%K?*$4!A87 zQ2I>%?aKnzV}wZBVgs1*Y9j|UO(YmJ17ydF2*u2e&z-`b$Y!^;DTFgUFyeqa{5s$+ zIm3d|SB|QVs;dAPCg}@jdezb`xYcV`!U1tUiP=pB{32;~vj;SuCUL;89AyD zuieN7^{1CO9K~XGQ@OtPncZydRr0ZJSI+g1FN>>)ywcLMt^-#YNyi;FTzfrceQG}b z;xfB&u8bFwIhSdU&(g#TnyF?nuA<6H7T4H?j@tdNkAW*nMOADn08XDVGyHe1TNELW zb5-ZfSX%iiI$Qp3$aC<`nMFm_6&ri~rrX`f#{+4sYCanK>y3(e*d-WrdzI{xgd68N z$D3uZp`9Hqx-?n}uGv&{PRfSsFI|q#rJX0jvB;@E^I0nh=rgEikR#UmsH;<`Y##EPcU6iyc zXqWc95o&YFsi>_@KH~5t@iVy6S?#$bw>hrLWz2&)*Cp;OE_?x}M%!240+)<)q>?uF zO1BMfFtnq0m`=v3a;4iwwbz`q{8qV)3dOmuNa+=zi#GPsE5MlM{xzP5o&w-JD(Wm* z1BTYb&57rLru`r~U`E%^0ddxsIj#z5bp0H#t3Ku^y3Uu;bryA(=X$~y0B}APd2B3* zli$or)F#)M`09W-qR18Qe>F_}!U3YebByh?=A zGub{vYq~T(HA4sbvkX@>D1N9_-KpSufr|1x)?8t$3^i8M48x^oGUvikjDmrMQwy3g zR^Wyk?9&Z*egDO%r03p*RKDthhpkGwp@sfKM5K&sYGq*!k>x0DPH>o~j6-v0+iS zphbxjiD3WhIg7J}g*ykF>deYnv~l;p-uQ8FEx~d7Hcd!|K3)@WX1ipxt_~zLXe>st=!uiT>qn@0;f%hdoGQEb7{x?G5H49Mp@`%Znj*ekrn4E z%C4ag4_3~qH;BNsl!}V|)?8uZu@}?FxlB*tbgm4$+H#dFa|wRVRp!pl$8X%1Y^vV_ zT(97aVw>_6+N0-)&V>V^g>0P*$4Q&rcnu9HlG#md(du}F8|T7;cxKBnq^awiw|=h) zt~eEy+t|ypVx|w2)QWjHAoMX-&Xv(3b1qX0$+@1-w%63w%JlbNIS#I6RP?-^y@ttV zeQNm%#m#PDI<%Nu+KCTzJBae72f`oBO)dAv zY>{)V$+j0hC^~sojVHkM1{J+yXRljjA>ZJ-&0}^`%hw%>*-b59c>%MV%5_}l=UnTu z?S=2I?Hhlc8(hnA=(LT!aC){`w%UFTk`_Rz8BOq_49pxK>cn zCa-lqh8G5ePTgKOf7Yavb)K=e$WpcFVJo`ie-O&Xv*URER5_r{_B7`r6W7w~o8!25_yy z=Natm)y0#=g+uhsZWZFf7a&Aj&h>-e%3jAdt!M+T)l{^{X`PRuxKqf{?Pc02SK)lb zH!Ora&b2RT#g(_V6?rRGVQH_) z&7$4F^$tFHU}G=SQF5n+3tv?bX<}UL(EZHuSHHIt@Aa>~2V4m%&b6^u#*9pD@6%^w z6|z@&Nm}`0XZ&Y!;Q?JQPp@g+YM!y$`PUsn}(gp?`aX9Nk{{9*fznVg=23tj@VwW-nc! zpgq>D2b*}W!xtZH?1k^om>JXx@L#yzNeOwJt8G#*Xl{2#K?C55gEb-mTu;UA{MH$o z@mN~T&;|*igc-A9hBMY`IN+smtAaM5(q=Yu`+$l&dTqFj4ZcQ(X0Lt9Jn@7@ohevg4Rkg>*Myy(8ukS zu}s0Ia2c&M=Q7!lbKRXi^uK?)GOqvrU%~Y;6=%MyAeIO+7*wwP9y6=T^=-oJX4?ap zb}4YKd$a9@in(Xi;ql{W7^Y}TiiuZ!Gfw+fYeQ+{)<;U2xEeNfJ5X;A^5 zbuT^}fS*wDaK$=9GtMzr0o$X7d~Ypgflm_!MM_Ec2n(@UU^h5gP(I@2}L{1yZGtM3`GsSW8?Sl z0B|c6KOtKK;{ z7e2*n4yPI}Jx!|Nj8>X+3|BRYo)MO4=Y5>m40t`zxjl6X?0Jl?d z=Js~c_TszPLXlo2GICL^66v|9=InJkrU7Uqgaghs0Ow^^iGC}bUr$@{Apk$8;<L6_el7#xPAY!gZEZoTeJ20qA+agJ9B`EZ__hV$V^4hW3jlXf z@oF2uj3z}bga2WGf^U`y%W}YX{aO9lkma5eHGWNk>q{zL>$EnU>2L&=JDe+H9il?b zAHGDYnsRX4kMn`^ zH5Kp7$Ub}>jYl_qc!EVA*jj&4Ca+e)IdSp>h^|oamr{CawCF-J`=3Rh`?uSXgX(v` zpNg+=secq*qy14_*H@VaANA9$X4(`Ge|Y>O*y7|j6!9i$PJbT+O`@`E{VXXT;gg4r z@$tu}J-93D?&sgJAJ+^8lFIH$QQ26Df*6M3Zitu4?o%l5CLVIALS;k!R5lux!N0g0 z?Wdv?_j}kuv%hiTyU0A=ggBK=@KD)AiG{@Jyk!%UBpu}%o^nyylW{8U;9&-3pOBHp zC5N}l&7rbq5bYTc6+Pr+(Wbd5b_GOU;S~XkANMrMdv^(y@cI@eRTh&DiOnB31JOA4TgS(T5U3hV-sH zYk0>^RJI5*7J1Ac)h%11Eb3AFdn&_|R`yX{=}jZnviPx;FZQFdSG-iVRLS_(F}?m; z5tZRNXxXcX)j-yDHS*4^x92F8z3HT~H*jJ@8;9=IHhy5%`TMEtEg!x$2LoJc=<4L( z9IJdDl_lI%_D(|k$Z*+vFz0(t?IXiw>k?G94lEnu5Lx!24}Ya_x~Z4lZGyIsWd12k z&D=%bIpjNPo=s(&75-h!;KU>gh$mQOTRk-Su%F$1?xLBmDRlc0H@o}7Lo?n^P}$Bn zyW8c%Ur;cd$J-sCvfWN9eI>#CP~gfGnTqpyyzgWx`_7GTVECBd9ygW!kie%3oa}CI z0+$!xPj|4pU*c3)1^@5&v%BA1_zSxN=6c!P0bIXJRC+^_-5r#0xu|Hei`^YYiR_gk zsTzwHt&9(u-=fe%uY1@X*0u9dB#WEG*qzWGeNfM0S;S_l~@)+A|cbgTUuWR6Jc`(dv6BdV8FrO>m}ZqJdA0IaQnax|C3hyMw6h`OYVXFjcLJgrVl+d{mL5G;%hbHo94|#%BU!j9Bebw;a9XW{S2=LZ6FDFNzC+r5AfIAKAPcMcs(yMy!qj z;T65i{oBzk+u`JdJ|C4fH)6GN-g$P}wG_QPg)2bOtBhD(+&`?kZ9SY2t1V(RGGaA# zb`AYFnxfYr)-{OL&4_iK|BO2}Erk zipRg(ilY79G^`Ptln)aQ2So?CC>nK92ZI26+o9im#4F^Bk0Klz{we<%ac2 z&!ni&Nzr}@ioEO-;v-9ae;vdjs?os;E}LGP?3C9=V>VqSKMCfR`dWjCAdC6s+3Xf}%4#6wOak>Dx~DMQ19w{1lAw z43j`#*+CISW`4y9T!0QMLVh%o?A!Jk6zwnqh8cE zqv+x|E)PX8uoO9#3Pi>$Ur}_ajLS{Y*Sx|j`dV_!=5CuQ`l^DhT6!EtvDLB)`?mkn{(mE;lJkP%!ZnU&$JE|(oZ)XA*#BS%A^i_bO zU!i+@DarhlIK_U8Q|WD7%6CqRek)USFTdNH#9uy&?sKq^`{KAf6y5J*cfYyuSAt3- zT;}fzMSu5GX)MM34kF}150x(Pvb)0`iXK8a3(D;7FUb7MN6|mIlw%S_kGUv%oX0y6 zpy&xF%_0RMXH}ALxv2CjH@mCmrCC)KD*ZLV?#@K0>N3qbN4NT{b5b?Bt=ud}RMfipHR+qJEDC;8V3$ z@&1FT-%eIF)u1%yXN-C=$W0HKyEpJsb;`fX&8of@a_rga>?%EE&L_!Lw@`jR*m9y* zgsiGQ!ATEUW#5iRf2I81Ue9oN@nGojwIfs{2p=EG-@Fyy{67p(q&e<+WA#Y zwBJtF^lBkT%SnqAQOyRe(2jO?v!-1OIi{bLOvjbopC3xGfhpFJXd#R5bPJh9C=9}$ zod);5gvw7StT$pIE1@y!t7|uf%yrGbzktgBf%aZ{$mo$Cf^q zgpFzP?N5Hezd4TaItz)3WYHv9=rM(Kj2YhVLK@LGz-9+pNVT0QzbVPu8cmPdO67NO zQgnxqsJ3HrPKvc+52J zN6k$ggXRxITxU{qQ%9+CB`+JPGB=bUb&NYRfw(yd=7tojqhPs;n~j8-8^WpM3Z*#l&-uVQ2Vz}%P)KEImR zALH=_KIX=(&u5Gyu646nqi{=k;5Y9^Kb1YqoLD$@k~{BaiA{7hH+333Y@3Tsg;h5| zWB!X?l)Wg2GY+n3b^&wgyK&!*6Z>W|Ky(iEz{qsSoi?N+KW5$AF74_D)6BGr^IcSE&m1>vTu zo)BmE?8j8I8M>jm(a~xxp!$1?-0QMY{kVt6#Xl8S{gg|@<*B~H%jyqX4!|s5xBR{C zcIScN2a4S1uwi&cPr}I=)PliuvT$nh^Yyrlfh|dB3|Nv-8E`(B`}NuE;p-lX48btO zoCR2Duj55TEINp^FxjpIbCV>qTU|GEv&t4LE+b7mTd+hSxFT_#YwN^eb;0!`MTR+T zxJr^{hX2l08W-{y7h50ExUfE==h@yD{%8WOy%ZT?S7Z%270|())_V} zeD)DA{6vul?aHp&AhYzGoMC~Fg<(IV+$>6Gc++55rsWS>4Of-@b-?g5MMl|`UA6lV zvhB;r0v`**eg?xUUe(2+R^3suZihD#x*d8~c^L}}Ir}K`P)6AmEf*G#gq(`o!6^5< z{$Q0&zgg^2>fthd4 zZxk8tvtcmGcE!tsVMES#&peVwr{kMV5Q;juZBh^2&`Sdra{*xll$Tq5X`2=~z zQ@uMN;xg523EEJ-dD@ESq52R-CI@U(f1~GD#Z`YNn^m(FZbS7|xhvX1^c1$G@3K+-n@f;a+~5a9R9>%(mPtZ2Mu&eU3SNZjqZE10k*-=F9UhcKe5P6* zg{jjc=6-S<3rlFzEf$v-y(V!J&iLlnQQH3FW~$`ks`^)I?kksetK|f zJB2)s(@qgxV(^$YOaqs*=Q-2Cb(|t42^%g9CAD9LxwQE{i_YTmnyjsRFgL~({7n*E z#b+8*jNz^p-+=1`MZ)N=y(ZJ>+1D)2WU$}q;Zj#_m^{vv;}cxLT`sjBhrRmvuE4A~ z7Zt9|Wy96_G;^tIPdXQNBWgpN;A*4u(9|spvWR};4%YB-;)YUVcYfM0J6&`iy zT%UHjehRoMQ6v_y;krD-M_Q zcSB=vH$M2Dq4h_A}bA zJiqFq+KW9C6J7EERS{QhR|hL;TzKj#xZd;X zTr+E3y&qiF@Kn~WofsMraw=ZFtn4*H67pF2V$XF2*9M2q_3AH^J_Xkq@b}o*Yt(7Z zM?D*Pxo1y~1=psO&b2Yr8qUgqjSH2#WMfy&Uy;#Gf{vbq9B@$3Q~JIXzsH)5{lD z83flZqkO%%aM>PkorUM`cI8Wb3dq<_57!KjNGH$7FU@23MiXj&0GzWa@@3M-OfwY` zy}~$FYyH|I8YYwDD6(mE`uTwGRt6 zH|)LX0dP67kHMR6Cb58rZ4W{Yqv!P%J*@;J_!dP9l^YfP5`SR>7? zPo*{7={R~t{VE`$pEP5vmU*?u_AEYE?R1KFB(O(NEgbq;oc-SSKjFF@bNAc^*i7h6 zhe1|djPk*Do|$3V`I*~yPP5z9lDJd%?`y1{Q#|H#fp_ ziQI-%TGtNH=-io-{|;zOo;YA51F)$D;B~pH9|7R`RNB}M&=?h+9zbK($pM=ifUPV5 zKUwGt0I(62wy*;5sPi@n)p@S`p-jsjp~Ds5{A=+}$V=>c@dg+LB? zNlGt+oh$%1-Bp`S)S6J~rIJl0F(xpl2e5TIAa+Y@Z#tD;Z2@>-yK5-`vHk8!J3wRR zcX|Mur2~2l!0r}+?Ym8055T5WdaWIxF=akIfN0jVAJ5_&lB|+t(#)Hs4&b_gO8X>jxb`N69K&Aw z^h{xHjEimkG>5Zomhqy22*_L##l zF7_gX*-h;(4EuAgy8~HVrGpYZ+y7G^TrH?HFT0E9-I%s*j>*@#GIkemdu8mF;au1T zo5f|?LBqN3_hoYpmD(zMZv|IND!tEbV=rTHuXACWvRR*+kH(;ng;VYIl*=4WwbwC8 zxN)u#o-D30PdoPo-h3Ne7gFhn?8%(k?Vkt;IXYL_ce2?{wO9IX8I>!RmfxHU@9BuR zoNIJEiwmdQUFFMTT&<|o@3poUUd|D646bwr#%2Bqdu6(DF4NvP&NV)}+~ZWobKEN? zfvYu@j<+fImR!b8DaK%DFH0`d#yQUQM0UBy>8~YQTOf9B8!CO$uH0MM>%VY4?h(A4 zYm$Ea%G4F89bsW!%C& z;A%^y`8MSqZ;%N&2A8oBQE*XNdfj8?-uz?X)cR<&$($=FXW6SXf3f8t01eNn~38h|^zh?pyf{aJ8q>QoHucYV4Rk;N|5W zFP(`WV^!M;V{fTpFQfn9TzE$zvme5#p=-8vZ3C{0sdSEHZ7;m4WY*UXckD$ZvzyA5 zK62w+Q9UXzb80>seHiCj;LYNic9Em`kY8Cpjm;BBhP6TBdO4T+6fVg#$n~w(7|S z)R$7}3OjolQ+%e6+bd)IQXww9Ae6@Swlm9K;A-E#)*ayLi1)y3?1k6Agq+jEWvnrC zd*RKg%=!qf>(}3XHn=XM()XP<`DoP-ZC1>z6|xszy%lje*N4e0E*t`QbWw+1;Oa!B z8~y*ExIRqhO1iVSZm%qzyRlPsa9vKN$)pWedLONpul%@3v#5{ap8bE)avwFubKG9r z16f?i$HLqe$G~+3m40qxuV{L^^xw;U^^`~h=lUw!UZvl7e=TUb6I`9~ewmHE@baOM zqnCTUBxrV1+tuuGvA9GXN)$}oj+OF!2swUVjFLz3xV^+%8o5yInpS^B2Qj`_gQv+RM;q*vqOPGS*VLy^j0X zjt=%FN9l3xp}`$v99S7R(?#RUH07m zi(ErxFmT#~Dq+VAn{hj4)bIap$MmsH#q4O<%&=oUzc8e3N4zU-c2n(WJcQ$RY@F2X z*f>=oJO1ImgN=c^QW=h0`1f{9uh0M8j_GR^6|) z)sDtPKxW7ElZ1+07qj8OwN%z6k!~98C?Io_$D*g7B$VsRW23KbRMs`4chsWmIQWA_ zpZk|0P7*5J>_INxkGIt4qfzE(3yG72BEJXJ48|W57I!R!itA`rE2nmn&={QjgS6A^ z4?R@+vrM!$6l>|BSc|0gHf^l6#Lr(!KOe|jw0_<*(k4Cg{)9kakhI@S!^QAJ* zXX*CV7xO7L#7VLHWQ?x3kYOH*&34o9pIpMu!+(-*S+eXNij9s}Na9l+(J;eV!t*YHE}28*V{s$;!0d@nkixKnuHw3f;7H;+^75$GD1q~ZU>h1c-o z?wsO2=Td9}V*TmNIIX3J@_c)WJ&ss7d}{=nJFPm6$cf)KE>c9Xr+{!QnQ>an)lV(# zPO)bk6bm2$HFUxeXZd>{%uy&d8N#Y~Gfr!HtJ9nJQfxY6O+&16{kpDmQSrl95$K{~ey$vs~|J!3< z?V#A}(Dk|pqeevt#33}^zV1ILwh{tYpgTD#vsA8jQQ7=d#vwG{t*ntxv4oRi@5mX4 z(EQy0hXlpmN6hzNdThti?2AKa#xJhamtyPTy&lH{yzCNQIE1E4gLBqVY-0)+dZJ&G z!Yh&rTzvL~Jrw&GydQ)2HLvi(Av9<082<~!w#c~L8HdmudFZP8T*)|u=GOjG(cBCS|2qILF<=1TI*SA0HDtoTAv_0F~bFV}3{dxYW1GaQBas}*{Zh>D z1W=AE+MzIWC{A<8L+Y_=b8?gPz;fuD$y%{F)x9*QRh&xil-OO31kGuSv;JzzA_;Sx z-f`n!`W#MfDz6H!I(q8n)b%88eE&l@Aq59LG^c@AkJZq#bK}CwGzTYT%sCrE8yj9t z99#OXYfN(*!K+q)<}`Eav6?0F*WPf9<}{TN6S`U?b+49z5B%%Cf|Cz1;niBwz1n#0 zOdNtB^z3t*x@k^3LsxsxHEV}OktT)aG*9vO4jnYdjXQMe?C>~IY(JMrjl8@A&AHS^ zb1qBZxH{ddbh&g>%g4W?Ii1`TJ0{cYq1HI=I4%+cei9JqpJaJs`zD^NdbGl2^{4xmkCEo9+wE18vj4;`vXUkoaMncbGlS9{l>)uqJ)(ZeESeo_m0TXUzzf~T^9#vR>FG5!LS%j>V|K*A(#b9}iC$x|QTKg?R zQg7u`3=&4V*my+?*>{K6BBWz;kK4AB+}y{;Lt03iLv@Op72<5n@&Ft1vXHR6&7nGt z>(u^#yuAs06IJ&^+O(O9~dO5r(1XHq9c=R|a}3DXYJIb+oXN3F>5 zu}bxcG1B081g$Eti&0eP!s*iI3W++bL*VEKTkWQihFdO3n$f~M**Fa4_nJ-(oNH!ew~xg zd(}PMpl3dvlTU59Rj-#j~Zb5}$BV9eTWE zhDm)@x$aKzw0knCj^3=nT^OJ;df*h>;v*h=qchv2Z7F@6n(l$}`)MeT*>o@{E9=cz zg-f|7g8$!5|JP;I6-~#p?Hjw6W>OP3_KJ+~s z*$>9?p=bR_4gdG!6W%92Wqr9x`XM5-%^&UVqeKUFDe2$en z!y_nHEO9i1&+Xc42=^gtxRs8R{5GY`Hq(~gIFOp|hw|a^hD@vF|LYL6Qp8X$zr=-Y z_%yhMO5{g09i4Jy{EgKwQPcfVo)+)ucd;4O|MyF~QPM*Z419hNQjIy&V@$2+?`OZey=0`@z2F_phO*2cmq!ImbHm)zs5sR2O^AUrqWApy2;^PYeh~)|JD6yvY>2 zkR#?{^@BiirVQNOhU5%F`4ly}Cqij+|sm z-qbq5>QB{_ppo*z$+BUJt0>7DTIv78$;W2}lyIdWmc>#ihS zLr`91iPKFZ%*JljURRnevaURd#<&em=!%na#MRSmC0#>NKJ%Q>By={7R}DY^jxPBO zD}1u9I!dU&B2tcw>-}{m=^BRel6c2)itXRkC7;4Wx^|L2c@Gkma^$jodq`I@%IBRk z4u$$F_g~f}=Mh4>Ca8w4$dn_yN6o7wT`4GE=!tWF6&c;d15)iS!&v8+oCz^>#Ys7` z+5fUGIhSMTij#6=YWnIz(xsq$xj&Asxqd^~zjNNp*(gI-oRlMH z8lNDGx(<|A1mfsgr2f0Q4LXyBEfe@9n%JY-#4L*p0yltf6^)}@#2CtV{^{(QXg>uKM=t1CPnvaa`i zq5g_UIZ|HUoqjp2?kJS6r)x6u{w_4GuKkyfV|YAdT{}G?T@fiqelqoHOuEugPA__5 z>w5lQK91q>kac|;nm3|XxS`|tgZ0uwq$?fe8{>^%FS`ES;}{+fS=XWPc^{FyVql9u z#W<0Ha{oEUF?65)T2z0XnI>J`-bAt?@QW7_k?vb zQNG0zeau1{wis^MckMK6^Ba{}!|^~!Lqzh5#$(n$LK;S+{5>s>h8;n}kJ!K3Y52%z z)MX7nTSFQml2<&rt&q;8x?@mIzb+Sb?uPndm&fo^dkwo4qb_Us)g96hk-TDF`z$eU zG#2F_d*W!IHyQe;_8Ptn)nyHTg!>^Pc}0_UZAX!YaVY;(iKF2g+dtRvtx=WlXT>{f z{hWLvB6-C{d#2AP4dYS1*Ahp=_o02MeLwtYHbjVic%qTVcqF3B;k|=ql3169uYLYF zO&>qM-H-X`9JE9=-G>iBRM-`T|4x{{Vk2pofb#t{htZp8$HnVIaS!R5i1LHyoSyWxBXw=_8-BvN z!l#|!q%P`X{UbPDR!)oSA~~t+Oo$V@7A~vVUupG~4tH*Rm~>4-`4KJpn1yu77huAF zSXYBkZ-jLBy6Yt%aHTb+-8M!F`WJb2Ez6w=j0GyH^g z-J(QtqQ4?PMt_~lu`XJ2VemqiSP6OVailQF&pHm;NxG(>{MSJ2{?cC}3SVJe;a4=_ z{)+CyxaU{I+cMUrzu#W0(m58O6K$yi3TN%Gc}qvqH5KJ&E&o613cpI@<5*&g)nC>7 znu*)fX;_hHjjf9o78?B?>aU2me4+k2=NymQUlG?b>w3mlQ`bh%e)DhQHZTh-8rb6K z3f}^RbkSm`NUidE{4(ksm*;)-KFRYwJe~+$VnyP)=NE-tHNLU!g`_JRE1KEk=%U*m z{S(rqzswf2(O-s*!Nu<{hho^Wu9p5-x;FK^q?~l+V8w;LTIg!*H+PV+)!#V-EOp%OQO>3$Fc&Lm`E1lZNNB)_)p2q)h-j$x0>jUtEU;}@VEY&XmsuS1 zNMIgTTvZ!^7g>y6sJ+1O11Srf{!o_Jf&>=P zqS@LAyw>v11%{tqS>VHAf%X^zSFCC#(%ux)(%sq!?5O>7fg3{KIV`Y$u*UdIVX<9O z9uueDbgVe{wRvdxi@pbu_q}zMf37QhZzpt#m697ffYl7vClYt!Isb= zMmW}W&OKD^?&CUz_K9_Euc?c|b$x5vk0xC+vBDAO`~P3pB^R)X{p90FYf{hcFG^?e z@hkm`N!Ki_NVCW8FN)?6KEx-~Un{Rvn9w=CFNs*{Anf9P|5x0q8Fg9LSaVHXss1j* zp4(2kW>b((yz@T%8au2j{EA<2tSe&qgQ1I-<%To}j_;QumP83%V%2Vq{yJdqHSQj9 ze$By($?^JYo8Q>Ku&(fwLRi89 z-G{7;7R?(PgjUv7ac8uyQ?{DN@fS67%YVhq$KzNrD-cK58CRrl*wMCKl+~S!6?22p+KsT>6+Z+cwb!-KF^qWL6gumu;)C~|vK_8KA)UnpAn;5LgC27K+-5pssiMGVLcY~vasWQBo7Q9Dt90o~I zDPfMj2b%hgeynYH^Rl@m+o&JsVZ}-%c0ZoeXWWk~xA~3s|DAq}e#Wa^KZg5EXp#MR zf6&ku>PL#gF+PHm{kS8-j{5PRhc|a=@s5-FaXwZ&d(O3FoR2a4G5UJ`zn_m^+KqkW z<3eZ2x#xHM^D*3K;eLEjGyH}7F+8mt?#J*nueh$G!*V~XytOWM%>u00YKlI^jIi8@ zOHfpg4$B?7<*iOsomND@r^c*qC=`E1_3BlcsS!OWP&DqKqvGWlflyfPj4|R>0x=8k z)WISVs4JM!t<4e){4z)h0luadC(+$#b%hVr9(hJ&?u!SO! z7uC<%sOgq^_-<7_jl*~QKV~5|>jxK>l$#O$b<~Om8dhu(WsB!qQ1+7#)$Kv7v{5LrM-lVJVvX|5k6gcHepHXw{g>`DVM&Kj(Xw^gk*W6kIC!9l zw7D01gvV$7SlU>F`w4}%mRzG!V1YGaLA(eWoxjUMWn!tm2-6DxdhN;wDR%amfGB$? zz`qAxmToqbl%@N3_QU;~2_}@eJ)xq7_KN+PNvIm+M%h#!ITj{h#A#Kq?Wyhxu z9Kta)HG|6Re@?rD1955wmCYT~f_{=&MDx@LD(n5#mWeVXsD*k?P}#V44b3vH=u)Z~ z6;zg0I&y&wPO1@9w)djp2{OW|jrE+MvX^&PzbC__D5y*Z(s39_2VZ2M2nEvR9}1lj z`QMucC%xiFRWWt!TXy81)QtYl|Jl9h+tzQRYKBJPB~Kbj{B{isehtsA+_}fhIrc>3w70uvQARzgKh%&!dm`4?0@ zz;Q}^^64t^{8gca8b)ZodM&%MAfry6f{y%aIqG0c!|4Smr2>E zdY+s$v!S4sCscH~J?lsz$?#EI7f=yBsu5KcB98`zvEO(?ML%o5?Hitks_jAK{h(s(DR-#oH}~c-_vfMN z0}t|!YZ!Yv+}59J?-PZuplYWXd8eq>Usj{mI9Q*`I&m0P0cz`vhH(j6sHl#1-PBrNh1wd|(0$;m-jh-FnS%U!RI72Qt#Qq4Rf~S0UzGox_Nyt?G6zErTUy`f z^AzpZKI)B@RO`ZUTbF1<)^@%PRbNqCt*F*z;kK@Dw=eDV5~{wTw%T|w?#ggmZEZ8| zY|tB32Li~yRmHfg!fmw=Zgx+tkE(;z)}7Q=2YX1y^;(aX^>0Ac_taJo>WvReSDxwEuqo{pw(C^*e;@^(Xd)JEPH-w9B2+keR z_fz=;^sO!QbMz znJCmPiK_M05b?|LP0Wb+efUHZ(wljV*3!-P9uvEDgr`A(eq!E*^visqqE=RKL(^t> z8k0skbm?uCP|;PEZ+`vr6?mGtkxnCZ`gNfrk$zpE^PhE9cv>h(zsQ61TS%1b`}A8> zYv${>!E>P%>1|Y`cMFD!y1SZv`12WfF0mo~T9R>hSm8aEo9-E)!PCl&^qVb6e=yuu zFY_PmuRRUV6&g7+HIDHd5qSh)$;Pv9B8iLrx^%Q9lqH`ZS(WTi_94{(zA4DfODA@AVGaPg(5*&ns07a6EaG0P-WKq)H zZ0vJMcYn`MEOZ-_5=7b+Hk8<}6gq;TBBy8ZsoR^QWQY~Zvo(~YyF*18wszW_31n@?a@CG851Hu5mZbTxe3l;z zR{O=)%~WPqFmQ^Uwmc8g)?Pmr|L8ZIEI#5|aLb&fm~XaX$z2vKKIRD(ov=2r-_jnX zAGxvku!hA!B~)}WSmOF30eRa4RD&FzvV@9$369R3OesTpC{#nm;@`tXr+w49AD9Nu z02kG;VeubYsMcB6t-YE~glCY6YS0~9QmC!6I_8s?ze;ibgGt7pCX|Io;IhWSqnCI4 z37%ne$CgNUW%Vp3+RGFl${Hz{QSPR##c#MUZ!zVU>1#I{EA1QDt8Jf+#h;ilZ>tNX zkGVocgDuY2ei?<*J}%79F`;yrHB>ZA+ZS9!6y0ym&o`qqH5e*VJogTKYB5TO(tY!4 zKT3!DLPaB#T`gzaP1a`2U*kq;TDYxrr8;M1Ba}J=nExy(933tiWAXRfHUy=ksIBKo z;dpDv;dt$MUf-E0&7`7A6DEkc1C)-lW4s9 zw&d=&Blp{2$l-UM+gsa~qjb6txwn(U-r=H$J*Ssl@E1yFsZ_&^+%$8jR=TNyWtbhM zCFHC(6>af^inh8Nj5ydFrE@LF9c4xC_Hfbr_7nErZ=!UOg4}og$o)_W)%wU>y6SB@ zA68I}_o(Q{u#6*G;Lna%qO_76exM>ZU6sWhWrl79HI6tqjRm) zZ$aKuL1a$SLPe96E6ZB9LH1k)nZqr}EDP5vQ;$|oX@Ts;E~;Td=DSL$*1Ou*JFa;P z+2wv@E~Z+a+CoL2`d?nRcQ~@EDI>>b6`4V&q6hq) z*7vX=+egB^eq_F+hKe?ry!+cGA^TMuGViD2v_PmR-M;Xv8;X&=$&JkQ9%N4Qg^IGQ z2Qr=ekiC`YQ9)!r5w5k&KG;*|D`bC7&ZY*C`JOdY>pkD8>w33B_CacEDb?C*4i)Wn zU%cZp6SC>SK64xWqZgk>_pY(dn_-!O?4PNvJyv8s6t4A_u|}IRO*R8zhnut#6G8*RQLf(rcr#tVe7Vyzj#F-(0jc?*|Rp zE)A7)6x#B<@1uVq8qn9|TaTdc@YpF8XIeo3|mMo)wP@uTudZsCL<6}M2`lYXOHJU_dde0#~0MA_j9YVe#= zLq(^8tGk>oLP@a?^IoE&-^jf@!#%(G=DjjK0j2u`ShmLp&lyXo=#0C~o%cF1_fic@ z8!DJL!A8}Vy%D6j78OstAogb2P8XJ5q@mgr@>p&14H+?vZnOS&(?1KwZ4lMw(G^ru zV#6qSXlrh3H->O_Qr(Qo8k;bri6*w1+fX>ikD)#*ZRPB>qI5GQ z7&DtiSxX-ZM`#%MJC|(?qLiNL?p_n1veK_;KI!uSMs*URa}LmR-Inr2LH8xUK)-B>o!jYX40dnK2uSeC3} z;ro73W;bE^atjvC7G>4_ELc9!hlL9?u{D6oE}@J_XFOu-QH`@jJ#o?^wg&k*Lzc{T z#%U?&J0c>{#3<_daY3?7J4N$r1E{@mX4=ZQ+se7)!s#MJZoY}F*{74H&Hl7wW>?Yr z{1$dBp6SM{<8In2X-->oa*bald{(E?tkfzuCc1oLE5n7deF4mD%VneONbO_6ta9EO z>q2U&8#6C53Cr>1g|6E9ue!z71S`tcTQO@OTTU{gY_t!v8nTwjL6r5R6MCp!SWcs| z3*DG(a*3_1AQpc|TYasxHSd6i#jo2jv5B9yN@m$nGTwqozY3ov9q0nM-ipcekVo|j z{V4sfACo)V#8#09r4!AVc(F-rO;=Ic&x9$Lo5j{l1*O-}sB_vUwr1N<3Ogp>riiT) z1toi_g(K|qaT`jO+cD#)U6jqUprn%@1B-cU0qtpPIt~lir&~kDAljP4J{Qxm5ZA*> zE-RyFl%rJk9+xfkBmLPR2EOAFK9_lrKFW%Le63WMt4MD^I;g~yu1^qqY1pW$r%4iW zn%LXdCZ>uFuFysmycRdoc3X5#P8pZfp+hp?;N-+{^$C}lJl5^x^l|l1nwUb?IXQ`( z_LoacBpY@-m0W$A9`U=nbxvk9sXjxqZ`}+#Ijvm%2c6ExY`UGCT&_NA7Zc1n7bhEy z_p;SZQ2nb%Oe*V^akA0)F7Na!s!!7MT|dK84zAUI`NaS$YA2ZVNolXiEGDK6?g>To z7gRAlt#fir^(F+xxTfYBiM6=#%*i=fJB_FQ$@jZe<2dg^9Q6(Ux_W20GphZ;DyW zFzc4%Ps{ZH(Ux_d7*YBMyhDRxnp(GQ=xo$bHjwOa=!pG~M$c6V&x0rR;EoaU5$FRh6?Y6%IZ-1+py4Njdd)zTBO|9K; z)P?sEvzY(aE#)M-*GcU-4DE6%-8+KL)8U4loKW|UbcsoIy{?>A_m0xU)Vj{e$#ri! z`AavrI9a_8jCl8bcw3QWuBO+Hlhtd(tnsbjz0@k^y>-htS-tMx@!3{*FE)$GbKNpd zR{c!Qu@ zK2~auVOcL}%zqz*_er~W4Pp4a+7iREu4nQ?UE!tkj^1VHmLo2V)t`0VZ`$u?c&p6f zg@|D}G?vb!W264~2;P-J@m9sK93HFn#CnyjUVyheAYRSrmZNU4$IzJ&c*-#d-eoTF ze#fxf<&R;BKU#h<72c(G@sdcl9MLq^F*41o9FqWVnN_@LGA#MEhIb*=-e}P|`EtX% zKou`IbWXnF@GkO;*Bm-0UvzjgX^dh@d{6aX?>00J-xK<7XqJ7^_m{#uPZ87XqBdEM zx+K(>5tdCS4|wuLcF)U4eKfIpy zeT+xEanmj3>mBcCvv|d$bBhLBjoJ(A+l?`r#*{5>;Juj?{jC|6{X*I!EbHz(^F}Xt zZ?cFtFNS3ge+-{>e){$7-SBqwi`O^0<-)HmF)WjM`n`X_dmU*PkGm#u#mwIotM$Z( z%LfPH?VySmNrq)mi{Ue2^`HBfz}sFCZ=-Ze_nug-><UM895Z-$%;+>RXc`#P%iTk=QJpu1se(_?9N| z!)L+?%iQts-r*5%#|+D-L&rQ~kMOeXj$`oNrixc=hUG@;e0h8#EKRSz_WE=1cC(51 zbh@Q{+2!p;?O1$9?GIg|-+R-`q=#)fN7FEZdsr0{F_NQ&PR)sv3xbBV@Fz5$^%5-z zT7-&Ri=N$I7>Xwhxh|8p^#2lwk6tG=`S+g_srq76JYhPo>J`g^M(h1QRHvVM>ouxg zhKl914r72~GzL?G9_^?QU}Bv*>kJd$B36jiM7N4Q*)uxmcKT!M;~=P3H0E*q#( zE-T#;E-zlw{V#I46cv?$TBvNKM7gZ?MY!~}d*^X-`2;GegSBwkBwFQabA-za?d{r- z%VnroZLfvPX3;9wSR-6Mzh=t>a!E;+*SKoovbim4$Dg%FxXgNUO*3*?j*4g9=kJnc z`J!At?}~7_!d{+CE?1!9dEfcFq^ZIvmoK^_Ts||mS{$C0sCY4O{w{Mi2BTbV2u8SE zwSM~h+Cf0s1#X?*zVdBYmv(tPXj zH^^lbD&BCNze}1vjdHok9^o?Je~-GQt_KzLe&YPEaq&(^epux@t_YV;r+@MhxvWOT zJHA@Dw5U-ow*(?w_VHe>kV`Krwwi0<@)l2&OWM=$;n@=SWEr`95*6F6wQ$*4jdJ;c zIl|?>O9qQ0z6uo|*lXdkixTB>mlEM}Rr{0gkjvGm*hPovyocahZBZ_Fs}U~C0t0%H z%coGW+g}Tp-J@0R)goNJ)O&4Pa``kWKDX4ux_I^qjv-di4>aK0gek)1yNw@ML@p_P{t4UpyQDWMQ9FLp65(=}ckzeh@�!RLTDa_IF?{k#^qW0G<*4$M_T=(KRQ#sZ!sP(Nqf~ju72$Hp znCnNA%k`-E!&D2Gk7|ZbR(aMP;nKQk{7iEB5-R?()WYQ;f27MwlP|*M%sY15iYO2v86aKUqNL(|M|O2JEcac zY-o>gxy4$&f?U3e%7)tcyQJ54Q7)UfB3w?Lb<0+A`5G#ln$F+lvYEapmu7c_%SD^j zQgZn^Dw|u*-(|Hkboq#rsIq0`HGcb=);-DPMxt%!?~>j^Myb45iBQ@3^23*q%QsMY zvFH3<(yPoUmzSv#F8ipXr;^JzQF)pF{9V%f&?uKzcp_YWXlgE=d)`9j6Sp%qibbF1MkwyL$dE=?#9=j^Cj~xIAg8Pla{gLnTe#oc9r@ zWdKnwZEA$ekG#{rBbVDzY4g{@We0bZ%X>W$F6Wdse3)FmkIH+s^LI%L5~5r_V2W_* z?fB-?&e6@HZJaQUWDmN|_V?GqWl6Nk zfm(#iiuDu4^Z3W89Av45%g3Wt4lzZze5rKPNODQb;)d92;c}iOYR8i;5iVPQe&h(b z+=a?yr4}w17#{ilvQn`{xNNqkdk(q$1eJWu~PTE|>bFT#hwG zxV*H*)KAFe9#oFC)xza6Pozt+xL&^?>E{OQUw!{Fx!jA&3BhQWMkYtkiI5^OaeJ|q z6jjyJ43~myr4SeKTq(E<1H{o1e#4GCXo?ci^qCD`q*K$M(?ZU34r8e4-9e*z?VJ9D zM9B{~oo$I|`eedNnmnuf1+5xY;_UT@Hlup&n-0t7rt`Fjrk6JTPVDtQROX*^T7>rc zW7j`#IxL%;Ry`3-&zrwVTsL3RI$ABxUVo?j^QObHx#<~^$GUpwf*sWKSG2%3-m!k) z_s^R?6gp2t(_(?Ieuh($%H{peenCxtjmpwnl42&zwqst8HSF zKZ28E_+Cw?Ar6m}Qc1s5L71=lesxbXLq=n@fhq$e6H9k1s}2<6Khd4F(6`<7l3cnFni1JRun z61Z236e9%=vqW-I;1yAJLSW=4B#>gB44ws!c7+6T9*D>uB!OLnt&&LK_o#gFoOAbo z3KT2dACKL#ngsrU%2(aB=)pmu zw!;E1u^Ht57U&EZb}VqXFVur0VhB7n<`~@|*FB8Nw}Q10IKX8z5*B!&HIj>eh*Qmm z9Sckih6E~}nmzdOtkPT(_#-N}*w0@e#U>h!gax*U;^GS&YB%b#z+u*qz+o{il8-kh zUqk|rpmIkodXVBOjYh%(<+=&M|L;RQ$ZgbRfscjH!NHcAJ=pW92@6T!QB>}#MGsO; zsL@DRU}JwI7rzJlxC}cM*w-HF!M=f-0*`42&XB-ksNCy2|3f_AY&9AQ3zVxw1phyK zkm5p(xhF?5X|a|oo1BGrOQoc;>mDui`~Z;)%P z%k!OL?32*teJx;WK<5{&eg8isIC4^m2YHg`DS->tc6*d|>!eI&#O-D1Ns2_oiAYiu zjv*0=h{BO4;gm%p=0v0{icU7<9M<<@4(q6yY-nR;@(GR&5y^&RY9kSk5>9O-B2mJr zjYJGeIJJ?8J`t&n!Z9Ku08geY644>yltm&gM5HVVM}&wdyKpQ>IDwIf0tqKD67e75 z1V$qAVtVF*8Z|*!}n_M1W&vnkPz)y7dyqee8*G$+` zwPWmIUZ`I)VNcGEvM#*Zzvi#N54oooEr)MOqsgVz*42C)&NAAot$o+g`c;ZMsQD}K zPp791=HP{jHr8_z_N-W1Mr-?9x%pS%Wx^h@s=uOxk+4Us=dbAK(oQKDmpR9 zgZ&zT7eUrc4D#9>r(8){Gcm|f(|a%Ta?IteDWa~kYIN!H|5#m{hK%Jkoy)IQ&Pfci z?v)uCyfCz8Vvxm~o3-TCr8N_Se5&?w@)FgWi9yCDeD?~ka}6g3@phtCdun=O5V2}A zoESu`*IeF&0$Nx4#f3>LHJ2xv&PfdNX@_qX@uI_;i9xR4H}Su`a&ftvYPD62old{X z@^H>mPx11{nu$T`b$EIcuc54&7$o@n)nD>L%$kWoc5Pm!@M_MQi9zOd9!sGG_bV|H zgLGW8XEv`Z4JQT>YbC>pLBuLakr*VrK2ij!h9lZU;W0T4u}*<;WgPJ zngBZ(2-VGhxzNbBE4q9 zqzfA7-OJ0`YbH#(yM>3=ZPVKLyi?~UO!{%<*f)8Bea(bPQ@1;Q=T-VO6DIXL@xm%z z>Yrba(h)Vb8M{mgleP}{doRZe)J&K(`1a|v*t(b^id#~x3$;+KOSHk0XWzw<3pEoa z?OwCF1BXAj^+>vlfuy=A{CZQlO^Iw zM4GH{)QCuom4C==2&R;0g-t)A(N6@Uyk$Qp7XHS~3-L5ISpYNkA!()t^UNm)nP$(q^DNqP3?^Jfom zAXm+lXZ=r<(J&yQ!7etPlk#lzzT3(3{%evMBqev&@W(e>lKaaq{!)+w-*PcW zw_OJJgd&jWG^paQ2y=o*Ai*UB#b4t1CQNck3jfsuawS*SD*lok2johwp2~lz-Dd&< z34aaj;xF0p&6(sHD*Tt8Fcyab2O>fl>s@~aH%QA?Zrw6Yz~`cl-Y2EyrL2egFiyZ{ zn>S5PX?d|(_ZcVPGvTnWp0sQk)Ggx#d>(Rc?I0~%1Pq_gdHC663ME!tAmR(AsZ^4% z@SYr+?iT%F_VHiZ_kKzYOZ472vA?uzYBwx%+%YUoY2ObTEiD^c4a<4#U9@vWs2yV( zOay)EcAW84*0s2GE>3{ax_w{k%5Sy_OFlC~M=c`Arf!!l&y=t)3NkV*d-x6I5teoK zeP6m>T6Xm7mT>}%?reGgJJRwxk8T+!z-U|VE4N6?4ys`p)MB)raP!)aS4zwFif$Pv z!03_3`qRX<=*@OE-7-#q(c(|?`$@~Th9w6WNv@M3{!-6zfRW@nbH5YE0Y;MRBK`EG!b03pioe2VwcqHWh;W=b?VWAE z5f%7{fC0aNSqu3kz|BY*@w)Fq*l&^SjdW4v%3O zJHY7LZ;!tqEpJl|%Z((Lo}KCXi`XO6+#C12DJ{F%bW08}l3Xv3&T&pX$@Mnt9N$1o z?qOBu_%>Q{eQo@gvS&CwM$3^22X<`O{Ij%FT)JhPgahjRt5-`8Q3Itv~A4E#o8{ znBbhIkGcJ=x@DY%1M7BdJtloVV%9A=;eh0vMmwBvKyo9*AMu^Z2?r!M(xr2pa6odS zG@avw1CmQO{P8Wmbd@Yt`-Iwgo+$1ft{f6b~U<{vi2KSa(0mOrmVR_OO!!qeDOIQ8-bc$+NeyPN; zOnhsDM;~`4DTd`Yff$wvqrScAQeh#U^K{EN0mGM=FIXon$7#Cd@WZwkK25(Qy*x=; zj`8T0{CFd|1uFkF$%h=tEwt+#Kk7(skzeQHB!AGx+$`@{DFK9Gc}6w#M5J_Rn%yPP zQ2Jc&(=Fp9e^|Vs=O$_Ca~nQ2YYd-_pY}bcfA2qU)h**Bf2eG_LGR&pW~23Xv3xc> z={wL&w*E{|w~Ukgp|`KGKEBfT4t;2piIe={#FjO;NuR5Ix@DZ?4^Q{@()%NECOm1^ zEk|B$iLvi>AIUT=la|$1-Ezc*o*0&O?%vY$acNm)HY|r~F)WjAP53)oTCNNlmcwJU zp7=ud%0tq!JfK^~N&ax-qW5}B%VjRZa+g0w>sY_&Dt(WZ+I7n~$sh7IE&5CPEVD{W z@xO^?aj#YxHNmi63ZrHiroX!~V_3k)TyqTj)XnGu4Ex;A=pqa|pfS1_!wyRBG7LLw zVXhUD`LD@zC6c?zx)vlq7GSmwl9OGG+9KI0(N#zuX=kn-k~7_mu14}$S=t`S6D7I^ z$y20V2PEf6bS;weW$ATDE|%zeB+rzk9g$or(G5tRXJyYfB6*Rty9volWT_R&I+js!Uze&5ENIoM=A4KxsE-vkb6#eDbLrAG-XRbF=8p#?DBc*A8 zxjsl~A#3zS%EgkiBc+u@k09krAKUdqO4}f#{z$o6mJXm_ypZToqzo`~=|H3ml6HfT zGSto7V@OGnc7u`Ply*aqqMs#0k&-S;haqK*i)$n!WxS733Q{Hp8Koj+s+Ey~lx#aA z2U7AR=R``Oi@D)QnIX{#q|7lhHxeleER04WMUL#IG^8w(Tsl%#N|b?=DnHw0BIQXN zqtQrt%ED+2Qr1c|7Aep9nHz_ccRY;7BW0_Fkqar?6-E<~@_~oZM5OH07)?UTCn}@K zNZG@{GzBSN*ceVl%2z6*X-N4-VU&fGZ*7dSk#fk-C0wlWlv9!`M9S|>nN*~l(HIsX<*Y0%Mk*BMrXw{;Wi$h+_56%xBDJB1ksGO2jnP7+ zQrv*}EJA7*jnQJHcJnhTL+b4wMoW--m&#}H!y{H<5Z!a&IB^u;ex&^{C|DM(R&Kw(}$Pzg9-?AoW+tZAR*8H*@bI z^-mY0ElB-aa$BJ!NNyXHI(9C74@!M2qwP={nHjwgrMbdr2b7jJMjt@A*uv;TD3>uX zeFWuljp0rx7Rh}KrLE)wP_FhfxeH1M52H_@T(2_v6v~Z~+YRMr$$bW;v%;l&pmen{ z+6$$-h0*6w?vUIUP;8Rh2jyNaq=fED4~^khPIM{?gldBnq|8k7Ml zqXSR|DU7~_GDLFUK}nX}K`4riOAkRAZejF2lu-;!KS0Tl++iqVB=;kfaT>!TP$u{p z9fdMOa>t;|VajwIN~z>dK$+)f@+T;652GNI#VVtdP?k#WXDG`h_g^S06)rsmrOL+W z7bso}qhFz{mfUYp)=2JmD9>`C=`@t*G=^uO`239ifbx>${)F<1oCeqp9Rt!6C01 zTn>l4W^e@@@|r5}UP$7ldIXN=JdFCm;j=L65661R4S?fimAOaZc+JLW zARKR47!87BlK?cw35Q=}G#HL|{fvgdu}x((6pr^5M#JFvKx32)$4<$mz_H85Tq+#; z^`^kFM`g|d#}^7CCmdgDjE2LpUveYhIG{2&5{`och_@$>?^Q-=aQvt+N{8d9#wY`h z6COsHaGX>bjfTj}Kp%{O<5!i@SU65AjK;z7r-jjYIR5f5a={4;qX}>(dKgWFv#!c$ z5}XZej3&d`$j@jBoJ~E9ro!3W!e|c#yocSI`Pr#{K7%hWyx&XA01kRZ%qjEUqcNA8@ z`M8C-m2l4UFsgvlExAfK7YmBQf#6)CGV;K=%*Lo1&T>B^FPs&UdlF8Mg}GI5dOeI* z!?{{v^c0+HY>b|UbFH7zS~&G92h5D# zfb%=ay$R>{l6woz!!|D61m{r!On4j4<0>ORoIwFhcn8j(RYsfP{6zp0-i7lwKcg*3 zyV%BP8`9b;jNU_92ieAUq{(|J>_A!?S4joBmF+MO90Y) zD}qZ%K)PL`B&3g4nX7~J@dB6<>LPuTl~FyUPgNP!M|zI*(g5lCe&!k?y~xL?5z=Sc z7&S(EiLBcM>2p=)nj+mT>o!CBVn1`VVCsn=qvl9oVPn(+=~c4s1xWX*%(X=NQ?l+w zNPkh96@1U5fNK1u!LChIGI5(hBMCs?1%E^!KEfE0Dg!&s=My@04|~ zMEWN-<}66xBkQ(7`WGs5ZIS-9ta}yGH9vFhkS?E(60Sk|Negq=BK>!b(e=nMDU5DF zMm>$uO~`2GVRSPx%lRTVSp73S_j#sdLHHe~ejF}epCeI<7vG6qO5_anpM=hB|Y$S^Z{5E*0KjCvts zyp7RA$e8G7)EgO7e2gAOMwYbegN!^`w=XgZq#Y$KFSfDWBgmL(Wz-KDb7bBA$aq{~ zZU8deenyWXW3lu%5E)CQ-5_MFuyg5S$fy*+lrR_>`gt$}8P8al8;Xo|Hb%pc;qx#` zM#f7jqZDMkqA*HD#+znF3Nqdnz?9%X#%7I?6B*krjD{m)M}W}?WCUc5k;wQ|P^N@Y z$oNuYl!lD`3Zo2Ud}m{niHyS@Mx&8&RAV#-87KUV#voYEMLN5=08BNsCM z2r`<0jK6J+CL*(ro6#g>*7q=)jLb$--xOpv^)WXUnJui0rXlk}X_tk}%gxMXBeQLg zQ4TWO+Zg2{^I9LHJY?PwV3dzctH!7Rng6jdDnw>CX{RFdb~kfH$h=F|C`RVJX69xh zvyT9#gjvY!C(&$VKB_S{2bqI|j7pF>%)@9dGBf>*<{?ubbKJ^jFuzwd5KmcbEC>!1u{2DM86rc!@^uOGIuJBRv~kr0H%c1$lNc{ zQ^@?*!raryJY;9I2APN5jGjT}Q6HnV$UGsrXOVd_z}z}yo|5P}O#4hwriAA)ZJ)yE zMNB)QFPQO~{%OWb`(&R=H5Y;>S44QS)@Wt;ky7VQw3;DrD(<$nv_F+m5WK%#7Yg);d|b16k`O`T$v*d|dh=vfhzxe1xoR z8go05^?{Ai$H)rU83mB_sg=<#WbJh^`UF{Ds*FBG)_x14-N-tiF!~Hx2W^b#*NF7* zs4tPNpF{hRy}-w%8nT}dz?ASUvMbGu4kCNCh0*uOUME{SjBI_}ID+hr0WLj;>~}Oq zCy>28$S8|axT;u{e_%MJ&gWF z&K2y1mQ3fgu?sE{$hq3aC;>Uw3Ls*Aa&E9PN1kus2syo_zsAVvEB!S=&HxLSHbu@LjZrh?3=J?cBPZ3(s5x?m ztBhJ8CrzRYkTcrFTubDPw=%jAIg>1mE<(;!jnT!($u%>&1UUr(MwcR|*w5%PZH6}c@`=DH#GLO-MK$ZaKnDe*SsULn2Qj@&k8=I%gl zdx`EuZU>FIyO7&aVMMSC@3a(haD4Wy4ykhwm{&9pM=i`=m;Mt0=7e2gAJuDm};?1$VemAU@NmG_B> z1CXn_nR^tua?D8_h}<~=<_016aSx-%kXxlN8jRdk5)DD_(^lq&B6poc!;t%epiGI$ z$lYLLl!Dw>&5TAO_fr8(iKCFa$HpiPxpFK?%tY=X+3r~61|=Gg+}|XkUxWKYdYOd0 zx)M!BUIROOnS#6~Zbs9PXOW(>kav}zxoqTJ6JV5syz6D%T;$!PFqenCTRe>Nk=Mn? zr~rB0f{Y50cc%cRL=|~=tBi_}*Tc=I7OuV$esk4C zpTxJ2e~IMYMt*C_y@UL#CHF4!Z}XezleiW6_ekzN@Un+npaUb&MX^g%^{z40*uaIBnXY@7lmkD4>+>iVf9!B3FzskZ$L%!F~ z=m7Gc62O%BE%Kl7F!~Pp`dEAr`942$hmgNP08`@k$bZ$t=m+F))EFH`{w9^tkI3ID zd*cZ5w+EOziu@1do*zU0$3EtcBma{iqZ7#ABkg`d{yu3(zwG?Aol8$5UvmqTM85}q zodBk!Iw-hFqPi%!#ll>D6x_{qlNzAlz94fAQP5Lk)CdK=rI*Giu=|;7f`S1qMom%h zn8K(T3WiB9w0J+&&s=j9443{|pdih|+yy8YE&a7b!FU^U7ouRIw7UoeQ#Ix;MnO)1 z(IqG-P#Il{f?_M9%TO>=W7G--rDjH#qhOw&(G@6I=ws9x1xpl0SE69Kosk6v6*fj~ zP$1XFB(+7sDvi0TP_QPzs2vLA{a@16DDX*t?NP8n;nHhR@S6130R?Xg%9M013f>Mf zx()^JYK*Q&!F$qQM-+UZGIs+Cbw^<%S$Z1^&62wvg%?Qf4isLj zu-%;~yv)w%E)?DTtA%H2VCklHij2=YcLlX5uVIMPd524WRVbmLi{Z&Q}qj0c9eNd>MYkg6e;^9&| z3LSn%{ZN?hW7HpoV*-o@pm2f!rldzvI7PNG5QW)p<_4iKU!uoQSQKP#FbZc%yP+st zs4zDSg-a}ql2N!Uz$gWUDe3x!`<*lq#}zX>p!h{EqAHwlH`OKvg>k4SC`3Qw5XZYl~-DvYL~@E6Htq40Of zWux#<$>pH%Zx7q$LQRxhKGc>0<_e%*;$lRBS73!5iMnzDsax*H1dX1IQbg0(_ z7|nosqmR){sJGY|&4T)$Afwq(ySW+7fqI9PQ3+Iige!%5pO3l6q4u;hnhW)zAfx$E zAM-F;0Ckv+ksE5N#%Lkb;VPp=P}3}o7DF8^fGMdA>NpRhB~T~Y7%hc5MPu{?)NGZ} zGN}0qqvcSG1TZC)L!IGav;yiJ8>5v_AJ-UFKwaQxR0VaVn~?{qN1|$|t0ba0oHYS1 zeG=+AiB>`NNwga328o`6`kF*fLw(E4HP%3VN1|t-Zk1>))b}NN7V1Y5t%JJD&NZHc z`k6#8K>gOjoDb?DKcg3+9+NfJLp>2>?j@)v1B^C6J>_HcGSt%&y#n>Di@8@(WKtQu zhN46_qt{VXSE7w5YT#$?4HPx8FnSY3%``@Dp{RvKn^1J2L~o<$5{djMy4+%-PtrRm zx>BOeC~7M`zl);wDwl3S(X}>4TT#@}&uANptOA&l-a}C*8>8(g>SAZ~K8m_Yv;#%A zOMf4r=q`mzKSa^pK}H{;sE3u&P89XDG5Q!q52=g-DC#58E)+c?(I+Sx;Nj9wQ8Y+n zv>QeGcj#v*8Yf%ZgQ5uvm+nQ;6g#8OQIzFl^aYA?HAeeTRA^@OC5nnA`U*w*SobxG z=16Woisb!n(g74LQn|*rDAK=8zeCY7>G>dvR%l#$2t}0=eUGAQiGDzl{=Iq_MQbc< z_alm)mFNhHo|ot-iq;3Y^cad>RTv#d(HpY#1d84klqu;a6um3;1yS^#EIo;$58Pb( zGm1WzUjB=s-O}z9iaxh;=`Sey%FgIl6lpF-zoF=$Ed3otKM2Z{bQ(oJ%F;6^I%Z?; z4;1}mVe}`8ewN%>6#XK(zfknMC^RMgjiNJ}Ky~O1=2<@@pxC4_N-rfb zp}3)sQ5_UFu`{ZRVza`i9*SE^u0Dz{l64!P_)c;$D)w5XJp$T;n1X5AZX( z7{!AmcL|DzT9~^O#mOERgRtRb|v3#WNH}*PwWo#;5~|OC)zKisz}! zU58?~0H!+EqgbBfbvmMWiR5lT@iH@)-iYFr7DhLrxYEzaisEXnT<2yKuU4761;z3l zuhR*|&sv%5jN%t8jQ)e-7yXR7pjh5T*6E7kS6$5AisFrSM%_^SW{^>L6u<3ebQ_8{ zTN&Ms;w?T#ccAz^8>2f>{Jx*jT`2xgWn@F~&H$skF?+A{at~&IExp`}+22ZZA7&pl zvt19&{>jGZe$4(^W7HF~|F$!F5Ob1TjCx^CJs+coFsHGfQE$vK+Za8JIW2>X`e4rG zDxHg!Sn)g3|&nw#9*}~{|N=8Jgn~8oRjwB(Ok`h}x{cg8tlUwwIQDSD! z%rQE1wmEgm5s@NO;^LM@Zb@A=LJ>EkxRM{=A(xPRKj-yce?1?s_viDOv+d5A8S^>k zou|TxT_75USZ6QtH6D&wSB<(2vF=f71Y*79F>Xh!Z<^Ui#QGPgQHWhDx&yJnVP>Nd z8{$%TA~sB;?n3PL2vvpHXvxMPR-I=y7O@F+R5fB#WW5Mt(9gLrv?numD98Ds(C4d;!A z5bx-+8b!R5%vgwcS6O8d;@v~6K8ASz02N34x)P-$ev^z#AwH~*+2e?h5eM2($Hwzb$$R@f|L+9OAo* z)CR=&1gVXP?-Ol8{Ifb{uONOzj`>x@kCmAH1M&Y0Q?DU@JV(8b_zBS)i2o_o%}A8F ztZqS~QuHPgXNtBW(X7a79*I_>w~#nTv<-<4qPLOgDB6xhw}Mao*LVjKJwntwNc0iy zM54bJ`5M2A#I*rx7ZTUkQM-}2u|OR{!jc(ZBe5~e>?jhi$hdEikWa#mk0J3!kyX`A zepiY535mTq>Npbn($ongz9>+?A@OCD`U8n$LFyzD-+S;i{u7D+D^hUKIq^o zWq{te&a0L+g6F3Q>)rH?iEV0@Q`n4gdIrQs_R14@gi&{b- znr7Atx;&4{T0sm{<1If6@| zKM`ft1$rhxb%nk(Pj!R7T(ZAGU#T(c4*jVRbt&{`qEraFyoQ$bfWFR)d}WtGUmv9| zhc2(7Wj&$)tH`Vu^qoPfH}u^ed}V#0?}<`C1x7*?~2s5(2r}>0O-HS-0PtK7GO3I`X4TJJ@ivWY7ml* zBGe5?Hg>7ONczLnjYu|?Rc=BukY;u>k}YJtAxO588AFk5?-hMzVIo-=cqf7?59zqk-V}%-HGJYE_D}@4S&T| zNDh?h7$gS=SRJeGj8UYjksKPLB1new)HozZc<_~tM{;DEntO!P;OSTB9JznH1djzR{5h{k%u{0G&>dzvTfB`w?B#bgq3Wnb+`pOI# zXUNa-1dJ91W=mkS2~Z}Cc2c!qT%<9phtb8Qmcr;BrLr)3P;9MG-@l1O+hM;C5Lj>GVXntJw*Fp_6l*v2dKI7a@Rb!|E=W^fz>Ef|!!Q@- zs4rp0L|?(wW!zDiYx1mq19P2Z$6&4xGW!;0PG)=u^JR_M_b^`#Q6-pL>ZqS!ZWkR# zbvG~amHiLqdlBjc%n!rVZ!iyd@Rj|J>h3b*515|@y{!Cnn1AJ|GGxjlR0T3;X;dXL z=Mhe-nTvwdS*UI)nYx8qSC`q@sBY`QSKbVn9ucZJs@vzO z7RdB2QY}#(j8d(Txyq$lqxwRPYJ<#xAk`Mtoh56B%q?kV?U5N4qRvG|{+h}=Aae(Q z-tzO1sS2{nGYnp0+|D%tB@%MS?!O^;T&}} zGG9sc8f1>jQEAA0E7bwW{8Zw&>yY_1O$|imcNup*GN(j?U^Nom0INL2af4x1=BOKC zohiBrR7}QSi5tS0c&rFdK^^^&t!F5!TmvIPr&*lLM=g6e_79jbtuYAU0Zq}Pg$^z zl&E@GUl*vQuo|8f4y@mT%vQkqL&mLybt=m2Nz_ADc2R$NnAuaPFHcj?pgthkYE%u> zm_3L3wsq7RjA>S+o=4TMF0~f*=gHi4sP8D_UO>IPZk4}?`p%NAN7W4>&Ugt`ePu=t z^*y}ESH1!DJ%iLn)c5h=D}NdF{fg8k)L)gSUO`pE^X*mC50L6VP%rOV%3s419daD^ zPh=-Zbu+S)QiJ7O7$~j4+WV02ic-a9YXd_Iw_FMN`;| zg3Qi@9WPL4!A{94XTx3+X4VY0<-u3c0`|%P)e`n9skVastW;aWUaPU%2KM?8)fV=~ zI_ezQuLh}hu;q2IqCM<4WxaD@Z%eb<0rn1!IuG`)A{B(aN2=$;-dA9DA?$-;suS%0 z1gMK)A9ktEu#ZNlOJIMiQC(pFkf*xB{;`hg2K#4?`Wx(DWaaL#e~U1?6!ys=6@vYj zJVp;JYb4oaSXN$S^>Qq$bg7~E6J|L@~@-JuEFw>NW=2uUgWE|7R!Ipr~z2saLfbYz-4wloW><;5S)r4 zbpxEHk`0D)mSi`bBZDnPQS{K_Du+K2a-^;FkyO=G5-bzkSf zSD|`r4~kN%HTI1%S9P==;xbc>sKY@|{s3xb*6cs$Z_gS6Ae=xVLzIuE`S|~Q0nY+K(bD-t_d=`2FM- z@~rh=0#nX3{w^?2XsmXHdD4T=-wm15qLlhH(Ii53N6K`mOOe`MM}=U__2BdOfU!V+ zj>}-I&NI6l#+o$M6UI81>IGxH%dm-1#=Pwi69dy&ttb}1hUQ)-v;RZ>;Eln={XwM%)3=sL`s9OAfvnD=&` zQahL55e>q;-BD(05A!!NL+xSSt1(l1n7@}y?P2~|r1mhMO0zly^BaY!TQFZIkIJ}v;GA@s&A^IYc}m^>{4$v_6K<)<>|Uf^7R^F-e~#IGsIQDr zvr*qls&nA}6=Zfl;&F|dhdE!TsriV05~kGNC^Ju~y-~MHRqc&x>P0?(6c5Gn)Wb+@ zD^LsZ(8MCO2#GCu>Jel=mg-_W_(PQ0qj<2lJjP>Ka5Tg$h6U3lQ+uZy{;kyB>DG0u z>X>_~KqWCx^WgKRF!x81fw@hB%pS)Bi_%mY4@?t10dsGO*%Bl^s-sLS{~|zTkiA#N zS;&rwGOLGe2dSm7N9L$3>^23;Ms`(+S_bD0sV;}JJjBd_Gg-#1fHNY>Y$cq&0qRLO zmjo#n%TI=>r(iBlQ>$R+rTR27&q($RG6xH+u157xsj7S6B&7Nr%tKz$=U;=&#t`*9 zGShO@T4V-$@cGvvBkynpO_n?}1PY&#s zgZk8Kl0kjuHOQd;1Jw_MIt11Jg8Ce)+XYpGYHmS&0oBWbIt&O`PJvGhG*F^*lEeWh3YE7>UU60B&hG9dPYz`K(&aV z)b-dsf|R-*yPvH5qu2a_*-u{M2kJOf(+BEjsD=;J|DgIiQ0h}#QKNo^>fS(|fNIu2 z{RY*Wf%+Y)6$AALRObciBvhjX>QAr90(Hu3ut5FgHCOOdsqV5Mztu{3O%<3mf@-Kh zod(rRfjZsmoj^5)YL!5hd7Tlca;QcKR0UMu1Iq8UIiM<`x*AYTpqdy^O|hW7NSy)I zqJTQn>qtPI1=WCn3PAN6pw5PBFF-ZJf~$*EbExJ4R12ua0aQz`X#l16ykDeIt-byL zR2!&v090G3yZ=+?sLlZ%e3k8>?(I*thq{$NbuQGM`zf{O-6v9e-sPEBsrI~==UG*I s-kU@zwdY;_-*u(h^WI!zruMwI_Ta1R1a-%JO6_^?5T(?f_m0~C1E>xEb5ozkKID79 z?R@7u-&yXtcXIhcu_jPm7m)vrv_A5i5dO>RXkXjBGJeg9)`0cVSxHjbo9mL{@LK*I zBaG-3opBP$xi#xTN!U_ zy(V54Uwru$%d5j54FtB;h}Lzj8$05S#A}w<)PlbC=TS%<-?lN%#w43Jw714PRmAs2x@=`fJ;Fyn;Dcq5*?YJ)c`dB&QEB7S^$lpdsI~eI8P(7oc(&FDd!bg zUPt7E8uQERiF`<>t%1menno^<5Rp-#^yl(wB6D=wYKVk&+7M-{_CH~I?Mj;VP3u;& z3#{7BDJ>}3c2pYW5sXIyR-{54@nZGBPBUN)z>bgU?bX4T-5EVr1MFZ?kJSR}PV2F1 zVDA9ZH6C%cA}8t_$d0ojlaqQ$^qm#45_%BHVAZ~)_jNh?$*MgN)k`A5tlI4bJ&3-y zY7fUeAbVm$&_iY?oSO5H8UHb$D)C_G_oY2#M*a1Shs=QYMLlH3`oA7-4jU^n65MW^ z95hyByvK7p@)L6&eg?hv&6tPIZmjKzdgv0cJzjq4f~{c>KgYt!AJ2l0`db%Yvwqz* zsKCu@TZfA8`0pfaxFO!WGA#4J!r1vAM)51&QM8dp7H$;u)^(`o){K=UfjOYHw6wOA zG_G2|VO`6bj`irg8Al*UlkFRl$@Og=;8~wsxxS@Us;YIy`58S7$$bexBQ~rvD6g)I zqXTDLNd>-K@M3nkWqMu6k5m6iJ@B(3z7jn>BUvc_*|90vsf|-?mB`>pmxgU2yDy#F{c zK|v$H=W_;dPDy*!futV?I_l(y=)P`zKnF}bkv70^Pa075a@dc9CT=(Bs1p-AiUtLM z<4d5Rs!%X+pe9y5?JLeUY&D6a`>HmZ#HEL5{kf#UIx_6!PlgO2#338X8DK2^?C|{) z4BGe$2gCPz$$mtwU}9p%r(?E)NNG`8sx?xOU}RyuhMJJdA6j%_HT5lz8Z3tC^2xsq z8Q^MJu2ya|1*{rluxd-m8*jN~JTJWB%B5g>2^REimY~y%zomUxpyG|B2`|U3>QKVO zf{v@&o-?7MfSvcpjDZD#vJ>-Q!oY!*wN>?ysSP3zj@esEtuXXmOLIqaykl)rR(0W1 zj*Xd}82&)kc5E2MT=iA`IcR7E6^#N zczp8Mn7L{QpO*7w#^8}Uhha1GKiOeerC`q95gwjRMt*pF`dBz&I$WC@hO@RjZo=Wh zF|o2iuMF29Y6aiU;abEPv6m9Vn6_gH*4e*L4#!#^#oqFzyJzkP z%Bo{X<%QgiOV7-FJ2ng_ODbBtAbJdZrJ^^xJk9x=N5@P(CtX(SZHLsInSU;pIqZW7 zPt6zqrcNl7*>sA~f~g}i1`e5f8Prn-W1;AfPM>;~$=C9@nfm^e!30(?Q%@`yKoO^h zr$-GOs5n!rOwN^;4pS#V+U|74eN?_vPAC{adtuUT(q0~IQ%?yR6bJ|U(%K%jIcnm{ zxeU*LWPD9(PH2>HGCg?<0Il;fQ=f8S!2nl_;;MI2CcKpBQ^$irYXBvBYK5tB<*_;S zJt2cQ9gv}P@~)(bg(GO{(43}pk7%%$3#UX%G4w2e>=nt>hMdU;no)TJKy4ct;;E;F zOlY|pOs&iNvT(#6Z(=F06sFEjnUo+5Rj)-2XnCbD>6w^@135gYM`s#CYf_sIm;+}= z<}|99j_~BP|p#r~z>JaN1Qm3G5b6TcQIH^V6;fX;fib*V&l`fNfoEX)()0%=Bgw4KG2S8WwD|tv@cNdAT+|b7J+xQwB1gvX~ z*GIT`n%|JJHI`lG5dd-@yHv|MoU}2|*l&+Z@eDR)ZRNFb9!9U&uxeFnTd7XKsgoXw zm0>xJ<_Rop!>d_q!ey)8Lx^|{&nbc@4eE9X;~aQx-p?r+v?G@k{dwTR$c0f~9((9o zdrg{{Z&GDPw4@A*N-Q$tja&#Oj$%(N$%Xv1rVe9CT4qf(wVrCqMSJ9ekdHC+y7gZ_ zZ%VZCAtrgvjq_NMluIWHNBK1%;F~F?H3t%w?OXiN_#;1H(%B63_{|!2( znZ3%>z{qIUM;8Xd$S4EhcO4ruX2;5^GrRa0}v#Loe?B6>`$rCMuMwjNt6%QdU&#AD+}^_qA~;+R~Kx>_|MeXPuO ze&2O$A(Zl0H7$5d%DFtMy!+S`mll|Toj)YMI$3e!9N0NO>3~X)wmFu+5%HepZYz1r2u-rkx zrUXY275OrtY|tfGJ60wDm#fCg+O9QCy zq^O3id`E_*FVL6I`m?Z_26hJvK3t5}Q-jSxFdRdbNnc)m1$I6fG^=5m54`+#)R)8d z=0rcAspU|97%gOaC+Wk4>hx4jgI8mB!06WCAMliq_+4Y&$;0PEH6OymnLziEqE4T) z&*YxZ&aw2X-1X&9x^%+wj@8sw<{C^8JgyDwE9M-~s@1F3H{Z~_dJXoyA0O20+PaSa zasmR9O>G-^d23xqb*(%a39b*9Rh1D`XFJQFZ*QTD$|WY}K09EY-j^9fSK(JTib&U^?!;5e3hr#9>s zXN=d%V1hq+W)?EiB4zN)PPFR&paw4OJW5ac9?O|o_-Hr$k3^YaUF#=GyV-DjJ^Tv) zhw3GD+z`)QX8t{=0kH+RCtTL&aJl4@Ja3;L(lC_fSI9pUGsCiCJNeSIhQqc1`P+4< zWBRtLzERZ3mnL1YP<5}#2lQ~&wLw2|WN6ig^9GL6BxqILX5zpmpz79ypE&xgYK6%^ zbj-?)cia*5;N?@pV|x)!Ri66A*8Tprl=S zdDE2v>y)-sS)g>Dg7Z5*8MhyBy?a*B3v-`+UT%=?zB(j}W=5jX#39o+Tl1kI-EJKy z3RPER3>`h}byi#$a><(8t3XCcofpy4inrCR6k zLr@u&Q=7C5gYD&Iv#A@SK1ygMp3pN4KER#2Jm|-Pq&sDaHXQQ!66i{Uy#2^>>b#6z zy<8GuMV@+I*pGvas5R&)C;im3ih330v_9o7lLl^0(BWx=d}%L$tT9=ri=&i6#dixn zD(uN=-U2F-3C2}N?DKos-r+2@GGA6CN3HGgWLs-{E9y?o(2{6ljeYO-u0f+Ng|$4A zw`&p#Q#A|Iq9t_~AhqZJDpA(1NJN~a4A;{h2^|X~RbO7cpqi@xI2c4lwW~@7ta;0m z2Gt&~ulUeKVX8h7JXVP%ORu;RNj1Ox2oLKacgaT8E`?@2HJ_9_2F*y3`NiTfFv9Y= zx z>&{`FWA~+fjfMk(w=N`$#^_+96qH`mK_8T7)TsXz%3EM!@|HjuYhjn)u2Q@ zC3eVjn^8O!z>`J14ic}%`fuLaEZC3h*x0hXfiJd%aXhg3fh1@rcWmUVFDutv7YJ;b z2`6o9E1TD>#6i#DDIriKeFx1{huN2h4yL?FgdQ9|Kk7k9ZY_AoRK?yO{Yt8giD5<_ z)Pc|-_1e~z*I^PsYf2@2X1F*Au3y*Dwth`%pJ88-#E>5;H$)|NC8gLFeH}v5!m#Es z5+Ae8_;{$Kw^qYp-vtlcT_|aBJ>JC?sGnwhg|W?>5he@0(s=;<)u0c~l~d^L1URgr z>)ZkBoG%yLB(`MHGWMq3=UB!pT9qjM$*tC+kEP0gAw3o?%a;Gb!~aDq(&fM4@S;1S z<-cHj(dUxozi8si!SY`S_@cW*<-gE-bKfpwcFN&hDr?>+%hEQjp0qE=N>VnhfwWm+ z7p;!8uVq~{oN!t5SGi?tN$YmYRwLC5KO-NH%J>=#-p9J8wFSqiXHuh^ifV-06pNbk zWm|mxVbRC%mmNu7Mx56X#GjMPg9-R&b7h=wQ7n>7m%#I)cr)2P_$ESKAl`$RCfP zjuv^9sqo8OiN0ao>Xpsy9ngDu0VE3nEs;3yV9E;wUb;T3BG9}b1ENbai`dS~3LY|B zx+toWLVolz~;h`#BW z90ulWS1q@ui=}pYP0gXYFHyvcvA!<8U2lptdhs1WtsHCc;_qj)SS{8Q*5U_ZTCheW zznj#9;?DO{dJs*w7C)HQgVM-MLJvwnzZ2Gj(i8Vb^`OMyTR}aD$;4Xx?T{YijI>xX z*cvvu?@H+< zB?xz?^`Hbkoz#Pj?Xu4hN_58DV~R7suJnym!m2fEe{lmX_d^`sj#=Gk{OV~&ag^mxmIxhR7P6DgyWw+`iuoPeR*@Q+F zR^H2QPH6y4lRcGehofU#u$t`&B^4rQGlHNU&rEkbB94cFoTo)FU-x`Si(vWD^IixB zMwiA->pD=b%<1%FQPR_t)gf3#^?Wd)L$GA&xge)Quv+L@pV1*);r865HB`-(M6?iA zDm`-&I(-bSJSg!*j9eIevQa`HZ zbI(kzLRNsDo3&EaG}iqjLurT?rpQ3|Ut?wlF0i`)5;ZemHVkzCiLo=7z!V+m{&UF8 zfGIQ3{YT&?4VW1N-G_2uU=@=0fAI7@em%~9uK@Bw5r{i0OWDBI^_)%L+%Rr}$Q7*Sm~=>Fp3V7iNNAqP_;5&Uo=W*}aF5^p zgil+fDNpz{g<<@k5NvqDSS{Ii10W41N&87gz$+&+==CuzCgb2LdhC^BzwMeE^kQMq zKUVP4QR{ZC$$06gw!1#5p`(`Xx+Ul3N8R4luA!qg?`qZ1Q51K5CFRw_y1Yxa3QFTx zm3PGoUI`S+U03D2bkx>eKS_D%tjD{)qXQ`Mjf_TuCcdBp7}bS2jRfc|0F@q+ugL6b z(*Uf9yEX;A5-hO0ZZ3KOme*a^X(YHn+I5M>26J)Ohcy5bao0yRs+fhlu84V!GX=Z1 zY9#D$A4yf*7V^s3FlV7%4;OVX^=<*62F?~_F+K3n0$^Eh+4CE|gCv)Kc<3%?PW_iB z!QrSX@s%BIYoNF%IAf!ljP=CvSqKh&^90!BWBg zoio8wzP86qaJ3lUku>6By*p*Z#e7%7h>QKss1cXqnWz1zYnm9GB=TXCtd!NfxoOOi zJBu1=N#k$n5Xs~3wSAz+?kv zXQ;g9vl@q-t1(gBR&;|Rh;2tZ$R35Lp4~kZkd?Eo4FZ8c|Du?iJ>H62YJvUdCcI=k z_i)~?M3w9AHFy{Z3=~uT8p5!0DgJT#Dg#V0gPOVfS?Y2PRSZNvlD=?G?E)0~fqpH6 z!(SLGz6>R9Ei&NSWw0OkbV!e)7;t%4e7R`AVZZo#!2lvi#kcYX5HnHnC@`HcI3OmdRguvi*FgCAp4NwD@na5Kdu!xuuiYoe(Z{#du>}*D{eC^;RC|JYdiHO z$ix2Kp;yr^b>X${jL`tQIBSOaK9}e?a9Px-Lp(enPmWxpXK141Baj2@f@TfUL0fJH zgPo;o)_3p&gcy5Gmo;350&-;rkfVT=rqM8yw0g%=w>6+KJq)a%2e&Di@ z8MY(aTQqSt6{(BZXY*Ul!Fuh<|?~rh_E% z{ViE7#)+tZbx@13T=ie2*U3q*e|b?W$1%~rSdSqlR_$|X$T4R4R^`f$HA973URdFc zaLi4}jPsXXcqyXM^QE#6Z5w4bS(>7{|Cuk@chLE?(D z7>~iviz>A8#E9p}Yho@P+CGJ;_vREf(B>pvwB zkr^R3CzfJX9_N0SOALTFxubNy)>YxUpqAc}%Eu=6bNYispRv5!goOU?E zs~=ah=!{Kg+557~8JmvXegEA_FF&J_(&%9!{H#U~2J^t1P&3plM~5Kd za~S7+y$J)y`dTTL`(8>J8F(vb;FX*KrwQh7VE@;_VfG>njX zbgEB-?SZjxYtkGOsIiCGW&XA#Y4@F+_H_uM3HsT>%_w+09{(6yWk+wUuNL9i^BA;f z)znLP2U=3V4MV*;Y?s-WVm>_8!m~T;%fkvNQ?M}iR~7se4l*O?Ec5;Ei5??6yL$hg ztS>iM4xA1SW1}Uh238k*SR|(oyxUic6sLjf{dmHXzIXat3L_UbGq{Ef$NGTza^Rdi zcnlpG<^p`b9A+Ch(AAtm2G;ocYZu%LVa{v`rS8Ds8c?&wlFPuaekAFqgGGCvk0)$1 zd5#MjwaCWtz}aad1CopTvVH%GfyEqK3Cq5hGd_AsGwXr#!ahv)!OIy*KNi;ASFb4g zvGBBW&pinP3vzqlaLxcW<0lL#{o4!}bPcX&Ro}CxV1Vmn>exF0uvWZ|Nw#Ny&9^z!AX_$ypsv4b#j`zMFbT6ecV-R3_WEq^tb_^Y z%6adUpb@6uubJU6q-Q`_>v=?CviFpN5ob*I95g#GeRf>NAkXh-1@?{wsx@EBOy7HF z%m^cu_x=_wFi2yV?R|g32s3cE7frCFI+5~DL(L-pb56;@cXmCb>kz9 zz&uY-HvsHMiUYM#BhJ9>`DzZhM!A^Y-<>z0ytr|2L_v$ft^HT$v=Cn{U5i&tVfb4y zEyo;t|M%&T0hN04*`xtwuI%|y!hp&u^coY&a2z}f&TGPfaeA;;Zxq>oaBf~Jg}y&H zGp2=*RQs<@YoSt%II5b%ejL=XH0Q%1^VhYhleQ-^Kn|Xo)f&R=ak{$u+wf-+Aya1cq|CO8< zkQ0epH47? zxpf^~VSI5&0UZd#1nJ8fC z-m*IQ)RQX7IBX!5-j^wpSGTXkL#Z=kj=$xBRAYNQf)5?x|FzZasGO&Vz~M|D?)-Yb zPdSDSDTP=fqxNoJgjU8F5nN0sIK`GSJ%Ah9L&x zgHbn;Bj?Z?RLi?tXc1K~G4l>SM8j_Sk8_}wnhup%!F-*fCF?SfWu#)#9C$jZL$C;_ zvCnZY2oC8gOAao*FOF(KMrGiGG+A=OAt=kSOA1=dUJE~K^oTWSU~oN!D3tX!a@Ar# z$M_Zf^|X|WynksCX{oG0f11}xVh-OoxHfi~EY-Q@wQSP9d0CxwiD$)HY1+PM(U(aS z4Q^+2##XFlZ1)Xri}XA)E$xd$eT+4iJd$4Wglnj2w06lbiZ~PRizju~a2;eVhwZ)} zr1h}$8=T=>w(rV3n3R+$&nI@9E)4o`aBAJREM?}9*!>i^H$nKh7Gw}QN<8_6KNAyi z-;=}egu(N0!N(XvLp^`+*JB?PKR%2i8e5fvCQo0oQPKsEh5&n_Yd73(^>4KCFNKD# z{x+t!Q0_&JqkT{NX`!EA%;~kjPmZ?x6Gj-rc%SU$P0bJo2JbYuMu)@!6>r~4qkdT% z?)!on=Q?)ZeYt=k%(5lhx512aDYx%41{~?Y=HC<2s|U>Hx0zuyu76L_D~<9r@a3qN z-Y97y>qJdFIcjBRqRA)>SgtYm-ICL4#h{l3@V>8wj5wFO`_`Lr3Dw<6$ZLFKoPH40 zV+>NtfU)~;%xUH9hc;hH=t1fD?*Y?zWB2;U^(G}w19yiZsm4((4t!G!(cVBdsgq*h z2JR^6AlZ7KppimM`hS-3h)8Ma|3%sZ@X)Eh7yqbEGsSjk_|YN#f6IFLkwpE^=e=}H zEB#Ms_)%o~yTcxS3042@s25<(-!mfT1!Oz5v)~07+Wsds60&RhcgQQj`K|wdz1~XE z-2G+>63!eg?~xe9y_W{{C;~IkNtGH&1at4z5Y}NB%X=4x^cXv5WL}TSliL0YBMQB{ zj~Y>S<8euYX1jAvG{Wqn-ESLVhQ07MP2)o~T-x&2c}kUg@Z&szfveK0d92@8-ynU^ z|7cVN?UxSwA1itQ>6U2FOXsSV^T*!HH9`bglJ#5KRd7@tCvIw_dk_0 zNXpzh5Kn1EWy`StU%=d1X%`O3v)`-khLOizc}0R9^6!*FY?jh<@HI%d)r;9zMr1v7 zTHIp=6*@=kBvlEA>)WaZj@5ip5oc-Mriycv{yC^{q6>D0av<_!M?-b?6K!DLoN_Zu z8~P6^9@wXvM-mFJ4CRwm4HDlM3kt6sX66zKRZcRW%_&qVe!s~mRHT`8ZjGaH_(?PV zq5%5n4>9oaz00B2$q51bZ!h&|Fob{SM7Gl%75)wf#;%;?XrwrRUoPl1qMHuh8q#B7 zU_Zk@I#1A(2W5k$v4;*l`0b2d4*gmfnE+;*Kl!5TD<^_MW0eEQns;X&U}%ZcvbPcwRu zgW{kJ3XMzhOypZdy(9*iwcuIWEY0adJ&jwGb0SR(B^jE&n=-I0SlGCbQ;1c6aTYA) zeBq&`jK4xJl{>}}&mOI&t2N9xiLsjQOBf6_ErKDm#cF!Mk42RHFlA6eZ?VC(njXp+ zI4-^fW*C*GM+!bHIHa+f*amIfE^2}j)}*G#{8%`c7W|v>F$Ev%4r@VY-oVjNgYH3M zSPPuX7FQ28)`A6jXD!%9Z*_LafQpN^6KO}SD%jQ5Hz#t z-lz_#6(8>~V3fNJVqROkz-2XEZ)h8n@#6-JIkq9G)oG7}&t>!=bE4_af*xcxG=0qg zvhp|GoY70NgXjNmQ42!s8iT7cn${UWmX4-GSgTWpP~BH@IuLQ2zc;NzF!k-Y34rtj zejJET{w}|m1Ger^N+TdvB71T=h%K)DnigUhYk#hV7{tgXopUl;>;4(l*i{=Ob#Y!iu-0OTabj*SD-%*^ck^;kno*5XE66g}hz2BUv^#m?p@b8!}*H6&fV6 z09|@^$|W7Iv)`7pA`6mbe*WAlCp{~2K~|x~+c&LS36~cpN?M#Q%>dLNgn$wuB#TEyNN!B#d?5e*7Z=;~So>>sRrqZ_kelWn$^l z0y+PZQHX3<1;rJUvUw{^%V`iUzX}aHgj<@zc{+q2)+sE2GLIek@L*Uc!zE(jw3J4M z%ecasIvH-C3McDixGpSQ24x-(s<|RBEZ0IJ)vAq;II&bK#X{8c&jc`LaXBTCj=eYL zL3D?D;e`t$7uU#!vNx47zj({Y=iDM+?yJ%ol{F_uh~~tdm3CzO7y*@;$Y_ zT^fMu`)+(;$}Jl!XG{`Mm6c+RG5v!jFO)^a|*DJzCP$vL3%O#&6gb69tfXEJXjy zdr%n*J9j!=8ieH6On9PHTusrk6J?y&OGLc*@q_`6LvL}DNtlfun3{qtZ-7Zu*CsnQ zf%sU=NnF1QT5chq&IR5uDz-&UD56x{#OCQ_<-n_$%9~)WXNuR;8Lc$OR8dxcYFMS= zH-sSQLD1;pO*tKchP?Jmtqjud)z1fYGN`b{bx|FHhQHcM*F7F!?7gb@8tK_5tWZJv|L_d483) z%EZ|H5^YKharL_P*0zq)?SIVfy8s1F z1lEGf+*qZ6^imciHlRc*e+%`cM48UHl^5iD4h^!(bPLKmNieaYoPZG}D~!MC{-83X ziG+?8;81((8hQh^{*x$M^0P$dWes*&|H*kB#?idzJw-rnZ6FWq3@T*W*i)HNsP^@e zJ!eEcblNR`p#ZwmCz>pN0jgY7adM!W%uY{aozOcvHbj>Wk+u>k|jB?R?X5Pci zss5@A$Vw-oJ!hqcxCi?dTHa3&ORkiZqi*$1O?t@4klvHLuAoNsp5o<3nd=>w^(aA5 zdf$~$$+DI2ofPuWIsSV?UOESD?|V}oe(oiDPs@4e9Q(Z!ymSud-V-t&ei^tYg6s~B z^xn4jBtUnL9RzIK*+qqjzSw*ZIXSzPMwwkzSpm15S#a~q3Sip@Qf?}*Yi>*A6e4>6 z#h+&sA_Bf`UP2)v+}qZwoMCwOEfPJR@q_N0zs+9rK%7%He>0_nSnW68m)1d?r8eK2 z)Ipp-ww+DL5lY!kZoU;nuLoR(Z2o#e2XPAD{EaXmK6-Tq8#d0%gYLA!V!e%n+(l)o zZCk8TnK&>0tf=rZZMLmZsZ5$}bMgu=tHYjYQBX^R5-(uM8y{P5ps^AB++n<9_1ac` zr42{X;~q(o+|jx=8DF`f4Lic-wXJdcXQo*utO-Z6qD;qtw#!dPA{@6Az%>TpSb@*v zt!%I6oo;-*%l@Ub?tv6g8TQv+$0KxB={>XQyAb6=p>S{a&L~LYxR9{5x}^TY3z(Mu zjVT4Xf-!xu57hFgsRiG_%>@r1(y~87V@}QXRlI>4qaHd#xc42>hn%aEi&IiK1_C;W zKJG3A6=mYSNWD^%aA9>axQjmtxv6Z^E449&hz9IwWCMmAg1O?4lWsmK>w6-k4lzP@ z_x7NZv3ibl3pcLy4UxrEUY&Bvh--W5LoOQAc#oX<59$_s`)B6BHyBy`4l_F*>!^2% zPI}pB7=5uIuSMukO_&RZVuQkg_1&7FMhH)Uei$y(uf2{xdAL5gay|Cfg*(YlS68&H zZ*Jjl`9cjx#j6y;k&@xow>H&`l(95dA1YN2)ub&H|n+l(L8;dFxZR?E`R4V49 zo!?A?%HRyPD>7*G=B=}Sd$+M z`SM7qiTLwS%}@RMVL6}QSd+h%@HNHNbJd8LnaBQkzg0ETr@P35(ol6`-d_(&P}Rhs zKM$VlT9xl){F%U0^{%2n57r{q#Aw!^iJzLZDtCd&)Me%Fmwm>>SYbF$)bEL**l=7J zixb1C;kZzcCyp-+$AxM+v3Z!N;**YG8<(X?{XUArcHEzQa8&jQN7KVNV@D|z9*&I} zJ~2A1HdcX&vD|RlSWhN~6T`7#BPTqTwTFNUbyenWRP+f`g6^UwPTZkUOo$Ms=&6fHmWLDUYmN@sRx;Z{5!5 z$a*+nmq%|jK0{6;-Nwr>M&=AzoQ-h#HV9Mw%t+3l9>Twh8n8IW-0b^PIv7^xe2%dy zM-mT|THxuld!s6Qz&fW_(>kbrB%|`;D?>Co_p}5Mhw5uqpl{wTI*2XKp2Ng@?%4$g zN^j8a+Q-8R5z5aUmjSVy8?9~7{ASF-=F-ff@?WD}Xp7vIcFVSaIBq~84kj7T6nlg$_cc~PNTdRGR9;CLQNw~AS^XJnu4Xl%whcC*8+P@S}u7*QI*YK(@0Ni z?U>6dsHwt)a^ZQ&b6!-Ys0DAr4|fPlN}0h6?F(%#hs2kK?+SXv#EnZdO_2Gx;fWk1 zTrEc4%|DZVxu}Rv?U(Z^6#;F0Dy349Z1bNfs8nQaLnfnAk^i+{kGZJVtY9zBm#ZC4 zA7t#$KQrlKUb{kC-tcHbB{RUaU(TvzE;1UP$f#r{ZsSu4mCSHAWKt@bBcS0bHyLg- z9QI8lN8d=|*T3muMrJjv4Z1W#1>!t^zlVykJ=Y4WyolYL=b|c=r!Ut`$_tjM1FuLMmAT{qdMeW?hfikN*c_hw90OugSQW zTlmV0Rex&UO_d)dvg!{+6*8IYib<7<44eA`h>k38;XP7o-UL-KYe4;RS(O((RexVd zrJ_&jPc5oc^hte#hl)O_KQ68CN;=PdzMztsw~hCBxY;Ll#hA*?ysbYFQpv2r^$mHI z%;4AG2dXO?a%vs9JL4wTaBxO86jdrh7r8pDQW3hyk*rEZ=<0H5m5R{S-Ir9U2wh#T zM=wIxG^yz3(}JMa279b_e^CVxirPyGDiwj4e_~Fh z;>pzZRbfyq*Bu4C87Eyi|AQ&P${RMQj#QH5^j)T$IaXFBv!#tI3MyGj%Uem6%!Hr+ zZnZJuMeY8)%FWEKy(FWO8TI)mddRFeb8ZQPduaW}l6-2^MMBQa`3Z%GxH!U3qEka8 zmXq3~i^QsN5`7%xvZHsdTN0@y{X4|Qbe{7{&LzqC%sD^gqRCwNT){%o%dDR`FM1DS6CFdfe3)UtOlq9@Iq1p)Z+C_ znRh+;7BBs1@%F#!EFn*KRK+w3@msmoys^729MYnLzhb;&O2NoL1>0BY)f4(~)FWyi zqoX<7f2V0DheTle^Er=LySy>CT`tflAz;b`w*NllmBFGSuzh>f3$Skk+y9c%Ajl5% zrcMTZAJ{QAq7@)Ns@5*a&WWtUKSuKQI*ft}hg$94mczaz!&tS)^E~Q7M{R`k0 z297fUZI4;Pq&jLqxm2_L!y&z>^u?B^*&g>5EoNy&K_?{Figt_&=`ac01R!2FrK7gI z?6rjK+F?J5Qd=e=**cr*+|D7;TmKUCkkK_;uJ)1@qkF2+wkV^yH{75a_x&Ayh|$0#>*OG=){}{8-c9 z69zJZO6;@3!80VB=WQsIrEKNa_!&8&42ALvndQgN4ykk$Uu*m&Q4bjt$GDLUmbXJh zSB%H42R@)P#v5+S>lheStoVn6n?zmRxAFW)DzM` z;?Md7kRiLGO=K!gWS0yfurT=rG7mKj9>Jd_1(hfZkIBzx-E^T=oIvW3Rt$rIpV83C zZ5w$DW#yXd0#?OwAPh0d3anyO!bRguIqpctMPnw-y{brB+eRE-CUIG1!Qb&`z{07v zJzkI&3m0El|6xq|W0N*#3mE0daGo6uo`7R$p>6edhK5*V$8)DchU@i){{aKdiF&Mk z{*rJ=V3ksTzLS78%DGa)DM2HMYW*H32bL@i7ded}q3UacLrP@@GxxW72SrvUS2%=Z z6p%k+zL_cig#aylJ5l}%(O7seRQ?O=yoE1i%73Ai3;Pr0zYvv$+tcO0&=Cs{XG?!c z)8;mY@#o}nL$F=n6CA`@K5@a>np+8yqqV50);y_KLlMBGYpYhGJ4C~kYHQxxd6z6M zIa~7|PrGQiN^Z?~+ie&3q^*U!vt>RBPBC2mlYOx8)xkfx@m%;uv5c}87Y-!Le{#vV zurpKslYOzUZ;+WC5%?SbbWRUQr@)cNKn$GVo>k)24M0AT)*zU+j(n6>N0;XreEWWJ z0fZsVyrF#L>a3gA0$Pe5sJ7|>+!50NjR3w9)c|tP zuWAu0JEBuo1N%qiL|AP~Z5W6gNP7@et|M(aJ9OZXuK6`=|6Z*FY|a5)ENd9dUFm=~ z$~Ek`wOSbfTeW8B^LDKW6X!o#GhrZ4>13+eoPQQHu2r)?TXfo}?1x%qY)v+%Q^p9$ z?oaIkE@Y0}o6*Uzq2B}G$xS>53>^86)(%7PRjqGfAgiM~ZMaVUbP9le5y$s7j&x{@ z$+GjvO&Vi7bPXK&yiNv>y#q(S1Y>HWmh;{IBl7(OB}6Qifg>ArcDQ;wv^#3_eGYwJ zpV3%DVG0~QUW;()cL4om3P>ZB269H6Z?n8rn=#^iN9N6k8617UxoCdt@}v>3VXNM% zVLbE-YWbqeo9_vk8Th)(Tc-!j48RBSDI;FPr09PkZ)RYS-kKjXGjP%x8_9ry6`HFi z?jJU^^V>$O)JMmJfw7#+zJkAYbL8Vikkstpiu}z@Ss?moRP#Ndz12A*gS=1r=cE~@ zf?D03R@L^!QU;k&wtbsnfaoEQPcIlz%QKN=x_Q>l`=FAN2kvGPs%nVGc zH|7M*3{1>J6AESqX7(Fb=gkZIpUK9*{ryq^)chgL?GswQ8MisQP^;G3b=n5(I9l!EgxcubN`co1x2#yp(Y zA=vtic`TztunnsCNKS|FUGXs=i0L5gtHz`gIs|*AvFB(J?B@a!A$@~6jg0wKQKM7# zSYvKUYoItbV*!~T^-8&=9QjeYqsO_q!Z#RD}Lk^c$#Fd*$Ci&H)f7|xL;z)e2L@mFM(j|#aO5xLICO&LOw zWXQ(~sxMlS@!?=1M4Gcc98B!URe2u{=2>KsABS|>{V`2|8&f9G z{ye93(r1%e4s5!%{FmO1Ee$OD>sWjzTRxpJ>L5WJ&N$Y&%Nu#b!{eNavr<4gUprR8 zNbri;AukKc{tlbrIgFunsGvA6T`lkRKY87Xuya2~>#gvd2U^%ZCukngwa@1u-NR*Qj@wa~@ zp(~ScC~^!JsOkEBL61d%{WYb>uwU=`mq89kJY5p(a#J1laLCgo8#4`tqqeR;<@EM& zYSZ;nNRRO&bX~8e3?N(aa|4J%()F8=UJz$KU2+Vq@sx*1UB#SUkf%jm{RXYLVjbw} zN*N^C8$U6Dz<;GTP-k(RqbGxUkc<7UzZh(C zx!?6`gH5jLyMAx5iL?)N{Vc7w$(8-q_5whj46uAVysfTdh3B?=%l?EP3pd_fhYilj zu4wBWzBah;-g;Kd&jybNwtkmwG*fb?U^$_!wH;qYUx$ZsZ4F4Rqm$Wwu7+Ax ztl!XqN4WC*_|TU_AXDF}_OoIGwgIdkQGgyuyD__wbR!Vu2eV6oN08n znk97WllhVZ+R&5bMcMut)$nL%>-LPFmTDeaY&$XS%fr}h`Io;Q+S>eV%vX=Jd{4rc zhldbdyBR=lC6G7K0-OIF0va`>B)oVg1>6`EF;EPGMQ1=pi>!8Zs0^3Bq(PQj>n@oU zb+Vi<##Uq@izl6uQ}_^1d}Z^Rl~~=3x-(Hy%4D+>6#s>(XD&UZgiI|~U6rW{Wt>2tigi1@k;EkXUY2&(mRxaJjw7=C*cxOcX;1=TM&y0b!gd#E+Uax0T*Qg2vl}4$%I# z51QKCvMFGl^4%OT27rRjVTtEw)}%+v?yD%4mXkJ7txgp46JSj*bSfL z#8zJ0y7Ia>Iu9E6LPo0*eLCqiHeP2AQ|}=Ony$K_6DB=xY6TX~le$v|wdmVnKri=+?pRF~bXi?>D zDU&S2`1z~}#d?3z14daao8<{bIdL6Xw!C@{=RF>@TB9CjH<_7Gp{)_mf<;!zNJbF*amq#Y*BNzAws9GX)W6EC>7j19JQJFc^)r`@|-xT~c z$&PmJFy1OvCTo`K3CG4dtKo8u+*;4=kZ9@%l<6$B4%QhCj=uwaDTm;i%r+*Zr5zN=`0 zIf71I5;egbx~DDzs#ngjTX~~VIk(!A>^F*ZzH?t)c`HMt^<0Mj=Z&_d-4B^;b4h*Z z4~epFe0@BQpHW+Hf7kVm5h(!DFGE0$eX(%rQxq!oS-`sD4Ek5@!e!Vu9{MX8_!O3( z&W*3yux1S=`(KeL&2VT0^Djar`jGXb<1(NPDi8n~-pRT+F%`{y7!)~g$7{HOqd_uI ztR!I_A1q5CU$JRDIyY1zl=mdK+7>vP$b-rxwy7lDP;`;72AW$3ek!IiVqJ9fyqrp<($k_UH4JKXLZvcvbvczvYiDOvD$X&EHY63QT>Cg0^^m#6 zKDtrWA(uCf&daMxcnENGR#qi*Lw&S1sFJzoIC@q>C38D*=tv4=t8G;ri(R~%3&xAw z)~fB@>d_`LO210jt6R8DJ=%(Y+*C&MGclFQ$e#nEqk9?3bsll{Wh|J2!07HmvEuL#J=PAe0jwpaZaY?{8o)3DNc|7pOUqfDi8~!(*%Xk6q@(*>f z<%(S{PhNkg;03sq?w2i|BEdQM=!esg81huIe3hFf<77QG5AjJaE-Sid+;<;6Bj%!U zcpRPXrb*{jx@BeG{YnC|R@(-ALzdr>Eqx+m{68o@Ldf=aWU;3h|8j1Kh*4J)Z@+%+ zhKdqMJ#^0aO*k?bc4SGbhf_vM!+oT9SFs#p+n(YV^^-WLMRc}_S76>gtAvvpBXHurB$ zgLi~fXe_JGrlkEBzG_m=99&Nb9R79!P^pxo3cocwsAGkld7!(k<9uR(X53Vq{BM0L zp;A#__tlcwQW2&)6G`Qy(_~8meBbrvYxS&!I zvYtPAc+utE2QuIt<#4xw4L%T4sErsMH8;`+XVc(V=N2k(_Cy03(es3Atbx2Q6jWX` zqVtRds3Yu?ch)Y9S94Pkyv_zARrXy#7n~8RgS(?fB|vrdL>-!T=93<^2+rQ0BvlQ_hW)i3D*FA+ zzj-vEX>Wc<<&8k^p+Hd48$ph|c}S%qhG-i7bv6yfVBZrVRRMDPjUUhjXUrquedF$; z%8Le1eTKZw;6#vQHJ^@w>NLjN{M`qr!Kt?v`>L9osKLn#1=Dwt=!|Xzoi=_)8dR4t zjI}dIBvoFt_l)sjg|`+RJpU!?bsDQhlGS_~L^l=hiLWlGL}b(aI=bLez+|Wlg6ed) z7O|2GtoyykB88{tiT!UcB64jr?y4 zRHtV(jQP!Jl^3ktBszyM-Y7wAGAb(?T=VIoN=4H~ZvoLYk=3ASH8)39US#z4s=Ug} zEKQN>3{EuyS(6H@RQB4<1(nJnoJy%wHu#pLN@bef5>lyb+pR&Bims@+C8tsuom&$s z6)j$JLJCyRe1U1wTJqhH4w?h0(Om^V&aOhM_b*R@=uG+QFv&~lqnpf7-0?>v+K z%ORZKH?*Q?( z3o9&Z$#}gKkgw@YAs9=i6m|OQ*y1y_`fAy%aS-x)!PUi*^Kv?ftDGg*r?e1TytJT& zXzTYGEyNH8LRyF;=!-zS9@f#rPe-*7L%1`ih1khmDIJ8Bp0(t(f)-*7Cq#7+nzeLd zQinu1xIUEALL5PtB(xCYG`gUJxP)4Ac}53C*e6c{@y02_V06tocIBpVw~ zEo9t(oYM($<+tQ?AYL!H0$p-;Mhh_*Khi>U;{1Y6sD@#hoYO&U)U31?A#_$$3$ax* zwEb91FX}>CDaN)stA*Gj8-aLZ!Ij~Xd$hK=z+7@)K`X`JUaVKh2>xGAC&jO{E`3i- z2NA(;LFXXjRLE!{#A)gLycR;7mVTh9g=nj$poJKxx57GzOVXv`pcZ0`k!A^K>`jxOpL>Z@`5z9E#y2eA+JxLnL`O z0ew_0Jz*u+;-sk=*UXXuE7VH0Biu2UCe8e5#)~jqq4kV}BEn9Xmdkko>ARULbyoN& z;__dFA>(lA;uY)g6QAwvE$eE+jWu=k_P@#M+Qx=(O)DPRR$OgMNMi@zx|sH4LG%n! zF`}nEl~#xt%hUchst{2Yru~5qT0<9l`LV)jzgERDa;H6~a4wgxo=c0Y+;};{iu^s}rIX*DJ?%kWY7qxXZK1OzlnM1yu28B#N(#@F5h=U9)6~GAGZr@v&p65`bDNe>{|`8dd` z2?DcLMTdaFr`Xe8PAOD8O6toD(fBCI{s>?L&N$BkP*zTaA2F48GrB(FLFA2_)1s zF9vI%>zb&_%$JR}zn$}t!F-9AS`Bh6>S3mL=X=RvkdHdLw-o8Pd}vd`y<0E{SZ{?yqD2c?P2FzOWOy+ z3O6ckpsO*jP~|H)UDMJknKyL0j`NT)90FYvJW6;Or0d-=RS8B_plhs$i~$|!TIC^2 zw70(oxtr&*4Q7YbfF$J-MbFP+KmT!xj0e{~qZfJTwLI`?MOt4YRvfl4}5RzVvRQF_5hhWHeKA+Yh9Nx$0bO>K;+ZZ zBL{8gWY8QcS-Lta6=^2=l?sg$Mdt^DZegwhI-3#-kt)vv&1r@urgLIOA%ZqF;i5@u zb)F5HLt3(=vs&R~u65SCJ+ep6OtZ3MU6r=P8OI=2qtvMV!mQvAa`XMaP!k zUgH^1b^WDy6dKraY7Cg8nw)H$mNxw06n0}1WYb;pSIE3?80h>)0^CE*;5pxWPoxi}JxECd$xF%V zBI9b|1thwyVgE64ZraU@W1nXWHmRj$@OQ`L%Hv57lz7Wg2JiD>4{zx!z`+NLfZ%X> z%;rbs@NVZ1!Hi!W zbgBd4!Ge2*M}EC-_N!(!_8OmxWZmJD4@V`Z~E>;F=E zY1uN*`eag%VyZySg!G^sP|fK0YqbmIVG1xv~j%Zlk4njrn2bgBc%pc;Yv6g&zk?wyayc zMau3~XgFs@SZkw!^X1INF)b+4rI2QQI5^;E-WKxVV9lEORX-~{Nu0SN<)eeuY4&ST z6Nj8>&hF2fa3=Q5^L=0$+A|+anHAV+G5b)|#3EC|?03vK-x;0VZ;XSu5I){4FTYVqf$oB zS(7%Ps8;8E!YE2Grk9kIJ!ehOfHFqYPd1=X`zfPZ&M(tv0y}tJeu% zuPJJw!56IX<`cGW`9THp^_~@*@G~u?rM+FPWKzJ}Ro@!)(8Jiy&C|3aS~ukZ;q;UA z80*mVQ*t^#Wx+Q6-AOIR>NI_n9%J>JK2C2Bhbq?e5qf)EsZD>cUQXtpX|HCr&M*t7 z9ZG01$?dcCj!N;EevScTd7bj;pgWqFb5nOf-xe;Nrk@_tx+Ep%oG%u1AWZ%QbbEoI zF^RG>rF_`fVt?+*nJ}_3n{XiIqXEkcr2CZL7kqe_^iw{S@!`N|n)1bz4+F;Pl%e@e z(-MsHDYp%VUc*2ypDy^AV1b$P@0=e8b^I9&#&~iFSMK-I;n=}d9xV8%;#SO#ZF}B_ zjRyak_F)*>(p7e)eEEjbGoWmn3Vh2^aBsk|>w`RR~Vk)ZE#bmz%V zX829R=#eGsgqw=PSfi>ZvcoW8vo!XZ%rH#ccX7Iz@LXsZPRv&m?vD+_gpxVoXQ^SB zP`oF6IW!CtD&2(c;molBPq;tquS%9M6P`#9!^OqSgy+EIlfYpqFcW^37>0`lal)5} z)5Re(p?f&*W${vRLloNdd4&O9`9oh@(!-S_Qee^-P7Et4S(PtjeR){ME1wPe@vx9r z{>wMmQei8%CH&Ob(@y2H{{FBKS3XtrSH$$LxIGFcef&^zD(<8XJ&e4sxH)5lQPV2y zPmXYx=Na@EgcYAOE5}f%xF=~;&it-eV>XWRRdIdVD2~xs@$Ik?W`V5ut`AQ6yR&9_ zbajPnQ}mIe^B)bG#3d;!+M`CCEBcBXGe(@dfr`%o)_0ujKq~Gi8gcGIDsG7xaTbJ% zPnhL7$Saz2MtKhJiq#n-&g89FXSUDDz2a*rqr6=hz8N($h=u!s8zLkNs*11V%nTSi zfzB@o!#er&C65=!l^1d=ufwNiS6{PkeH$Kk;kn_WOXe_R$G)AlH7YnxC-If8uLS{W z%Mdi5k+(rr5+6SO4!xMTzHRk2@zv|vJL3G{Q^og4MD{uP@hz|EQIKK7Nyg6$26otc zhH_JITH1_Bj*fBd}3Y}`Bpt<@U75l_j6ABUS+4i}Rn^=wKn1OAdN-I7IIh6{QuWn*g79y&|l7zv?c^ptdAVjMFv;})?Y&i{dJH{>-KZ1MWT z)<35Js=0#=GUGBrE65xfePdFilRta7ZDT@%Kq0X^3wjJ=Wc1OP4wH~?eVmx;WSHNw z+x8{BnB0}vnhR;<&~lE9Z9mXShS|C?pG-hb4RlztaCJ@#arAF}ECkH!Ccg!-?fV&> zoSZX{*_6~`m=*)uMtQwN_SC`J+eMF54M#TSqQH@_M>QZOj&SXTS4d)RyA~dM+b9D# zu=N)?fS#5tw|@ldg^)**pGp+n+ysx_6!b9Q*NM5L9sPt(7X7&O07MjbYPgLZ{g;FW zKoO91T+r4$Gx@whCBb!pKYmmVQ zxg~brNt!@q$hQh6Ol`)UzqvZNk~6ZYyeSUoAu1@>zpCOM2A5<0b_4 z7y7Pg_LVWEV>y%Z2616(ZJB?X>i(gyRTG(Hp?vS78JnV(?#5=!ko%zn2f0(V}J~DY)he0>Uo&Jh-yc&)vQ%+ zEgXDJ)~Hr~)HLdej2YxgJ?deDq&zIRZEG|rrs`wVcp&~+NNWv^x<6}xczHJJWs?wh z1*4X`1{rBU+n$RXAhr4pFPI=vxYaOYbtHW7&WI+pl;2Ve9sEXCr}8_Gp@W}@YSbRq z>I-p=wv-=S3?1^pn2*W}!b3V-AC(KpA#dhWiU0U)9JC3dJi9d{1!OJ&|TM9`ln{1_8-l@XCOljF4wQFG7 zwY&n{5(zoqY}u8yGq6-!UWnQmcu>%KxY3y^TM*3FNg0y@g)^Ajk|tbb6HBl4m?{bo z?bf3TCIxB$M7y=Niaes-s#bV^wkml((5jvrp>5E$_{4?q1I7J zs~Y+FbnCdNoq?fhoshLNFkM>Rq@983(t0HDV7iDaBqLkLRMEhLr`B^4CJkzqjP`*l zBS^G|XH5!}32ZK{7eq`9Y6PZ3tcstR4qa7n=Bv7I?@tG%AI)p-HDUYsrEtp$k^BRN zjRm^^@^9V)t{o35WCLwIK2k-*2}M7Ws)CmrP-{oh%BGf*GpjI2Mx7V6YmkgOv5E#R zm#uT4AeeIEZk6`USv!NIoI15L227qoYKgk3*cOZ0mwJ6_5#WcD_21w*0CEU{^sy`qZU=!~tK z?Fv;pxio7XQTETRS5#q;{Q7v(u0gV6W7N(dU2|2|&L9ii^+xZj{S>4BgNR9i!WsRK z0=BqS?YHhQx=|@$dVDWoQlN0A$JeUJGdCwXT z@lfkmsyGJe(Y!HjQW%kaW@%idut^;c8H(SYW+BCl5LWjmUZNQ|R&R@%whStK_{cV;@42_eP_Q$_8nUsjOXtWcOc;L#SCY_db`jD-bt* zDQahs<@SFQCI&SElk<-SJI>^Ms0z;N`DqoL%kA#0UB8|rjVkMYUBzH#WhPTaA*x|l zz6xGhJ0ApBFn?46N?&<2YiE$P^RG!egQQ2ciUvuKUsTZ`t?S;XeF({)yQ&N!;r}NT z1gF_pSv#MMSQ)r>&i!P}j_dJb*Fd?m$QPU%W0AjX45bXtE8y0>1-ou$|65gX=I+jj zU7oqC?!fsQry8HBl+W1Z(OBnRm9pc(xnnE1GyDG|AbXRoRptmEo^+@?v`S3nm8g|X zE!De$+Y&+2xsbIpNIE}Pg+Y?>g`{1Bl+?B=8kp~GwMJ*C6$J^?c6h=xg2I_F>XgSA za9OI`#z*W5kWp>nj2%ZtwGFExkBn-oDcI$aQO#Gy?YN#%z9o~n;@l7w=~e4{iXU-o zK3P#Vu9VMavi8pHT)Y@Zs`Tc#q_F!Aio{#8z|DP2Nt+ldA9}#eJKV{U-rUucwQ4j7 zsAkZ4O2MHJ(i?c0iJLOCP=gIcHtPLPWSXB^hp0i=O<<&MnAE1-{ zydW8mNp!B_#D;lKm{+Wl$2vOKJHr_=+_3XEssYIKBJPgp*l?-TMdPIZDky&k*7J^!+ePeJ?{?4K81_t}ATOph`nf+B;I^{^+9g(P=Lgit(1G=Ypk>nb)T1HZ{8B~M5tWfV*{c?$ zc|koawzhRai{%-$wog!z7Jl^#vbHVhARv8oc>#d#TzQP8?V?x^km|oM8U$o^sk8#h zu3)9zk@FEzG$)RVfCw{7oTxHtII%Y6r_-G$jti2hWo%FjZ!)$0H0c`>b=UU2gpbJD z`hn{sva+sE`iRW@U;8=v2vFM}X#t#9nun^j;w5H<%2K$d;A3Uo{5kI<^0si>LrFiC zy!Yk&RI1GcEMWe9F6HM1@$$4zR9)w*ekvEwwj1(3UMaZSd?u)J`*G68%e#tgx41s4 zl-f6AKC0y4XZ=(zzin4VeY}!=R~LL#DToJ>KB_8*Y{XBN**%i?lf{gm2gqW_Z*qQa zG32g*Zl-X%qbtFqQ%sZ{DNRSCtXXgRiA?GvsJ2oXjiu5!ip&~aO2f?s$kcLlP>YmC ze-!MMgl+6$X}m^eFOj9OC+{P&G+s*jh)CD=x`L0$M^)Ok`js+U|DE@-BC*>K^%GeH z?doZ{a%rg_eYKBB`4q@9^Y@&O${KjrZvY=RY5Pag$I9sZpN~~G`)#lL#!}h-c2rlO zmX@7)A62sbc|R}Hto?sWp7g1egnTmZqe?#Z`Kc_7_K{H^uM|dm zZNX1wVYG+SezFwCfAfAa?Pwq7w^^RYXd4LVmcp2&bMyJXQ2PgBn&t?%R3}bLfLKZO zNT~#l&H3q40w)B?)G{lmg(cA59rX=~5@>HJ_=qTh_Rh4Ah!SXzM14di>*;<@7Jd5# zex)po_9=cU3Zs37UjYlF$4`{PI2Yr4s#X2cwEe>|Kb2}v@sGtCXg@mY=LPZbluncc zY@g{=)by$%I4|QTOA&lD?I%mwE((yvjwN8$b&DbM{pQIdaXa2ff_udJ)>dS$ z^Y&$Nk!_O=rt{XfW9mz%XmOqOzXzd^x8nG`aXe*!I zf4g{#5f~M{`KnUX(ySB}Z;H2csy~lTizNOO<_p8}XHy#<#CG0%A)&x#1wLI+V51CL z`d$#a8a>?Q|&cg2izL+kKchTlGJu7@{^vYXb6GiJABg!j-#Xpn(_f6Aj8qw)I>)M2&pHwO zm|@hRR8sMTcuu^A-($R-2WIpGbbp2R8o{0|PH4iTX)D~jp=Sy20gYOn1W#q`EgO2b zBoZ4pZN|@1)SIF4?6KUVsqm4UsS!RBQbT~vC58%$VJ06N?oWbGwtayB$^ zN~|gqk3=S(=vL=Jcb<4sxH=EkfQbvhQpF(dekRuDs`H@fPn;gD&V#-_@wiBJ9;_1+ zk9Vu{AVw1#hnN>z_{7#|bw%73P3#=PB3`;rTr?CDRPxVdW6!bN!jvnyhY_EOoV?&Ap#dJ22{`^9!0nZ$rnTg-)IZUg-}SjwdzOuEdky za_3{nN#Xf_e>LI*?&vx(@K&h;+1ih~3rx;L zdxMt+ANO6(OoMO8k!9fH<6x~eFfSe^E{G1nCADxEqh3W9RZZHI)0njUMIWE^`6@Wp zok`au?DAN1CVeSw$Fbf_`fS#YW38FAp$d*QWYTvEc6nZQO!{~g1}qDcE^_S(up&(Q zUeb$X&-{?!KCF;I|J8(N$bLP2Chw$+9Gxau5h(iXTVS?G;J1Y z_bnafn*2~~(lt}=P;6WwYQI6Nst(HKYtjvQuvt8;R;90jp}HdJ&|jyUs*Wd@7}pkt zVv{ER?f6h^jnZkqoE?f!*uIh*icNa)cM?OfH45LiVngytM86pwl8^1R_Pbf|RaR?x z?}B6r0`#pUFk67wP-~@^Rr-A}y~s19#WZBn_h^W1Fy`{4OXF2Iq;=1#!a;_}Ml$dc zNiIlX+;ve9D$`$%AE>WifeTf9GK|hiYUqlM8#Zs+xUO_Hc*gt$;Ig)!3(#Df8}g); zewBht)6>swItO1X10=^&0Z7hKnm>>hF?>{I4MVVT%Vu2G@`a|n%I;sEDxvG~r~>~l zGA(WFEM7r72d>R}ndEtfp2X%&9J=eWf?)WqOk>lEjax9xN_`f;zl7$)znc0Woy8KTJEzKgogR+aHg4 zDNUd}mh)0D#s@AAgHrZO>7fn04`5yafIbY`5Ptasa(Nh@I5|5$qG^plct#>1jCypQ zJp-UmDGJMZ=H)_wjzV&_XQ`(&CoV3Sg6k!rQ#;S>%7Qf3>k;t%Z`#X;9EP2)mxP>j zw(rh^B-5ws@kJK6ePbFluiIB**<5&0%16CuIo^u!WVj?>4ADi)@tjESC)RJdbeTLX z>Vy`nGeu7rJv>l+abP$chwM0<9`P84&CkN#IB1$-NcvMwC#O#3>FvT#x}b{&Wg3*$ zWJ)A8TcpH}x`g*_%mX`SH z8zkFz>n5;JogJSDdzJ7tTAaZaja9u|i|>WwvC@updA~^MHCN7#&CwtxFO=gnwx3E= z{7PrFfRauH_*G7((g6UO1c6MDPG4q%{Cu#+*|9b#0QOWepho#)E$9`e^8H8RrbOIN zrnjH{U=rlggv9V?B6$xFHh;+ylit17BuwoQ`;K5QH~4ckpuB^X-xE# z_EWQdm3(IzUC@4}Yk+vrw(!yn5KR?l2jJF(Uqq(uYdj0Tn)kDDS>wv`m6QRIXS)`D zJ7<9S-j9V}0-&2iBfp&VQ#rslvY={l<$SqqS@^k_UjWLFJToi;Y{Kvr~~@) zCt;5`Jp&{-UlBWENzw?4U0+TZRkE6E|CBDtdBO|lMcNiD#(B@jq9svoUMqW3xy^Op zCvHhtM{$}`dtI_5=~3KxIWp?df&r0RW1+FG5pzP*zLn5D>NdC1RZg!J#hjdVR+O4Ax1u=RajuR#mT{5G&PrNrvz0UHyBHo zaG@(AMhMpna@%agNhu4?G3BfxI7iv59k^K=v|OC3o8IAi)mA`6)j4oy&I}@^&Vk|% z0Y#-%2=CcD2fk^ML_D1X*I7Vr>JEG@VIGqU`-n3&$+G|C^GhR^#=Mdq5H&esW!M6u zBu6Z^Nut(k=HxAs#dlUyLBys2g*T$pHZ&@_W_rS^9bf!p@zk`~Y7npErdo~ zkTZkw%*hD330zM6O3bA*j96qFmMv{WhYe-Z39XMB$5sA7r>>a=ywX?7Lz4k%Gh#~G z2&>mf*UYgCBU@{xM2*5~aP?N_nqwnY9wyeIc`IDHJPn0TH#=92gC!+2FI`0oJK7Vj zkpYtk%?=wOQ7&svsIpaI3(;H{kqCy?o7F2FTsuPZl2t}w)2L~%a>$;jW^xrfA%22g zoJ(KLq?FA7nPiO|R0UHMQ;-vi15cS_&<^K5Y95*^*IyIHQMqtE=o%reTUqm1Tte-NE+pMa&X{2*NCwf4F72Xn9%_pkh~{pl;r8b@LzIgK~V!|%6CbL=hlc>%rLW|^GN z48JLDu}l`Z;djPuFrTm-{&TA|?_&=CLEa|K#dr9ZZS#`mI6P&woZWZ$=MpyMEV$i| zrT~|FpC^-wH#T^maCooP0@?HZlsZhQ3>_F_;JirnF?h?e<23LnCEEQL)I#&k$&$PV zbt>Oy<0U~_ug!p07yTb5US)>7tLOCpHdmdCcP<8(fTx-&-W%!vWwtsKZkRfQX!TV! z;XbI-e`mZp6Ad4Dqfnix5qDml{k72{xDW%Ue>?+O%~i+-r(fSnYYvxtVU09?@R;Nf zy6A_2O+#5vm+r*>j&m4K2Ld7Hh_LCN#1Nx#urqQ)F!6TNYqQcra4{A7FB!^k-i{l* zIbK~C@4F6vjsu#@hZQ^`O|#9oY|ejV$Ju>G+>o-$b9*%W3D=6FMTCZLjazZ<(ue;! zZN<4ZH~+x}E?K3QFE47kzDr>Ra(8)I&j$Q3do2%6(eaOXky8`2_`t5>DV=d+@Q)?I zVRVkH?!V33V0mq2*Om-$)9@SRXM%HACjvO{0EFf}?*;&6_eLy5?OP}&R;?+{3L-a_ zCsQIe^5qf~IQgN#I9$@&FH4s7ls0rY{l%-)o8=Cu$*EXJn;&o?W&*JpY`!IL0kOKz z-5<4pSlc@*8#iAd5vsYBgK8g$c{D$iHLI1&=egtZW|UW#&DWd34uYL+8KYzeUu@j` zXx0dJ%H4y``_mSbIp174vDarKOYYo#Hd)r|+}W;0GpnKV#H_eU3 ziW<>&CTeHOY;n8nZ#rL(TSO6oxxY?XK*sK=hy_Hx%ssnc0g)1&-?vDrdQI2{MM=&* zDQ4Cy`{>RmETU3ZotGypvQk&Sa4je+wsSW?L(gkhv0GQLpo~t(inI}JR;l{SxDjm? zw1Z%=)t6c{Z?LkNe#AW4HkGHRyATXE={DXHo4ed>ue?d2D-^RxV$iNpaSO=)vec%Q z?ftBzMUw6P9}x@4n4g%jfK1W%Tr()y-8I6ZS2C?L7PH7QoyUNz4MWf&8st0l6@| z8n=K9&djI2G@+TZaU7S zsM>gWtC8vba>OXtsv5+!yaknf80A_}wvV|#GHY((r!MBE&7v)`-v8AGvVGhgH4ZBG zxx2=iW7EQW!E+DFStMDk-wazo=0oL9l(z3_QH}pkmO-VIJMJwQ6*r3;zGf3;HFk}T z8bw>A#m@by#bUJ?`;K|o7FlpQ&WB<{LU08Pr7eTXn(%kC+Gck4ju#?E(Pjp<7tLP&7jKc&*m+tbl52wGb(G;p*DM&4_~zD zWnb^upEK)~TI{&gCd+K;*lm;LYTofo#Hg9yrV4dV3LC*Dbzu~?p%V7iEM$ZE!aU1l zjB4dGT^)}WEFhEcCYvOS@uaj_QmpOxL&}0Oa2-FeDQ0YbVgosuKAT>-Xj%|9kIIDT z_-ft^sx9taF$*eDEk1sU!#QoqP%mHVxWy(bp}E6`%Bp)`#5``3S{5EmSzz{;c@q+5 zv{}~sd6RM$m>0J5X4r)3t$D{l)X+y7ns(;L?%k+9Ytwn7#eROlFVx%>H4EcINSxin85_*j)ODyu zx61J@%ia+`9YN6MHb-o_>G#ebC(N)s^U-B#)6lglZ4+j*c_3v(RUA7WDwt6@DcNrpMGi<9e`cGKG>p#eu2D6l z<+5W^*akCD9o<>LdKU9SpEZ49(k3Z;ho@{);%@P^h*35oo1}gl$W`WA8_27j(Fu!M zCdQnM1>`c4OPN6l?Yw_w%_vj7^Wd-^{J&*G8Jo_lEyJqTFgs?^EP*>E z4m1!rHJvAIdKtKR&sp@sOCL=em2wH6_u=4F*zcs%$r3SdaR$Xzl61FB$nd zmHEGpSJA-RPV={d!8%=Z2J&crTdWEL614lFd=&<|bKbgyi9=O8+kE#=lO~4ZG#cTG z`A>zbabS!yGA4%N7|<~9qKKVAmdEbjxF!x9Of%PUjv|NTnc=F53saE= z={|px+0-HjOX~BpDtHLG@wTF_Pxg%p@D9u)q`I)Mtz^U`j&6$)G zMmeqBSTZKg~P;V+!A4xet?i5;mxoo{SpzA*zts0j&z`TSP&F1XqjY&g~ZDP!i zdH;x4<3K^o8^w9qbjbadd5>qSuyC8%^-fhKa;ft~w2Bhd2ObE6#p0IYAs5mfN!^vt zy&q0g^Sr-Or*(R~(CT{peGQ9}?E207;gpM_uE*XND^KORp2@wB$*5DIKNW^#MPblX zxw5S8OK`U1RhTypD>8xc8QPJHBW1Zt)8>2SM}9bILRuWB zZ(JIPxXRniq3FGDXH7Cqi0;VAG(Z{X8K{XPwE_u3%^2x%S{E3p8SxrfZ`Oxo967@b zVU6mWk}xT3LW+$1AF~uX&d7OTlYvd>fHjo^6t6FuA{c9Y%s3XZYUJ?+qe39vt_k7g z;K(}a^Lub<6MwcevN37Gm`Ni~0TLABuMtKr37a6a7pL!U5kP*=FKtH7IDOO27!Q<3 zR&HH-{2?hia-!LOvE&#t#u5qrh{O5jy=eaY1*a`-5>v+l4w@>KP70f#CLXnqJls4a zt9WEb9&)}Rv6W%tt=S(pVlv(l1z-WMHHl|FNGza}Uk`G&J`Tu_En0HY;4d%%H>fAx(}ddFx;JHjIl92_osS^`#w|Frx>%r(t5scp2S!*tN*_@Yj{0Kbb~nczaM_&elf~2I*M`P-U0vr~@JMUx-^7Kv*+j7l6OyjpMnt$d}sglsU zu0g!x?AA!5q-JQ?d1)I1b2C))uZRKX06pls&em-y11#Z{)ci}r21iuxzT)~BTGhKE zJJ6`k3InX+*+{7_+Ccp%b!t@bZZ+CTLsXXaEpz=+ozQh&)=$MMv+d6uL2Co8xSF{X z_oh@mZ~Gf?GlLZLfiDE6t!4$~2mZxLX?;XtG^aLV#!%&bBXdTKyI5!Ij;s;nO#)}@ zyJkr|H3D;P&sZcm=0U*x^OPI)2>pS77L1be$&=7@3n(3DFm0CPdvcwvFIq$!xzadm z5*Atdq0gaaU*vcd%NKe(&#uh?9l0X0rDyHxp3Rr`@Wp2MeH?xj`KuJQ;xT9S6FgJt?DL=GdWJBtJiHz1b-5{Rqi)=M_k(6$XAH+W#`VeaXE+%_hxXb>RNsO$^$t_ zLnK<;jsV>!!cOFDll#uPE*$Iy+ctg1H?L4GFRu6U5naZjNhpQT8S zZRc`apFPN^r=Q4z?)69yzSDvY&><(}0QfSW>)$pf71V^@>}=bVF#wpuwoa<@jg6e# zHY;JE!%5qwxdwn`sDcD9|C1K`UAJb5J88Bqhq&4#n>vMeC~K%n2kas2A=pa7t2 z5(a2#lkE3ij|SASeK}9x7YE=AK9$vGLDxON*P}bz=1{-~$nG|u2cTQb!&GP6{A>_l z58Kw32H;c0_Vsk|Y6`!b;{J2nS#D5-7Y~P=KtT6P@gm^A@t}z8m$q$;8W2vhuZ=R= z$_t%B-SfBP_jyH} z@<`6l&LyDlv1EXZ#?luJ`^oGocew#7#_an|GC*dZdjR@%C*ah=>HB>?K;|m=^MHNW zXFGkjB?H{d@*f9v)5ABq0dCIb`$65D&UX?4Zm8|MG{B7)5IKFH3vf5mz-xlsoMkHM z*Ui#!JKQyU_KGD~-P|<~LrN_0}K9%=YkAAAKhU24*km zTNoIaHM#XCakDDE52Wu;Nr2kaq`_~!BVrcfi$AvhC~5}zwvw&4yJnCt7TNk+i)0gD zhqCp-q*=5{6hD-9u)D(O%>USwK*u+q5y+3Y2 zWx5YAB8IGL5;xu#v!G16tv}D3QF$lK)>{i^l6<6JpwV>kWyV4d^0{F9(1;uw>icCfK<8e(@AjY`*;)J~s7Efq(?LDb z46kzo`o;0z2P0zkiiej{ zkDuOyQ@q;ih?EmqN$|bXlkbj|fMqLJZt7XTwioX#-@IZC-Xs0%LOjU9t+tg*ftYLQP-F1Fn%51o1sTO;<;Rz4UG* z&cEqPG^J*ZdKEBlwEZ$COhtnF6zaUUT@XV>O~pqe7M*j}f)?IlyjQ6xznrN3ftaWu z0k2?^kEzzL42uSu+I!gsJYI1rj1@C$U!Za|3k~9|$K00;f==kDH#kH{W?qru{nNij z0UT6ngnVGB>FOj%!^$MS^r(k(KkDrS0Hs8C9~6z2oHT(r8*7O);cPlIMy+Qd%S86oVK+VTBMjUguKLv_M9cS8?)<`&vZ+~1TAt9SmVDc{v z{C-yZqj>-oN5R+aFVLDYNpAZ$$*(zm3kw#xP}A26LB&`bLrvG_f&iA(Q2T2x02PO| zk`@eb`=4oHWl%K5P}7$ap#4wDEwY-C8Uxj53RaU)(^oPHgQ}dnc0+mp5bEv$xlHGSqwPC$ zK6&*(!MiCxnI7zL3Vt%3*fAo&jnyaA{#HP@gk~V(S0Y}2J>e(Q@!hMlAeW}Xhmg8A zM7;19TQu_k}Spoq_9?T|jBR^1M;^TFojZL-%q`Aw%1JLCUL(VeL4W zg2UFV=q;@&-KVF$OblStO<9m?)~r}}G5<)YKOo_Rrd}P#U&BmWXhhZhPXwsicULyp zZQOgimnIERyF3%%uH_JZF?j*l)UW3O1;@j~hVppR)Gq)w;LFvcu~V;bEpU(erSR12 z%<}4a;HlR}jp7_#9>kgYt+a(fV0U#KaA0DRpzbE~r0K@>Ig=Qlz;!P%Lue`Pry@ov zRE)dP2q_ycc1>dPsIDt#GeP^AmF}J-vO_^jgFBG*7T=MLg=|uE^(pFXbF9N7sZSy z-F;KshSK9%D@vz-!GD^&PseW<>yrHcW|?$^OTR9 zd@OI9sI}B{uFP6s3He6XhB6FyWNj$J@NL(IG7R^{Z79R=nXCm>VOZzdV5xxH ztkM#OZ&;-z48LYc29pa8lb&-?9B?oU(mB3v4gt;bqK>G5Me_`JK{?`m6zA-b}rSiHt`Or~D-ivOaVtwqrn^<>YmwLm@Ai5IT9vtA)TIID0&>EkafDQS08G z#HLlfJSf8@Snc%*03$D2zjkfqXbRUG;W+u%$T=Q=3vL%l-U8!Q z4}j0I!FZzp;8jVBwEAIVb8pmwwi@oN=~e!2~o7j-pXn6yZ%mkl*v zU=yeA%cB-y^}?yz!Ab7rCntyXxLHA`&JoO2jzK-@U* zx?-}I)Zw(0qB2wiE%8(o*2RuFNu7r6QO67)4QoQjQE{CQ_0Vy2R;QuWcO2%UVTw)i z?80!V+RAxN$C!*Jt==^=A*>VG&pVFE=|nl$niUxS=)eLv#kmD8qM zqCP5aYlfO`&iSZ3vFiR-wnAm(x@8$EMxIe?y14+3|EZ(`cz&3!2r-D=*Ci_iIgGj% ze-|SlN#6bSY=s~(y41tLJ6F%#90O(8Ma%hwtvoP{uk)@?Qp&r|tgM`>H7-m8JxD`& zN2zgnK1fHHYp>6Oez3-W3E1U$&8G%g(0Df4eRO0)oe0N z7}&<+4N}OV#t#}sLGDehD_|6pHlC<9u89V0kPMs^%Ui^lbFYQ+yv;CZOg-G=m;Lnmx&G`Gmc;)XF{QY4Mj%0K)GLmnDDBm>Rz9d!_WjZ+9&+`yu z@TC>y+|WDQ&&*Ur=xt|rgoJ>4TDGWA;pH*5^Ol(x{lM98hB$${#0LKevamU?=S-u^ z6|wVb%7`%!oqc9GI^n?cCR5<~123BtA|*oOGlr3xdU`*Bhf|i7Zgo2c)UMw*5zcV9 z8qxPl1ik`d%){xj>Yj@>_q2i5(CLB}5inu3*vruSz;P`0_O0s$xwqF>}iga-}^M2 z6EH{42^!`G%#rn^;gsOGk{hQ7$7K_5_+TVpC%a0+`k)?pMy6p?P!XRX3N>7k4QS$X z>7fQ`zxvdebq#A0K>-3|A4*pMDY@bU9XEpPjF8_8^{!sovwGu}4J%PZueczqpAo62 zOL{ji+uU=>suif-M>B$LTDE>w&#FzEHg0l4^@${CMSFw>^@|oRJYyl3D8A=T;ImN?UsehmQ$^BU}wIJ@Vjw* zwgnG~oWsFFGSdyKF2&<|m=T^Yft?*onigPQ|BRQ#HmzE*YV9SM9&SdC?#g=Ty{k6& ztnS5~IXsjyCRee4(-xdiNYimb<8DhsLRrkS3y}c((-lRPb-MPu6=tzZJ&dFtGn)LX z7&NIk_&{zr$7~M+4$KKYe>!EAqvf?XW`HXTH>~<(kNyA@@cUekydRgzJBJm@ES_%x z^5^`{Q86QgcIAwY7$NjMC!8`u=w{AHGt`P;zmYIXp}jbB%n(0~>&(j;#rVUW1N+T# zTrJ--i=oLmCq>K}>5v5}Ge(bem`B5d@*$_$JRE*@6>_G=%sRRD&I+3`+BqX*#*k0W z(H1O%sqeGs1oW(G<)W>BU$J8K5Hz&Neu zc{Iu-8(p)ccxPtZ49fm-8Zd)<a@3bsJao z^!Bu4V|W%au^Q{*pURH%Z&p>muDyvGREy%n$Nm;I zdVUPJ>V1_fBDV9>PdXJ(RMy^?F5~MqZn&uUo)X}VEiKp`9oU-%9!yNx(@y|oz~tMJ zW1i2I!HQxus)aIyJ3|RI&@)07w55l%eu{LS1jw@jFm<9Kgt9`#TALqk;~JT zV_xGFi@4{aZ_J)}g|5lrx!N5G4b$BwoqO_~oIufY0nZkP9*maNsLerTd3VMd_oM>fzI{9BC=z^nn@LGg@LFMrdz}m8FHJvRtcm`M-dRspG?u znd74sVPj_U`+|j&vm1~kElCq$PszvP7#(zGSnA+@oS)q`t@LBTaMIs}(os?>Sfx}`00@vCc04yBEYMBU^e2W8W*J0?4n zHZB}>vfAOVwRc9wNTv{*jm-ya!poO zpB##f3vk^T(V^JnLaXkq?2vp|BAtHEMs(%cUOb78OD4Gx;;y=G;n1UTd8s?NFw|(m zc7CeNwqnhy6&Lf(B3S(w=RsB;)@)#7tVmRxFFoLYJY1NIw@+CB0n(@3b+ z+S|f5g*>J{@{MRvp;Tt=EqR+D52|a^1slwh>e}z;Y%q_i!|y2A+?d(3j=YijeKVCz zue~`5B&-Lnc${5(a}=2B`abvZJiGShxDDok_K}Zd0Q<+}PVmTQA_j!L+JS*Z{Sp6&Sk+)OQ zKRK3FZByJQ#cS>2kA9?#S0=%rwjfHax)`q?7ZX8q6tM``w{fDj>7bJJK6<3OQ?-z`+* zpdDjkb`Cknt3NGg=ipuJ`ZKEyLOTyj*fq!@VmM#T3OS6c@2JMYda7>-R~d$NRX?>* zg@g4~55HQIODZ&U;nHhN4<)K9)A2@a}UyL*%%A#M^!=(Ediqy-Tkv;MEbY z`Q-Tse@!Un8x1q78R0hp9kWKZYhIo3--uU}y0~=4n!2b?MHg~jc#k8YE>~}qjQ!+V z{3tZi!;b^;A1JGF;<}jRam~RAAC;@=h`0Q_>>o8FTpusDt1lju1=Tt-{8clC9Gz!^FG)3bWZ zx^?oJvrssb5m4J@BZum9kszJDzRv|cja^f<6 zZ@f(Q_MBcmH9TQV+5%$jKjKeDNgM!;e-Bbdw;&5AjNmK{os7Gm@p9y90+H0?x27$U z$m8+bvlbBfJpTV|V~RKi zMwCmDEDaOoR%6hsis3Bb8UIkysH9g`(+Lx8sBBCo%yuopl6>PIxA;^pvnEVS*o1kd zGT|`u?0T2VZf2r9wrHLkifZDIvPR{_Re$17?J(NF#7E4AQ<_CN@s^BLJK?Nrg}GNB zcOqaz3sP}GkD4flBj(AWt|AhfaUZf98R6&W#!ZY@8HU|sw%NtX4)ot~a_`V)2b#k; z^(;i7|0oSygz7#+BZ7NM#HfD2?#1F1Cr0fvklPvK=H;t!u>QtTCI@iV`@tk-YU~^W;#m2;+My)uHFehG@v*GMv6MqEMqMlbf z6aNsk;&O>G@s@~{fdxD9u7VYplCAqj91Ou!;F0@x>EIy5bM~u&7$7Xjb=PK$5Gttd z^D!fYq^^_V4UQ$*y2U&gin{JH^I)i>x*x`kgULDjPs}spdR2Fmc{KKjx`cTGEU7id z;UtObK4r$Z*3^B$IGikwbzd{f$=Ud~j8l*Ufx0gny`qlZlV&+qRNZ>x^wdf7C(JtK zc=-}D#`Uo7W;3QvxoL27==FRy(r>`{tza$fr)nHYG6j~8%zhGjhzKFGPJKIWk?==%o? zpm1DBT@bl|-rL!lF4A;c)X4jOO$m;p&`!+f`%#UAOxgFNyhcKN_qXbT_^psw(CKQN z_-q|)*!Q!PP6g>U&^-eq@cX`<*GP!;z8iEw#QL?xVT}vX-gm#w#UXbUsEn>?DgESY zQS9V)?EGowzGu=!C9Q%oVZT_>tMcx(uOy31;v2af-giquqe%k%L>rzh#@TmwRO4fM z?fZo;izVLK_d{KliMj8)I*rlaCpQJkagu`G_l>+Z2J>d{tKl+D74^=Y@iGO!ePc6r z_T8J-XyTK>dt+rHM3D*q=_Fe@jS-^3jHVu`KB#WMO9DX(0UG>)riW4wX%ss9QH_EW z8~nCLK~x9-u2GN;JDe>KA#eG27i|Kk{E-*UuL`{hG4L~ zQnazw7`?&!HC+tKU`i8Y{S98HaWPDT4{Id0-NCoC0G=AUjqh1FF;wvhw{GE zeR9CTx)C=?Q{VTTrcc%D6S^!Tx9=&<6iKOlf7NBBHXn>?<4QcUx-9c$->$UACsj9a zdPSis*ny3yGF!0@r^G=iX965Ia8=IBgKQa?8un69V*?{J9yoH~FpUS^9ym^;AW8#c zGzvo5FYA?ZA?%^|2ae2m1{22&oLcabSVaTtz*>w43X8Qia8}AgV(tuF>3S#^NfOI z>YpCfNK(1)#x)w7MZc~LsoFnUM_1A|rKIUToNS%+%!@y4~ zv|{7mSAb+?4r;L+jB7M$g74`=NE>*&qK?gyN!mYImsKU7Pn8v-py17cS2PM2$YU#l z;Ne1hf<{4D2kxmD0Um7N2li@u*eJ@Gjqkv3;I4nLKIa`h0-oP!dZfUgj(M37qk(r* zUJBReW3nEKL}PFkC`I=bD}L7{1(2A@s#36KpL*)Tb5tS^JWEg|Cita>cSXD;iPK9O zN&3N?8c8bs7a6ah^7Ow7UYb<@peD&6y)-@nu7HVB4TE*W$`(qqSQsmk)Qnic<(8sI z2v`5vX^o3zI4+}+N@aLNSSPD8JT0nIq4K1(Mq$gatG zc@WtD9G?WGoIsSiLgV53+^!AA_4yKwhi%}3lvgu?Iq(5Ziq3yG;^9$C@8t9Ext2HrMo>zghJbj7cKy$%MlHxl_Bgtais|iXWpPTmzN{PP>+D=_uT!nXUPWjRKCogF$qPwmr_)+;Z zlWKgs?uhzPk(DIen@#&c8CGTJ=XJ~3YOaohzdS)+x$U14)kvjQGcB!?mCA&!l1=3D zn8wH+w3-pt&!L+uFdMC2xyz)UYhDrp9ZO-O|_MzX02)~ zU(jWl%l)4WYqHGT{jj2Lt+vQ&eJH!q5cbW z^|FF|C0v%1odSu2-I^$ZIJi1pW<%@Y9-!Pl@j3Agyv`cz(RdJ)!OeNE6e2hH5se2S z8T@R;0O&!$2N!ERh|%DBO%J={AdD)7qqt!jjA|r0esBe8DjmTkSKUhsUXom!ezR)ZRa&LGo&p;Y916{+JKL>sKV^_qqT$oU)O1`#?@3aV0q? z4N^sQv2Oo!Zb~Psy8WRGYDFdM_O7f(LiGE~=lp75*6oct7wh(xgeJ(k{cczzv2K5t z)JYL6BVVsbBHS|9^0~YWsXFfMIj^WH?|WR0CL8r%=`^NK|8J8TpOp8VS&b&;eRIVW z)|Hg^t**u=DRf;{qsc<_&5C^}i3lckW4O!(3i9kf_}dem;sI;_*Yr{8fmfbQfs<#v zMGufTaI2<};hyh$6(TePPsTt|F~y+VIQ&hcuzC;4d3hi;I9#K!=Kn{dATb`;PHZ&ByGTncuBICD4&xw^6*U} z@n=m?+Q8unub}KD?#p;dvI+U2PNL6W9~S`|3#zi_AS;vA)Sa55o}gjx)qk7%md`Cra!B;;oQvq_DFSoJ@y3vw}d zQWxZ6@R%;h#o#S+RqUYi`v0V{sm}kjPUGs|{{m&pWvMoHatKnPN$1}k)%c|IZ`TY~ z*Nac+`lR#!Go{H&=l`27%YL)}8n9K8m0@}~S*CCuk?_Ak$?|MfDE2=aFEgTBBPI6l z(j9t2_kb$Ks^^>SUsEObG7X#X<_50i9?{eN&hB<}w9|2pcWAWr+A&?pGg ze}?D1JQ!&It0@mfe7|3Iq@{wDUagzNXB0K`G<&d4fo{$#<#>F%B1S1^ z|GJE4+(u9a%i9p?Rq)~96FKmxz@mGxB%e!oDO_=1a=jEh>Tt}@VxYib+Mr_3%xmKT z2%T_Q&*t?Bwx7^(a!jqumKZpp)0aiUY9h|q;r)GR4 zUX*QAIqig|zM0ZV5<;c!{A2(sxshI)agJNWi*ANvuFdNt(N+%V*Gw}j2edw6mWA5& zCBdTIP+PWy@mP{8iUx5N@JVqy16QY+8-pWw0=5a#l4}+Hm4F>H%!C%3^oF2iT1aMI znKh^`M|?_3^i-kqVes zUL%ec@E9XtX zyh*M_-kk63{F`~e2n6@UEP^~!*!7>38AYI801^yT3-;+dpEB#@_fmlVyI>aN+uNO2 z{}nc&&CNZl;J8c8iX%9DIL0i8kXh^KSW!knGIH^VAVUk}+(slzQsl-F2$e_*t= zv4dmoO}a*F;p~Ql3E`R3-h0zV2$$fy;!z`nuEkWlC~rYIhg}<^W)!aJ$p)bl*0W>m zR$CLjx&d`a40qp~F$tn+h&`W)8Bus`SHdi)&f#`HWJZg<=<{Kd+EzBPM=gSYj?EjT za7wcKC<`RB*n0=${8b7MsD6@jO<;?v(_K5wsC-+(+4V-$C|hhee<_&I)(FCNH;|x3 z(!>UmMu;`sXNLGiA(ZhyT$5n2X>2yy(n4Ey80FZ*_Ds$jRnnFZM~x8sNjC0*a1eQJ zW8_Rc7%PX}9}9c1;_2-P>l;=2@BCxlgi1sC0g#}_n%Gc2f>{_KHWYbc#J4t|u)e9( zP##PfB~|k8-5ax@boZWPqb5|!{}v!YgNhC1GV_=)_E%<&=o))B8HL!rcCAbp2a8Bj zym@j#MJXCU&C@{gvLhAVT%xPsMiRndjZyB6 zy?IVSC-R8&&69PN+~_)QE{^G(Jk@)(;1w_D9A@$AA2S|yAm>Dojbg}a^Ab9w5;Y=6 zhp+z5J9b&4eEQINEgCjTGR0s0vk#L2c|`i^o~S`eM%(){pOp*FU3j(_xpziH7g`uO z)ItF87?^6A^@_g$_TJ!wkbmGZVe?j#*q} zj-C`2MZI{-me5eiyko-OYyCVc5FU?FzhE!FHQRaSyeOdJlQZ~n&D%-*IZQ1~OI!Gn zQhct#dGmg=~vsb?ingLmXXD-F(ic&VAAlqjsamrl%q zGNLHQ!`He;lAwrFwMgMtr=!0eH$o_blbb($7ScR4dP&B{5{sO98h=|`J80ITUT@s8 zr0G-`ac3H8Wb&F3@`~d{v1&u`MntHtFKOh#?XgMzOFhg(>o zx`)lPat!u=1VpjKx*%tK+&fOM}o|$zhtznzTYs=+C$t~_3gd*)7 zp{u)_Y=NQ@fx74NMvM;~g(ClU1ytIwUKGPA*mFq}hRz>yPgV{r8zCdjx-JiaqOoKf z`<$AZH(xe35vea8&u9pq836LhSaHVOI>-1?|Ag zbma9)V^0rCE>q)$qrvf(wcy^P`iXydzCo+NoX3O*(*cVpEap!5UCaoI)6OWEFsKZl zZ9q5;)E@&D{)j=V!o{=kR8VmfZkJN^AxRUaUQAVgrEy$d{fFv5YQ&U5^~DQSZ6elE;0Ss-w{!vhagVQ%hHiZKcH21McP(qBY|9d=$P}77> zX#l!i=;mXig#bUe$G!=wk6XS87oN_Mbv4S3a+X~GYTN*0j$`-cf&lN^hw5L-8W8r_ zBO6^mB7;o$Y(N(uX9$hmN87X@^1+SJ*yqCmI>Rw`ZahF|5lr|*0d#GSV(HjbAbaUd zE%b)5bunEJ(x7%*fOpZt&eKlii=9T#bOU;1Vq=%3g8)~J`tM}?z@i1GpMf7fW2Aou zgk&UfN&PoznrAB5BT-PzXN1pg&gEc^&^8mt?0M*=h& zP`t>%0)az;NDnU7`6j$&%`CrTUdacz&{m*wkU+&8<)&e2ok$mrt;y&_$%xvxPL$>O zy{JxPE=+ryoVuNydLa+;LFH{hvK2p#RG{z{*998}Rsd#nhv&`GJ@hA@Y66Q~IqQjCD(rG_H$saq`Aa+uuKQOz zUP_|L?ALx z+$ZzEjm~AVPJTRUflJ|^+z$q$f^tFB-x320yI8R^v;RDs_KV3%G3~8+kpNwqa`C#RY~qGqxk{Y&k{jUXMbhYl^PqdCgu?>& z)+}fqQ?LS_@}b3x8fATSZ+Fco*Xc2x8Gs&jNRz{FEa)^;%a}XEIt?KTZ^-I2=o{-M zbs7?3?9&OIhMsuX*P=QN>*(mgq>sj3+E*e)BAyY%SE3|V4e3mgxK4dK3XF$-Dhe8% zP^8Ia8uroH{PF@&mbJrn#fyR}A%r-3RaPg`&-H6_I+4q2`0M&isq!>am%U zg~frGGPQftx=t?YW90O=5&^ZR$@(~%Du;bGtc!C^9{W(-N96Wy*1wWPs?QnNambu! zU7xivv?+%B^9BZ9mKHD0W<3Ci)^)4!K;#ni<5Tu5e&nJ}*LS_7QFX z1A>M;yDo1+&~#>xG$QDDvu3y^88o2TM;j5eq1n@n2%6EXJB&y~IrAq;#~)@+iQRMd zK_*PP!t7cj#4a+YGmtpa)#l6%iZEu!Wu2e_A~D-FLTnbZ#|4!kJ=k$(9~DdjKd&e@>)$_QC8XF()DMiA$m7a&WN z=3E-2v*pf7hW%C%$s4L^;8SaG6U4K~Q5G#(vw)^|WCHS-^z>7MWO#CV`FOfw zEc`z`nhGdE)TYl2DuKVJM{)sfICAP~QIJiJV2w_V=FOG(rL`38DX70X^gUNPd*PckA;$#lb5D-BD?$KIA~r@MriVxX`Lun zY4U(eW!92(hm|h|R5Hraboe^mPFWmAo)d-65IzisH=p$4(~`V;#88h$gxuS+e1$wV zQp`5@1XrhXVeG-ZF>V{&hNKbXf!_41vjGhLtlvtq$9ksjdetX4LY%cD? ztP$j8%hb!_W{kDiYQ`kM(?4Da3Ob=F?8srqWo(P?u}Q~hKAY6kOMv-eA#-E+ zrtHrKL8<@g$&??FB%J>BAYFRojmZGNwC1bB0Xh?K`lY!5U0VFdqXD`k+Lz;?Gy94u z#V+!Ph|XK;kCXrH1^_nZ$(ys>ogSJf7FJ-Iik)7Y@(5!;G^l7o}K zn9vwyQJ-8s0#ga1mc4J~bWZ8bU&-o3ZaF4jkd9C7IO*icm&J5Wrt{=AK2FKm zgpZR6KKc5HE-oFjW_LlTT5o6Jk9;KzK$?E}Yr>?DN=J2pPW+G>>;ajAbVF7#C6mi`2a7XGwQBrfDG=DJJKL~RDknaNk1nK`A6N5_mi7AkuPTb zWFE7R`l=fsb0Wzg8TB}_kN~&OOE7xmV0nTS?;$5gzLyR1BY#J}L-jsAoaHV9eW$Rfzbx%tQK9;8o6$ON&F^i&O}#=-QCSW`^RB{fP>PIP~>Qg@Af@ zcF1k$kt-5gde*M)*?cK}Bew#NTsm7jVqm-)zpr=RypLLIiY&n8W0p&s*;tPLKp5CT zWeg7i%jahCtgk#K^BP5mm*CQ;#d|g{TfS~pPpkTpDj$Y@K9d9Eh$3TR*_Pf_JsT4% zHm+Q?v{7=D55m5ciGrzQh{l9==)7}h#sc^79$D=j5x}LHmOjZXTIhzn2ZS3|W7Vb~ z`W9pXl*R?c@Y?fjDmgViM$oY zw4TdYP33lIFWk-{sxWne>BYW6btZfd+Idla z4N^rDe<$(6+EjH-{Ncij&&I1WVH4oIDCZb`~tqGt!)=odtX58K0pcb{4FAGoDLTWx-Z(=7{QAusfXbcDSk*Y#75G zE?9;LKR@a|qc>Ykmt0jJ`#|-%b3-cU9z%@Bt$h92$)OG7=j&_#79X0CyVS9N%~WA* zD`$G>|FQNZa84B2|J4JCz{msyK@n(>8Wc%!n|-WRe_agxbQhKMK#D3Jkg*Z=obS9NzX-5K~V%_l?Zy>Gqx)~i=X zS0CwlRuDk9_9>-P`W{b_EjP$OzSM59nas3zSvDFy3k`4sp&D=qdb3i{o}AkNkk;KT z`IV0bfV33vakmDG?v-v(d9K7^QpijRQ9y51=a}J$9#aazW=k?you^Zb2eW|7jrTuIFTAOp&jIa+Zfa z-0CTR!{t%u^AzX}19|70DhII0nj(8V=m*fvv&Ga)kGoCJVXMTj#mCPR z)f~1;c*KNrdX4w^R@Ve!#%;Panh8DrMnW~vnAGE2jfgf3q#j>zR-|Dd_4sbjdjWLw z*#N$m-Xlq+x?`xv_juoz6GJ_|=cnnM7(U$|%x#CSiC@l*;iJL|e~z;7|z-l3W_0Oa%J)!v4Ie4ae^ zFo1lXTtquTfvA? z@XTSS_*1F`xfhzY+w-ZL~2W0rlMB2daU3QcrIErrt1+dUEUQJPiZ+>E?YZfNu3H=JQ|A zM&X!nI+< z(u*&bF3(MgrrUYBi=&CMO)4iNnke5RM((8Pbn|v!P6$nu9dkl>|17v73^Q&)DeBHo zH}6y%2J)G$Ww2o&b-v@q+WX5XkWuXKHrvj0CcNok;Hb6cUc?vMVQR%nX+8VJ{BD5OPo zn>Hap+=4`eESUH|wP;H<*>ZQkzBU=pssh1w#+t)CVdTE>C z(Hua{>ZLtLYB{RGpU~;CK9~by+|HFBO#$Q9OAXgz<^J|z(5GH{{6!v^YrrAYOY`>u z*AS3;X?K1F&#jjh8t0Nf2Vu+|!+XWY-kcadmwu7TiQ#kUmwHYN9}(K-ZX23QKMv$H z!#jK5NDhof1F>gi8W1;si*Vs{>9Snq;UPCrnn||Yyr|LZ8hSMg<%G~&`W6s5tk7I~ zUG9QtE-lDu#W?8df#1L8Zii2gO;S1S@aa+4b7FXpS(DC*p@E>|?NK`>qwR1vOh-SoR<$$K=x`mE zsIF>s>yDFjS2a3E$Jr@YHD=3>Gs}^licl70C$|Ny5^^Sn~vjB zu6pVuEuNwLx!Rb_QSx568AQek9h@wg66A9Ah|de($L!C#b84)8me2o6lw@YyQM8&2s8wv8KT9b{sv&2+JT zde|vXDf09q@75~^cMR5D35A0OBlSVVn3D=6)xDB-R8djig&kE?)<@7dlvRkbx@(TA zBIMfPcT^$6f&Y4)RK?__ryUIzmqEoBE%ls%R|>I%O`?tl(IC68)}fNOsmXb3cF91R zmoR3rx)uSayoKZwz6z;VA|5zkb#Eh6eV-JAeO)5#sG_DhF65|!lMl^JJF4KxLyx5# zRq%gZ2h~x9qVhaWnj&)KV85e^?0u>`swj45I}$8{pAIc^tX2`+f9M*oqd_?1&?0E+ zyRe8N?hWT$6jlpVr(A{9CKslhRFvskXN?9H7e}2k;eifTw-uWD1o89+JMezQQH4wz zj5=fji-Tn$M-^50iGZVu{5~S(sDcOUIytMTA(w<5bAjA}hn>ozvl`4l$W)I!zt#7^ zlVJxHRz9rmgouNN)@B-AK@Gb?$L+*IS||%4+nD~xJTCoav*rC zJm|}T-~sl4HwS{d^zO7fqKNzBUQZ4L_s4x|4g~KC_iH&2yy4aY;1)kc+^2U&b0D}s z?n>oAaG%~D$${V=yF<@`;4K#l=Rk0uUJrmM zzfcYY_xi1Y90=aFfA{4;@c6h<&4J(^yGhG|;4OE9CkKMsrS6I#5ZMc~*(ErMWV;tb z#nR>(lXw!1FZ`grScleSvfzzzampP)WgqMn1fYJ|G=bH<;&s&V8EjwLQAbl)-AMr_ z9aX39L!XnX1iMP?V7H*74(B?o?n_6LCHyqz4@aBDG4;N##Z-UFgh{isLtQ)H7Mc9bOi3GFik;e(G`5@i?6Ab<~l|4lPYN>ZqX(t${Uz?_0-gMbvtSF7`QQ<1YKe(Jh6jp3?VY(&f!v zwsht4WsAjAsJJ(W-k4WN_p4%M?N};pFJM9LtmD0OL(q{CHO9d*XGYv{{hjl{aY~C< zV3IWx0~wy^oI7XvJmaa(1N;uCdNIQzdvV&433SSopNWYa{1#q-ho9+D&T}qHK`fs| z722iY^c4U+-px`7iID1_}&64MbmUo4E%a#h` zDSkG^Ck=?sk6h<6pzBYBXZ#v0QFK>5Ry#`LA5yM*>cLG)f?0amGSnTLO!B&Ev2y$Ib{*PA*)4*2oE^!^W;Fzj zC`~GYS$eZj>TV0OU1n<0+nUVK-SSdT9_?zGy5cZo&2YA9nY!9nDQNB8Kx&OMCiDVu z^SW5zQ(Ke1eit=HA-2Cm3R?F9=yZSYA8JkvZB6{j??!XCLz@D>ycx}DhobzK)5E~n z+m9-Yu6{Gg?L95S5$I?yL;!FnKqvM42c>gj&==8KfH<}XpUIlc@Vcpa*EnByQ}doN z(N#?yqe-!=nx?NNLxXO{dAI399rc^DHC$459Ob0iH{mW=i)>{tO8%?D~8d3@^Q zMGdo_Yg8z?JB(V-nKy4ad5M^EF$pS`;0-!U=B%8%h*V*zGAn`=*`bJ(&YO=3#>i#l z=sn8^odTC5_eQV8*&&k+_fo5zgQW=jf>7C$6}7|J@1{sIy|BVK6FiMxE_BJ9#e5#B zYOO)pYR0Hh1npDjF^urD5xfOx&dND_o!a+mg2B2?K^c1QGI(alabfSzQEA|t%~^cm z!ljf2Kk`?>g9AioP#Jl)SrzfAhYj=QOiOnzysSgmB*VtiWtfO5$e2=icfiPF6oF7$ z;I|8SFs;D3IZmALA0n%;xMC1S)qX>w2F_j=L}s7s_4;zGY5JU*sxOC~zEd3VXotO}}r`_2rnB`dlBbC+Dxi?7d5?ub{!8-;hXs1)ZMtIa9B%pwXr8lyH3ob^X3I z-ueof%KPoD?w^%B5;+^AG*;>NBA7aygML?# z%7PGKrgWXILT)P#F}5~LB2m}T*y>RDMS^P=Ox-Uv-3^9Qv7gpfiY!&kYOgZCP zuaM$}Vi*qR;)QP?sK^tWK6UhziV+jWjhKobV7@L4)NvSrm~!T^UVJAz$U$?^f(sWc zgSZbtgqV-HbC<4!xR>7&V^A}MEdrJhcWta#!jx%es2MVh6@T>!iSf&dz?AEj1o>dT zx3mNdUdTtkP<8f)9)S|OYGN#nvszz=g*LsHK%pXqTkf`!+qNNd3yee`72TEpfFN5PDPvb zo#o|X#*@Mq(+(OMoeE!uq8eD5*#I=hW>%OfU6yMO8EB|2e1jJvoyHlxNj6MB;>x5_sQc|AT+UPqH+$_OUdfGN zyNEM=Kbj%pUV058%RZ<5y2mb}akg-iY8P>be3-V23OU)2A+n4Wa%x*LtQGPae2~h( zalY?HGGx5i_w)=IFZbMBjaCGKVxfWcGVZL#bDn?G9I<9;s zU>kM7+6b2;{YnO1o@*Q+jeDMj{C5X!`6rE-I2y9aJ{UO1hyJnW{Q$o9_Klf7aVGl4 z*&0&l4ui0UJh;Y>1UgC?5|tl@Vep*EQ$~%LFnwAH-gBAuLfZsd>N=-=D>9bx-x(}_ zN+!$r8(o|1QvrtEGWwCgVfUv*stluS`D|i9xKgLXXrD&-=n6RY*ExKMcfhXQEO*RFgVP1jGBOMrzO?D(`Du zq{=|Eyv#3CG*G=INDqRyhxZW04H`&PmZt@9AQiVNB~rB3F8{a8HW0U+C9D)wdqV

KZ?Vz{O5JZYu`84tV>5!eCnymD#~DK!0{0o?Pit5S(dblBjRDHOQTg9Jio z5F(~-j4Yr;Luyo1;Bbqm(*IPiOu-~OaII7p5i?b$=#;CjNEOhc0cgI3GNVRbs*yTW z;lPi;@nGh+gfY!Qo#IM1u3iZ-Zmc=C_cU3B)5GGDctQF;oNT zE#Mvg8kH$>LV34{Od_xjP7fQYQrw5D^sEku6vz%gQ8N+)Fz~QlZ>vIuj?(R)X_+v7 z6Mp0iUPCZ|LPF{OvP>F$+NPwIBBVGZQ>a;Cx2Q-NF)`39lBH#cQ9qS~YEkd({)8@6 z)Cx-XXHz0sLdi3J3Wy|r{}wJ|KWx_xK?&~(2WqICMlgj!yFcPb0`WY6|G4Xk6G?|+ zxTWq7c?~7~v@BUlyciGy{L(8jH$jRr^Piq&yL6wCET+4~ziua##RCvDyEdf-6lT&1 zX{Hz>Wa05asHjX{XkkZ*B#*HJo1!8kI_H6}eF6$EXx~wSO6R}x+w82Mku2tMa?BMW zp=HX*SVXAG;L57Cq(OPbyoGuU2n!`W<+1BkpG+YNdhmrLiYTVO*X)gy(D7eF>@g@P zp@@;O&wE9xkavxPQz9Z=4B|gTRGH$nN<8kDX+CoN@Ud5>dE<{C91v+5IwqT@;I8q5 zLPCYj7Bu(xQ9haG{u}#LSftTHV}CZ4ynV;+O-V3!+Sm`IUgPuj_|_hona}daT#h99 z7zMXa2y77#qwVPwR2V`F2cnlAn5`MWA|Shs35&!4>R6WqMGAqe^k^!r&?MfYokR}A zFwj0JjTkM{(JwL%goC^P9FZwBevjTMnL>d*`iEr-{;GN)DpM#u5EO}0TJWq0%M=>> z;F6R~p*QwuqstUbc0VMIP&etJdWCKPu|6p%Qy|u(pDt4ve|j_y$Q0QuGIi8%TLgv9 z_-X2HXZdB?=*X>fndYt4;Zd(hBXg4vgEGOVBBgM(bVv~x=0$X&F2WrWXpnz}WEz9w zsj5g6qH2X#_+$#*p|*<$DGUc7-{Bjn(P${WOBXneM_Pxk0y2#P+m)zBs&EjRuEQIC zkt#z^DO?$rDY&a}nJ!X;P`a|xj}*lXtzJ646+#M~kscCAchQkRv=wStfU$3Q8-rP4 zAu3e&kS3Hx1A*OnK~yA508Kv{7O7IKW$ON>%M{xD0Ih4Og7k1e-L)yH;&0jO&j$l4 zl0r32%N9;x06cT>g|x_sRz9_bEN=i({hxxy08$(s?-jrSurbXq5~%DcA4`KY(sg=W zhl(nT&^YDKAQDtEEyfP)^@vo_AT)M6IkpwjWYq4dfT_f+A!nn)BCN~Vu5}S8$tb!W z+x`O;h=p5W5g!fO#k@#U+x0b;tRIQlknts~{~^ zgO-wY%R@3n%fPzN%`!^it7h+pO)b_6)w-F|K<@$55QY_H_%VK|#d!Kt}+*i6Dv!@e|4m4I@y zb(R*&mjE^DUIG@w{$*lmjXJ!)sijkd;gdrWjPAnr-=@kWomUN87?w#oWjJ(h%1n}L zCTKE=`i$;~gi&lU8b|;{QVH!$@ zedaNf@cHmImKGFiJB5%w7U2ffAXOqM_9}-Fauja6Id{dF>61oH8#j3p zPTC($LEn5r>BQ+X>9=*JJQ_h-p)Ij-z6$&uJFQ~c)QKaoqdW64Dn+WqhS_{9Bd{6D zVtu1fUNmmf3Q_xQu zQ(ovR9yyb-ujU1j&N~B7meUkdIn0YBHr~cf<0&#`Zo_HNpB|8U#8lTwI#S3y5dZKa zVE9dIrl|i;L8w?l%-(Io5VbyIQaSR>4jO9oW}v&CKn8(E5&_Y*na50`r7D*bxX~F? zr(hEiLkJ+ul#eCOoYdt2t5gdck0N+uLu2i^{IJL1#!4n7F z0uVJWA2Dr2#o6P^r;SC1GB3}d;p=#OJ@#sBrG5EF2tsAh;K|dcIrf;!Au0ejj-*_J zgZTQ~DEeBKBI&4c=-8EIWa7qAuzP&>(BM~kiHN9vQDFO)PPe!54%E7RJ z4AjMz`0=&(NDLK7_;ngK2s}7Sh>?W2&WEp;j+`)g)R}xUancwXVTJ(8ZX5wam3+zD zn|An+nCm4IBMA}U2y)L)DSTDR#Grr+GqeG}V&$Bbt5(b&MB{?eq6qm|UYT@*0|vH3 zu>H;m{5Hg2JcPD8jV#gyt2wsOMj1RW2wWo@ZXUnfhx>-Qg`vrCJ6*M!hwg|=SPKo5 zQuHe2w@~8Rig`bU_!R^B;_ITDfVLI4h|DcpwNjS(hYodPlMU)P?*db;v`SKLt5U)R zmn@!x%TRM9CG{dNP!^>Hi*b9lyuvSPrwk25GC*|Is$#`uOYuvat0ksrRi+`Ax`{XH zv!BwLCXI_N4o`J3rRZ}#qjVR`^0jP5?*$JBF5m20M8<6naIr35Ou6c_RinqTV$#+=w)ye|E6Tr_eRX!&amMR!p7 z=%xli-fb>iD*E6tH<8k0@7ybf(t^jbU`4rl1>_cO$Byuz*PeIL9NZTm%5L#M04j7g zgP3Dq^ECI^OK@?FjNVq&p~FDS;BEC#_%P6N_$l}>br@*b?OI=-J`A+%7yU|nK%1V( zx~)KIdtvl2jLA1m6+R2FWi0!$p>lng)TJ^S?LVuxyC?BzJP5PfyCHnb8z9<7UV1L! z(OPs(giH*OCNi}xwn9k-7|m+8pE7pQ%#3qaEyqMPn_FdHPNX6mm&kaKZ7qNHPCRV5 z{XoS<((!qq7?_F#h+DEv?R+mKF(}Y=Z$^5x1mo1-d^h)*>-%n7q)pN?U zIgBNCdXX!yP}WRz7UPDul@~4%znF*nhQnlDDbl3((BbI;J^IK=i?2#?D$`gMP{9~R z7pz!PfnkZBP9e0hfW=yd&tNo;7&UhoMy8BP!&)BbTk9RF7pgV8SmSM1xY3tcpn1ts z9SjApo<)U5y!!R4?d2yMaD=UxrSk{~H&pz^_~k%axN)56hjLqOcK7iJ8%Axgx>(_0 zqG)|6vnbJ`1}Xaw6=ExeFN+(S?UP|raym8&d!SY#yeMx&K{atZp8N^Lg)0}yHvx72 z#4j?4$~KgP?HEf{vv{~uRyx0_xfpk%QuI?W6K#gK?X`81cZ^NCk8ZVb73K8Ry6 zNLdev`{phK*d0%*+=M7in)tPt#7Zy2!pJOc$XC0P>cIh{P>NX#&{DJ*(Xw=XQ5|I2 zb2DXWNj8yXq#;#?)`lR>Go|nZfoqrOnKpzx)jTTYT`Vi?+pV+HaI$d1{#I0Kz9lzmRlQh+_&AfIK>zY(2x55Hh&Unw zLde3DA;mgWa1U1z&yn0~X9@Z;2P{EH_7E}r9*b6{7jW}BpOzXKttvnt!!Bzg%QUGg zeV41Y5)nAk^=dwqY34BN`qha#LO!A#h)5B87<@*d&^`Btp=v2qBl(zQ>vY@s z`SvlS9wCOSj8NZWOl_neY3O-l!?}KsEOHbnWU+!Cv)=jq ziXQn*mSuD~5z=hm@ha>#&*nliA$*c-;Se_Lff6uEa?69FI4z#d<-!)0gn^7;%aZEnu0_&kr85S@AtMUfiTovP$#zc?g;XF4AQ72Wy@lv zbbc=YOk+_oBbuoRzC9t6E%|}dqAyJ8@>~WjBO*90(b4-AX?ET=0FlPnurp-SgD%f( z#Sh!t1bPO-6co1RF#T;r5Bufwv^}RW_rN;FKxN2SpQ8>9OJ=bA>lt(g zz%d}tnV4;Me*{1dy_d?!C%8fV&iJ_`yH*Cc}H6v2U4kn0u zV9O>3fi+i+nOJ)R?H%m_&?I34@j*#5aG0rLdZve9vlc2$+^RbNi4Z;xV;04UFM)3u7&^_ExFT8!nZ4+l17&M2FfS zZ>p(l7}37>0?M7K&T35d-PLxMZf;WY`GtsVqDE1Cbdgb%KQ@FijX_jWqITxr>3^}N zVyWAG07{Ey!Bls{>Fld3ENVs{GzTLia)c?RV5Q%zXBJSDOik5yUf2nRmWs7ep)ojf zu4LHg91bP&>WZS-S2v|?MvYm{7K>K#Y~Q&NAdRLp8^JU$7qGGa5XxvWG49Ag#)#jH zp$Odn`>To!EqJGM8mQoRF>c`p_&y0|8#l(kE;N|r|ApLbjE#aI?=-Ze>wB?3JEv%* z@4iXdG`2a(8~_Dy-|1dE&{_`=kh%_vVNZta7+W8dm6zdzN56m+;RCiA=7@2Zzj^BE zjRhGuWfaJEC%r&PcgyA@GC+};R@=xa*#PQaf)O9K*q+@~n%TI#K8O=zDi>w#Q^LR) z0Z)w00ZFbC+1@#X$SBNQ4RHT>g24=c&4lRkwU?6#vk*x{bUTAHUtnhhIO6E5Yc#uQ znbBM+8Es*dqNq1hb?qI7o8u#RzOnxz0#Y=sRj}Z>BNBxLGuY&irR3kOXVM@)nZpK| z;e#7y^65mu^pzZ6#Nx>~Rm?ArxMFBg7+)BM-qvbHVZ3Fi@r#RmPWg@D-?kG%BbJfG z%4eMJlE>`pXnaYRuPbO}q7>X&zbx6ja-v2IfOYLcZ$=4nwGW%r)eIleH!Mm%B?s`6 zAimimhgPNU&_IR_u7IDB4f*jGONYgj*Y03a%9yqWHf5;TPoUMQQ8g-Dv^agm3RhP% zY)Q(zG|B`4EE)qR@ZWYMycNYz8->$fgCE;dlj$%MBh1(dC|%cR&IUO+V_}!YMQQb( zA6YFwnB|DBX{6z>p?qg68%WjP^NABx?jqwfk-P*8owo(ESjxehcLCnKFc;H2fMMw) zhCMLgUfy$V+RoJQmC+=^eMaYji5(B7>`?LoaS%S^ou7z**Da6@v!N_c@Z!Jg8?6tf zm=a@%IDud_d?{h~ldYCE(wr0TR%C>VP&)IIdV5^RtQK|YrSD>q`gA0W6W+a52f|ou zQsA25_uY2aYKzflcV#^UF_#@k`jaVLZwjM~48{h~fY=5R%FCOXj}xfum zf!c_cfC9#_SaLR1{8Os z?U$vMZkB!kCU%c*Sm4~b|My;K%f{D-Vg#XvF#04p#0WDgXV12XVS{i~xQy3~P%(Ka z1^WP(9<=bZgff^beZC>*nLehbu{e;o(GH#$(-y{}X)MGzf^7`j5vCOm5mwGf1?-rN zf_UVROO%2-zeFJ#1QpxhM^51P3}H;SZvbE}>lZ;z%w>E;k^Tez+Yvq}^0-2DLB*p* zO_^{n7GDcI5S}CrQ?sW60f>$xk+s0WurN3XG0t@jG3^-*xj};njx!Se(xpO$0*CDB9$Sg_)1IdJ8oB9DUi6=-_ zU~55iOFzl-sTnvs-e105>w^&G^8iDKYpWrRqA6^6W258V8HEfXL2VDpi^529+h`r8 z%!~w(#?ks6%*5M`?|3%=f*-ik0_e%kN#k6>NjXXWum4O{%RtvqDOU>xw#j4 z(Zg3nq>$)L_QCGRm!ozWFM8C=CXUzo#t6%!TC2*Kn}SC5aS!%g{vK&$MP1K|W>&w6 ztp3EH-S)HqRjXWzEMAT-8JmGKTrydgLe6V-M79R*h0ot}!vJVe_KdU(9e$HPw?Nf5 z=uo&Chg%DAH;wyG$d2Q5hF%wzGNNMdJmJPqPi5e^Y=mi#c&S(D(oJ}7pGRQP%*rp_9!z=l5AlBx*kJ4cAVc!vT-RXqoRlY$15Xe z=%;=oa`3`~-|k(5dn?$ntny-Sz35#63(DvfA!&!oTjD3#B^fe4rVN{wA>$m%2YO{* z;y@1@h(3#F5UvTGJRRC*tnx2GYrF&k|M(*c$~jMIGCPV^rj3&Qj-J+NF>TQu&^Bk4 ztMHgfYa0@yfYF#2rfu2zOP7?H>wQuNMvPuLCP$Grq3SzMYYt%xR=GM*j}fnsjS*Fd z9+r^V+eON!T^B`m490&=xnzIL3jjYTJH4f+F`D8!A3b3rzC7&1mxpxR^+sa~hBtiK zeD2jW#vI2guU3`u_fZuVve=`7yUJo4w6dSuyuzwZ_OU}#!>p=n%HXB4s#E+wE?@l- zL;+USGsLQT1x!`1bYkT^IH4ClQ+zD;gqbV$v^uV~Lnl^sDxM!Igq0#IS5Z3pTI*gA zAy;9D#oi1_gRys_zqg;?fmI=F5AI2^scEe`ea@=Nz3gB-DBf37H6A68L&?vWHe%03XZ89XwVSB2gYW97 zj%JoTRsY+dnvD?YY!}4Fdp)XVs`wURv0)wwjCnoHciwa>t2!4pUInnivf`==Tt6F5`x=>#{cGW?MKxmPVRV_}-TvbcLE$)A8 zBt$`Wpg9`wJO3o$^X|jSIlBnH^JLm zP{b`hsj9j)^}x;-`$3e#C%|H3OoOp;f$Pq_v4mBH!t7ugr0z_cx$X{b9=v)gtGX+Q zk4HREUUjb*-=gB_h z`bAsHn?eL*TYaqRv$Ql=`Bp0WubZkM3bLKW9(3&#)$)rpJ22GCV*NBq#r>=*hDq$< z0Ht>Oltyhdq;yLClv3Ki3e4YV1&(G>ey-EfFt^KB_lcz!I&It5ulArGBY*9bmd7V}x;r+Th9>5&&$qI;Sh+^(|NZq3ZK z*WbO{w)0q`cL*P-rkQ2M&enIGw7U;WU~l2jzyOQ4FjeuE>3hEkuVM+!#}fThEH*1( z8ay}f@<)wcWC`rP9~$pxvHf0Cbs(_wiW@FviGj#fid^TbrfQCQ>utBLXNke^MtjC$ zXm8o!zDRA>f~fLv4@(RSv)BbuQ?+#AxIy zN3Mk?`PfDJfcBmumKdwCLrruR9~O}Y?(`ln!uOcI_I;* zT-0kKB5Q$ZFtNzf`HHpsSz;k_EkLeoEb=#~UG+vkv&2PFcIY&8hbv8n@nE#`g2-f+ zSQ@~GvDl4PuD?fbe=xb0CH@j(2RDJ?thB6G{5+rb&#_Oi#46NlCF*snNh1~tHXGaN zKP<62#17?y%3s4WSK>#xXldrGQecL)0Com#;XSHbhxJ&3JUP1XHs zlQx|Zhu46{f4nUAfW>fKbmqn{FJg%sJnZ1ED2w|ohVy()Z@z6lOWYjA$Is%um^2vg z8~9><^&FPCHH{DIwMa8n7yG^amV{X1b}$U-EUsDxyQ$mGd2b3!+!erwv3P5fM*IY} z@1B=}EU`9%kC(-lc};`MBVV7Ne>_V(0E4*0AhyvgEB3lJF>q{WmRJ{H2Y*ko*dKl~ z7YlisFRo;X^=W)y_;EkRxdg`j#N%NWe?MRXKV}=3{$m46Jf*V4lPLWelW*eL@c6~w zwt^_gc8x;L7ir{7yaeEj0B-b9YNL*iPrL#uu{p>Rn}K{QO1ig^dK;i^zniI2nhXe|DmZgPA{y`z2U4wl%O!Y9Du8%>V!O}>Bk zSbG{ve5SI*r(m@$LM6vT_?8y$ZA#Qc@y*ZTJ1zI@(r3(QTgwtDs8W7p!9w{|R{5ZD zN_cmWRoAaTe*mU*1=Y7R~_%p~CejLgw9|-ePfK22u>qkx+%6{09;_EpXIa$%cxgGA#8v~Ve8cj*h3vGT&->e0)uf-KE0k*O^EOXsX)GNdEP>vw0g}$ z7qY5;@Dvu!0{NQX!RCqOpKVRBs`jvXDgk-jU-da4+rKdO-xsl}kAOVcCy`j3)#ur+ z-=?$w&Jx$7R#-I&o3CgN$P-#Twm`u!h`xgHP9R@a9guB@{Qmw*jHN+7XbR*@9tUKb z72$6-W2}ZvjJyK*A}UtTpT{qYm%fR)07#7N0{MK}0onSJ+HROoF7or4Lm;0^IUrk| z;VXc>3v@m+31lSdfb{H+zJr11T*hZUfqYhXKo(SNo%B1_tsXwJ3gj~p2V~1zZocpT zSk>!jBaC$d`E=L;dECRRH(=_Y6y&RDf&5p<0hwQY+Etra;tbSilIhQTYbJP>*}U?|^L9^_J*TtjfH6jU{+)@HrrlJ^RPW zIg^@4@;RBLmK=1o9E)fNWIp%$s+zs@WJBu-X>Lf219dd2bAQ z>`#_BPUk1M0vQfDAeHFz8=B)J0-nbyfkXxzknG}@wKeRUr%`%SvvjOh@wCqNC_l5o zSDGar*75(u$pJcRfyj_ijvu^!IfYNl3 z%&+4MZH|b8WaGU^h+&Y#9LHrvl;j5jl~r!Vmarfwx7+LlKl0oY1grqSunWObAGd}- zRLEQ}zKHpZ=WW)XV%MX$n1k1fbWFt6UifH_1m0xHk!FZ^?2g5TT9RV25OTUP^ z5HzL@vPuQd_2P?I7)aTXd2psm`RL#TvHFo7+^%O6{8Bw(=#R$(+KN>sQTo*?zVpM@ z#OJC)W+Pak+hqp9c^NqFK?%bDR5`yFUuYjk9Gu`+X_MeCHJjkao}UjCUjTS(R=G3e zLU3_V8nisPT#Af>btJRAYBX`C_YH!V`ekNLaF0dsdxs_tt?Lj3{&-fo_kShWOP0$? z8w8ED0x2vH{#BJgPVj)$#D^T3xKVWXJ;1kNm33}Sd{tN)v^;1mPD#!S*6~FwaiokB zq=Yyug4aor5re;k%_jamTEB@e`l# z_H*}s6e>lNT9@B$e0`&<#c+9640(ugQb&4E;2aUxk6=V@S+$Mfs zrF?X7g55QfV6RYpf|DbQUjwuQi*=740l|+|Du51);Ng03tIjjx4=319&Rlo{(Am0`kfWRRNk}z;Ix-54w9XzA|Y0OBqrHpAIW}7QJM~tjnnZ(tS(8$c@6TI zyoOl3Uf8g35)hrRxgB=qMZ4ePI6AzH^)Z>`yoOo4ydKkE$NV~tw4HIW$>+kW_0i=; zm$1aad5y5zv0OKK<=@tEAW&%Bk#O|Ys>lJii@OH+=Dv^~9e)|;C}fiJ8e^PPU=@$6 zNG7lGZJJyIL>F9Tin#DP`6&C#n(#O;1gCMA1zi&}dHL>7ya--ham~!{!mG1Jb)(~` z_=~Q@iG%aPbq~pFsuf>d@}^w`)G4?w;uc?BBht=M;U&&=$tLGD&5EzHt@wI-{NHgF z#JaKAbhr5G9Xh(a`kD3Qyk=SPb*>d(!IFZjP;7Tx+i{C8&3ANp8M_T+lk+;?imy4U z8DCHBEI0^64_rNRi!WSW635YL$H4(=uGd%Ffa=R)m$=2(bhCa(hnKau}t;^4flvf^uv6<^aPoqHZor{W5!TYULF($3N0h3l?%Ue{Rdc!L#RKfO46JW!{x z*tKr)weTqOx+t2#3!AWVe*C)?Uy<`0mjl+H#cpzoucZNL=jiwg*N(-(+woQ_zCuAW z?pFtYT?v$i>&R~LwMvtAjt(#58V1?qylzjK{<_hlpGMYv4v5?^#nk2sEwzplw_#|NzVT4%*q$LsHJs<3K|&lyCBAGI z5;(7CtoVA)im$U5-FpH`9mrzOy2KaVopDroS)0q8*9%sBy==wT`;kM9Q0gGu4(S$O zYa>UOm$g&Rd2O`f>vb!>I$c}jL9u1H?Zhp<9yq$~NH?>HgY(*C#n&4tGwyfzT0ITa zU>5tgTYRlgOFKs=zRJDQ9_RID$n1~rSn<_%=ieIxHUziwMqT1Qa|iK%-p`>MgvG&m zy=TSOhgN+3v-L&AKn=y+u`cmNw^fSc==f{7G-r%oA6pxTTSa`CyX$zN#V-GSA0Wdp z7W=qW@$vD9*(gs26Opp_- zikbwI%;*Vrg6r~!{TC&k&SD7{57Pa?;xGx)4O}*vLD0G|lAEA)io*$}QYOKjzWN03 zD0%#I6y;^HFN4k=bR@VrSdSpxStgF$1iMBgjuYH%#o%6leS%F-T6jM2BXH+y{m$#! z#Eu?3+$Od*Z8*XGUekjI0`&=g_F%U#2#&<jj+d$`c29i#M^j8-c{R zJ!tKcaDqSjOoG3N(ZwEve|~mTDNK&S9js|*f?3{jC)m$yy4(b<(?(A455FWBXQBEY zeCwRY-vhyN7DHdDyjsO~e%P*bA7&?^n@P>gxq7iR4-rAS`B+?vk2iG~X7;`qXa?A5 z7H{HlA$YG>lxqz$;)WHHTTT4F-;Q%1W~_}JPOyb;5^R~S@4?Eyjp_)yV^}=je*^@Z z2JB>v7(85p)(#>k*xDQiaocQtg2#4R*B@n_!Q#iejDuMn!iYiZbk6i3Jpdw(+%ae^ z%{ajmQ>F(ydg>D#r1p3OX2-JlNkJD69&QYN96mgP)6){i394z6U^lfs!RYXlsI|ti zc$d)O6Ql=Z#9?}n?%1`-++)yMbaR5em`Sj2_Be=_7!2}#a|+Ck$359$XM$NXgwe#- z&WlMfYu@DqC1d>0abA3(JH5rh3HJA!1dH_g9(;6VVjkdUvbc5x!;GT`KT~DFM8@5N zCwnB06D$pz1k0lJ3HoN-QVIM779Z$!_Mp>T>`3r%=i=6x1cyaTf?kJlaAm)?v{;zP z;=_H1PcW-z8>^YSg3>d&J!owta}Sp5Cc!ft#=&m)v>pVzlURJT-=+JoU4ew}9Ye7N6iU4${Ma;-G@(dXb zPgPBVGkjSD(TiVee$GDF_2E${{qQ|VPaBHEBzV}pxUDAhau3e3#=-L(2zKgo%M-w# z#p36#Rkw8f0|ckA_#794PQBQ+Gj0(ql8$L$ zJ!tK6ae|99vxzVEXEiYhwyhoU4Gd4k6EabkCXR<>$wX$Z7b$vRLmVbSdhp05bB{r5 zTZt1~<~0c}kJKml?pw-TFgp!T+@zcdI&~69g4Vt(k#;9&?U!(ZmxN4$mpRNN&wc;k zC=i^^;+JYJ1RWL&P6Kt-;jU(^8#_3`D}5%xV6?sm%OkI~1i=|B{x|R86BPHMQ;V8S zOpoGq`3!;q$%@z@zu6` z;{>17O@dFSvpk4f)YIFBzJgrmu=rCh9?a?xh6gi;9%HJ@^eQLl=t1k;g%f1haNs4G%sOU;2g?XemZG?&G(@^|)NsZVgRd2q1bE;}L*+7`f^;18`3$#`z$-LY9 z$Ou}iBO+MU$RgM@n;_=m;?u6Y12DW3q_G>p#X$i0VG&#|MOH7)>e;*(XN>@UFS6NA z!Z^VeW)oMn3}(e32;R5)_!M&C%_P|Abd15Q34bmxBy(Eh9z5K~#caD0oM3Csc(Ogs zs@jBZEZ1HKoke(mQr57H=cRf5pp^&D-ZpGzZx`ve#nZ)OzB+o&UA+(mz?vsxxR|Qz|K$=yb?WNQ;_^b)Bn(M-py55IxDOPj8 zN~!y!`1o1%93Q3DdsxjQVe!V6n#aQYjV*Cpn}O~HrgRvFSNY9Gg4MjNv6`23R`Y7W zaE;1pUQ=(VZ8aJqs5gdK{8v8#H>FteSESUS1jaAXx_4EcVl{6D@B!pqQ&sbxw&{eA zj)w?D-U+kV?4wBAZtG{U8f+%k#B^3uW0jTE zZdg3CoYhpLtZJ0i(=T(y`?ELMYY(uR?P+|#a3_==w=g~$tM-JYs`~hF|MidDz-s;s;^Se-Mj@$6HunB= z^R)LNQdu%D!m8VvRjF>z9xXb58%s82EO|_bC0m#@lKHGtBJmPSHbvLhIFHC4&3`VFt;UCNSO!uV*c zx|db2zHH-3=KrOF7u4&_I_KI9O`AsAyJm}T4jy!&M*0921}lfvQ9@?gLO04aMpeIwFN9$&RB93 z7>+d!CdcY;HXm~bOP&$NM`P8eN6cI!BU=00-(kr!gZOw@a&kzjl0NSXStK-`&sh5G^?Iy)yt<>^!v{nEO~*KCFew0a)DLWLa%?_Nimk3kFw^Y ztSJF$uzGsrl^r`!<%<|gUKnE4H|pq8$t7WYG*-PdLaBhplFM{fy(&zp8b760t1NkGgjFx%y2~RhdAXOxhiIg`(#w7r8)V6=I5OzLw=k=|ho|la z@@_9nJ{*z6l41YTFW&nkL|(;9*&i`EC)caN(>}|G2;zT+Sn_eJrW@E3C%ie8B_B)S z6JW_Fqo%>9g7>K{CqU$9wL3JHd?sY7o((>};f)p$sVuoJ%977psu#R>oU`afh@g5d z!jdls%v`UiO&)w}6-1!%M4BaE(@fQCsb5#WaEK*e)midsSM_dLuRgzyf>bozXl?eB|kt} zp9DDJSQxVac5V zQ}wkv>zEE_K*V5FW69l`srpvksj=r+a+l7M)oGU8XQ}q35>qZgz4k)27iFbAX0Eh; z;k@npS#p1vCBF)>WL?x$9SoMSxfp-y{4k1KKZQ)y&)z$Cj@|*0%91}uSn{`ksruc2 z>!8Ku5HT3lSn^L(Rm;>Z>wD~h2&(^vSZ!Wf=BjNJUJ#nz2%;c99#(sdm8+>*ym;`} z5aBm6nuJ(Y->{jhg*x=Mf~Q$+bL40iX0^wqOjXP1vdZCg5C!l-&eqISwT?UzylCaCUc)Rlcptcj**7wq|uZW#ae%cM*rOcukn!nwE)ddCTgX zUsNWJh3nA-1~T@k6Y{v#A1wGtnK(Ml+tEN){$SirnQ1d$@$NWPnK&xS<3u1o@i~;< zV$8 zJ7&j(50r^RJiNyVWOpZ?O@BS@=@rVvL22G?1@dMmo5%cP%c=J(6HB9fSP;m6Xbz<} z**&v%wlc9K!p9bYeAerLY&>l2%>K&6q7Wau3}oVIC!UQuPWrOFGEvj`04Qv}6Lqke zxBce)bCijvdH4V;kkw8+mFHgmFKX0F=cBZNto%6WV3S>W%gi!mVvjIQ5CGCSn=#LP zQ<>&-jgZk2QJFXaYryZ*68Ed0WRtKq+?0~C-BEn2xeA{bNW{+p9}eIPz0(9Me<9=N z6UxL!QGQM#a8)UMsllW{=O8%pz@?oZHYWU<;%6y_jKx5KFY?m#%G*mK%^O2;F{}I| zOs6{d&JP9ojklW6363cgr(&WA5~CPAG=l%L&M;&5=sWi9zYN;NES8V6Q@d^X#uPe1 z5(ivoYz5M3l1=8Xj=;^ej<^66u*+z8=PR=MBrQuNCj z0Q@-eqOBI#^NuzRsU7NKvY#l(y{tRz!I3a8r6T( zY&Gur$tfcua7Hvs?2drL9X;0Fg*hj%?>myXZIP;qcS>`6-w@pml_ zdk$6|3)Ej&brZL?z!|GJj+{d@BJ*-T;Ph2KKJ<~Xxj^x-_uQ{f0c<&|Zt8XM!#1C^ zbL1Sbh7kw%Lr=ftfRkY}9FS@_@S|1icY!$1{Pi1nDkF3*~ zzKz2)vT7S?a{I;@@F_dtjJ|>m0dWv7I{6ndf|Gxf*ZX-leg^F&(7W;aEiBA%+y6VS zKZDX9=Y{PB$*aAH8~CfR(_^;)b}6u~*N7?}q$I;={QkV8PuTEw^!xo9zj*s?eP2=ii*g^@r_^Y*F+BrJB zPVh>5oYyHH(_cM&Ca)naJH!CH9Gf)Q0?nG8$CCxx#SzER;nhi+$SuCQgr%XQ!>fBx+T;G}XT?{4E52IzZl$=t0vk8h> zUxikDm00nW*Qe$u;I710mRo#jDQW2F@G8-zJ?^hkE56D+W_*oqquvkL->_Nc7GHz> z($3N0HPkEZabAOUGropf@pW)RYzSaiv1wpVl!_O_g&In6GM~By$L1~ZstK4eGGpzWEjC}HDz^=xYqFa1TR;8Vz!)vNEXZUNJ z#cP5UU+=tCx*51N*tB$uuNf(6=;-h|N0;`vzp(8o$1k65#{IzOV?n^KVbwUdbB?d` z{nF0S;dOym+T*;Y1WaDjt@wI0^vFQKuEoY{#D&-Vl(chncwHpT8SQwsSDtuc3EcDg z#dkt|9WI9jGwH~)G1~tX2hlmQvoY(0k-KEJm}=72+pwz7*ZUnib3ID99+%I&nH=N^ zD(yPkIL!4TL3_{QAYS|gm3E+QGGqE&t=eUNFH&@cP8^)q9G}rtc3$%X$9HSdsH)3nO z{!n1>vQ7gnUe+m*$&0SxiGySYFYAh~ zzUbPZI4oY)a@XS3Qn%v_Ue<8Lab6&7vB`N|;n0pNJa=8YeJQ|y$K?~3cBE^2;;?vi zk|KV(VZ^<)6d;_z%j)(PFROoWUTgeL{`%XXd!9iVx3Fq--|V52w+`xLwQ1hl7|YqMtokOuGq0=-s=367t~H5+m!~6^?$5-txG#P`Rjk;b$29#*CQTw49&p zFB=koEIV(MWX66nzVVnCtl>-6Sb@Fy`emdbqYEbD;N^yppsSSPK)dl@MC6wz?J^${ zwyh4{zAsvSe6hYCeL4Ktg-u@ygSWHlXI%W4Rd2(OPx=KVquxQJ=uQZ69JwE#QSEuT zA8p$SWY5))HlJC3eBQKY`jKwp5C@l$A8lJ8+>h_5W<0**trw5E{CM@vGhcwgJ6QGR zkaIjb`tfB+$?_xJc?~~i&Jpf@w0vgy@!vto#q^_Xd&cskwKc;1xGiA% zaa-t!{MhgNo*hu+oveCm_z3*?reE?T?z~^q9W3HFazDQ7x92td*ga^Mx%<)bndQe1 zRhifF?nldKmLInTWnRmVz10jE_oKCy!sGE^$n@jE$PxMR&S!ca zg!#K!_5Q3mGN&KoVabWtJBSqBc_a>AA4+A-J?`^NjbCK7=9#QDu)7~EpILrPsnVY1 z$3bQpT*m!qZLyFaYZ`~8A8Q)RQ)z3y&NV(h-Ttwyuy7Bn{xfS0l+%wpB~4pAX092J zEFO31(jfW5=+~L+O?N+9KBK&4Ay}fDO2lFL@r-bWjQer2YLl^=HWAa0ZK6jMkKgv$ zeFsdfWiZnNrXL3cv;8=bx%shX zps(Vj;zC%ukJa>#I{PuJKF0ddIzKVvF$?GF$7EJs?#Imij3e?R-Nqyi(~oq&l{id4 z(v4PjnGXrNUE7kenla`&yk?A6&yTL-BmDUEdv)tz>3&w@bz6sL)|>k=Ykz5Q5GMX7 zKW6R$9ho03pILsy9cbb({YbZ`+hyF3)}?$Nk26#=9%p!u$d9ep^&{iBk=z$@j>oL{ zG5nah&-K6iF>{aX$oy#e%<^NaP=?RKNYSnL;^4mJeza}{;C@`7nSNYA(TDBGYB^um zEToyoZG60W!hagW`h%>-@3sziipO06$?1subynQ|&*LNA;wTQwkGQu{99BGjW#UXf z(v6N54$r!1=9YMy(Edpy?t=0mRuf2NhM0VY#a0z+hN`w`)J}3nEtF*w{9YR zGqi1eRSQ=8MwIP5sIuC3VU%*y*T{l?Gk|_4#A>(btQKo-sroQlxcSbLSuJi8u6@JL zYCjQ`K(4L6E61Pu1VkF!j;jUL15z?q^@F}$A&3QOZ}FrnZas*)mZH>$ogNeEUflVZG8R{8(8fhDCiGAt2y1|Q9DHa&%ty5#kMPH ze1dE{hC<=S?Z-sE*l^AWwjFoxZf~Np+M%WkYX2W^-vTei`2YXeRFc%9aw&{TCCPS? zu8bmdx~!1CBtuC^*1b|1DMGS{(#_C?lA4N;%1FA)3Y~7wnLTIEZl}(v*;^!+|ND7% zF1zRKdVTvk|KIa^J?G5xxxSyz^UU)+^UUnbHS%TZ#^0#$G#@gcoM9U*tm~P*`=f6_ za#LX)g$k}UJ0J}7)cXZ)(W%f4UvBtnU?VJSV62_k^hqkLA3&C<;Cjc`jbiIVO;jp8 zmt~O(8*3KA0}rTU77SSel9viX3hn<{M{Ei&((uHij|y%wEWv_4%BMSy!D~~NWh&_B zwOKdQ)B$q`Q(+4qGEu<*)n?tMoO&<_jaDkMNCme$T6a*pnqRG;!mB-0*j=N7p%U|a zEo@v18+S^!jUke-dU+2jybkQ1ek$x0V1hTu$Zk4t0WbB@U1B|S7IaAP`g^IcpN@_s zu+q(bSMP@uD!kQanasal+dZ}MJ0KC2jDzqX!D1B-3cNB?0PA*@3LC-3Qwph^5{6>ln_PV_&{&VM^}Wc0p+q-n{1kHa6%>9N zfr#kxA;;CXiJK2QDO&2|?(Csq3`~~pewEo{=U0i_r19a<)%Ow)9}-i#eAG2xsDx3M z&j!w2(>?bqFV@fd0HO*NV0gpSz-+PP{QTPtX^Lpxon$kCnE*O<*VJrIORl$wBR2M% ziOX>s27NQJX^)Ne7Qh0b0>Isd=iMP+G=kWBRM0Mv17N0;p(4cpTYwO?k^>NvjhPrg zHj`Mdq?%t5;DN5f>4P+MW_q6ru7uyL5yX6DCgvmsut-U#Ng7IKQ!+Y}OGPksl2|lJ z#1Wgw%)}#zma`sR@aU-s;-377!NCxu=2O8{=n?6-tb6io)-x0HmnWcLb}>fgG!_ar z1Dc7^ZqiU-Q=gf5kgky}44CF@3-&2;h@ zFv**VW59%NVYpGW<8bx98UrBp0Ve!halneeOec>4O9nG>3|J6Y82TtShL&sIT?Z*F zk9vD@#341@GE@JEfvr5u#N>FNWJ?Y+aSZ*uHinzY#xU^M_$MKSMP)yKb_{G;YNnIN z(86cwatv7FSaG<`i9>(iA8diJ1WOQW zRWdPHk1SP~5{8NpH&+!n;{H-oN9Gj6rw+DgH50=ni72FnljFkm6mOPvTn}sOo@ze| z!po`P!3wdlaIsadnV4;ktHMGdg)4JzPEBd46$?|haj{D}GjUu#v&*LAdcytJw!uF` zN~HpyKYP5`+TTnzE_OAOM&r2HIz5e+iWgSvX|zT+S)!;Brn89tRC3*j1jH7%hd%8Q!^^$mAUS92Z8DEVvpUx-|mfmAD+q6)$JV zbZ|LCn2jrKx_59n)31Zei6O`Jyst8@7ky8Lf*9feLb+#mcm8R$|wcWY zkCdo`_8-@15M9W&c6cO0FVIdR05wwdfWvaO(W8jEAvl9R_#RqXz#Y9|rL z`vaqH+&>fsS5v`970#u9jAQ1Q^6%rAImVt`9G#dsam2Pnddw6Q(w4|Pwmgo`l9k0V za|?Fv${E!`{EP~|tkA`*J=Cd;;aHsMd9hmcMIeb3dK(sB;}x9+m02={ z=J{>c?|O|R-xq=;P-w0SVQ%q#SbPr_7djRfdE%{JeHtWKTmXx6d9Xf&#SdX|sbleD z_XzQxCqRP5k6>{Dx40ZC%Owh}ax8x08L_WM93)s=3HC?a;%Zo24U5|XHpcDV-$oao z015i6u~%}7JKYrePNC2bj>TQR-{;hcfdq>ISlk+5;rZD^p`SDg?Qtyb^)7q)@V6jI z6v~Ii0JnGm7WXR@!b;BE)eh+%^}^YofFx3=02cGP#UiK_$rK7Z79-w|_BAL42^LEX zDhvtCb*WB9OPoT6&PqpobOKux`baM;#gLgNi`oUox+Y((q%U*)s(2DhCxLwH4AfLnfzOihmKQ8ErSxvR4O?Ga#T_WM(PL@ zTq;_E!R2zxI;S3^l6qj(gVl4m;5kq^MXvTn@RdP9<%9q`&83yeqv_(gBpKxXG*F;3|=dd?Mp@ zQII`UyogJ7_fSbU&Ag{kA`1MTM&VI~Nn#5*768m;URHVplA|;#c~oVk$0Qt~5svWE zlX%BY4PaTRG2U26j?pk6aS!7(N{&GzlnabEB|zaXyriQKTDLXU`Wim=4T3pcq6l^@ zi+kGPEAFMdyXK0ssAPtW>?Zw7ucIY=*{}IJDw(BGxEm_B&tT>;VwTK>`L9$#^Tatu|vhxG5BuSqKvoGIV3ZEGDW*`fdn5aN`x8e%35t@+FzD%tL* zk}v$E7uyC4X3-DT`b?*ioe0}nm4cu8Ev?|^V(I9}lT`9U09hhEV%MwSd9QowOYNy- zj|cud6#T-lwbm*PU)a8%O7=@sf~M0C+pL0@h2;iUR&#H8r^Ua!W$wg zDF#BrhEdSX`)IQj+o+_}3zQ-SH>kGOw@Qr%k3UN#WiWa;KzhRVwf_SB)Iz#wtaUb({1%`RU7_H1$Jb7&UZVa!DmkGc zdrAM(W)+C)^$C3)g~>-H2XzVt+&02p+UenUzM}9c3Niv!&1Myh_b%Qts+_{LP%i@r zfAZN{`9g!4W4BZIbdkcf0~D<3_*x@;Iq`2dh24Ijlqk3-U~BEy?iF{`qwv`>vYUdZ z+rIXX@=fV6dNYO3C7>h<{^I!3g&BuBwWsj;2C_`SdN!+IVBnit?rIdi$V1^%bqWR@ zUm>|b>u@=Rn+O!XSf$|EHmjg-;OiZs%P8Dj0!pwi)!{LGDNfQ$;rU#Tg}2~N_3|YR zFTooZWeT6;r=Z|tf*vo0uYj}0Dl4@|ZUx0Ko=^Hir z^EY^IZI1y#4+U3u3Q)L@Lm4|IIpuGQ>4^cs*fV3sM^(b4KGEf_K46$;-0U(*Z<-(`aj57Dpa*Lo>P3Q&3} z*wtnQuXYCqZ0uw%Ad#9fcneDftTO^_b)9acy~415iPuYNWwc(ePxHeX&!;qf9RW{DJ@U|2B1 z&uO=In^;0&Sq4h@8sOCHHZA^J@n004gnG^QQusyNV0emBci8OJAi>vT@O8V-G8nwW z_tL=nsLv~?*Azd6Uv+%FCjWKp@~ccdPEuDrOoveenX(d>j4VC<@kDA zxntyzS3!a=*-gPmy|&g9-sH1i^`-DTsMlgQg=agy=E~I$?)VZ58~Az=zMdjmYYbI8 zvi}hZEATbLN8$Ga7Aw3!xo=}DXw8>^628W&7ArVj4ZdGLPT>zwuW6{)V#n7n-@2;I!97>C@&{w4v}afs&Z43yb{hv|Iu6m=X$)dRb`|POzj%!RKv@g+b*= zt%0{uc(q26ivs2wRl{Fs{Ee!`-F!@KE%KLmap}PTD}Al;x1R>5h!*0)oqeb*>FH_s9A$ut}U0|ghUJ7r=;Nf?(()S{TcLu0X_p{Ou0Wy9@ zw0`7Pev&EtlN&WK7%yLPHM#~T;7pJ0yx6n1O99Mb4hyw`$vJ5(x%qXGcPU)pro;*l zg@ZD)fmtoAD-={jRtiD2Sf@e^At?PSQ23Y%ZzxdsxS#fZ0LcYj$6H`*&E>Nx{D(yG z=ZT7D+C8giw){uG(SK0*Zy6bg%Qe`DoIh1g zw8l#H42qqB3LNpU(peg^7r1m*YT&0xH9rM023l){68A5I$Gl7tOclvF8U>H5Ot2C1 z`4BuNveE@;@C!sN&3Q>chf?6+PoHB%`aryD8%3HJ6uwZSLN@78B$(T=?ZC8xph8UdF;xqM{m# zC0JC;`@p#0Yf_|>g6yHaodXtYZ&zvcsPC_(2v(Jm%M~iBO%|)Dj@nF{c_u|fH$|>d zXz$gI)-`gd!?(*Q(o?2L*8mmOm29mu-7WNY2282vY-HdQi1K&}#usuckdnnRRqrG=~EY@C08|2wiMv(yk-`h_`=Li<7=zRCOiO)Vk zk%0kZiS`a~v~JPVm#;#T-2sFk7d3KxUFd65cjG+*g(*2t)^1Xj(?4($O9VfZ2^SMWQ$eQ!jqWNr7uNB zpC}HviZ;_7dqv8e%D=m>Ive=;F#$HxhY9Iq=ke8Or6j_dIm`mM^x6)0K6$%w! zp|a8^3Nq>*;ib=f6!{F)FSy#X#hmm)iKihM7zQoxNPg(ABYR{9aVT{;z??qj8V79?w{tklp;2OEIm)>-L1i4LA?(7`hW zR%#5X#`s@fVx^1RbnrsOd@}3c#VQ@VSOgt6Iv&9VcmPL#z6Z#t4kr>~je}4(07kTt zT-bV_qw*UtZ^^X#ZIAV|)~T0Y_n-O{{afZ|u_-w_b!#hAvSy3F&Kyh8BAt&CrX;#P zyV;Z}S>wVD{R=UadHE=0O1>j!k*vOC_u21asC4sD&Xk;)x>1yA^OXCx%zBabc1Ml! zAeXAq3@MAvYO!7Gj$^2YBt~gd@~v!=uAz^q12M6{dpFUNoJd)0Qn!(3|LyAYki_Sl zl+D8LG)uB@y=uj?UPOOz9EBGJ_$mpK)rbmr*pW|@tgG#tc|V2URrxB+l-#alv3bUm z2P3#Jm)*u*nBwF1g8@MY2}^<~|pNpM=fvqUHTt zF^lA>@=s4Ur|>A)d`hw;H+r&2)_iX4yE7n=bPbeR;Ogjl*z9B5T<^;wNmuv2T6FcPqw%X)xMwvglx@iXqP=^D8;p9d ztAAhO>a$to3w#hTG87g#HXX^jyBD4Mj;qf`onJthlD|keV#0mwO<*0q_?ZjT8Ur4 znUZ^jERv_~FWNWB)d$lgyFfH0_qel2)*8}#@Mo?*pXvMp)Re>oXSKT%rBiQSQn=aG z=Ti-rj+$ljXCsSb%|H5`yWG`hmBKHeO`AXIStM)JId?g5u8{c!x+#e(mCD|$Uq5bF z)YWIXz&8L)$z56&$y08d=DE(*=VRg<45s7{Y8J_Ae_s935m%ojI^V!BB?I0plCIlF zcYVp#=R=KekXVwQERuAnO=(Lq>iPI~j48R+hn~_0OAWRsjKuovT7l8Fi+sm7MZ?xE zqkRWC1sYrqySR+@Jy!gSB#U-Er~RPwEn8FWCO?z=iTH+WiiXWrM%yj$?ba0SfW&Eg zM840OqG1n}$?cQ)E~-W2atAcNeVU?S*ObW>=-81|amea{JyAvr65kayX)g9pkZY7h8D15&%MZ;bsqm^oWH!?-TP9vioMmv!YB<^=Sw|4}sTnk4j z+8Tk$83NxYOwq6h$Y?Q{?*f`~0|ShfQ1~97N$bmL$27i!XVUIencQzW->gf~3Xdsv ztH(uatf}5*nYNpbeuI@rFCPT?xRCT`kvzrFF0Rj?E@w9bP056uMY7t9OIM7cqdT#+ z)?T(G<3bimS9!!c5Up*PaKS#QMp>Q=)=da*fI_UUA5J_7T*}l{Xe^4P5IYv2i!d4kG9H+10Q` zE0I`q{|xZ!We}MzzqAhTgAYNpxKz(JuT@NEYiGQUR|Gj=x4+T(t9$mFn!hHf>;{VG_rVi`x#-ym1O zP;uR24-S&^A-B)4Xh+#mXr#jSSQw4j)BQMzJmBSfGAWw#8tm|986+;ZGk^o*4vp^s z;Sl)DPvuM?wqXPklQTcpv(k?nP!3jL708I~f3#rOoe zDGx|2DcYta^VvOzbjJ~JZ zy*k*eO86}~L&s61l}zmJDH6jH5^zv>FXT#f95I^n%LaBMK1EC2&o_o@{GL8&%tw@Q zkD{-!XAzK~ONGZZetK<5dseUTPwnDK*P@MR4Tg7}J*1%;} zK7}h2Lkd?W{#4*HgPFp0zdHlh86N8g54zi5F>q%F?tXjLB*?EL{fs~kfDf=5@Wd*g z0+<@(8IcD>!-3h`EE)&M3TEPRA_}329*f2USKt0chJKD-8Q{864=soMdeR%@YS|a; z8dnX#ml#Z*IKV~@z>9>+0DIS}RtDj(NN=1A;8er3m)78hApZ^NopJ&Eu!EqnYV=|%QQa#I$7$EFN>1M=UJ z-b=}GlB}-c2yT-y0QPkNdb0rhvDLF3A-{?AzPSMItl~cSgO~yERtMniSpYVyt=$9p z&7|L&3*gTl%V5z+9muJS6kiE z<+$GSRO(;)3}ZRfOF(QF>F)*pk8oY-&A|0Rmj3mTCar1m1H^tL9rMzEe{q;OhVpn> z&hVebHRrfma#d#kTINmNP&EjjY1vTw_UmBaCp<`ORD#1zvS*Ub#N6EKL!qR*C!Llj zFq+8z^2FrwxLj%zgb^9-CJhJdS!FZv=3A+y!FloCx2^*7XVS5>tr(pYoW~?f-GSru z2<}>dL{>OYis`y3IAb&6V8O>{9Rb@OzSQ)CF#SAs?jum$P5Nh^9Jm}i4zAQ*5%c-a zxTXXu#Ebq;MYq<;oo~j1dD#bLHT5bK{vvA=Kkd5nZYB2#~2k{Jb|EsAB2<0`P@RXEd$*WS;2l|!tM^uk>6%3Rg_`}1+TKLZzTc$nio?g-lP z>VN)YY+BUuI}2ZqcFbJW{CiwG?Qw_4Dn!2)KgyB}X6!t{(zc{i>!N z_joLO9M@sP#`Usbfos2H*{K>_LAPa(<1+jD zD7oSlvdmP`cwg$Z402ovJ6^a$Y{%=;#E1PM79u^FD_&)yWv6Q5#qVHolN{G^uN5!c zrM7YH9sBnv$duq0HU1p!m=LNK*Kc-z<+%P-EnK)$ZsWRs{S{}!Z5Y4VF>>HKp;aj^ z^X@#e$#Ah>{aE9~c&C58Flfln;6}jrX2->THe{wMX-D%30cMipvTi{MRItWr$90O{ z84?HayQ3WOVn0kWQ`O*d`z?DM*Xee=@YI6cj#t*-!`Anu_+?YBc%3aVB_lR;A%Saa3RDFQ?R}} z2d*Z9Wv6OzHJ2=V9M`!X8`qtx9j|F^?z$3UW%x0eF9)tmeU_b*$Cc%>k^RKXOdMBZ z)w*nCZ%Qjm&H}TXf{l$#IMy4&*l*Iz#NeFN6)XD%o0+(4!I$Rx#ov0cmHQD23Ke>e z<=T8=fLS%-_#3O1|I2$t(Koc$HmwaZjI|BQGcN#5cYnTsjFj1y^lZFHKgFiEIT&*>$g9fqF z&i9Mi1$&#Tnm{T_!OL@OB(PrxnyG4V^^q)l99LV(#?`?&ah_{+j4j||6l`0er`qxA zuUmGi2G=dJWsl?P=-}!s+3|X2_(vB(ERG*ADmmJ5pk~>r8eDfsmOYNEtJA-(cKX-6 z2X75REPKLdmPtIPXFrT^sgR&U5kBf`V~JU z&DFmi(=0nxgX<~Dvd3}tbNbiKPX9Vn7=0GRexqQ&T>Wc|V%e!0T;oN{9>+Dn>0h@w z{p-AKbN`0eaSGm&tAEL|Wv6OzO_D5o9M|nm|GL9x_xpkk-G@Q!cl_KoSO0oZvFub0 zu2)3M9>+CUu>1WZijC`~f{`(Zoxl%zr5yc!nrzvr8eDG(mOYN^QLl~b39pT7)~whz zi2Z?|4EuB7dP}kFR1L0oM9Ut>^%U8-%$LctegAu>HhB|bf8s~UVh&t$WXn#~;8Fz3 z9>+CSwQ-Gi#;+?!y?Zvq{=(0mjU2enx)HK``wmnG|ZSiL+^y^Q}FwBZ;nXp z@LKA2B-op~%=C|N%mY-6Z9c3K{kYEy7&AbST*dy@I&G1Rkr6UVT^iNh)<4*hyB zZU79Y<27SB;=o>%XQq?K;M}+17(Vsearj)c!wl2r8*@?q8Cl1q_ZNT!!<;F|6 za>Ze(@V{e7*t#6Ub|(%yCA%#~cK8n)qt3)Dy>i82m0g#UKQEm72OLAdZMVfP&Bkzd zm+%?Da28$==FQO-+obF(Y}tw-$MB022i=Lorj>Vp4GazNDzsd2a2~}y z>Hff8re-FNA?U;*B-?EfF z@gNy{N0OO1oFn#f59{#9hTruX;GBndCi${QhrLwEOb(o@)O1?z3#8-zbUD7hVy|T~ z6NeL%t>|EFGbpUB1f28nnkFq1j zeQB=!6Tf}}t}mb<&d-bos_a@j$WPvN6T^bdT-)!zG6-!wV^-UH;QB(4bG7v)w)#K1 zHh*)>Trs&+H*00dw+RI$XluKdg zq*yeLp|-ungqLvH7~*TTH-T{hFX_tF`rSm!&dFmCZTuWVU3=T6=uEebVbbmaNnp4b zul!PS#G$ABzhk(;Z|QOj7y-;@1n`0|8^ek=*WL&WO{fSvTiLrJt~tzf@^R?zv2;0x za|9d1`A!_>$G)5o3{CMmGARd!0g7ekIWABLo+IBoGT7@ z$d;Xx$1p^-bU6mWi9?fs-4>08{rDL$TtY=ct~lH)Sawbx!*H*q%P};Q?Y3y)u`wKc zKlmmvG^e6wT8_4OK(p+eJcd!3{h_6nHYyI=conOD3o5!aR}(y{{8z5Ct`BM%mM*V; zYuR#*33+Lo{MK;Yl8RbasI|3Ri98`&>a5nO^})qrIxXjfT#{ucioKf7OdO6UeYx^h zuNv%P;!-MVTVdg5!x>}O#({IC*E0E!4U=`c8t=ArIh+o9T6AU<%svb_t*GcqA+t}| zaIo)eCI`-iYC7%YqBBvpbU7T{rRT3%bDfw5XWM}q zKLXC>RCJx31I}BO;gq!1$xJ679c-7HiAU!~e`;V!dtpOSAFuM;rQ3n44HexOsDx{% z9|qWa>CMD23>8seZ>~?HNg4|56`g6c-lA1X{b#xoqp=sJnu+7;r&q>x3$>j-eHCzB zK}G#5$Ls$KF7^iebX>Ppj@SMnTB2$<0ZKxA1=B8xY|@{BLnp*wW68%QtXdCt(yT{?WyR&f7y=z zb-bLRh2!#7#)bZMOdQ_~xH{nD4tkDwEz-^Iz!%{NE6%+oQaUZwzm}qcsl@sck5^>5 zoKBNOl+u2y#c@4VxgCMay{MoVxUQt4r*pMqHe4(1zRNJAaDAerV@Top%$H8%xY92D zIj(U5>v#D@vbmXJzuBPZIc>=5Cm#mDj#M;W%Mm!-ZZs1EGgL&OLZ{*Y*@q9(|zdUhV3$;oet!RlbWYOoiXYcApMT?{yC&1RqxY*l> z&E(+H#B>_RRdFT4BqGj<&T%c3D&tZEU+(!^0j};;^szSwF6`%-%jJ-nEeuPT}wrqbM>z*16hT0FXuoO$+sZz$v_fOOsgly^dV2;J|tj63~)uaZvVxYBm7nOtg|I3Q%mi72JrQ{cFM5-Ydk9%;|m zKT#)FPb&H;M>|FewRGF5^D!i)(>N}Rfd4kG3N#+Cw26!3+GkY8^_({NsnUyK=sGIe z@6X=9BF=Rv^OwRkQMOz%S}IotWv663mwivAFC;L7YHDO{P` zv8jH4uaJ%*cRM=gH^Wt2z4EvUT;B@gW#H;f#np11kC{6WDO}yebPOq6ncMg&T$$&B z#Iz#L7{hU$?yJ;}#dXxVwVG}Qt~@F}jk3p!eXq<+tbI5x_BFCJ8pl;(k;-vdLio>g zQ@Ai*nu+78mt|aiPV3lhP!LLesJLE^aka{EIcr6ZtASEEUgv2|ho8FXmN%~I`{*=8wRnQfN4e>poF99PGz@#@=nNK4=vfbW^)idVLF%p7Y| zxH8)|g)4KcO~uQZTRE<7zDn&_Ec!b)U;HL;-9p9P-PzlbeRRuAtUssX75ApoI4+BT z|8~ExK;yX5p5^AadWzPCcX2NzwUKY{@BrWqH#P{u;;mHNGsi&2zP@E9=6I-x0{a@6 znHcS)0J6`Nnu!BMgim3(1i}|SD+3&+E!+cux8YNuIRK_Te&PV!kpcST0It?D0NyNR zYuVE}w)zpc22wE={@M3nld5IM#+CNu&dCREzHN^O?)J(vdC?x}X8Mp_>E2GogQV=Z z*w;kOrJ!{uB-a9ocDD&Z=+pGvn)9}HY~Qt@NH9Jsay zn17a>czx$hQBpLH>%ZO-o^7e}ox0+u^-8#k$N44?I-@O&52oVLIpS4eM~TPlzuifx zaLz~~AhGXVnTf}1Lgjv6{JiI5VfzQbbr%&+$kp#N`*;dh<~hpamFADdmG}F!cepWH z3YW7Z$#G5260etxjb(HH16)I>_ysw8J63psJja#wo$cKvD-Jd;^Me(P?%;Cz0LL{| zsnm|R68Uu7ZwsJwHx<7sX2(^b%{Z>K?RoA`gX6R-3>#O4-401)a!<(k%M-`-W|kGk zNa6HmUB>{IM8$8aIdElb$JUBzIu!>ErT-e&TlP7_alKP{g|UC6&^|WkTHv~eif6fV z;L0|xo}>QnxF#r;F30t5<#7V-_>S`MdBAlq6)QQ$i3+>SsdoG~@p7IM;kXugD)ldX zOu_Y&ei*oh;?wRq#)%4d5K_3Z+&Zez4^t~eXP2DyIY~sM(%#X?ajkMw4fBbL`6>>s z@zMT;go9?#=*|wh<0G%B1CfeX854gWJ{^#UQSry#%qFfwySr*|5z6!7FHg*ER{@6= zXkFyWw9aB>T32SN!cf^Iz~nM-z;y92+7({><%#*lqbrsCcGf{-pWHJOlk4n9fqfv* zObE+vep$*s6PQk8*4f7b9U2vH&?|+n_!})}_%^y<*f7!j@AtI(4FI(!vA zsgVlb!)~h@ECf7!70!U1;Y$mZ9X|HeL?MY^g z=}wcQ(3#>%hR>MPqanigAcaoXa)dAQ_Qt;t-}!bms;2ijp>o1^o|G0AGkiaLGH70u zLI*O#C!AF8!0 zYhS3}0qPG^=yD;uIxJWT>aM)geRMh&Nt3;Fu$4P?pg(FJ6gOh)P_Tl3`ptu1*g6yx z{R1g=P;{dy9PGlT!&G5zL7T)BbUvGxHQFO4i=IZ?p;^109N2dwE za`aUh*-fRAH&qjXN{3RNJ@hOco#COQZ);R~r}^+RSVNR{+r;g3bb*R2Qt1GPb(=D1 z{f?1zRF&xHa)XY36YhEXX)dCofYm)~xy1B{ds?Z_yNdCmQK!>gfOz1XwJ{i}*#x*`V)K<1x9ej;1+&P(y z>jg5dQ|Z8Dg_-XyBg4+E5-Z&X-mNMbw{zYgFOGEUD}#4>@Wn%k49SkUagYC(_wQN& zlFyabL&awwb!KFkhfd_d&;yR(gHqAwyZ!*lhYXR2{T3`^lz8j0qi=vDP~vWbj7PmT z>oM`iu`hm2hEGR^=94~~^_1Wl+`0=$ctP4wjf^oKn>9wiwRJ%cGDd?u8Z{X2wprt~ z7k0W3f^iBZCaYw~0h{%lT(8Lu$3T+Em;e~h`&m^ci8u<7F~u=IMZa?1j&@|c2=gz( z{L2Otd__U_knt*SvuQFJ)7)gd>0`X<0*+KNX2`5GQ^Zk#jM-do4(M}0dC$Xm@9D@s zG8QVVw8)Pvkg>#Pqx?vi^}@B|K>~X**dKGXkD>N4)K<8e+6oOBm#w;=l|DuO*+*rD z%u1gLWUN*x^<73|jp#b1KAr)8om=@?cb(TlrPAJBCb>sL_L8yB$4dL5w@)RbK((P1 zde#+8kU$d2_$7e1C2+wa5B)MOKt_aY!H7{~?c?u|5%wcvn7Q3+u}bd{*S?@XN5&xo zStcXq)HG(Cx2Nkykcf;ZG>=J^mT^oU-t5X>$w)#g39a94gU0WH+Bf#xOvZ5?*+<4- zqOJ8ey*aDA8X12EkR^&%^H{8CHND}6gRfK6r6FSkzEnY-qcs$YJ|$UGI&&{YuW(bejY83OnyuB|_v@&aCV}LoXj`31UvYd*bKekfeMZra zFnFa#(Jq3m)g|y<@BI%^w6ltgdc9^FEPcawWKF0pMZ5cvF##V%3sF=I(B2Oe+SAoz z*(e+*eDlZleiZEq&o|0+g08ZZuqtu92y9hQ>;LVY074(f+IhN4@L_ zXTfZlm2N>E;HP3iW2M{tRIpK?(hpFe=pccjw?lHi$VztvkR{TKysRYoUA-r|sq6>0 z1+45Rb!VRr{V6&W?0fyBU#~O4;UYz!fyrfxC0M$`zefyhr07Gi_>e@=M`Vi?^?82V z`OT9cxhXnI!P_7_%*JB|#aaurccftn?tNI9SbP0X6df&6thRvHXK=x1{B+`ds6J=6 zVRWLfZrlAgfP_bPP8F$ao6Rcw&bMatq+2OENk{fk>6fZyzI44Bsr~CLicW#|7yT5) zSZ4L;IZCZH;mbjiUA-UHsqAIlVwFu3yNt%CL8I@YQu7Qd-RLy$X7#;2ccCl2uOWLW zy2yqR{XjTt`a3v97Qxp-KkdCbU>V$djT{+#$9)uCtW%_GfTBz7AV!yYX8rZahZOzT z8DHSa%#qF=fxx<;YsdPnOkZ=1%KBckh}^^~8=-mtaG-ty;dX%t2tdITEfSWi{cEY_hK zzI&Su3sE!*yiwFNX~T#f6ZRbXtTjaw8nT!6Ei){wean^Y2T!equR)_1^@P?5#bQPO z^n@NeN2TZ=0FF(Hy)A7Rds`ZpCw{(yqJJBxu9srfR7)#XT`ZY+_NNp(1t(uMj8IK< zi?z3@^!)1c=TPiaqP;ErI5){+#ZLFHI1MvA!=WKZfl-T3A;(8qK-)YwLU=puitWoI|V8cGyvfJj{|TFwqNH7-1>%{Yo( z6hM~f&?Pc+*1|)v<{CyxHipGo`|%}lk#^4uu+kL)eDM~>+DVp?SbOg;o%(gASX%>G zro(^Q_RB~dKkWR)6zd3$D;55YW^;fjYUI9eee)F*>nu~On+RtLGv7_6SOBH6fMq`T zix6+vwhUjChWYD7iuE9i73*Qt*m+wwie2kR#_+b@!)#oS73f7?ieUj`I*aAe+kt{F zD0Y*M?4wvekIm|**BNo|=M?L!Awz3`+hz^WN;G3X#co!RJrujmuvr83u_Ml24U&wE zQ{pD>`9Bc+4+QVzr8{*T!PyWuLp4N0hJDFkrF#@)4;}v4HhFl3u&MUlhbcBpMt0NT zPwjdh{@l~z!JD8z0-E>x>5#)Z^tm$jh5dI@>>-h24+iLPGrMMoTSzouMK6ktg27QR zc$s6cjnv}8*fSJ+6hq_a+!0X#m>`C}~!cT`cd96wx-YN^nHv1_y8V1n^ z4u2=vtU%z+2j>CCGcfoJ44xXWS*KG`r{kAW44palEDWM6o6U0gEc&+2&xpxHkz&sU zC^jj;Vl!DrhWVdVTQFb0to}B%#1tLbM~55eHtRfhtEpd?Q0x^08NIKu14{5Np58G* zvDZ8lo2F6hb&Z*S!-q_CsMt1tD6BS{_u4>;%>-*E-Zk%6Z1dB-Eq1Kif;O zcYPKsrU>)vl}|l0L7O3SV;waxk`tzF0!K7&DU!Ejwr=efxQwq z{x)pZDN3v14H{BxHEete8(-M0*c$z;CV6**BvX7Z*lT(0*U1!H=cd?KDs!euI5Oz) zA}1b8+y@pde3fF~K=2y~ZYIlFY_nRrX1z|aO@7zqEk%lLRhW%!UL1kCLt~}ye8~8g zL$0L5!- z7At>CyiB2AhpCK_Db=^2p=dJnc^ahUErm7PhQRIWQt$srg$%2%^MVo-vEbq zinhf&jbk;h{uEWzC^;M!@6s9IA%0|m4i~#E!NXzklD`hGruaQ7vPkj!9M{8zqt*7j zOz~kF#ZS{I{(!@JKtHX+)q^NLLUXlj3ie3DG8lhYY15?st02h~ZxEpPBYx)DC*laD z$9U;+2}cH%^;0divRl-t^ZK=?_-H^JEl_-%6a4XX`?I$qrsLcc-{PbAvl_EF0Y?+? z`LRYk1kd{@@sUCCNjl?A_9MdxMr)K_Lg^)e$_{3+kIE*w?fSeZ)~~;7FvZ`~kiB$d zgB_tGn}l8m7L`(bK>%5z_=iqa7yF)8`oYPEZnU_U;!7RY$DTZW1Ul|gHzlfz6jznze=(}@&tA>_^)<h&v2Z!~8R%hB} zH&Z;IQ1Urw{;0Ax`bol(LGgWp4Qiiq)2Lx}F~g{oTqsif7u9AJNE4RbH5nw3mqRn? zvspz_#|JmP0usjCKYSD~aaduY`lw}fKq5+h2CY($tyQYm|J{oL^`M562C*qKY*x9_ zaKH_VK$0oZRH3+`+N`J)UO5QEjv-Q_4pBVe_)1C_JUF~RNJNQxF#0R+d%r>GS3kvn z=cN+@j#Nsx{FcQ;HFvZ1t;T{RP;wMhYuMpP)CkNp;ux!|V-ooSJ*k#%Yn>Lj++X_! zkbIPUQUex;b%sAu(D*Kpz`EB@3AbWvo#}m0`Q$iA9*T#+I$K0TB+fRFWlA)ZS?L@P zjZUCaE)YN?f3@(uH0ep(MzxbTK3ajS|gd+rcHC`a@2;1td4cbw4FqI;=}c z?I0Wh39M%nO0@RcT9>;!EI7W95|`-|k3;JUSjS%jS*Ao=gO%Drr5zl02(Z$XpkL{x zL}#~cq_cn0AD`TciA|w+8whumnP4}lbk!&!k}W98x3~Io1m+<>#pd}b(Zk0CdkQ#G zsr&~UR{3u4SpCkKl(;ECiC!KmujjFBl-Kt^@WgBTDbZJ?L?5vGtIUR1Ms`zT059Ew z(k&?6rZC<>A2R;;H!LHGLB5Z|6E;!eKN>Q&UJXAJyi20c5&V||w%|SfeEE%cK$5U& z4%RTIMepxIjtubrcJR+}lz0d@9)ifjIujfP zl~E!kd@?IN>cNpliN_UIdcun%of1!R-qYYc1>P8m@y5Dwq)=j<$V%f3WSJ5Z1Xh|5 zK$a*mQDUX%p*K;Z#0!24O5#QLMZ;g;1Cl_-6Mj09=V5{`>Bv4h(w(be;7?2y@zEE_ zQVVuajU9b{rNnCzB`2%+o`TP2-Qk;a;hv?GctfP*6hA(F;05))dk~MQDO!>FUD%|CdXGF$z8wuK1$5OK!n*Qi29g;3{I5hy?@;ol$fhg za)yl0_&B~Ub6@pr(|0MM=vW4V)z4ww>^@#++G0w~2b5W;S4YQSXQ{B}a z?=c9ruSb+aJ#Ksk=wwQ~jz_awYAjMqB}yz)@m_8ox8(vQR(SATPcP%GP$<$wro<|P zl|E6Baj_relApLc7ja3t0!)UhEhYYP=K8uxqlds$K{_j&_M}QIr5Wemhe9L!#Y~dkF ze6LdCcQ=*a=pgLlA9!yPr|vETS*HAvj=@KKP3H`MiW0lsl!ycT=N{YFm!9^IZMcRK zd!Y4)PUZa^gtw?4wRtc`i32`lAk1^B+)pYgSkRpkg?^xvDF0E1^@MPI*2WS_6nQA| zvqI&AZC~Yg`_65JM~xDgnGzU^^Jh3(vxGCGMsZ3U6j3*k^4ID%!msqPHGiH@iNju? zl&O5EWUe;m)$-=I>kOpC zpXdN}5kpK)=9yDIMtJ9q3yxEgfbef1?C!Q$`J&r(WE~)!BB3vODF5Do%^L2WjH0?aEH2&|_)kf9Tn? z^zJiomiQ@oi$dkIY#8P9q?w8F(C8phvb{n1Ubn4vi#xws_d%4rN=IK5DF4ZT%^Dqe zbi}-ODA~oJL=aV8=(kwqi}jn9)jmPVs}cR~8s*P+wB`lYzL7VFlGh?o5rF^N*2>=) z*wX6SYLvVVjdDn*@}*=OTtV_5H_WEw4JtC~b*l|N|8~vy{>A+fqySL*D1WSMY2}aC zmL9zBSwsR_U1Tc%+-tGQ*Xmz(%Wq6cuYoL6zT#-jmpzj@*P`UDZc2(egB!`SuhBtD-r++gN)FaBRwnO4=`Q>q>azrsLyfCG-~J>>Si^k{ z_Wh#G8bR-Be+|dwT7cr~bV@#C<4rykXf?6ZYFsvAHM0ZWN9nfKBmQyCU6VlaVKEHW zV~Wjs-1}7B^4CBDd8bUtrySPPg3|b_wIF#Yejq@_XR8eFSbUv$3-&(4C{S{oo%>Hx9+W!e2FlRc`0~}!|I`Zyx`L=l$;8!=?cD)Lzb`L7#gr^M39oN zL2CvKUT4QQc!N51;PdFQZvo*eD!yQ&+FIk)_YTfR%g!(;In9r^0L!eFvjUWyqfzjA zgO%pu-or%!teZqude=)yMaPFSJgkJPxKJA%GoQ>#^Zj_}1OFEZmV@LX{mVVOHsUfv zr39&zT;j1=OVrgy+)v5H8rIkNQsEbNJ&&x{=5-pll9C@A$TB5YD7My0A$n}fmmpy! zHqJvwwmMqh39pS9bpa(mfzeL{N`5ZdT3>j!U0vg8T$}_bxk;fTyLF3oWWRpr(gz1q za;*;;;5ETwB{k*ScDG)I4H%W;t#nF$BipQvp3SubQ$cc5avL-^JFG2K|H!a)Ac3_( zq2zX_&+c#!)-SjQ8oKL}5{Z)EOSZx9^~c?{C!=KI8{V?)D=KlvEX)wO75VTLX*&`vS=DRiN9fLgo0L8udZ)ArmEw44YN# zJ9w756(kwiP03#zt&sP(1uyiWWEcj+Ft}c_wGPUsjy;6I=nxDZ!U=;@1ZFWkCd)+} z;m01IkzU~CKR|d(rsB049_yOn2B%*Cns0|(dGie354b4lKWCGyJLvQCdb#rE1o#C^ zN;2q6{n#SY<{5MD_+*$XZx*^-EguBgZ%vAy)v|c6^ZfhwOmpSU^z+L})8=e7i{$CG z=Ja0X%6r?x#|KmLm2BQ?w>$rWmtA?&-TeA5C0Vpk&SLYlI`Ig4?D7BvS=~dIW~+P6gHoL^mH&u_!dYS3 zJRoJUSz~1VwsR@c(#^YIO0wtye-_E=O*-CJPT}JM@BOAtS2modbX05KM0+DTABs)M zrD_(N)f%q8;x;<42$9FhmXZwO++we}$ZU6)|LqIgQNdA2;w&;H)j$?WI?pJaOQGQk zI~7gIgCBb>$%7*iwp9PT;?stYedy{_TfwDgrPqZM52o=fRIW zStKuSQD@u>u0Ay}h$E&coClW(StMJpqAM1=`c%inh)ohxa<^8D;+lVr~FVwS0wtT1Y8E?Dt zPKbPW$dp_V$RgR|E%CW$U3ussY}3w^oUdh(Y<~VY*R8I+U)gSxZb`ncW|6#P)%o34 zx$+V^-@-9%zNci7Y<7Q-(jBh6n8tUOOi9I`MY3tHKgJ(-$~zIIFB&Jnv%1{ERq+#zjDWBS6+$CHzQ5SS)MGC7qp&r8{%0k@!c|0@*N?I zWaB@4@$X%EL6P4(FePWYvq(1Tvh$|-uDn8lugXlx8I(ox{0B!Z!;J6?@r`;@@+~8a zNH#co$@fpW@^%UQK8I=3uVj&|f3b1L2d=#DHDddv zrsQk>ERtvU9n}?m={tolfKAD1au&(6+I1?O?aJHYzsSfId|^f>to;c_dAcr?9M%N&g(qS>-9S4o_p@O=bEIf^+uEQ*KCQq zoH(HNVM6MkQ)H8r_O>UkKW$6gX$NwXOH28g%OvG>bH|?a+7f@o^U-&9mhw}{B;~ci zWxMLx5`Xd1xkXLpCr*=;*WBOfbTC^62J8W z-lt?T-}jiLOgIqsKV(b9Yh}E1#!?P3(~_<23)K(U62HN^@8bF#Vpez9S?{l7w#2XP znc!xex|CJt=GFfiV)Jowc&txLdFF4f zPhH9?;}7>ph8T`2$=oXjG6t&$CNe93yyiPE#Bdlx)=H}>&)`LfHn!2ptTejilI0Ma zj=nUIah^HjG)bA@%kb5L*t8&ohdDHvDTBi%DQzFz1h+$MN|;W0Yo*usnxus8(Uucz zi8y&5mff1n(+9ZbPjB-xeO~wbjQg&I)MWuWbF0ccy`O7Im-5=Y8U5dd)J1-J^{J)Y z=VPVoQeN|rz1CBZIwwG{ZnczqIj1gV%?=0OYXYg*3+K-cVnU+%(|bH7GOr#W*|$OJ zr^vb7!&2^co20DK{DlQyLF$L7rRfq&`MYeA@~Zlu=DY)`W0CU{l8~0Z%VmD}`{3UFX zGGXL|oi<2KM48iMCUcwDB&F@8?{$h!*{WT1uQw(fTD_ z={LHY)a(GqCgC`wC-aaa8=NL7>%MX5;9xlRp@YtJYBJY5Oj6$PgQvw%I5y5h=jOGP z>+B{e>n!=_JjxvHpm!&z9wvvvi63-p}BDEb(zj; zYbjR;O;Xl6b!>SS92=m}yEj@&alNV2lKuK4hhKwZF94l~)>8hcn8>_tTIIP@Ael@n zJ?Y1UlyjBeB;~c0s(v&Cl7B`UwGFeBKln^iUNgYHayJ}%3iX-dWGPpAO;Xl8Rr}#y zaI6c~=!l)A{N7`d^6K$vfAxlA5~j@OGFP}wQr2kMr1Sf5tS#2PDo?pwHc5HaL$w_z z;Mn~Vy|1O!{X3UQ%Ib?cFK+|K?w07?04?RWl1a*HEgD{1502gLq0`}7%4JTIlvPLh zjxB=Z$pJdGucch-FiBbE;fW32g=DXj-i*>xF0q@WtbDNQ?h$Yd&;8`anwIh#FiBad z_2G})klYX3Cf+{MQhpsaNtv*&X5H0r>>7pM#?eyxye27a_q?$C3>>S5rEl^vnLf8k zO31uv+W<)Y0e$HPSxXtL%59Xg$-&O=)dsk;9k%YTNn|ZFNtpl;j0TuYUCOE*_wO8U z>;9^PEEH!cH}leUDXYv&yY;lK`zrvr+FVQdZ(FB{%*q#!H2BljeSnXw;AbhD2Tf8| zDrnWB#MWK*06rnWN*`xddP34uExX#f_m|1@2wKXN31)S-J#{2uhOPSx5_y1vrIh_9 zrNdFrdj*jEmKX5Z4K3x71w7@ESx&A``^u*skbBWXmz`r$)aQ};J|Jbhkb`mFqA-{W)f30Hg!^&+eL=>o1LUCK(ECb#SaIi~~k zc~}&Rnm?WIF_D=N8@;JN~ZHaaARzY4>d?fY{UU~}Gr>=B3*Jo9d zEinm850MJd(sModCFeqr-bqp8wEHaIIOx3=jI$vny%Upq4EwZ2tQ75D%|gukl@pVZ zbn4xl)b%cUM@P$>N`5?dqnF&vB44rA+MTZV!t`#J8mF#h$UPB&{0$y0owoL2gPpE_ zz(`WY0#aITksI=VbdYoyr>>t!J?W!sDAYK0)lE1_p(}5+xU&j=i7gG&^-pS?x^(fs z>KzlMfj8->ZK5?1P$4eYoQEAWVBt0Hf5rF8q>rk4zuIz^_=} z)7hBUmN*8>y*`LhR0oZ`BZ?{I>_llVWO|`t(`e zL)b0`Nh~hKh2L`jR>L7A6^Y=dDVwjb0xew z-YjWx>O-#QUULC?&=mvGeK?3;&fSGqyaSyqF2#%A!fU+{?#JS^=d$}M^x16unfTSs zxf zLfRlNc`~2mBf$pjg+b)ud@W$X>Moz;seE0Wtl$B89A6jLO(JoAS&Jgj>h1;PX?!hE z!1X>3xS4D%uv@oZH}0SBFDx2`IJkwt2FU_&hnoo$fNGyZ;%UJOej!ioYk|b6fNGCJ zq6J`7){?0j+zK2}AzL080M|766^Ajlw;*k+&jL{Gepw+&5`NP z$~A|7x(~UAL)tzou6Q@2iX*!rXn|Tx!2_}lf-a77k^K*Jak7L5WETW2P_926TycLJ z6_<9z-QwBCV-Rozq#d%GD~@cWpalY>0yb0haa3_+Qw3c*6|iMMAEyGMLbN~uPuR;U z?xfV)cl0IXdI!>ygBDy51eqMpr40f|JXf4)lZZF6%GE|;@+eo(&J}k?GI(6tSx@M0 z`*tj4B%}rX7J%*SOdtm&dqHS{1CmW3ba7O1ECT=2bP|3c8$xJ-0-h_YINagSzxx9m z4cSIP+Bpj@vLA>RIF~_5tYDH(ZK`ILRZlY{Ed|UEa?2KZjDW?;@V!qwhb6xYX$2m0Ky6l(2o!*Z zURJ>$wR0XzXKmTnxJ4*n)Xf1e2Fe09xx2-)Sn?Q1i&?eVVGdF#2^9nA4pL$GhXAHm z;(+N@O#nyDyLBm+{2ruNwgOb=zlqR_0UWImStL-v8UpYd6Tnpec0ZOp7SgY_0vzk3 z((q5k0D5F5j{?>bfOU+3>2>XOo;`RKmi#`X*R}$jC^3N?(9n~pjU>LctBu6qt3(pn zLq!V|u%53>x14^9x+l<{Z zZU|esP2(B}I1bWpwNRX4T&DuYkN;G_%N*;4r+VT5ZhXdYg?EX-LXI8z_ z7XimZ`aO>Sp8&rO#sNMM;DBw6fawn@ztqj0fPfPq{XxYXknGl@1)>F2gW~MTHE7m9 zhvSD8vV?-j7C%~`8f+&TuVgp$&*_i3noSA4hJYVJ`Xd%T82%y11ad&ru}l2fjGCBb z#Q(G+5`H233~7M^b`F$jytq03_0e%HFw;ax@8mOAoY|Szpd{*gtT6mf7_7O}3qg{c za&^ePr214jTC2E_6YPE+aySTx@^O=#yRd_BFm2>hWQld*|2Ig2c-A% zscw~go&ST-K8Exj&UiId)-+GHebU0E8PrR9l9a1N*V#~p=Ukkjuf!R)Hp$z7 znI_|gQCna?LWWNu{RJyMQzr8!>725pOqd8cwNeG3vnq(83y{fEnby5LqdMlO~~*WZipAQutjl@ zDWcpAx=TvR@S2@73{g14o~buJfece{zdn}*LoD#WGl)xF$}r5$8Qek-hqt#Sa}uB9 zreJ<^hFqI+Sq!;VeEM{>t03EoX@N4lE%Y!#=%M@cf1=1R6}K3(&;!|nObeH^g(*XA zJIhNMMhZQ=>)^I{Vda=!$S@5zEVI(X4PGXv{0#NoEH7mk6X3S+_&LM0K2kqq@Iv}~ zb_-iH@H08(XK-+S$}mCZ3?FHyU+gDyRz3A5GJJuXsTt00te+&it!bhB3{ArmDph9oj9@7ro)T=wvK<4x}%#Dq4`2zvy1^wf;uZ)wKFb@+%xiJ6fPcuk!ImzuL>I-~8-PZO-V?nCUA>|H;bwZ`v8erS+iRpNnT0EwQ|mVS~`aW*29e(rjQC zWLOC48?5vo>T+oY^}b;|!+3_EwmV!9M3!mo#*2n+e$J40@a7MZVG*Qnkt}R6F~|g6 zn!(H#*toSo^`OX{VTYYFELoiLJ~H?qUGZ5k2sxK#Fw+C}b$W)K3TOCT=;5`zBbdzg zHKhLr77UAICg;)&W_nnxu)I_cdxReL3q6!T+p4nAt*INkcd&!yyN^#o+*F_-5z;a!Y3kZuu!& z*uo!Xb-6TynJs?ec_{LD2I)9=5O=SVpO=5OGdbmF*cQ)l+Q}JGgdRHQpDIFzZ*e14D?Mz#Oa?Ps z2!5)EGeQrkUT%xqI`^564BtWeSt~vK=Ds{Vm@(}3v%HicP2zgU2y=$@-`%ka8J6ST ztsV!EngVXLxC1WgI8j zRzdm&pM@=iUzMLB!>4B;TlQ-GByQQu8FE6En;K$!(3x<4!$+S__onR*5s* z7Um2+@-ME$OzUy)XpcFA?iTJP^Kg62ItdPW4U%@A#MdBkv+S-NdIq_+LPmQ}JQo|wlYP-I zi{bII47%H~l%Y+KG2jcFIKzk;8!jTlHpu88Sui{i{NEY=aIw6U;r=jZ(79)LbiWbE z@C#(%Y^Lco4cXgW3zv3JGd%<)mX|P)cU)<_u)5}Y=;dK@%Fl2y&K4QZNNk}*Mo&rA17G)?(aW>F z32yBJw(XGdj3*w3uX`2(2~3iNXnD{3^nkL0N8}w}S|I7VN$g1dPvT?}r*n3qq>MgJ z4%p9Y1biX9X7SCF5O4=33|IgPt#d%b;t2|v*Dr|W(ZB)8ZvR>kfTD5~@Fj%)z#&yj zQ!w`~$QWn1Gspqo@UU`7AxRP*k#{0!ffh`T$jcD4K;kTYki5T13l#7pzE~$?vJo)j zQ~Pq~j;9gucgUDz1vuKn1PZ|S6n&iPgX35f)fNTJn;@}BS`l)jyA4SJrwAYPI*dN} zslE0CU2a0a-H`FQg%6UKHE2NqP7dnhRKU-|`ZyJEno}PqOL#=yFQo+v_@w}xt(|tb z4`$5qO+L7l%;W5Vj2Tvd)7?y<0GtySrvlDb^yyT`q$D&R5}r8DtziA9pUss`~aqy-AN7}yCbW2vUW zjHSWV9bQ47w(WzA#Re`MJhTa;fV>|hCWpv=h#Zl(PiTR}y-LW9%eN(r({+iI;aky@ ztT3_Sa|_<>g)sXe<6ED(l5`6lg_3^KP%ta0yg~|IFR@4xr&@87M;|AX6y%j@TA+Zd zfLn335%5e+|1-PxJF%1lkg>`F&@co{B88at#algExx$Jp0{_#BNcbgB44Ww6Isv%R zXLPeo!Fzjlz+AS2_-YsnK=LjREs#PepuzQ24es#h)2SNV<<-Yg4eI)A3b@6~HTX-| z2>7eB>5`5QAmAU6vDImAV#5luR;<>OL3=5(J@*)x~kn)DfctBoNq6HGy)`JJS0W*nH8AKbR z4742-pwm&{Xm_H$7kCl=_tX%3UNfIeojFM@=3W*DnUuYn4s*+B6v?!gM zz3gLg6fof6fPcA+Ry^##VQkd_2zUrG0!qBL*csKJ1xrBks#7fpz@SebCrfygcgDfu zDBw{K2Rv3rgC|^>HO{|>fPX>8KaMK{%u8kEiiWCN!$~zQVG{C&87)x2(+=LSm(yBu;g+pv1}(45Cw^3}^gYNvVFL71QjuyHEUv zFn{AKkPLl4vm$v>juuEENfI8AH{@u67OdcbZsj70Q{5~t9G6bQqdalKrGObh4w!8> z0&WU^*yNxO0gpgNrlC=Cz{0o&PXP_*2(>pW4(MT2z-Uk(CrfxlUc#dV3YaT2SYQI^ zt247N0v?5oJeRqfov-X*0*Pi+zy)#86!3gCNuQ1aY8#!Ac#@X_k~c|dfdUo@z^Kcp z!I<}k&CSkW?tdVo*b4AkI}^wO$=iXnKnqszfV>t+3nY#LvIzVy0GIJt3W(Fol?WHU z?xvFQgq2wZp3To5h`Ey?6Ez=qvC2(sGA<%-HqwFs#1X14PSxNoiat)&pconuK=Ot% z26(mBQ2EF*Wv1KyROL1ZcnmVDyUhW~%Z{`_V3H&}Ag?^q0tXC#9n{CEfK3&BoC+w$ z@DvbdmKk7eBOq$9$3L%TAmDMxyiPI)G@Ra5Kr;sqv8@&+d@5N%OF-4i1uPHiNuoceSsARUm>XqryKujCzYTA+Xp zWUfJnv5}w#AGm2%3Id*l%v&rP$z^Ns5mv4^S&&yoX+Z!^;}xNRO`IICscdM)=!55i z{eD5fQ}|ZDfVqj!ck<{9p&C5j#ix&>8Z`C6Zc1D_36IFT0<}N^n*#@IX#~vJ6wIqz z@E8J~#&-+)ECBm^nLwfi3TSHLm;L&5s)+|G`Z!s_Bi+?G1;k+lYa|aE0nx;Y`|-aK zFoiUt8F)|+1%a2d-=Zy9Dj73P4?I?8IWb)D>F1Uw6wPkYOu!O;pUMF2Jp z>*K67nCxNcs0MqBM)JI~Ea1G&n;$~J{~)usMI#|^d(#4eNs{m&?2)x7)pQCTk(YUE z;nEruH?Aq*i^2zG$q4weZ|mr`2N5t8GGDav!4JyY-f*4C*cu! zX`L1*;6OJw@vA{2;A`^J)Bhq@x91@96}!2K4F#(jyn~e?G)Uf$rv zXvO0hO`gU~nUMK`fs0#F$RU+ittcu_;#4cf-*cf#BCjyk0%iD6w8cp-gR2L>SAMQ- zuYxck$o$Y{Va51DsFKdlXJrUi=P{~6ER{rFzpMoc_(_;s@pB^}P6_|zTznoSW;!q8SzNYegTYy4jL|K8^xvTT7F8l2_GWPLQRefLODEwGc^|VDDBusGk*szY08xWA_wSp6fO(L)%EAXPTZ8+3tYD$R zKwO-v!GRu@jsmU&ZsLv3vVb>q?f5SOp2ydqTZ~Bz4T74Oyn$2;1V%M+vR@ykn)sxo zk5d5$vnX{`x5dr@x4VsiGyR(f`mr9ie0e%?(rA_tH6buMx92$0(^se*c{Nz#HLpkX$qqu&?;caqmNTHC~j6#zymS| z3Sc@QiBr^XecYS7HYVM!080_L+Q(an&z(`$hO9`S`i7qoEHOp3V6oO0aGQT2CKmK3A0c`wqktc zwM8SjY`~gs0z!fSv^&)pJ)oFJpnw?;4w&UK0%m&~H(dAz<}QKE48>f7&G@&Py+AjLe&(5o1qnZY^&D?0WUzPiq{;_(C;QmC{=rD5-FU( zl*@|bUGrL?fL8^Xn}x15akI{E^goWJT!c_f3k{kAHjCGc!irS?a}DaEX+l#gr8hq#3uG4WL1FHIZpM?hFPYkLCn+I9h<kAFuVgyVpR@S$i z&=xfVzvNfI8MH#y}SE;6Z-$eYZy zAOOW>69v3q;()D!WdS=67?g;BRUmY~6<|B3zL4?(KI+xyl_fkn|CDac#ewLr9`YVb)(AEyFV<8c(Q z10R!w9yhjwP-oBDgCtc#H3)UE7?Y5%z&Zzv(BLXgLJn9()tPUYmfa$H#{2m@A@X~H9I&6u2>62k z2BjzFN~i&$K87xZjR*2yl$k)T!CbNBjR2J6(y4&`ocg@7gh#pJDM@s=3~SQA38S~L>V zZL9q^P7Q5^6v7CP!B@^Sp@#)8q8fJvuFx9 z-N^xGdX0@_nx}5b`Iiu|HiV`J%uSsCmXir2g;)cgl=SJW0k_*(Itn;f0Im#_1$13I zeFOqt523kMfFoQ?;H3f2#HF(a{LRheQ9!?&YjCZ-EZ~MQv+$NsLLCULwp(a$l#>a( zB%tnDSCY;eFk5EwDBuP^2mILxnDQzVZQIik0dIiNMwbPk*#yQ-0agfsp^d~ag0}?B zA7f{EDd08%xV;SEKXTKwA3jIGx)A!s0?=?Bo+P38E1JL<8;%3~&Cf48b}OohaROss zhh0}A*NqUudDOU37QgHea)^@D%MMX_5{F}9WDT+6L_<}C-4_ncCqurQAr!PYSs1SSDWL8F zU0Se$rG+O-_?HF_$RhAR2h=?lP65+BYCF)q|09$Ue9U!{3nK@FPaG6H29!v9=xx+w(;SSkQ78a*y_(%yLN zn;#=!BM3z;JkBt0VQJa?X)@y>Ftk(2pDyX+s0Pn>@~}7pm{o~)Dp^&X1`Q(Mnh8&z zKtKm3447;1vH@qBwAtA~1|u|xQ(s!3fYpJSIO`g@EMRW!J!28@R>->Ad4+)U{H$Q1 z!LJm39Mzz1L`wm$b8^5s-m-ul+dbA80UJYBZ3`c~Yz_K?dKlHj-XPL}ZKyqo-`fdV!Pa=_b+jU=my`{<0G zKO$fg$Z}XT62nj=NkZ9%XOQUlDSxF*OT|9|@JEL}jsof~@hD(Zi38r{Hkdf8d0|QuVgd!= zE?!9a0r$9>JPO#+&W6ZYT@)1%hsZB){JsFW?u4wz{qdINLu4U`FsMUhQF#(ahse5J zW+X-g`m5O^yCJKO+ro-M4q;HOC@N3ltgYzs#4)@ePFOFM>CI$+GsmOaVrWrgh92Us62_Y zwxXC8rVLBH+|`!{%PMJKorehJLy+~YCmx1dv2~DDN+_vqSRYqDCAD|5ycBRHaKP12 z7I04O+U*grEo7}SXo~|r=3)W`U?*M(i7Ovq7eA9n0e^CED{cs=fY=r@CjEU9xgLhB zbxOSAxD|yQ!k}7FRG!3HTT#rqQU;u3*VaB{Z85Q8^E$P^MVNMwwONXX;Y#WjV3iU| zdXiVP{7Q0#nLG;ki?HHO6D!`ZeMKe$J_1?4T3NBDoe30x&pGvR<+Ea6H_J-_cL^)* z6;^z)^KUJYt371xwz8s-L%38ciprBXYb%PWY09u)Ry|2K5tUFXd50`qPj6$+tQ(_;o4S~P%F7EN1Sf{6y9~jWo&{+{jaP{z6k*($oea64p{Ia zS2j1EaXE#8MH+5zQ^0~G{6P&QF9p=Cz@du!$IadUl-7}oQP*kr-=n)ciGYtm)^Q6B z8g9fUNm!uiRPyXNk5d5$1vEZ&;6d`{KS}=5Kmk)^4)~wPsKL~rGx)I(<# zMtx;B@V-6h_T|Xc4YKRoEx0P!(R7c3Q?5pivRt=$s&)H{+&M{v>_&#>y-y z1-x4+qqww#O4mU%XCv2>kln&x4z743tBw}WO1aENA-dIDl5XCvylCC*uvxdG80HV$%MP2%2n%|DQCy24{yEA&Ij# z@q-?gjsgy~muVZg*+I#XL3qAT=ndIJ{T7NdJBgFZF5UWC%JsJBjo&f$ z#t1n6)QtNO@HxmH?z8|j>5cOZcYPHd-TwusdmfSkzAFGd4uk8R8SLBDb}MncK9D`e z0?@F;DM>;C!>NHycr4eFK?Qos*=Wh{&5|KEIV79-d5kUdc{S6soo04tJ|N4W~V z;_Z&am5VEU2NOqcVPsGC@lNIQph0m6n6h#|nX2gv*`HYeUhcIjFZTa%J>Bd9Rh$<% z;7l+8qGgXXyeWo&{UCd~&s>A1=M9sK>B|Mw%^py|IUY01rWZAwgj_E`_FRWKm+3X( zMHj;;w`B_!@w}94kx<-X*`PSItbfM-2N3W@$X;ZjxPq^_K<;{1(q?tbRVd&x0l3@< zh@DEO`yR>tVl z7?fBc`OZ|+8z|a$J!E!|(Crfx#@I#oz zQNZnC4!Fx<0L0am_tzXZ7Xb%A_71NFU}FyxND5Ap@JLq()r^91hHlbwL~wpd08`UI z0rvCCwBN*Jf)s#ByxL;VHJ_huaP7jDUk7J3DNyLBkYT zl0;x0cf=K}khu5>1WOa^c55eqIhE}k@G6%Ir4we&oG#&b_L^|y zVL~15#>*ngeb_kC*F^$83{R)4c)a(8^!6c zu^33c!N;@T=wIES<}%Da3UWSk#cP&jXGP*aCA|YBF9z@2xnx`(Cvp=7uRKX!BZwL{7K9IE8~ zV5e1M|ALaS3VvLW^)(k5niFU@F*FWJJU;w5A+lBo+~D|O{rcumGD(J#kHSzQs#5Y9 z{Qg4!`=I0#%=L*ALPx_4BYln1u1~`UpyYEb>vI=GmIl~Qk>&QL-F!8m^k9$uR|$FbZ=iUdT$|xk8ow54-Og0wp`KtetL%VBNHOMP}I_Y*l;_ zO7`MUd)-iSP!RqhE!_ETDn>b=+MU28+=kN{kRXIjx8|8-+>+Xh<5SEpRgew#-@COC%eh}-G ztKbI-3;gV-l0x~1g-%AfITaE&ViDP zPAIvcKxri>%T-z__(kB`+o2SVQJUa_NF$m36uDh`V%~wrptPzFKTi7UVa+J`>ft}V z9~{D#hCl5IV`C0tYb&i4hSEd_l-@v(>UyEHE*{l)kl*SD@FPQErjN-l%y#`QxqCtB zEp{ly>#@>XWs;+(wo;T@;nr6Y+oBn?{wn_leF-Vge~!?B|zZ@A#t-eap6mi zp!7j6ejHHxkdGv6i$`to=rM^LJr>4~2TD6R$Wf;Neq>0oImuC?7s8tYP=w=bCzSS7ptO&V$avm`--1y3l7k${cKqgp(pQ4y zXixw@GL*CnlcQl?{5asqA~!j5J8YHeIN@{-9zf|F8Ir&CL3#~4IhyCe4@z1flcTT5 zHy@PTLx00oTe`>tr?ctNVh^0l3qtlkUXpT25I=4xT`r(kxK^C{=O2u6+B)8dug0D0 zAPHB7A=*-g(zSM0@zS-*w7&H=Ku;jjH7sx{n058kA%|GDE?F! zvU^f>rvxE7#swJ}GE12Gi9BaZo5!Iv-HRUw6nlk?8LoFHpX~>wp#XklNdMHsa;0~( z&mMT936zHIP@3a|;@x5PQ}KS+uS1)l!1FFBMbj335oA9V&ItZGdgzl-T8IJ*WhkC4 za?N+e8oCZcX$cA}_Cet+FV8jC`%&dDdq8On1xABV{Hw_In`>%~XU{)o^|q!EtrWlymbH-oRJ_=AaPW28AX?1@(W*#@E~&Xn;Wy6PR-Tyx(HbbQh69Sx zgxXKV+g!i6=k0^&H7M{J848zp*-wSv20xupw+lpT*&%B8LGdSk_EYia_I?vDY=meX zEbDqKYq`j^(%XL3e9Unp3cL{sS8_!a`|WL89vuqNoAKwHd{DSbVgd_SOMmtJ2?aJp zfwu&q_$N`;2G`HKUf%@KTfO*kK;fD&&$TW*?Zo>JLbM5%)dXAggZ!tGha6KcJktxJ z%}`*|AQU~#e=6$XO`kC37Kk=Sfz2I|-OmGn4G(4P@<8Dh0riWtxY-+%Ao`#OKXxce z@UW7LsstLjoew~?je;K>gDG5m;g0aHL!UqswUZ$FFm_{Cxp}T@?DzJa_BTWyMS+jn zq3|~!`>AlZug}?D)gjse1$J;jk=@UJDym~2F4x1VbOwk%?uEj=F7{L50awnU*cpgE zfn{~YvThf-?zFdDkoX)#yQ9GFNcacvT!*CSf??euiY+zzv=@qQ6uEA;rw(p~?$84T zJ{y3-zrsA%k#MJto3=uO-&m5)e&)sxKw&ZGEsVi*Bh=wjKwH$F%q5?xz2e1>qiNS@%+hp zy{7Ut8{3?N=uaqc4HDkKe~Q!xd*7u|h^|M0>j8?J^C}m&ls##0mO^wB3fv??l3Pe3{eHk`UTv@lMgy<<0c+wA%2PIxs8>!#n56?sNUm2poFckF;vY(3jdS6_BKU(iBmWB3?JR}I) zg(KbGZv)XZ6nG8=MtND0i_Uu{RY`zoCJM|5L!`aP^_Xvc!$(sfnvDXpQ5*M*dbM_~ zxX>CKM{W>5ZisYrF^ouO*N-RuI|k7LEDKv&(JQ>HqQTx_?Or(z(IOOBgoIsz=Sq}9 z@>ioET8aWoQ5$32?5Cpl12->QUKgSlQQ!rH@6ItIPltE6Dp&!r1Q}vB)W&3y>$AYD zK11$>SQQ_Bu&if9y?Xk-mB*cc*i{aQRrf<{fx9onuu;UW#*x&${HNjv z<-b0-y$!^!LxI;hA?szdCH6u=h)qHt?aLEJUiAOC;GP~3tMA2+1B$!wS{8TnEx+p; z{OJ}u#2WY@@{-I-jtuY(*yVc%Vh$I?8sVAwDT)15{EY9@Dbt^X*lj5AHU~roy4X*V zS6xd-OW#B6jsSjSDDEY4_3<5Q=^6>KyRfV~v8>lPMr0_AS~H<0#9E-h7DzbS!vq$O zv$t}c&4HK`1v+Jj3={Qo`_E-GUIVe#62$KJL2@gH51t5a`yVlwwV_fSF`Y=}~6xhiQ#Sd}# z;&$@C(Qk%AtP2Y4;)2Ln{!?Te*bCQN5gjeNfOLQXnJ6-V`XiK^~bXMyCCa+(iO!9NRWS%3`N-CvF>K8 ztNMNRzaTa^j2{maDPjK8PFKr@?I%JEPrI=p*wOtie%j|M*j~2=#NKq{2cY-{UUG50 zz{{;h-wCm|{rHifxSIH>Mxg$J7Kkwt1-_#|F*sRS#gzivchydY*t;n3U4WulJXg^? z`!vUB)aY0gI97t9gJG7d=#cBDk#9AE*tjr$5dJGa|LJRcgM^)LK8NdN zL4G)q?SZfyWC_DBd+(Tcb{WJzmu-n1{E#mP*iZQ{dp}CJdI-e4F3f=wm3V2XsV)45 z)O+N!nGpNZ0r@Y5A%CEc{gnTTt4G4%pCL9g4B?@E2*2ZIKZQp+zL)R*4`Oq1Z95Ky z@+WbD`5)UIqdR#ZHa`I25nc$tZ|Aw*SNb>7v_gRSFTNYczfvPJPzO2px9%%*U*sfVtBoaup-oerG4oo&Zosue7|X#v^;OGm|H zNB}sSpguknpR($&V;Xcsqsr8JYRWTz`%Pq4x!9re2N0Ws{OAIj%z7vqCnDozR&Khg z*Cuo?5A`EW<`%P*mF5l}gIbu5A9O}7+eUQS1F>mA>d|V-6zmepmTvoY z)~OK0ri5v;&}7#4nxuqJx_YMC5<5Cb+rorIOE?#RUt(Y5973{Riwljhv)oxx)VikQ zYO_u)uqD3ir!x_l)CQ1-Hr0r9DXV_G=UN5Gg`{m&15FLoqu~h zTVn43o#IhbrmS|Glx|zy@GY+`v8S8N6JbJHBZpZ^XxwDkZ>UR&Og(8SaT*bGBqm`w zHGNtfPUPdav+crkDj&b1EwBOLmy!VrX#yl*oZ4D)GSLgM#b7{P(r(0-_!_3et^@m2#FnBLU}u8?&0-wp)y_NL1n9X(iz5RXTjCpbI;c_O=t$X?_@;u_ z?5tdR31&-t3y0Zb6ii0s(QA8K;@dc`YZ+j1J?%u^2s@Of1hqIiPi0Gd$3y3;)Vy>i z%a%CGNoTXvI66^hOC0T^lXYqwo%6FLqF0tK_Fxn#SDio1yWUOb5Y;$!hA~%?$tRU_0;l)gZSm#UC2_4)lI!m6Hdau>nTN- z<3mlq3x)UF$z30mN`np9<_DTE5{uC48V7R6M++oQR`7t_>e0n@lSo{WQy(YOxS`}P z4QOD_y^nmZi#i@YtFe;#-A`(g>caF3` z05f|z*dmO~zIdxFPJ;+|SHp}A2>2cpwsu0Q< z3X3ei27hH)NiPUljb!G7S*NA48vJ1L7xNKt9281U3&5R0CQ)c`Z(LmYG`c03KrUbQ(OWu*mXj@Hop#HJJH=mjR|7^p*v5x4Hql zs)X@S*vV@Fc-p}v3JsnO>f_3%!89(9`rtg?iZg4Hd-VE_8oQbN)Vb*hH~|VfD;9tu z#-h_;uEHYAufYO|2lsb`4tq7CV?gp}~vsX9xvT7|t4& z9W}WVss#!d4l)yGJm)iLFjy-v>DbIs2sjZ66CLJ&A^IG{G(A<hMbTfe!1K5(wBY+tX`nU!i;j)1KsgWrNI2j6i1T6p`^j0ijTP}|PrlkfrU_u!U z)(R*+htEd9PoS{3-5k&`_PcT)d@Rf=OaQa5Rv2LR_A;%wvNEA0V*~xUPpBmT%0Y8JnzHW0svUouY!Uvz>S|D-OXA*U2PqNc= z5`H1e8ni$(nDq!BEM(svF!*5lY}XIb9qSQr3KYKJvj8;d2Mw126p)pQ{|StBKlr?h zC8Z|r?PeOxEOL|utfZ`e5&=Jl!u|nsKrL$S-`-W2~Qy4R49BI zECAy#hLR){e?@?U0(wBQ*o4j+h9UVnf0wlWk0Q=AC`8YX8=m5BdekUn0gD!hq44~N zUZY-Ml4u&|CUJ9Qa!dk=dqTl4WJ!w_sPX>MX1j1j=ymSugEu;`XuQSxO4;s0E&402 zS|SqXA5i3?FcW!coW&k}Iu&P0ki}7FujQhX9lIT59!ND6s$}>ZF&A=u0fny`dI_$Q z6bpu59GIPk^6O!^N6SiWK~{(| zJ**M7`0N<2jDv((Q0VqqFl-MpIpt^gTw!^M9?1GdjRDs$ay|5I*pBF7HWa??v0&Kc zV{*#RAg(~DKb(;m11`$s3=b~6=^bR41BD}G3x>V&|IQ#T(+C4u{;TN$m;Z7Gf5OIj z$S@ZQM@kk92d{uZO!!be40baHTMbT5me_W9JqxNvnJ=X&kF#ha1qD=3`m zu;2>C+2_i+!gf6uSwE=h3)c^FuEo1n-;7)fp>Vnt*LfF{Q!)A~OH6|1i%@%q}} zG<^PpEFTNSxspVY3G9kCAzp zZ*eKFmCc%)=%(@IacTDvNfn5_={bii5Y__a!Zk6hPuL-B)_d9h$B^qgDE!sRW^EKE zNBGi}aJ7?|Jj(T(k8|zzabNoKj(*dSYdJ1QHrR~!RgZd@oQmPkllR;5eZ0knpc-N35KKKYWi%swE4q z?h2DrG5X@JNyl1bQ8wc`BK#{bVAL|?S_y@Rt^BJ8uV2M*_4crOQhoj7<6Osue?9%| z@Vk)f2V53z6G*vI{hTXZ_}9)gyU4lFkBjHS7JffW zVR9-)UvDvSs^4e&I9Il2M?B{|DGeHjT&wY^HiLihbIu5f2_jsU=Nz-XU3b@m>L!du zX*N4AY&K{6zpvpBYjEYimCZ(Z^bG3tgqSzu!i5*STA*CTGS^pB=3HGn{m=%v*5cC(J_}#^%+2If4A<0n zec{>}&5ro|1LxZB&AJ!4*5UIJE(@;dynYqKHIvtq=!-mI!MLgl|4JX6_9yU)Kr$T35R- znH$}RPmx&p*HT`;iqV&tbEaH)*~9Eu$IkUNf8n$x$h8TdQ?c@|m2Rf@isAY(USBsT zoU6X@uNu2n)JCq&5UC3mevcO#TBsPV^}L=`UpEQ=x<&X`yLQLP*x_e<*2c=eHu3sZ z4A+)0t0(1ZBy)Y;E~$3JbIz?BKbwYJTkuJ3gMaaJjuNLY>vN7t->zG$KpE}`bA~&^ z+?Sr}*=-CmY=uZur-jXSnCM2_)F%3}=Gw*eK+>t_oCCf%-8A=cu9iN|b^O@SRmims zA4LjUa0P-)jtChjWa4tZKp3zX|6 znR5*gHv6gZunWkw2cKN@S#aHAXL2fr%i&`3DAzz?vsXo*kUb+uMy|d1Y^1?vYz~V& zJgJ3>;kqNhsK-QYAvyPQm(gUuCI4w?)QCn_1=qI2k;3}EB|WiVR9;l>k);? zqgqFsRa{dLJJ4+zX*;@J6QxcO?FNap&QEc~l- z!q6L#>rZ@=*UGSnsZ=g)ZC`(=!)Ix_r)dujPCe7wJ+XHe(XI>q%9)?5Q5eO`Lb zAv<(wfpUE*bTiA&xjHNZJ8~VyebNlN;eGqyFq0#E=}Nfv2AMp{HCti&!VTXz*Txg8 z$+i675W(9NaZ3g{*HB)+is9O-#BnX)cEp|SI9K%nFKLSJwDnVgE@de_6`QLd!{&b3_l*RoC@uSKqZAhOJE z!8Nji?f7Lp*9wJm{UH1+dCrVv zpp}2&mH=9)7(1Q~GI`XFYX#Q^;a^Md$g6~0$8k?eEB_i7W^yWqYm&_5QLc?1uCJd1 zyghDie=!TWPC#Unm4AI$!Txnr(Q}cFL)qA2t4HO+b57TT+sL5xBt)=ViR-`EIY+lB zp6IK5eR~qG6V=VHLN_~wZd%_ZHARL~5ZP{_8{Gp+!e+9a)$h_=ll=O0>UGZNGK-^J zy9C!BO*c4hFKjrc5ptb|$S#9!Sl>>z;nYIKaCu!!9_8BSBZv1j3`uY+JR0HMuBgnZ91vyvc2d=vlx&DPnz+u7lO_0f{7=6tQGkKKj zu<-Gt!oN1$wc|(RN`c7XfCblBg~_QHuDMJcy_XtE_Hccj5dQU&v-l8loqr9t*!e&cswuKe0?^0x4In@UQcl9r2t~ty!(!$d!g$U>p34opZ?E zH(DV2vOedS^zFJwnkYj7e*_6P%u#K2WRDNO>~}&&FVEWS56DG*I_|7f)_6%?q##@G z=wOm0JRsZc>f&UD#3lRnaor?#r2Z!rkx3lcuSW|MFzRH_NZ~eYWdR?k+qVt^X5fBp z25n()uLACJGJ&KJ3P|?+(*iA6!2`0pwiZa7B_P=aP74H(?8K?PJOg*)EDPwCvhi{` zArp!!*~_?@wFbj>R)_%H8PvyF1GZ6EItqA|n*&~BFALZgHj(};1VuH<16UYfg$Tfn zEQ+=lYrsc6EFlH7`#B)HKdtw{mD|3!8v(PR$mB+~wFYA@R)_$c=hMeo19oP~=n0B! zO3F-pvxx?aTP;3;fZ0$~&vp4GCOhD2K>%K^5B88)UaG-{0_4xIv(})vgGe>lRsgm)0erG#Z8id)hoXne11OeC3Bb$kX2dOY z3iy}+eB1fDXHI%9ChsiJ#aWwJ_ONsm@M!_q!&|nIjPAMdAOaRbk*gelWM6b0j0#xn(8pN= zHs^UMVDBJrBz;W)`_vitJ_1Id=(#{S8i`nbCQN*}-OO1Zs|W?`@8p0ln*i=@at)cE zDZ-t3%L6FZUkbp>)!+_)9N;SgkUc}FKNrU~Uw9Y+i*d`^@&Mv2m=*-!<@#WekL9Hr z#Q9=&E`HNQgRj;d7Dd1k+;Q6q(5#U(39v#0AYOfHfy7z+;4p=yqkzK&;K)GPMiTP= zS|0&RaWi8pK(mfoEX@>vmphs{<%k0u{EOMtNo-8!@nF(OKyWjI7 z-~}l9f2^GiybRU<$Itif!@YMe+B_$;3d!TjL!M%i6zSUQsR(VZJoR8hMWwd#P?Rj?9MV}Q>~z?mk1x7qhJK)?h!6S4rL-$~LJ3R6h84AUPZ z-~~1_^ku&K!T@JUz`5?SmE>*rf)j*bQ+Q@}I)FFxJXq&6ty3L+MXwR?)rj!H*G&MM z_~t4I2;|HHpLr!QRZ!mOlnP#G5kKWk0$d~kmzV(F;C`e#0%nmji_-u!+yxbY7usQ^ zAH*>#xKskZX9C!>sjU+N+Q^w@7J&2>y!t|63KiU-Ut!P}0eHc^nPmZ za9o3^f`E2%=7Tf<4bNx@zzbE7zF1ga7~sbe@KayeO47dL(TND?AZONC0Gj%sM`R)Z z=~uS&g@#$4>gb!c^@RbdaZyP&ngBji0Y553Y)*1!eJG7eQa{Qwk$@lZpz~jL()WEE z0l$=hTTKA(F6n(W0%nslo6`Z5(}yJB1)huPTgQ!n+a=(4CV=67BUd3{4mtBpI)DW# z&qM-V;Hi$jkK72jQv&WW0W7#D`(6ahC1-Y70Gig~&L)5tSj6Y1CoA})1dN5sR+6H~ zwWSE?B4>U|2e6yMRgel^U=h>Ln&=CwB)@t^CHdV1aPySXwFsDp-yeyZR}xbN2blm~ z=!N^@#xTofhJLI?Uzma?B;Y?LfVIz6 zdKdxA?G40yt~nLm16g0YA8t4qyj`XCf86z=oQB z#z$W$ApKf_e$j+qD=1q@j=s@}*5ZpWq6MJonWRfl&%>bL1^OWUSc1MV!0dnkbeRBF z`TLTS2I^IzMiu-{R5%U5`#FoD7GK~s3H|z%zAy!wM+9Ii6Trs1?%aofRY~#HR)9anxIwB+ zp@LiVLsR-96}-SoVt4|80k)M2b}#|lIq>Ty7^50~OD7$`v$3*(KYNT}mPJgz(4#L5 zP?3Pwn*eT|S^q1HQ5`?PlLjFDh?BlZ1uw9O>1TcPg#q3u0lSCGR+8`MF1!I_T!LS8 zN&}F76--|w;02!Q=y#&@g#qR(!UqdX0EcdVwGIN-z>i18EGmha5AKceOeEk1c32Hh zRWQK567V(?z&A*Tst9-~ey1uO!2SNRfEUxl?I^Ufh<|X7uZnKFJ|cr0~{p*?~RqM zByC8C76@1yzY>%Npy8<~0hn@1v~Fg8jVA%#9~4DA&IIte)wi5Nz{^PS7*86NyYB7d=?5G@^XU|rcl8x!wX*pAdiIqX_#dZ)9(=L3j=&c0zPK~7*evY zN5IQT@w9XRlTT}`Kge^%|K|lXyfuvhh9uxizLX+H1^@o)nSls+1u1?Z9l(t-&Xc}^ zN0Wl4mzk1sjp# zE$ILz_es+NJbTiYq~JFum3K$H_Rur6kgG8%jt0!@M#|(*t@56TaNDd>s<>rZd8-PM zSUiQsTkFBUY+ zFoK~CU|c^1%5v=wwe;Z!Yl*EXDcM`S5G;kXA zuV(n&O7+6IOz#g~6)>`DT**T)nqKm{igEoB7LD*Rl5+n4;l*h7?pyjJAb#QVh++o-E*oVS94N)!otza&R!{n zmsZ6zI3(jb9V%0xs8^r)qZT69)ui}L&_b_8F@D%WFa1-AG@R+6@)+9x^XjF4dXdIt zT%~38`ej78&bWVuB3BDioUqc%ge!HtPStq$-}7>vebvq5Q7-zKR`zI@p%;SX*w@$i z9CEcJB@PR{3{&N4euWA(R_NunX;^yYC@Tfy%JcIIP*OonsQ}p1`#kIQ9}%z>DJe(H z3)C=GUI3oG+*bxLMNC#hc_jSL4n)Bp=f=^$Y+-;EMK1)u`CS&U{JufoAYf}!Qpsll z__d_@`aMJ;#-`my~2H3z; zrf!rp_CJ}m2aVd=;)jJ*bFP%Z2(4u0NSrL$Rw14r4P&l%Ho3n06^uc@U92yRtC_nj zR}0T~8yh~4TySC1q1PY*+a-y_8WppVofI!*!WrIS%>X;Z%LsR8E zUWT3=<=RuxE52}4IaDy}2wsBNI+Bv^DKg=womp~B!WWjjN?0VX7TG_he`ieIiIm*p zPCgp*Hb!!%+r zj%yIIA}ZZ_U&Yl&5|f#cl_AJl=>gKqNB4b*u|9MIxB_%*O#oNCN8O28`OE`#Zwr#* zm;H3#7b?`Oj5uH824lt@!A{${cEdvnLA6KF5MGAxT{Ao%*SuvZ1`(+Fs2j4rVyG*0)`Rls&d zl$5shi-;W}hsOWb9&A@))>rxgP7)fiVGLKq>L?>#=fAnaC)a|lX#iIOP@54qSHafY zM@p}kj9uLqeRcQ-u(k4mtz`@&vaH+q!FCNY-l(E^jqzr(Y}nNgfvtTUR}^w6JD5(m zzhj7$_K1jxJtK45oje7$&dAu=4_WuJtZ#^c?FM8lkc@r&&x|{=2yESuu^XQ8arPA& z>46|Ol4SALczMfIk>yaj)3V2SyzPTmKN) zH=?0IL46n4279|4yyQv@azp+X3OUvyKyBRV1^aT9l-?QQHcE$vHeB7hGuZG(=kG1t zU>nO14Qvl6Z{Ag=~L|ku+51hC8nOoF~Ih!_fDlx2yFAcV54O;QKkyU^OpRv z5^Q1IH3g%*5fg-q0!qsx)4{edfW}byC%HjVIyu~T?&M3s_7?7XE(*4H{36xTxP3*n zuQ4c&DbWL_%Hx{m`()tKwP0J0yXFMJ_C7ZVwhz4Xj@d_pZG{(821)6&L^nuEpI3I3 zyQTnat8v$BD%d`XiM-ZCp6k&S{qo}g8bd-M6e)c%K0R7k1h!8jNQntlNw_|Kd!ODT zF({5Hk?>`XK}zR@U!AkRAJ{hHuH`|neaUx$ZHw17e(fxs8;1VfSqU z+cw;_3JJgQ2*POO$l!ZE!Jr5lL&60SeHSTxgG?FU<2A7Dz+ImzVA~}Li{k6M&%6SI z;+Rq)r3+=MH{;jzf*{!T;;xPO|0hZKb711~Xa5A-J};(3!XHP;n`?Y9W7nAf}VxFWu$wUxKQb{GxrP)OpWN2EIC?mEKW5Nt=$ zAf8!ER}j9dbQO81WXEc-9gibrkR(payh;Myx7)UX?Ifnc2C;OtJgzPAYo2|xF4#_C zssn^1&dIz=qqnx)Ss!dAUa%Fbq;zXoJR)%*^y-{J;) z56tTWn>IxYZv?*OiNTnTYpiLCg!cIpVQ_rtt8 zV_wZYB2|lM)9^mjZ~*4jKTHz#Fjpgy9X?spKOgLaFt2WYa^_Wf%Ch^>Q~G;IBG=0! z7S9hJn=|ZDun)!4$X5{{EB!R$a1~eVQ`$WkC*9=8Qg)n^Rj^|RH-UX6 z{q&x=Ed2L~27a(l_kq2qN)p|?V%N>Fqt!pD1@;*sTv44mKC!DVnfYVyPr?2|1PcHU zvz}kvhcQnt<5V&a<)K-4}sUz(&(-u7m_NBOcX^@bGOZ4D*`jA&I*c zk?KBgvo3G`4ff5L*O!>r=$P0wCiH=N>k6=M^&q8>B%bh#U6Xu&cWAQ@?AtM~?U)yi zM{)QE<9+-6l)z3E=7qiK#Q3n-^@uM%?1gz?--&sB9|AIfrvfra`TX37{$SsYc@<$j zni(S)=?6d9_u{kW#Z2G*Zg9--0+}AsEdqJoe=hiRZ?GT4h`(WB{Nd)i?0={`Mr_8` z_;47q284l3Qu!|Ow7-3qMJK_23{(Ayss4)bUG@{vdnWzW8iTOV@ia;9^zvO~XmoR* z)*i5*!d<5b*o)(Qm%SvM>u=@^5 z4vvd`;Bd#lQ8_A7RS8aN_hkfw{Fu@MWQ0t0k7s0sJu|^^i3c3jF-py_NL4GeczOQ^ zF~|#!N^x-1k-IMU+}(8KQVhaf9u?;!(;{-zLmTJNM#G4((a67k`|#x$q=4g66&y{4 zY!0vQuhN&V0Y|eSu5NI&5KxYm!Df*r*JF?m99Kob(IzAewsp5_IKL$ZVP4l@ULAsB z*R`bf_$)Nm0gYW91IKl4vFp0fcYUth0}dsOs}~$MATFzJ_%qn7<-+q3$*_ zSDwTm4>*QIz%g9rH9YPdx^O)>hGAZV&{N*^^SnqTRC~c@)bQ>AuJ}>ZTcU)37jW7&L!B*2`0Zmy~!1jcSj<4~B8YRC{6~)sLZTW3y+0V*&zA zP=FklsZM%}`V1TZj)@^$u_@Z8h*bN%bF04n4mg5nFo<>UkT^QV>@+ zNgR-Q{T8bGXsbrxn2JX^)lU+?dk6u?bj*3WLjGLGwq}I!4DANTE5f2QNZy@oa*sO5Lb-& zrb4&Y;nq6TLk-id^*;QC{?U(B;f-E!e6EsHFQ|0iCL9x47XinXAl=%6X*P$!@skkC zvClJOyZvu)Y{N*~6mT4Hb445nRMma7JvjDbiv3<7xC?Fk7Q_|p914nvhrLSWe@|c# z2LFzck9ugtqj6k=K(>eIR@?`U<1uiY^owMFyPt|y8wHLN81Y099H$uL=>RxRV}fFq ztOS!4$4K!eKQ;2Nhx|4!3Qi)7IKeldN3BEPNT88K5S$JVx9@a>*H_;6I5_PQTorKU z2E?x1`0p)$djW$8ICDbaEU$`P6_iTvoT-gLK5&*p;4?nV*?DmQS0p&gwkqRRWehE+ z(tXt;xGDfOB6O<;Zq-o0Sz9=X^D_7AJx*7`AP+ce;qFQ?ky{;QV*S8};Jn-q&N^{$ z)|ZS|dRKMs_BjTj(Rv|pHVleXjmRYO-TfHk2Io~_a5j~@nvr^ox?F=nxT^{7y2`LC z)}ZC;@!-4~^JOBW zj4=oM!8s^Qx9$w!ivJ7!bPF31XJHtecd;C>VRa7kgL8zJ?i=aDUomjr9i&_Lc=4AC z&ih!p(U|VOFgWj5=)U{oxCX&FHbl3^MQ~NfJ}hwDdI-}!sFHnk+;nRKrW+py=fi|< zJ%allR>Aowiy4fAbD|G-5Fo?wTQ}Oc{mVh}$5j}zYc?7nmG1iEl{X;&uK;afw5X&c zPhoc+l4Dk^zpUOl$Up9-&6FPV%wO6)isYCVPyTV(2FRz?Gt6TaU!mPnPLAom;#lr` zkpD-7HnY0T(_WuR?iW4Q__217e+VyS_IY{kW6W}|aOEs?oqX)<;b;oCS>QLZS$^P0 z6}CeDFA+LgqsKhlC~Ok5T;1;iLm_`Z=Du0v-ZN?vGtYTw(`AtVvlqvVMD7ooak_?& zpM>L`KO*PH0X_GlUxZ9-=C1+ky(uY;Zg6xv(X zV;*l4H;D;X9vI(^Up3KcSB5Pv%Pn`13e3nv$9{0d9+wDvFR8&WyDG7nUA@b5<_`RbPVM%a#I$W*vv?r%9Pg&rc6#oowyP#Fvs&Nt{PocDfhfIp)MFkS zVwO83JR={2o?}Dw+EkBux*RdFNp_qm^1!7V@Se}@ZawDVH%0EJ=X->IZmfUnF(}v> zq1WBq=2xPO3^AQ~yPM2|f*qLqRu7N)O_=9yi0L4!BmY3bw_ZAKz+-Omn8dWddS>(j zDEP)r$0hWb#n?yGJ=tK>_UW{l!=PYWoQ`{No8P-lVrEqtcyJFCe2G2xdAu|azY#Tw z34NA4Z~_Xxz-#XpBD&2}|He#Wl0+ZZ5GeQ@FU6<(^q6O^5Jyzv4sty&+c~RQQ|NUm z9{V7#9<$`?IJaqtS$kBuPPL)eC3x)pqC94Pz$9j^IhXHw0eV%%WA|~Jhj)r2GT5xy zqoMyt=v6sNhe&msN25U#o0mRz^vT)Kt73o-!SdWY_)KEfh}V9s0`zkG0Ec+>n5ULR zOk!SgwCcuc(5r%%4k7C?i`RQiVpi|lG;%HUD(9v{)OyT6J`b40tX9)`XEyZ8jng4; zp8Iw)<*WX*yXIQxl^vo(?0U>IJUfGxzS6i}b zB@~>)v&?Z3vtrC7ru*K`e_jU#B_Vo?hI6)co5Z~6*8@fQP;e$dZvpX`{i7x^D>NQF z(E$ageDoHR9`nqEh)K-yk=XcWpx|#Wy@jR6EI|(_dqm}aiZ%Nh3jT@$-Xhau7M}~5 z#LPSE*-oI~c!b`9<2Kt9lbEh|fBgL#DEKo3c#DtQJY=S4?#!w-7Ze-`0Nz5>V;*m9 zW;18@xaGB=;INP0qSRv^`YLFWd-hQG13jSNV4U6p<(w_TCNZ5~?R~HU6#N>cw^;R< zXWsUi#B}^s^VXJ7a3Df&;p#CPo5IE!uaz5u-i zt;aml+{|XykgMGz)dCr)pn>oJQL#!O<8e|OD#4ho8* zKyT6GLVH2jRUy!Gw1-agpkaVvGdwhGR2;IRG>C<meC#S*3)99JTqE&HVv~wN z)E4i6)95cc^j16NItn|~NEImvcnC#^V z(ZNYQOdC(`bvXh1em4)Bg}pml>@z$-&61^&dvEkiWVLWERwKBx*NUjfq#xRX*O~4pN zxl)fw83#-&`vi@jy^Ixw6}4ZIpdt}djs0p5@`<5wIpQ=FSU^zb#;pBTe>1;=)>}pw$IO{RkviWOX75! zE{qFn2R*}LwZX|~f~!rxn$wZ%Mx3lxwx}2v&Sqmq@K0vA>Ug<5#?{s@xH`~jjp*a3 zS7OQhk;ruu&W($k>xGl&xSh;!U71{t9VORwe!=y^uCJa%u5LILFKofpFqT=arsxUU z!t}ac`d3%C;HvoGlP8g@JI?&G@Gl#lzV#(DdbRR#dyMNwRg~k+(!c7gz6~!qZ8wwB zn}~&8ZT#F$X1LnNxjn|!BO0^nsKK7CR z)rb80Fmm03(xLKy%Zy&#lKrc1+|YD3YVBBxIQgWspEtSqc+-iqIgL2hO=o@* zw$^mgMowE;QT2})vi{)MRpl}3o;VjYrG!M*GC5Tuy{vELIcu%sApIT#J7LUkGhhW~ zjTLE7#Nw!B^7OOT`cO1~cGP!%X^^o+h(Uq&8L9V_yfjDHrHBDhq_$M=5+BGM&$+*VLa(vX_ zU+vzy8@c+C(g{}nrQH>vb~3}IT{R1?iIOWQ{j1fJ@Be~ax02Gwto&=fm)f99X1Kz_ z9@Fbd>0gtje_j9mmjjUNHk@W{7}r$kU(!p?hZZ+9vEVt_2a{UvCiMUpe-nrN}jyl)h%=U)o*b z%$1`yiYm$xC;fB3e^dHbd9vtQ0e8we|;A5cR?;c zK6YT`U;Bjanc+GRpiFdO<@m1juZZ-oKF%>tc0qYL zu9E)MuggC-AlDFlbi&HNj*8>Uj9$m%{5TocYUy8Fq<<~l_Q#dTRfrE~Sozn<40CDs zLqs`lmHxFoChB9~ziaGAuA%t&hn0Voc=_>VMz4P(+#b^_8WQEWBP6(NwR13A+gVvtq86SJ=)N*&SCfnOVENVJHuRg0e+lJuRXFHf0X{U zrlPYBat$Y?d%YH16(ZbDX7tkT3ktpVNv{22p;zKu>+#4n0w2S%@GpE$C$n6ac)2~M z*DtdDIw<{X;62@G|7#>Z=ws1-;gdkzPGK5hpm|ka5H;Ut- zk8BC9#z!B!5xMTeN4gY?cH)){>*ez%aV3O*;X`49>*K*k7a-SYk|0+8)jPoLWXA9N zCFw;UJJa>T$Ib-TzG>x$Ay)t&OSAH?0U6e7P?BEaDK|FsHh59XZxQEyeE2PpTzq`g zFKcDUD3j$-l735*#-(zyAujvSAl;@5bs_QG9LqZE9oHDldJIXFPbnduH9l`glhTEn zw9Hz+Bg*4xS&s^^g!qSL?KX~e);@FF>zMUed_XU5e$@B`A5Wfs*4m9>nx17{*_d^` zUYA$HtjFOae^yzK4gT+0Yxk)|*40D82QSs@EZ(5=M}H3^!vpvgN5I)-&GS>kge&lx2XLvzq$QbJRMOieEIus1--V7PW<3p4d z{(#SJUl_xLs4FY2r#M3f{rV*h- zbH6ByJ|{l;6&W7JXFsiUI2qt}&d*?`!-6PJ%NSa?1w$)UFnrK?$i>L;2tH)$GxrC4 zww>EapW*d5PsMU`cXgjZhDY&f)`&Sn%5{omt7uv`7YlyI&^{^{I!YZL zx;nBR877iM2e$=7@-<0%3`+t@Iw(?y>!l8FM7=YS;W2zZ*Gh*95pE~_vRIm27G0zc zHwHynygK-afynSUN!(zigKX>0&!EjcqP#SoRySq)#>%3bSCqxgF~M-{nR7N|2;!sJ z0gJMbbv%6r*}gG`TS9`trwE4Cjg>{n@B}{19kpPP^(%b_*|so-g1BJlBXw|&+gT48 zo+OE0UJC|UztU$|8RWji82U*a`b!;N>C$KmGCYOPdt2!s?VO*%?40$Xn#3?b>fn!x zvN+wLq$)B@B8l6rbohjuIe)LoZpN_A&l58ph6F`f+~pMvl|~etMuy4wn7V3F7P5|? zUk9^#u|Ax{Fie)k$dF*DTmp@dVG2nM#|x6IC{KcK3DMqiM<1A%f#>Nxn(DAoF|VKFies<46QnWo;RPx*E)nP804{}&mhZ@F-(pbW{`Al==>eCevTxjc+9iL zSIu!9&!4qFDIPmr8m>cG*3YQo@Sjz+BEWfU@6`Qy8Zyiv3H+DTEbwU@_$mq`1Dh^^ zFQhPr-Hb6z=OgOVifLTRuW{Y(=4lz%jDX;JAt1P(?tG{way?HHGa?pT2mRa*wf&#D z^oNkBO~y4dD!68O1lJGGc0{RdA(D8}Z^3me!(4xfvSnPeCD%N+;A)^ApxvGqNCJBY z=H+-w*vX7u#X+hST^QGV>0hr&|El!T1_yG@B#Bq8{Hs)9M(|HYxb#Qas7=Q8y7aF_ z(!a`YbA5|kFOtOT7XF3r9HBPok{PaYAcA{`GED_}9SA-&RAemq}u&g@56DQ@EYXaMkp3dyMP7pwMfD^smeP zxep=NERuLnHTQdbGYhvPxb*4zG@OQ6wqN?A5LDgEn1>0j3b?#0uO zZ8k})wDPZJL2838nc>1~aD8E1A4~sQEB)*ChM!ZeIVAD1m4CJIa66gd!fRq9*Qe6I zROw$8az?1g^$JO>v+^$`%q zEy?BhrC0dCiwv)k#9mLb zFA0VR!$#ZbF-(Z?w2Wb&A{h2d9a^60auylF_#&jB1;fOo<4cbrDEJw}0ja}pQinZ( zn`R)x>m>1ul@61F{8*^(rpGWf%F{B2-#vy)fo$@72h92nd~K88{O}Er@tiknv)fAe z7O14G|A-l~?mhVPTA1}hk~m^<)E9cTG|SrXkQqDbW2z|qzXHMoa{`eD$gqecjuVT+ zALQnTaNfh$AA+QDX*^a73^!*O!%2@|IOP@$FSTs=9WpG&*TGs90bb4POZp7*rUYX+ zEp;f7I()of>tbYhlO)bq>5x20+}Zl;uD2)mo=FF%}2kqX%SJhhS z@Dw-w|MZ^B7~~BBWI!4D*$wc;wu0e#|C_UsVF~V6E&O4c!XeTw3&RZo#$b=>{s1I9 zBp4=6o4EuT-Uj0ESTMXKs#W?7@`eCo$W;VGIjO^k1&}7hqAYgB|96Jo0iKpIH1`OG7E!?vz9%#e89u;QO8YGs_IbIT z^vgm{?qLkAVHUqu@lk+o%c2b89Qio5vzA_0J zR^iJqEp%{%{oGFa42wKGEo0~y6lHOpSCj?m+WUKCSPi73l@4$H?+k{A_ZUOxm|(ae zBp8k zSRtfihu=MB$olg9_wT{1KL&zLZqoRxxQ1F4;pFGfIxlRD$9iu1D?n&C%Q`<~$a>+k zHE+eN*8u5hmGvhe}TEiAuulMof=?lJ5G+FGZ2Ppby(dZkxHCN{^-~ci>cxCXH zM-b*ye3f@F8HT^;0>8jxgeg?89nxQBM#CwfU!`GR;9qT_VciwJCBys}Mqd!l_b|Xa zy#jEk-X=-FVeT{E9ovO~>+nV1Q47F^F>a7%%mDG*J;t!~0Ojol28hFZHY%J4$UXXS zkrD8r?%!O2fS&;w>9+v9!340|{|;yvuV#RwCE!>Sz~|@prk4XMkbo6nCoUE%PEEni zQ65NDO-n(;KtBU~Py#+|0=R5Uwbu}EJ&=d20NePDFdAU{xG^j}K)h(t7X~;{0zP2^ z_|(uI`w?&hkjJb5o2%R)RZ9bGBnkMmKeZMQb1$h_*c|~s2Qt|T zu&yvD0k8buix}U$p9F~GG`x~LZvyzllZ68ia3hds!xojKmM|y*>v(x4G%P&@4+?us z!I}Cg-|+sSUzE_f?N5A#TwefrF=)q{VE#e!>#o7)jw_-b)u z7%NA7r?@eU>c!thMZ;-YKMlk8p3`l*FumR^qZg2OLc9KMp(592d_B6AUS?ds1*u)S zu>4e-UotD>!q=L!NIafGXmX#!L!n zCMhq!(u5o1F~+lWG{fjS16=7(El?mIg?&}~-iusc0a<0iWq4s06^U`-yWEWoj7xuU z8V#rMm~i?_(s&pvP{UMz#W%L5_ zUF6Vx&7MH6?LfY<(96(QmR!jUj7xt(9%ZBPto>g4Cga*!*1vujtWG*GJ`cIR0kYGo z!AO+#FZ_^zQ5(jEUl8C6=SuZWzmi_}3rP+|R$`oPk{5;x`~7=3MxJk+NL!4jR=)^RHmo z7>{w`r$3Bg8rN?@9>%y1g+v2;R3A6s4J^Sk=3}j|S%-k%0Xb~tX!3fH!Z6|Rs}4L9 z4#>CZe;Q`(Xp@384qX`F@u&biX#zNMcVZ0!?f?=Gm@Aml+G~K7{{x`B@5lg8Nx%{l zzP0{5 z%O(sM`i{tDiyit1xrzYt+~!<{;V_|B%J@=RdKo5rGQBP?qZdFG@21tmen+m|02f>7 z)llKbC%NRL%e3_}^f4J%jk5mr%ZPAco2p+U*B*fCK?}W1S`NdV>9o1<3o-mS8COkF zF`-U0{|Nc+9>~_kY7Gmk32o$I%NL=pnl4Ewj9~jUZtEtkrx%Ry{BwgyI|R{ zwo2Ks_5lnt%yOgl+C|I8Yv(N+)&?n3S=z=h%$dyBHblc}Bc--r0q$xOreT-+^&omW z*ww~|VTKuete`stg^nGK9 zl#ia=w1r6(Mtk`2H9bh4UhorahA@CvL#grsEC^W2w;(XEq;L$%w;y13)LcIN03^>s zc%PyB!VWh`4>$RFAM3Mo^3@-cFV!BCFWH{8d{QbY-*qw0Eaj7zDboAowH5O|9KuWS zzVpaO9kbu&P2Zt>2LRr(=-i}S*fQ@+J=}EUOY!FaUU{WdQob(nvhvC6WGUZ`AwxWX zwXszBMBfnLr5L(lO5d=s@n8EB&55exZ>gB&Fz@)@4*%8Yzc zDk-1rbqVjY$s6QSzUD@m0glAel<%Q8Z^D63+d+VX7T%|S!;MOq8Tl&vsV%x>Mm{N( zl&`;sWq^OAd~N(mVYKqvI`JSH58!Md9r^Ioapm6~2cmqx1N;*=_dflzdNiMm$d}TV z{`bl&rIPXu^m1LKd^g0B!dTlkIBE!ktP1Wj@>vfnVD$L%`1GbI-yz6y_{`-?Io$u; z_L-eJQimGw;8TYXtUE3b38|!fcLofy=)(#_-AQ3S%)==!38?pF)rzLdM>VqQ_u;O- z4e!1In)fIF3%uXFCBMfPc%9x!x^v_n(!=niBhBrKu?2 zQON2VwUDo-C`pRP+CKfOx8iVvWxd7nqAkTs|NE&!N+spHKVDWodD~pbhacNE#3Oqu zdd1Yr$|2|44_Bi!e?nHjly(h23+h*r4;f98JypUYd9}!wqh{5@C=}$@^g;8yIKVJB z-KwvE3-7w@_Qbix-E}?9kB#jDxn3{iHd7(DMMzj|8T|MAJBDMB2Xe1Qt8F6GM%ys1 z7`Y>-g4|BNTmQc990vKJ6P}}smh)Yt=>6z|Mc>SW+%A~%`Vi#a6reV`Vfvd?$nD{! zTRmd91|YW}NVj_7z5?9WKOjK*$EVphy@EjmI__6U5ze__9~VPGHb%>zY4Z={4vga( zgxovg)P_HdtCtkDaf^uU{RNu}FNfU17_OMv@R%?^BDAPo#jY4cg9$m|XEyHkLGCDw z9N;$aXK3N~PpgnSIt-oikmG}(Fuyto%bxBAx#KX^SWGoR(m(8<-!*3>1`)^|A0kD4 zRFUddwNq)~3do)4f!s$UkQi@msF(1Vcsq91Z+#vyk$ z&kJ(rc)QO$vIK+NkUJ|3xpTvWK<+#=KNrm}U|X++Aon#7OET-aC@elNPE z0|o`56P`|s7JG$_CEg+Tz--9<7*l?PENdCnr#}1@gWS)UMHRzU59EHq_HDv_U*JBR z)Te2HKO>C?JKuubEf{eNM%*40yS`B>{TOY5L2k(XI!ubX21M4~0?!YtG7WNfV2bY| zkh_Z?8RQm)ZhN#5meQ^Ot^{)Ts>0w8fm$c#Jc>a+$lVhoMNdVA!Kt3-{c9&c?!G9l ze#kxG7pZ>n9i0E#$B?@}j%$z<&Gv{?b3N_`ukD50gP7Mr%4*ra(4MuN;+`}ra z=tuKpUJIhr?Y)15++&ymKgXK;mn1w9_*&UH3xj-+8^>YOaeji4{s}?z$w6@LW|E!> zL+%+bDY{>BjPrDwbk7Ii%JG3KJ4W_=#yQ9yRb98OWDB_R!nk_Lo{u7&e$U7LSq<-d z64n?l0=W`Ce%ybxTqF=ed~DY_@XcNL8eBsxs02rf??*C5&ZNSN>1JJGAonz0yM zb%VINNl`e+cNHxRzB2!kO5nOOfGeIIwtM-mJ>RHn`h562xEiXs`oPuHqwm6>(f32$ zR)MPt5;jpt(Z7D4YBvdu%bC*zT+KtcddTh(!r<<^{fFLUy+fi(PG_P2#tX23J=^xFJS%_vRR6cR$}X8#dMeS2qP*H$_R&;{l$k z=*hsQa%aB;R}U|^ZdS?e288e0-6*g&()v1Z^+dX!DDQPD-&NE_J=*Y-W8mr)z?G2Q zc42ULc5F?rW$VG!kASN$7Uk74Rcn9unZ1vL>oz>(+k#|wp4hd!Li~&G?Z1O-AQIjl zCA*vXd0xA(R`VWvXehYuK*GT)oC#u9+cRJ*4Pn58qXk@87@Z^h$8OjCs9`!o^}@*RMX;qMxgS zYfcnbKPmFbyn4GoId_78vjw>S7iNHJO)Q#X;jD(AkaIhr2)6;YQ@a5oIf`m(u@VlT$wKI0w-hLCf zmLlO&BpebIyN0>1nE(E5;ChdMYk7zi4OhjkQR<;7_j$p!0tw%bk=@ru_^#bb%+YoE zKya-JxoYO(r~4O|-$exsl4o+L4*#vVQT1G*7D{o(pDLiP?5srHU=PycA@ z3*g%72G>_%vU{S)Yj-fZ=Fu+ifNMJe*ViGkyMLTx>>lK6xO*42Bj0+#6;(;mbHZRz zDA?%PdT8)_%xg!46ulshtLWuG!y&t#0asA~S3-9CrNN=TgMB6>z_nM!)klgx^76cj zKJj*J{nG$&{S?7f0chnV2(({;W|!dus2`|w>z4>rZ-cFIlOWx~b4;}=K9X1)r(1tS zpy@rB`JJM){Pm3K`PYyCI)#B=ezQ3sy*5& z7xJ=#Q15IM@*HwkcJQsWr6I_32BH2`ALQjmM5;VxOS!sBAkU>>Fs3RW!K2Kp;KyHa z$O(GrRyEvLH3~UXs5*I~B`T@v!m+iYM0(n;{kk?p(HSzEHyU!OGq(H~gIFO6|)QFcr zjrA(Q1xO7bS9sWLua|@5bdLaDkj!@Dp6q4qUK#_<@527U%Agh%NGNU+)A`DMMU|lW zV1;(qc+9h^NleGxWaC+Aek*nnI{NjP&Ov^YnD%pvULFd~eSX??)?$(~L!%}!ZSy7_ zD2Ch_A$pLyO)}eO5;N?*v=8-k zK-E(!?RIN6NuqB=w+UnfDy-@0D10#QCG;ydbs!ouivUyzo5ZvqD2>!X=fslE(qodu za>{J?~O36w0ca#i# z1*SB*{;j@Qf7QJO}1l+aNy?4&Mh6wl}=Y0ho0w9pOj;R399djesY>IR`H!OaXhu zcrFsKm&k;MX@FW~rg0_Uz_>B32Ivp-FjSBvzK`=FPV9820`B*W>Ck9A0-glt+=vBW zVT>D;fXQlUfXQmnA~r7UKroDsJMeH?#86KHPA*f#`#o*5?mK{he}i*=%mVOkA2%og zwT735vy4@m@wlXT)_@bZIn4)0h)R-J94V`y`s4Rg5%3>yzUH<7oao^eC7{;g(r^hl z#cPab4LDsK2rJ_8K2gNOOaKe^Mz2G_Q{a4Eu>dslLG3h?4EUn|!T{Mxm_?<4r7tTS zuym)Vtb)tCRBVQTr@^@}U;&7Iczwx?g0F?RJqCykg8rN)O!b!qe0kL2?-B3}I2VU4 z02eCUpaj%96f~TMX_aJI)ELjYh$BHB4*_u|jaL9}3Y7)Cv*nos2v`ixx1tt+$xTY3 zf>!mu5zR;fe$4Y_Vb*}3iV~rK)h ztR3Tk;5Gq#JFCkj2zVBp%e)qVX3flhX$QB617-?NQaB))Wa5Kck8PcSfak!u+;0JB zrr^pTFVFOgc)OdYML<$S!a5)+vXfLF-0Ge^=jl}l_%ApkAq&7A9&S(;aWb@4Ns?8i zK1fH@`N9r_h3yLPFbY^YFv0;7_A<@PR`<)h4!@0nrQlqlS^y?@3<_B$$!((snCuD~ zU~&cXv$S~SsV@w$zo^Azy$N82rzdSfzyvr~#w`H%MY%z#U~=220VY=*4KVrirvdJd zb9)E~&NWf)gU;?vq^M#z84#R* z_@TmvM-k8t&VyEULpE0uFc~H-1#x`d0LX^o%arV2?op9mN07?_PQ0aH-oZC1S^fHr z+Gi!E;(z@*kB3>7EZz;Eap=N?tL+xT)k!_EVad*1Tm2sdbb|A5zd0b@L(t4=Fog zgWMidu%1^a*uVrZ>#I%q2$%!eIR0S{cx8YalzfQ~$EtOat&{{zRx7=_(L}fm0z!5LA1~tUiz8(LUBRy^AfO8)T2)@z&SwU& zdB~t3WLJ@Z)lC39^w@Pj0_I^vD?oXs%nV>lV-Z6(7KVNWl6{#8;LG!O{Dy$#Froz@ z-WKCWoEgCOac++l@f8w~zqpYg* z$v#M%nNMfj`yK*Tfb51AfOx~38{)dTZ?7}Z+vot znNhH3klSN`o#FzJe<_dqAT8pzhkkGx0WXGZC1jzX?2rpUyzk00Nxz8u@ucijmwhAe z#6Wg;Z&?M0^jm5}z>1K4V>k`K+u}Tz^Z^GdJS|f&-z^j@2$lux?kT(r0V_dve#8P$ zUbPAZasCm{Bz?d;gv&6%z6u+xHw>dfc7N}^kFER;xhg|;-yUpz-*Vmgb9fe#~A=_WJ zCTLvGx~W~dWP}SRnlWSehjHP3f3BCJw{znk}`g; zamo6V5iXqCm82IsC+EtS{#EHw$HmB11G4XTTX4+`aXT5|!ucQE9@7iwdT_4Z(!Vym z^EQs+*)N6c2Rs&BbE4c%X1M05+#ciVBmL`E>0i4~)uHWIO~{_$wcvUUYrM8(gbU|b za(j#mXSr~_21@_>e%h=_$W;rnCn^?P@&u9*F4r4M5*N;+;aqomgx^0OoU{?SYD4xD zR_)i~4ExuTU=r7msNfnV{cGfggIG-V%OHEQRr|Fx!~TVxR()aRI9&SIDCu8)j#jFV zTpq}N#;X02J(bLq;|k%gjO!lhU!$de&F%VOXXL5_+0UsK{B4`^?>xR@loOTHI_75f?QWX_AIORYh5I>TypS- zaXlOqem_zA*DLL!XOOEdWY4u~zt+bx%e67e?J+K#Wy#x#C#8Qi`gJ(%P}hU(1y=1B z_Kfr;GyWwnaTpiQW#(K{rGFhA(&r}Rst?(3_$>Tut2?7yID?woV_Z*5uIbXhu6b#D zP2{=~vfuPuaBb&iGSn}uKu zg|iBIIle6YYfZoR>B!nukiFch{i++}b~3|N6yWw4*KFB-&6WP;7&Q_1+8aXl3aj=j zFU;*^gbU}|b9;xcI>yEJWuZ7aT7JT2d z2)PiLzm|A~-;evXXKNnc-R%<@OlYa_L|1OaH38DCcS9Y6jU~TC`vI zU>CQO8LlqeoaSF2NdHy?K8p#zf3eTrG01f_Wbd$QzvKXZM!0fc^e1tx z4~hD?QTo>p3mS)zs|92iS+!qH#PMZ@OHScqdTo;awMF{Z(oxw@B3Dbu{=usKlDCX9 z!zFLVBNt@<9MWJLay7t+S&lQT0{1)ZgY3Xr^&b*NUnoCh~;O^^@m`PT*noj zm~kCab+>}-BdXxK>yP3mkn0-AK1|HHTqph9PG-1Hd$>Ku^=CkE#RGzC>ysV@x!OSX zpAieLx4&e{o@f_@2rf{=CmzjpYU67CExA(?_8xS zWugn?Iwk$9SZ^NCzh3R^zzFtskbT<9zYeR^23;~zj`}2eYL9W94GO(VrGLGb-=QjU zwTJAps<~eHWFxgfm&|ZEgUlHIK`!C6Vb|1+?gpVA}w~+ZHa&#AcvH7w89WV zEL1SeFy=?0+4wy^rGojfg@$!kFdjauqJ?M&=ci%#7!(hqfb?NA9gse3mOM`z z0Y{DceJlcA3pv@oG74&daz-Z2m;sv3bZ_C|8J{0e4v;bhPx0HA1fR(&3z)U{aXRAK z5pv3xEn*EYc}9c=C}#>&7^WaT+k9bw@`|1T9`bSpi;noq0v<2<`)vg51UZ$x77EHq zw-iPLT&NgfG{6Zwi215@5z8S{1caO#;^tdUExnBsKDc{tq)F*}Um&1@5yKXMmHa$s z30U@F1|En0r|~GDaiL*;45QC{@o=gjeU6X&pb{&q;GzZVdn4d=kWNV}u^i%L zfc@h_!9l*V3ih5mRYky?Ag8C55B7)=eG!28FtRaBt0WhCs*_`P4Di8_0Gyzf1w8DI z??b?Dkki-72it~?Fq(qNvv<-1lsCf}pkFFD)I`B&)j5L^ush@obX%NBOaSpYY9q7; zm<(-Q#By{B0U>9IM^_MX?n(v3$Ko3Qtp&1~)AjcTySC9x_ zufW3?;7IHjrj#sxUS{pg*?#2efo~eHC|P{anA;JqhmRW@!$@iB1=M>C9r()NP`BcBTUMY^vxKedcDXSd!mR!d;7VG~py$nvuxSliB%dz1*8*&xkqw`jJC7(7m zE_v^eGH81B@Nx*rCGP>Tcnb0xDhlIzF<3^g;~r)A-zVQhu3nI1^`Nh5$7!O+$iTSp z%~ZxPt-+X*#H#5fD=XuAC02$DD<bE64_11~`8_nhLTWqZDna9V1NUf);7aMqXaVW*%G!W;^ekV1MJWFw6kROyr_A{bKNEP5=dq5+bqC}eRn6;0${cCN zl`@w)Ev`Iqm~3D>=R~j!7k-HN*P8bqKrTPz;LBD_AH7O>iHN3GO`lQ2^tj|$GU`R> z>&Ezi&abIgS~#HNQ$D4`vUfsGu~mV}MnD#*^cosQ0qN_PjL__aYdWw!C(7fo0!{dM zqmr8yP4PIqa=7d1TYpEuA&^TH3k8!O_0tqghGu{%Pnu{wf|LWnL!fVDH3H^3!vfHy zlm)D{Y42MISO~eGR#Y0O*rD+i-zbm(K=@2L4429eZ zv1Gl>Uv``FuH5-UTLm!rU66Zm%Fuv$a_ISpkK~{#lkufPS5tZLM=pDM9e?CRiN0%! zSN}1OULDfNZ&Gi3RfXDH{P<&Y9D3G?KGo~N8!-1_kk`_!y-zo*1!P47{8e97URPgu ztnpx|5)MPnN8M0c|VS85VC0(463XQL6gZb^5f(%-P+)RD(fRqwL5Omd_4Fw z*tYe)kx;c;2&(q-L)9J;u`53~q~S}Gq3SIP21lW4Z$<3tt=@LiZ8I=M6sq0hhP)|0 zv{ke=+MRQ7FF(%K@ssfNKajUvkr}mk{YCKV0M#xm}bSd7)jC(NJ}E z9M>S^%~EN^`5tK2%#T|^y7ihDnoakT-x|f}*1|BZUdUU`V!o+B-ACh4;U9%_RXF3l zsOG-|pz1pcRDC-Nc|R~C@8Q;R+?vC_uZWry?cM#ij-Wo zl#rMtiDF-==sKl~?i(dZ8kZ2#l1oY&m(W{jlSCILN~E-vl>53Qqzt)~ivM%Y>^U>L z?~H%@^Umip@4M$Y&w0-GJm<`rGc#w-K!fer6kno77Nns7*Xt_W9w8Zg5`&VD(@^7r z6bWe}TrdhHGiYeJ3MI>Ts7sCbbq9Na{iTVSY#j7?7^Mp|R&3~V*CsAgLg>V1km*5m|< zYZu2I|F@S&sJ)K60^%c466%=1Jp$g&G}Jkbzfij?4Rys(R}6I%iDowq_ZW!9NfNpN z<8FvU@%?cU>Z#(6b?}=^LbssJTO#11Ey{bl0^Zw2@ZLe=?w0VE3PpQjMDsok_ZS}M zIxqS|>eiKiUyC9ILW6NFKfE48#kfqDS@iZvkx*Yu))$lEdPbQl_dY3})9CU)!23iR zyn9se4wQM+!1N2>4SE8+Pw7zQ8$^31N^CrXHlB$=(F|&TXbQYTW$=!`D5Lp$Mm!B zb@09(;l!`2{U+T13Z}<(CwpnA%*+dajg2g8|1&oGxr(s3-%+`Z zWaOSveTXOu-VHJEz8B|F@97`D^x+*S!cp4g8fH2n$MSRErAt1gd1 z2?&)b;Ch==xsu8&_asJuPf~G@f)9I~%z@y$Tz_RG90Xr`4fhzh@BvRI%C$hRT5`jg z;Om&iJ&rq%@^unE?smmu@Zs6rca03Lg&I$_Sk=xsItqL-Tst);;cn(tmRiu~@#Em@ zju_ps=l&?pQ!NqqRR3ub_EHI9)e(HRpg~;A*tImxqFl?AO+)Tz z2tIth(I-c67g&_ zzJX|PAa-&q&2p`l>koPCb^Hu6Vki>$hH!Y_;M9yocg+Ofvm*G0so?rL$_%jS>SlN$bJ)d<=YJ(%|~mEZ15EhP=N6eB+|vOJD(BBH$lyM|le1>bBLe6Q=^+N|?b+w>o9ih99Uj(L@1UT-B?l<$os z{Q2%y@Vz5~ZytJMyP4Onz6)_rSL*0=|_=a2-~8sy|~7^*MmzuVnD8#xfs6>&7|5^^gA6yb)i6FQwuh z1>f2vi}L*-UvO+55lP_tJ|%1)7bQ?XMZn)63BC<6655FSh6MOF)40uP{Dr63XX7Mp z8}8`@-cb=q=ojo0TFX#WsIm+SFH$c{K8Cfl7eB&qC@vg-nHu>G2TnKOv#ND8r0d|n zL4zVslqD;wt<7I~^#br6Nkf~R=(@Ub9_5Yq-T8M2e8(ctb^)IA7Sqn-UkQBwq@c(j z;fkTi>FN8&f?tS3+b`l!6i)G|Na|?1M=kJI#ZMf3ora>b6dqMy>D1=y*5I!pLff@i zmIfwN!}!R^H7&qjD++Bl=ur4U3=8cqib1{cF(_(e=G-*$Ou*j@{GtGDw@Ij|62&?a z)L)4fqb5hQ)Hl1YyAAv$Xz`Z>RHttA`{U4f5L&$0q-vqKH@(*!{2~0F!tW6f2NHk# z&(Ls>37fEF7^*LWoBkr_}JCIqSiHi-Nldp8G^%M8=RT3diq=kx=h61QuW&--@+i z91uma_;EqM67a`SF^(j+>nzIOH??ETdHuovn2x&wMR#Z{s_0(*-sXu`;O~!7{S`cP zB^Kp>N`1FI_FVpf8tzzg_o*zZ=s~s3{l6auzk*Rp4E$~5EXqGLUUcNZMc^Nnz&!#* z564(k(W8kL<%2hXe`E@G8405-%0F7!7wa+#{9{zyqfpeBV-)q1_VwO*0r(RbmB6EV zD#!4@7@Iwz`5f?1h~q9m(Gxl|STsO?_rWIbga0Lr!ZDz4DL3eUMIMrlJ_r7(3hp8l z4de!ko>4pcx~&4giczWvK78Pkaj$=7bm*=dj(~qw4E!_E^MlQD4U1JfKCdhI=VH{H zH2P8IsooThuUS?A{dgDFu*MXy70sn$1 z_}|l@Xp~v5=k-35rY-~jB8>V#LqAH)p#Q^ky|3OC!2dDsA0?pZ1&u`&jZcnVbRnif ziGOJV{V1|1|8il*MW5XX{?8)dUyg(m6c$x9Ilf@(*kRybiBVr9!9O|8qWoW`Yww$0 z4g6oB!PS`86p2L@O^x{@>H!KM*6KgSa2S zOt6Q?Uxy?3D=8d(otO;ZaX(;Z1jmzlviaVzs@A2kU5V#?YdmP+F$YDgei-q0~%j*CK zb`a>9g5tJG7FFCn*?jxP0tnoi!X58F6e}#seY*1ROEjXsR!YACX(;-=!x+D-dHpbwU-50>i;iAA~3mI9?8Ermco1$PmO&(c{`aRdFj zNmbCJ14IZsse=1#Gp`0(NvFB(AnYY(7@fu%ak27#F=++`4t>MWJ`kM0$Z z^@hM483MC)aCbHHx=tE$+uvtHpd3?{;|-d0jHf!BI&ag|ry(#8i##s^?(59FdI$@* zKivlc@9MZKnQtZp-j9)QCV;zVLJ%PEK^%7hM^!orEl$BXk7D*q6lOoLG&(Kybz>BX z5cotDj$f80ipy{?@gU~bTV{&x2h{otzQu;KDup}RSQBMYfi=m*n%Scv@U@0}4BQWK ze0MxHx<`%AAn(T5V)rhZm%7s36M zS*}5H^+_^7U=L#KLBikCJk{^=T_3i;97S>51#l}Oi*gT*4c4Ce3IYdY2A92eB7jlV?^M*X9L`#G~* zW0F7r+4f-wf&f7w0l{ifmMT~+dF*)M+Yl_!a7WLNHOnST*3rQ|Sz}S|SAH)Szq`Nt8VE)N2%eFEV7)kt3f9wK zowgoOgi&WFz&%}NQSMptf>f2B5Nx31u0XI6CO10fU?Vl$uK73!o}a{B0{3i@MY-P) z2i3cI9|W7q5Nv`eFN_Olx|xK(Qn=8bNJ5t-q2mHPA9YYks4d=W{5%H1t7zO+8vepi zXBxT&<2xrH*p-~Cf-w<)sSv!5+Uy>|Ur7kwNJBj^bR&jtrlDIfbTfuzYUK`0Cu6$1 zV#Lbb7VJ|A^22^m>-BX1|r!rDW(`y zl!YbdzltKXF-Ql`ktmDu{H4B;?2S1Ol^{4I1)f5a-W~g5-DT|{I2;WQM<@^JS%RY? z5PU8Po;tKFV{{0P!RG}tY1|8_`2uPNB%(P!jys-Eex-2}Q8FQcw;yE^HyLk#)y3={ zF|+F{to*WRF9=RSlT#Go&=9KlY7~M~H3&}ANV3<^4t^4=HTQW9f^VYYo2a;yDr%^>RD~*Eqm4|P zT&_-jcr(`FG97PUD^Rmel-OSpg~mr!@ZjJaL#v_?T&aQh04gJ-x(;?^j* zquI}C=v&lGp=L9h-C7KNuL%d=i4)Bq6S(8$?yE5pTA#ulPe3zu6552C8!=rujl%(N za0{MhT8ShMyUJiX4Z$68651KTUr7k=qME;;WLE;0bfNj}NkhE}DG;wp63u;R^H&Xu z7gDnQA_RX|K^z_-aR)K(fR_2VUhq(weq1j|hi}275(JN=pm=nOXdc7RUug3=n)|<) z?jN+dNMjBx{zTh8_F@-=u;&X2SiA|mU5Zmu3+qn44MJ6Q+!ZK(n=prJh!Co-;=z<) zHbOPDOYZr;2ZUiva!>FPdk2*6}uKw{pgwDpo zoR);pnI>a>X@~yxvk<~QErdN(sJ_Beofn-}di*U2ogc?&5klw1c~s-buf4lp3?b~- zLT5)H)L7( zrI^ZHsh-8gx{%ty?lf>VUOABo_YfKr5e{KPDNfPij76-m5sZ^a+&KJL z*j`omYea;ECg5dhNQBVj2+JTeIkl?Yr++|bQWAFwLgr{Q^s?}{(su`hUWq{HWsH6; z%2U0Te1C4wIE1EYxW^zg6RjKFUudRsdGG61LTE+;cT73QjGCkM2sQc+LbEYyHb%WE z@ldxQl4iOtmU{{;0oZLuhpbLSLfM*=DM*#bvEx&qL@NG`I#0t}-j>J5k-Y z`e_J#k5S)Y)YnN4|3kD#>Cv<{7}lOR+@;Zf_;qt+fpj14K=We9zn;89zI#F6kY z2yM}ES0MC*X>hyTYu`;RA+#d~q3vnJ(0Qs|%GUntAB4~^aohz6t(SS!UUf}qA|B(v zCUB2HXtRm&yLRCw&u|FsPvR~?XoZP!AiaC{sACZNLx#{n9YWjE+~DC<>mG?+5IP!z z(2+ERb_qP{m{KGk$7B5OIPL<3ewTREzv|)MgZDt_L-0AnZlLy=Gp4*sb46N>PU0?zK{$%q=ZPeAkqqHxsNF~=p-VK}F}^9)Y=v4+dbkHE&0VP+bxsgul_U4TTqbLsH)fnAH18w4)P(R& zXy9s8xjn|CWMS!sH%CMGwlwAuh47s*Vxt%CcP1cwX^MpI5g~lH3Sl`-Ligd`8{;07 zxsit=J*)iF48jlSn1=*mCB>r#E3Nz7(+k4!2<8!o@K9=Tm;&KpX!Qmf8iCeEU_2c* zhDTxCD2y9tI$>PuSpN$)Kscdd9vFR2lG~po?!M;v8W5f+K=_d)gkPfkQ!w-rTJ0?o z;;Cru6&1phbP~crXm}dN_0+hLnNqPRw1@C?9m3CJ^rZ;aZ+>Dx=QIe*bY4QuDU(&c8NeJ(dAiRZ!c10lk zGfMVENV?tlYd6L%Ns!R582S}MzeGvs_Xvc4L&*V|gz#!VtSb;+tC7$j82ST4`{N{Z zM27HTl>9|C|HNN^V%#RG`8S6C#?Vo!d0d6?@hF6UjA6P`h(f8LA&)>pRdJ?Y)fkjk zC+SM7TIsDc%^wG^O~f6yvI>By=W*&csldro%hL zr8w>=Z9wzGfobVEDwNhr6U~MgIuAqj6C~6~!5t+{sb*9B)db^eQ_Tx8bODAMQ_YJs zD7`2KrI%o-gyLp8EDB$KOY>3eh`7D80%|byF&R)@Mhd^i~w#qC#mi zm1HKP4Ozm%=_J%khSEEA+$C=D-bkb7PhAV8ccb{eIF=#Gqwd!q@AUh66sM5|ZS*!% zJ*-`Q!^P)7=|gD#Q4vZX6nUyXsh97X(F4T_8pZvQG>__=yr9Rrbx`^^=Jhy6wTTJ> zls=ijJp!edQs99oc?u;1%w*4KH9tQ8TPPib;vpiG-mY+qLsK=A2TnsVT6;)>QpHR) zLS6Kee;Jex$5hYhP&!QKsYb^ST!Y`#Djg-G(Fl}|)OggGSk>g}rsqR!y`~S zCCyX4sw`{t&qTcPN-_TSfB{;V74&^bNE+ zP9UMT(8yaTnVu%0cktIdj2n|6p?C4uyRxvQl!g|_Q2L%OY&nybW}ynD3!_l_0gYRv zKq+2H>fLF%Kg4t&qV`7`vGQ>ecS$&eX)&}kj=LZnT&0rGrz-AfWf`@yJOQQ4BLZ$9 zOoGCR#xg$>6(}5`vX8eG{oN_F0h$Id(Q8RV6$&TFbiRGIrt7}#4F*F~uSDFzG+&I_ zXcoS7&ekT-w5~{Mf@zMIY&2_?+_dd!Xey>i#W2lrQ5((E>UK{qfu=<|X-Z5p5wX#% zIdt8XZ$r~U%zc#1G+z*HG;55QFlIb7tr;banrV&|Y&5GsIw)}^G_8gh9>{SN&mn!pVMqK ztF$T~_+t#Zeh?x39kcmx09v)MA!d@pXJSy=V>t}~!)fPiOjUiSI2 zjb^Rak4!xW%AQD(VHLCau2~|J^R&;qd>n%^?E7$R#x#?Xjm?@*&sskN%KGA&FCJl< z??i1hYdqwJ0Z{fRaz4O0=S6HZtDg}&Gz-cePLts)v-!4YqgidNEAb7KJ*bf}Fw=ZX zu+c0Sw!3f+l-;kAAve=3*KIVbesoTg15nmmBI9qW16qaHk!iY;}5KXdW}$XY0A(Pj$IRHnl;9WtS!~KGEou2JvGo1yFd_(p@O8k)lKc1atX z;$`u|v!QEmytu@O#%bCrx{;j`TH zHQ&ZFzVUJPqQc=1Ujt3ZO`=QVL=*7Y?l6(%Zqanj>;GmOX!2u%+~sAOL%BaKnuRa6 z>c113e1kSSbDM*C*)5v278NX>4oy~~&1NxX6Gw-cqr;+k+MUxDKLSl~@PhY!ndURR z>=wG>I9wB&l%vhIGRu9S zYNJ`L_fHpAgC;Z4=J~wrPbF+L3x*%*@d-3}B}MMgGn)ex8_lXKmepDSO>i)acjYsh zHCNi@US(MPsX@?W4B9+9VQ2~`HrtgQZXAn!XOm~qX01rZrqIpKrf|t^dsaZxFy5a! zL(XW{R3n^ov&7m`&B<+!-vMPf1jm_@M3eLkFG@C=HRkl2u>#6oP|4&=ra8z?v-*Sc zuGgXLd4GXc=&dDAU{;waL9;&D!cUq3k&UaGojC+@aWL zR$V;ug2$k2c$!RB&1hENWS4uDrTrRAgR)^7nem#@tof^*O>j>;foH=ZD&QnqLsK}u zUbD$vxPHx<{h>@j%_HfI&BB=?(=42-FwfUG)NaWfXwp4J-V7j`SAKxTB?cl0RpN1wEr^!nkOcSrCDson-b?ECbG&vt_F4malRM|!ozGye&B4}JHkQZgRrevcj ztRD36hY%}_lh!HJBdJx@njaB_r94@~F47Gn(=o#{|E9Urq^mNaCUDL-X1 z(q!6CM$ecpRMts@z~WR!CKSsg-&rxhIYybZ#?-pPrU?jiA|mSNmm)+DFR95aK0{{A z@vE1>v@PX~%$Nn}ZyCY6fI5>+!B{ApD?;F+WJYGpM=W~-S?WnlZ+4vMy%`0Zwa8>s zFa?yoh4}`l4BLh=t+MI!m~Ty$=}k_dULY7D(=>^U_>a#0Y-5Aa288g7k z@a6%|0ykut`Qw2WI+;VBk-^270%G;CzoJQL{a&l1tlGm^j&o^R%)^-7UT;d8%y4J+ ze#9S8uZD#4TH_g+G0VQJn?h#Vb6E`af_vg*ntn!RymU~uFa z&MyB=&FJB)3n(jFgni#$Dnp+d?>RJiI6>ZZF!VC-Of>#pBkxUQWLtk1N!rCp@GZ;e zW!`cs`$!>gI%V|s%_DkUK9&LBg)(HBcMHot#{MxbXJp0;lx00ce3w&YvPt+0>ZvMu z&63Gp!5>iX9ZY*RTE^elN4%UQvNw|C%}^#=gukG{VTrs}%4Dy^h-`O+ycdSy#7 zk2|S7sux39t#pdKvYpX0-WRXeS0nF?6B(8l3Ky%i+g@p|um*)og!ac;G!}${d!g_{ ziM*Xo)X4@yHC*HZQ$yx@%@Af5zQ8xrsTNhttdo$*i|KWen1=Bm+oG}fO6FRPNGaFS zC|ic0aJfeA|7HVzRzChX0``W&Pv!p(h%dSu*^-jv3)n5i(o(<`Hjeu;-JR{g-f?z^MCPz3 zwoR^biG;~UWX@dgNK^^`P_FF}JFXiSJyM8V58-kIS{__R7e(xwTt-*MWX@cl#E1^r zDA(?a{@QmSaky6Jzme-=1@t68OfI7fV=}^3;fSHKFGQk4 zHp;bM;9bZ;W6=$PEies*e`t;8cN~d;k3ivm)!uQqM1x_@3TT`ch};C+5Vh(#18&U* z{7bG-p?Cm%anY3}$Q6geqfrMgV|>FH49D4V8RrA0=UkyXb;eH}_fN6{*VccvJ6B$N z7IHlbh5yDJxPIeyh;Iz8>_%mDZ3iPPKcZ*&>u8n_WrF-9P|gv(Vm&MMSZJ(b&Y zlt2eu>EW8gX~?!a}fOpV|l&XpPY5IJ>-hW|4oDJFBQS#Lw$QYaS= zevM_Dz;(Wo<2Y9%ZEW3f^$_rJaGmSW$7P0VEaP4h!r+n~Buq9F&=`g>nE_ZXkuce) zo;A6#vmT3k2HYTMwtf!iidG91@awdxsMw`B86 zgzI*N=~1rsHWk`2v1rAKy2$k;xY|2ZsNG54dpG7_Y$n%znpH-*a>l|&g+8b-J<4@; zj8|xv+~J!mCjL?I^oIyI09@B7_7!Ri7?)WlCSYI9Dsu*WBF*$D;Pra$ks#oTbT^K7 zwL`9_z;#_7T)7V6L`IH;m2g=%%8xSkXoU{Pm1R z?2^s&*U*$9F=WpEG9J+oUCMQ*%>mFc@zgaPZbYu9!6mDCaE*#v8H@v9tY(!ta~U_$ zh%V*2N6z(^uy>UBcZazrkZTaQ?iC!kjEhHNhxn$Kg#U9!at2ofV~maHQLfBII+0Sp zO8DP9F=l9GxE_e|10WvFJrz6}AG>AQKm>dSTo0w}0dZX)wsFABeM%yy8Eg1Ir|}t% zGoC_nJ&6ELOOPlfD(>572A*F7*70TpmPE;#_skcly}nSisBhQyFj5B_Js zxe7~50SEALuj?71BA{p5H>h4P7+eD#njWr5#5NP~ov2loAK-f|so}xF=GlIDq$1$n zXsb2|I0RfnH3ttaOficl;9|`x%Mb9A>?$59@izFpR1xsQ^gHVia45J&r5yl2jagxO zNm#9!`|m_fpz{M4raH7^I((w=i4g=SC!5+}|EU`MxGU0%k#|uPG zWX`?IT9(wPV!X%226R(xs#rQU<`V>b7F<)3_JH0WZK~LKD#Bp$^Wc{3DxQ(Z?f%H= zB2=Z;HOMs_T&ir(W$U=@GAq65xLvAM=Il7*={wP-H9O0!**CP@nte1rzhFo)0*(OJ zoP<4~_qVh)<6aVJdU}>K^K;xGmD!_!Z!3o5B7mz^8G!3O$-?>fuSTws;F>38a}}_@ z|1aKziIX}<2$y+*M`dx8Wo{OeJc;aTjmRXGgvmx3=4S?P$bf5K(OXE!Fu30F50K$G zTpKH=Q85NwJc}B^Klw9sOtZ92pL9!3uk9#UE*+K{tW$9mX1Gf znSOYA;YVK}!;9eBns#8AEwi%Z&rqK2hwY{xb|twV^d=kUBf|u6?R4_PJL3P&@E%V~ z{qRea`{CCpXXrT0bs;iL1Xu1IYJOaNp#1L)AMv!5VPAqX>`!oppN}7V4jCqa>$iwQ zT`W!gHwNRuE72u&W}G)yWc%TO#2NlD+r!v)2a?Dz8CTv@92iVHCvOjS467oHpZejj z${GHQaX;MM-E$u@yo5{qIkidCPW}vE$yp4?1kUh}=?A^{wd;{#3a%w6Irzb}lRraB z&0;vt{eY_>b3g2k{Cx*9yo{?QJNaR)#O$1$!FV`Nbcr5q50-n_q%O!}&14?X$_U_M z&75IGdHft?cm?B~{IHQB{6FI;I|lRKI%TLKbB0aYYP?^f3pjlGqfhcT%=%Sa*3qd7wz1UzPw{`rI&_Uga&LCl9^N(K8jwcu z@yo>}n_807#57zZQp+wrK7Xgz5}A1$1cVg7dmR7c>Xd9FGUuVCF%N{LHMowXSz79n zy1d&i^2RyWnvUMF$n_d7P?>WIWn7s#9L)Bqa~+Fharq;>xr8E|YwU~{N=sGII`zP3o_3igQI$oU6*ir5%xLIuwnw$H)z1va zuzlUJ>D30vH3L@yb!cBXQzcHFtA@z@PyN-vZ1)Y#_O-#?&4pYuaT(IMy}!KoY0OUL z)T1$TmHVra*}j^Z?W_M!XT6AAvvAc@hxV13Z$<1>4i|Tq(Y~T)`)X#kuiM((hBLzo zUWcL!9NJfAZdm1Ul|+dxveA0H*lb@d%=Q%y?HhtzvvEyVhxV13$42Z_j=w?*u|+n@ z)hhGiCGFBz@cqq`R+%%7G1-ymn%=owW@#x-hZygWu8#4JvUTjn!^l+*MI92^HN;LV z_!SIh$8=Nsh*jpy)iFzt)|zE<2j%LFwMTGpEYwBdT-`7HTNEpU(S+nInKM`K zv^A~473XOw*Bu(?x>x61M^g#XgpyEnr{KW#7_V}(s!oNgKetD@?o&C}gJu(Iyt3y! zloT{<6kT$Tc6=t#;_5aAE7pM_CXQLZO+&NaYnUk&d+?N8)d07d+w0WeXaWQ;N8ge0j^LkIn?7@k;>&t%FG_+8fCVx=gsyt z>EO%lkZTbXjdp5Z3!=+4NlH*vW&=Q&RFk+)>Eeah*c+DA46lXTSuECMjWw9H!AkGpf z!nIej2F7e;Wtkj%@~pRL6|&B}!A0aW>+%Hi1ZG`j?!{+e)=P1H|CB@4?c!FG&ZV}y zUfGdmX=&E;VwS9b84~S-S!?)V!<;^vl^VY=T5)KR8pUMgkY^C1x=Yq&?WWIZ-@ zdKb+4Qz)7rvoAG%%z)WEdDeEN-Y2oNwAAm%Em^nd)M^xFy$p&L<}^KC>isd6JnyXW zqmOK(Sua*t*4XMlI(X;%nDuh}?2VRPYCd>A$Woh~1Adc{2~UcXd8v))5T>b8L>8_Zx{W$H9-=v9uKDQ`O>~ z^46{XMV!y^^Ac%$@8I_*SaM?0z}cx=WsW$RS0;%r$H6aHu(TBC^CZK;1JfIStU2PW zz%N?p**L77;3qFwa$?dkYdcQ_>ZVy*n)Pbkl6B)d=k>y@zks4IMf1juhFPzMq75;JQp*Y>KWWysb$y=7($Z3I5iMEQsUEx;v;GQ-@HKMVvtG`m zO~<;nYvGo;Wi;z;DNEKb?v3@ptiOh$?V^3wfkshAe)3Y+m#uoV*Rwo^pjrQ%uw>n* z#Uyg~dkuaNCgqTI6U}NeuTtapWmsC8^`02Z8av!)2lT|ja=|zFsnSGt)~v(Lykt&H zkW$kgF7q-vlR4wGl!*@6c+;~yV4yg^$rhY13hLs0!h#fjV^y`s!4I@jdl{1y$MWvM z$t%X@#EhSDW%eizKB~{$T*eOfky%d(-VxKjBc$zuw%m>e$q%>k2An^` z9nma?64MWX%pD=Diw-NdcSnW|;PyKC0q+=Pw)`1-%PcMRLqOwxDAl+h)_mC$i&?M{ z+@YjHdw4)+cJgOTck;skk=ed1-JG6%vBFs+y4C57+mNIlO>!Ooc7fYv(Uycmhz}?ZQF7P&7X3L*pYMP~`44urn z=wkYzSNWMUkYPKxuW_mimA9+>8D^%k7`mE%xX$#$Ie{2qD%DXXaPS-$I_DGLtE>}P;Xd0idpXh_pJ&0taIKRcO0JET@PEXrK#s- z%`!&1tjqpInDsBzk<7uWj`;kuV%kFORsT_}giCJ9F zneA(g*}l$*t|aFr{E{H9|DSaiiyx2wayyk$kNDk7wo!kLHQU!Xvwe+jID}l{9>8y4 zI~ks*JO>iuUE|W z^_}iLid=ty`z67?9`Qq;%ueO_%NJwzDA!c8eZ6M3uh$oyL+%Y9!Y_q7v@iUWD6>;J zToGo@=5~8WWGl0nY8F@UDlLoa zy*TGu80TEOYd!M=a{UGF_mU1=9n;KC<#1iY%o$C4QG|1S6yaPi>|FLCavcNrBH4k< ze0R8VxMKVeruF!7igPVZajr%yx1Nn$e}nrI(SfUn!U|Y9T-_tg9_9MfY+s)#oa?Ib zSI)r*b^rlFjvv$@QJtz79Rs^?Kww0q&Gj`x>dU z@>Pz%?#OQUYt8ocquIXx{Qa5N1Y9f?+-sfM*SKh6KfL-e9*&Gz+G!KYo2s{q`;IJK|$css2W zF8sJTD<|dJXL9W~+t-82Uw-7O2JU@M?dv0j*{KvR%i?R4OXuyY@O8sq;QmAVOg|Vz zuIk`Ekkh{SnC8ALF1s;J*BFjtGK;g5G7x@aOmmbU%aq};#M}6v8Q{QA;?ez z+=rFyHqIFy{eKxc$DJ6;CBj5D%J3KWgZrO^ar`60#C{#|HhHz0;6CQy2g}NbqpsEdKh|IAQwLS<tZdHbPcvd+>{ zhC-P$xJ^Hd{;bh?$lwA`q3XaeRAP4WW(W>fSX#>9Nwdogy!+N=)<=6W>mu-ok?gG5 z5I<*GIb$xxL_7$M?W8z%Q+tA=A}lS%siPY%u`Dg_@g{GXeMJDd+~BDjt-#eQfo;li z51KIal89_@irFSIye*BgheWHa6Y5zvs)sTNj?tN%as@Jnjg_lZE|jjHgj^o*1ag`z z`pe+TW}sZb7ZhguWL#_=d!lP{jgPamlq;gM>f8H8eA%MScoPY~zvnqKk?khz%^pgV zVwuT_$%o|Zq7qpv1!Xx4j>{6tEYoOd*7e1TRdt@&;-x>xD61Ge^<&u#+$G}#wvqgL z5!;q|%|u44D(BUCQdJO~$dX!IGC9G7lJq zrgi3RkzxipU~md=4;(OOUYTQC^5OU@vr)iiA_r_9H@Y4Z@RH=0t-Fvus1A6VCG9hKnTuAX6Z?!+RcFg1#F+@9_%RP0$#0MHJ?0Es&+bfu2k#+?W)+a5(oF7 zw`N7aoNAW|pl>5%re}K3H3<&bwSouBqUZiJ=P&}6fah9={w(;q&MXob!-H>#R+-^J z^R!0vjDzIOth5x+QX|xZ*O?x?v4RJCs9n1)i6NjDJl#*xgE`)EY=geF=tpCtfH)kX zx9`mkSJ-|quD83Z9JzepxmmZbS<75;?l|u*mDwgT>bRUmF&w!p#~S6jL*+Glw~*WP z?vZzt|9v$A`oVLjWDgiLpBtKh<{*s&S_UjcMr$_bd8JXa=AeiI-dCYpCW`^j{&IIi zv=d9FA!e*WGRG2uImctf#(Sa$62Nb6Nga8<+S>|9GCf&CvhI- zQlb@)gzJRg2gHvdR~S5l9QI96ls6lM|E1kjN}5k0WHe{(1K9FE(+759(+}<*r=w* zF8ok#fm~;SXPQG}w;lhRt8SdkaV&rG-{fi^VRFhfGo8yN;8z;v_GmzcYG;FImg3;A z^(khD*zP5f%G(sR%8Y|>i)NKke+9S2tTI}mmR_22l}ou?;F%{+I-=J?u6p3feO$yE zT5xB?YTMxYg^3J5XvkVJQ)NQJZ#1+8X{*fO+QVg(E2&rHdOyCc_tI~Wt3G(%P1yU( zGPj=lD`)<`(Y|`BRtC!Dy@4~3w3N%z5mT;3k&0X&i<6H>KSr)|z_ZAq-CHIaajqcV zZ_8{nKaKe7d)+FdT$ykE5jp4b@8@+(xt6FExt3{zN=IIfTn)gpRI>M%FZ-5tFA4MT zO;Jf+WaC`H{h}c;WRxp-Fq>b(ILpgvlQSQH>!s+u&nJu0l$jnI!@U5VruB9))yexdEoil!ErggD&@-Q zRY^m$ZYSqzmS(z?YhA^&_BuU!^`UPcM6QP5!Eg2DPV=A_pE+k}%9ZogEP4RsT%kMq z%W~SHT-YCFRsr{Hj%HV=uqPaOSi6mkU(W~6hPXYT<%S}0hyj>=+A@yN(>3N0<~a7% zOCl#SS;GH8^QwjdZZofrcjjD~2zwW&A3AtzYXocro^447z;hxb1F~^I%N%X3&d|%0kPB+3zxqK-# zBQhOlS&)iy{chIm!3qQHKja&ZOk9hAO~A9?p=LAROeGnajyo!4WvpSGT_0!bxJMP1 zmI5A$@}~Efn$z@totWIOH#rLdn}X*^%E5!?{ZkWgIM0O0jAJ9aIZ_V>k4X%M>R||i zL%ECs{wwF!tg!uFVZuMp?nSOBc#aG9T)}^{I?`UmcFtmu#vu`xh0I169FJMc0!V~Q ztil~9*2q0(wmErr#r=*|Iz>YIgKXGL2g;DsO-Iy616-8Y%+E{ZGGECVM0IiThC2?Z=pR;bzi z#mW7#r+vuP48+8_E8N4Yz|`ml!N248T%I0r3`xhL-v4I&lIiJmtz6su>l!Ak7>_^c=Y?Yu8Y&JL9=rq(`fF*3$aO)fU8F zqP@RL%$XP_*TIODAule=FqLxkuHY}gN5(5Qy;Xo*5{UOX_{%cu)#S1{73BEP(O;Gs zxRmRWXs*8mtjFOS-a3w4mxK67%E4dR3`RZL+{*f|T$U?v%GF<~z_tCF*rwL~&PT3x zAoh#db6MWP=l%)=6BX()kiE!&qrWV-btu_kAhrRfavsTwEx<^Y`7{s z;E?dxv8+2xxrRq_xk$&~Vdp(3kgGk2Lv;s#WzUYI_2?^CEBMRzo@kX3e}ON1MwU!u zmfJOy>$wWYqp-a^vS3-qn~>{D5J!pjT$VQl&3bfeRt9=J<~&KGT%nMhr6&p<9`r#{)s{@FMxII@emgNWPuVD8`R(=}hXPLE2_$ilV#w?K;{#u=8X(`tP z^GrS^mDBMf;MV4C)+69mAWn7wv`kOrj`QNvhRjAY)`&yyj#y6?%R)KS>)j?^#o>nR*2- z^w;ZZ7jo?<_XRJP`4a5alrWwfD%E>Wy9gX>ZoF7v)QZasL$t~$SI(kRvP9ZI*C^AYTpz^w$mc^n`v3sp9`Qu=ndB<{8W3&XSD^PM zbB;3VxSYl8DPYbMQ37b)fE}Th4+>JiB@zc*mNV8SfX|hl*AxM-1#xNA-h(;ag;BF* zI?J49tf6QAGvKE&mX-p3ZgwH7YyfvQeESLn>;j_An*xRhZTdL7ySx7xaA7J7@GBEA zWdpdo`t1Q1ZI74P>X%%lU0k_xy_9(dcSp+NtaibI9HO!tl47a-(_@ArzC2o%b zrepjdIh?9^6#snFkPrfP197`ef&9!od?@-}UHFm$8(zXQ&ZSy~EsLS%r&1!6@H?g{K#iGbaq7?KWv zDA{^^AM@HcC4ZYH@U9+76EU7VvkcBToh#nO%L87T4nhGz7Sz)DPY|=2lOW^0$zP= zqKtqyLa|qL@L&=ZjLihRD`J)92RM=!m;we<9FTpR$a;`eue*OL0``F7(zpZQ+?W-n zmqbqZ_wq~#bbf$?nK{}~7oVkbzy^9n5AJMu>|6xw3B~mj4uGn{ESes?KTDP$-~iq{ zs0SO09I&Za5%8ui&3hr>O;Fq@=>YgrydvO339BqWz&>e~mI7W7<$%qj6#<{`(39K= zyBUg`r5pegDl=$$FrFpL5AXq<*`t6hVjS?YL`A^$Q%mkfz+0fWmF@sIGQ|vK{|;zQfuVrc>Kw3}UJ(%!ay8n-A>BoKgd-n z*ZmbLl=$n{8I4@X)eDLraHvqr@}eX^%9V4+E-x-`PnCgDE^MvY^xIItX|l6pweP(8})GEEBOP;FM?u$KjL1qirt7 zF2CCSP&_4Ne58vr@bZc_Wo`lE(_Y@yP675%57Mp5xHsa&A~tz*FkuhR9ifZ^tS+ zuD3dNR&W|}Jp{#XJ2bSMnQ(^VPT?GCnUO;sw@|g?DqeQ9FLFH$#S0w#1vTf8;RLFy}Q1>NuMh1Q{^-PYU8V z_^`|$qkx~v9PsnpsfNWXMplA49kn2KDXu?faXxqhth zuoH?miVfNi(2%Py6#uB%`^)mIfpb}G6Pdv^PGR|Re_7_}Q$2~WPKYr*%C*@%B(_De zE0nw!c(m4So2@b^x>+nCE=2i2~+KWTiE0Sy+I3F!+qj3PJ&YO;_mb_VtmrPMxM9S3fA; zr`XqQPVMxPNbO|TqEWMEOCk(Li!+}@H#5rC2ODeOZKMM)JQDLr^k8vdUU1 z74=%8MuoI-o3z+`Ah0T{5w(g|Oxr@QC~KKUS?ThGm8B(yAT%k-WLR(OTBt<_i8CbQcB^J9+Bs2>B_w=PcI zkL$6d#zyKYFF#s*M$#I7Bn{ixxE}*wXUnJ`f3W%+YKJ85$57@#{*QhP_Pc=$?Vp6& zxp$RM>c?%IiI!Wz%FJoE`L)MAygd2)(e#<=#~BI3ivl@bjrJJWoXV2LNPGMl$&HP+ z$430PuHC5OaecD(cyryhThNaKpmqZ(kM?*#X8Bi+AI)`J^Y^3aGt-Z=ZT$FKoH0}1 zQa>J!SY%MUb(*(F+6n&m%vxwAA>cMtUUSq(e#<=$MO`j zXSTmPTmTzE73aUj&bD*fNvV^CBXQ|0*4hov&M{QYS9 z%=F{DB(ul;XjwCtw@1r*wbYNdn*HOg`Sp)$%KsdLeta5g_Ym{&V}xPS(ex>wXM*PX zulf7Y^qJ|$<($v-V@PB|(~mfe$!ye*56S$ven`nZU*Ai5OxtnK#@B_n*VJf%ejEg~ zdkcB^v8l}Rr{z}iIM3vqk6NWFrp@nsZTigg;}=L|Y^ER2&u*hJ%r~?;V$p-zPp7ya zpVo8z=sbShv0U4E!#$^?AD@BR{bhSU=9JqQKjJ*X%$Bzw{n<}WPT7y8pQ=_CW88!9 zBV;!2$I$moWSql^AN{u|Oi0_~Sb_U-Y$V5z=q#u9h*9HPzc2*-s6g$JIajT0+>`T2 zi~2Fgw|O1cInVG;*^eR9XJ&g`m)-VM;Qtmo^K z`|*x0(?3K%4u;xO9FA*$_5;^b_hSM}Wo)!9r?5P^^%AWpbG)7jnLac9xB;yi8*h*P zVTmkRjQDYkYLP+hd1n7OFSma@xgXDojeHUPI0S0H5w$o#SZV7nRX8LglXEyyfD_chW_*R6mP(NZ9k-1M%`Op4xfF^qJ|${YmB^(~sY$vt-1N zp+97c3~HY+`^OWx{o~2+KYFWg`UU+s0%{*~=pS>+P5o$jO^miFrJ^q!@Au@UC4S8L z=t5q{b?MSL^M&chqv$1L<9-bN&Go1ZHNb1$A3+(^@o21ntm8@NoM-T6ty6o%sH-l# za3K0|B-E+p&_CvsoBGk$G0iwD$B(gOmc3}jdT}22m_9T8cp}2=aXSc>h=@lG{I?+>gzc&FF}Jd=BaaH2d?6tsi?REdR>!qnyaHmzN(+pK(8it4hqC z>BsJBmW=xGmZU`nb)vj~tP{=YAIZamleEV^H{JXs`f(K0Ip3jwwDn_u&Qv*m%znt6 z*Kuv}nd!$Gvc*5feO%vTT9%CZabUtCgE|uLAL~dJ`^S^{@%nB(&qhCvhB}uz^pCcF z9I3PXE60!HqFMGZlzZ-RJg&p0&rClSYRsP59-kGnWHEAFKaYQn4fUW-%fD@9|5&avrpoc-dt#Qoy!>eT%=BYjX3l&*c>aT{_)WY{o_gRKc3&bd3E&TSg7-Wp2vA6`<3Rr?)T)3qfU7o9yWbu`q3B3@|jAG z;SZE7+5a*3F7Pr{@BjFIT#n0e8Jy$fc4p5xb2~V5#-&1GCq=IN_)wIzBSfmHBo#G; zh%!YH(k^mKOeN`}HWeyql;oO;E}}F!NRocfT6@;od*<>px#8uvu^#}NZ(AT_h8(bzO?LK@bo+F zHuwvsFaO;rqrjlg8DTP7U)qi;mVf#PlG*5NP-W2Pi<@Xk?)n>#QgHejhg6du)KjAw(sxtyqq~v5 zC!yYao5@YX)Q!{&r_Z=hAlbd(+SkMvXA~IpHBB%XuYHY;XL$_zj0ccV2KAzu*1p?f@_~al|f%XDo@|=V2Vsez8c>mnq*M#{X~YfuUhL< z&m(=apx)aywJ&Yk^up;&+cv#m`V6WJ`dT14`eD>Q<7q~t_6=fcbP1>4=b?<~i^l_NM#oaLeWIzB{f#`ksM$KZ(}0FD<(lT>F}|7a3%d-3z8K|LvIB z9^Ef(a)rcXy!JKejD+b2Z@f*e){-K<@tzaQ)xPs@ORc%(>PL~jIZ*F(#G1Y)*Myjh z|4Hp@(o;3tyI}fER2j9eRAW92`ucL34f?J#>p@PHDHnzk89s`H*vo zUEi0wymaC88A~7}yBA*j45|$JRw#@YgT7ZnDKc97jBRbygPiV>Oz6Xj%V4qaIMTNO za;~=P`(8&!`a$4bFnwtw`GwPGP-W2frp7QE^t~NSkx}~AOC}lQ+#r>s&wkzq6>24N z>py-i()S$X^b1)J9ckIUVEWR&XG7_0@_vkEgVspQX!85p?=e z=YpBh_pruF+@LRQE*QkDr43oOLq`*XDucd6g849pjiBl^;66{Rm-mJxjhRh+(jKnt7} zedx%qsHMmVeWv&MQ2M?`DsY;z#q?m~`R9Gtb^U$@rg;f+w%hc5X<4K6rO7g)?|(k; zTdOi!4EnN=9R1*pH@{k{jL?@~gQ@9D20w(-={w)hk@KTGU|#krq;Co2#BKV%|G&@s z@(rpC`f5cOF9v;eQe~7rV~?2DzEc^|htuZfIm%5)-%`j)sMbS=<-E^WJ0p-Ys(qI8 zzUwrWU1~3gH6yKt+YTN122}=q^;4-*Nlj}IV?HRQl)lE2Nd~!<*H!LvC%&hCXA5%An6!JIk0p%Xy!%?v^=y22}=qK8J~x<%(Dx8`YtT1IVSWwzCWg&2oxbrQ zvrMONN{T(5zNb>swhIyp9#hO|b^4~Kq}Ay&s50n#g6kRdJ%v{F!=P`rYLY?jghYn) zUD~eiG^8&Ix#JWY`sT!#3xmEzDKa{3&Yzzm)9G6fPe~ghv^*a*%XIo)O{BRfkCjoA404}T)9E|UMkVAv6%OSL{0ixN6>_J? ztm*q-_kC&hE_~=Ps50nVol2F8R5c7}CaUy@vGZSznPkH5xe@qVD3lc(2^gdaJ ztZC#y3H*`0joccHKWfIJ`j0x#smULEBl-_Vb%*|=?v#Z7qxQ$-b{tGq;~Po;kvk$r ze+b|9B&nX$plE=MKei;GcdOv~(XEam)HRU*nFu}mM-a>yDEu^t{|i5fU=X3&nuMM= z{P~93dS6~GDEwT8j=m^VTQ2gb<*|-)mEWLnH%8^7>VOcB8j!eeRI59nFfKxeZzU-F zQDISqKgRFfAs>ap9}*bwy#R|;7FFXiZRB@b_Cn#21k@j;LXDvsi>fV#&#wG^8x)>Z zF$AIT@30_%TR_u)$G}}FS(GCFj6PIh>rLRUs9}(y%F|S_N)(DG$H84aN|I%(7=lm} zm+xo|5W#VW_H0ZGgS&PV1HM6QvPu-|B`_eyWfD1S>Hv2UPFd&S387Pj+9$+Q)yKRD z?&cwI-=TnWg2tkpleC_jI<^IO2|6nYg1Z%A*xf3QAp|vBC&(E-`{KqIs5@^_c(UP+ z+^&-`sy(`H9|mV{nMLJXtj^jvc@VfesTk1W)f8iwD7d>w;O-VC7Q4&%D-P~n5pvdB z!Cz>oR3T@59QaEGcVBweFNnW1;pbHj64yTg9qy4J>i|u6M+`cy58>y_L=rbT2*u9? zp;|c3pj4X>`F7{oec&Dw0;!5D{Cy?O&Ugh{WGA5BJnCQ)I-V2;_mns>@@NbLGW4BF z&ZeV$x&x|^)jn`P9*1h=qpWYr%kmrnna}`y54iy)m;=CwVd`s-n{DD*8e!&5G1uE3T zSq<7>9L9h&EuqPlqGU+~vgc!zUf_-cq2q%mR0sD85%M|)!MQk0lD#5>du1H57mG|W zyQT6}r51mIJF0+t1FF23Ad0Ue3$LT(4SKd#1^3z@RK>+hjC(5#d6z3t`(%`yy`w^2 z0yW=L$l3Y?hA`xRO5-+!z;n9ta34^?{hi37+}}IImDgcL4@EIZP)FkiYwr$i$zQk{ z+&{)JAjF@8Jk`(9!dq`X2JWL81{t!C@lW8LBVGIhYlEjSfG4Ms@(WYIbibj8crxhA(g}&MtzS=~u9a(D+crL}LOGR)4LtxAdK!jm8VAp zB167H5gP0+fU}Rvz&rcN+NLjefTuSa?1cvVNNCa1CxHQb)$6!<=YYhsJw{!{Q)$?gPD1XfjWvt3Xm zg6H8VI7cT~l=D7y=`DlDfM=2bo<}0!nd)Fso~hchf_>Y;^QejeJHPu4U*m))?;i3S zc(7ylV8`y6Y50nSM;=*)zGkAYC(zgUC^P5`E2V3{zZX2SB=9_i2NTAb6H&;90H_#T6k80yvG9?|e$UZT9=mfCqbZ5BBPw)p4R2jbISLIV;9pKND_w zYwkwyypA?rN0qe_QCyqAfEecnx#GNduQd(d1kYPB3<`MORfytx2mZqO#G5KP!$HEc zMFFR(k+TmlY>a^CBL_MANW*|1J{u4xXIo-I*;)lWpVG5WL-RH%N2 z6IA`IP^Es`L*R+Y;CJD$rK8*-g6GR9G+IdA?L>25CBU=W!7zJvYh~9yTLzw8Duy6b z|24{@swdRa&_0aX7lxwFA;|k$BCz5JBrZUWC&T0nzc5z)`v{I$v`&8?Lkae48|m5M zAb5V%phi`ixuXs!`CJ0euOf5c`8DntbmN2IITpo$QDp|KGHpz|tsj8rxQYSooQx4~ zr@|N<;5i*7XMZU83;mx9GKdN#j~!Tq~4bOye%WxQj8aO_*r54dO2ivMVQ;yX-1qU$-keg7X$C#BxrVes}w?b0}j>x1$>B6$0WZ`^Abc(D`qVkcbC#fa)Id3G*)6}&g0jhhrG=%o=GL(m3x!qtrST`i*2 zJlnqmc!#Rc;iL%Jc*Ad!*X&~Dy}JjZjoW1Ca4vzBPh?TvQR>~NK6(ticcJ;^GI+EQ zkGd!P%}|uSwk3>_qL?uAKnhIYIDY>k!X!@Ge0N_^T}g?>s~|4>RyA zhv8kI%ntXv7rYBq@K?mBr-R&}D);zd`$F)(7y*Bk5V$I8EXq|yT(sxz_rSYE2JcIl zfyH4K^vT&a8V|7gZGRlR8xY|J zgrSK%)d%9a9xvsC_agxbaC)i#po{#g!?03oa)#d(Z#@5Lj{*V#8SDMi<3j(1n;2;1`)j9 zYAnk8z0h?>17zbzEah#8aDR+P9Zp<3`{{SVdlXCgtsuBshgp>C((uv98FYUvfdTXO zi^!t939;$}Ll1!WxB|W}B=G(e^hOGsoPsl8l_wUe6i8q!Ze^G1_VQ_UZ40aRGtotPge3e5O z1n^Z47FfUBp*qFkk7ofqeP3BDXO z=D@tw7Fd)oH@Nor6^$?j`s$3)*$y7nK-{!(+E3tXgekfP!8IVvqFe*D@YOw9gU=~~ zFFy*tCOlt0F*3dC$Bn^P5Cb1xkNb>Szt0^W=Kc0L@Od@x{YM6uqHu#lv_ZQ{-vnRN zFb2$kKgyzf&7%8i4DSQJ;sp4!(V$!7Q6=h|&rIzHz7}!t*N%W|l*po7cZ%({T-yeG z*qQq-#=Nz5uqfZ9jw`)AT7a)DP7vxN%91#bx=bkZE~*c{_7eCTYT&w?`*MvDVb3q$ zfbR+cd{P8_9fLeor(mS%^A(Uk^mDxizRM&Yb+z*8<-Na0{#5V{ih}DQ!{7vMzkA;S z@byr@*F6EgZV{fUXXM9qv-6NYL^m`JK3w@oRyJR0xXGDS;&IUBKW2w!Y4(R$~9Yj zZ1JW`z&ALKAq2jg9W2Usi{rH!!(Id5Fd2NaFy)OrmG4%ecobR!ngRM%^9*->48bcxOl(*fIdVyQ1LxKn35e5|6q^Su^qViQu~z#jC>LT4Wep zqJ7nQ?LhF|uYhlC0(|#Hc&Y~?FDOIr1m8IHvl+uZDvx?d>o;iB0`N@`!1r1RTq_h7 z<$6VXqi;*}HA%xDgKuJjMfoNtu6f|OTHu=+1Yg4h_#PH`)U^1fxx?=T-wYA!y#lT` zVl2w_meT#P@16zU%s7S+_?~dEDBqKE<+WG0L;fPzBxLYCCi1AKrN`CVbCJI=crR1I zwVwNOY06T^MP0!6au|aHe9NOe)yinAZUYt~NeLXNg5bloKvr#{>O-d$>;YcGe&ZPp zTw7GM=v#}R)`l?1T=C7w2OIAI>@-zuRv7h~$fMqoKXy;b$L=ozzRP3a+D2_`kin;+ z9Zlnk8wE8mehK(KbU?$)6!5(p<58Q0OVxYt0pAu0yicKxeG!6VD~3Zc*DxHa0k z!fq6A)3D`-u?WLFDi+#vaGHWWbQFAT;@~<+ZR`wzZzm?$r4Wm|9r#NH-`)^8+b80$ zDAedg;|>T=atN2;LJnrKS}1bWf(Z5&2Sd;?TY_pGIO1v@<#!Iw#I|@i0v&5Pz_Cgr z7LO&MWM34ZI84rd!;6AkT>e=nk+ZT0G{mc(>^BqS>?HQ@cPZfeD$cz5PASD#Pka!3 zrxo!25Ch-#1dlosIyGY~iq2xHBN`Np7gh(K?XRi5zi#>| z@H^1Yy+J6LA+b~iGvj}aedGb~*V8ay4_b$V_vgg_IJId3`12%eb_)1&!z`8mqC}Os z^St24dA~0lfr5FeAb>v~vF3-N`tK2@SpBrrxl_kBc;yzs>n(?HoL6t*_zJc5+_6Z2 zJBX7lQ8>OCP0;HD{29Hd&5?27_X$w!$E-9AF@yeQ4p@BS4)8Zce?<}S7dwdOVhsbL zFHy)@FpR+=l#K{7i)AC?53E@~1^lfrx=<6!xJ99?k@)kGrDwo@aSZ(4h)~u{tHxnsV--%&g8?g50@A&Nl){}mbm)d>|l z;r}aR`c6kK+5~aIm z82mjQ;FoEpd!xnPVWF&kl*7+czJD8U()0IGgp=<}!U+jI>kOZe!mZyu)C&Clg2M5I zXt7r;83q0U;b{edHQ?_b1pjp!_-_akzytB@20XhlLe6mP^AAEW`7GD?GroLV>$p~JEv;D0J2lx+Ac`;halKMuGo%xoW}N zzk`3F1pem`<_j``_#%cE5auF9=< zI(e(m??~45;D199%GSrhze?fo-*QxpU9=DU>(IrU2>2ZbarTaeK?eVNk(|9J;jfr* z@{TyScxU8}zSkf&9Q?{+L80shiA9y&khnHH>ud0TfGLJ4LYd!S*dHDD_T?h@H>pBd zH(59V5tiyi1;-!P&%%=aID~%CXLA+>{?Edl9;=L0Z4F_-sL#U$R4j zN|SFrIT`#v1i}A30{%%NfPYG02!sEqOwN7|VGxAlZzY(s<8Q~W*w`)${J$c*yAfxN zIEy+_GqQBdoWe*~GGZYT7o$~kDi8G<7tqetN;!t$C zA|S-}4*aD;QHKyY>lncx3T1Cf+}WFn%bH*DJ`{CAi$CL}pp01*j-PP6`^-Uv*f}no z@SzR7_Cgz7MJVbLg`(~>)7{WwH&OT|KSJVgb*`vKL`d|dzI(-?s8RA!im=tB(5*U^_7L=AFJf-S`|Z3zypK@!r@o+&pJLG77mX>$<8?rj4AoN z<>(xc)i8xeRfWSVCHn2LRLwwBacp;x7m75GNzIq_@8YFu7Ec&=-zkt6AOz$$skuV5 zu-V+xdg%usKO3iol+;}Au+VJQx}ed|AkWcgNhdXz2^N}7-BTyIL4I1L)g`I9Bw?Xh zG*j6h0(q81YjINZrMQJAc5F|Vf&8RMD|J$Ham+%~x9ecz7|1gP+Mtq}i!=*Oujj+R z8iPC|PFrPC^Tnuzrl;ZPhpL18m_~bqq~;5%g{FHqfey4u%{hXFX2Z)4 z#;SsRw@Sy|q~_BJ3(W?nYE>Hoawtq^3Q5h`aSP3hhMwK_8_0JkbmEZI#9guSIm+|4 zoW23%Q4*cBBsHJXEHvwHtsyM~d89~ZK1t0dqZXRE)jsKT1IWWQI_pYmMpO&Uoc^6Y z8xQhOl}_D~nlmF7n)TK#K7KpML&9`wnAChCY@u0q>%h<|kZ)G#OfjkXc*sJt&NYEo zz6JRviOy}4n%MJ~XUXyVk(>%34~)~PZc=l)Y@u2Ettr3X1@iS8on|LBACoLJYbkx( zyFl)*(z$w4b6U_svu5MweMf+NZJ1ssBsHgs7Me9qd^7MXkozk1Vk4>fsKY`t`}V3q zEcZSFy_iXAP7y3Lt2f^_BoE}?ae8f&)SQ&C(5%+Z{nT!ddusHOE2;TN+(NTz%c{zC zAa_^kbz4$%V$4FbO8&faxgcK?rWb}uO}tboUq)H#IsZ_QyNLAaGN~DkT4+|5LVt7u z`6_{2*`gv2e!}60RSV5ZClCIC-aEy~m@#w0J9YK~fa*fS2$A>L6D~#CK zdOye=RC1xtG;gzF2}0t{coX~@kt`B$O{=^MUE@VdpuxAO>1Mt6-?)~lvjoDee0;Aw zAb)vl$gbcZ+kv`GfLbi?X3a{&Hr?9;H)v(DTb1fKf;7`yCR=!~aH+EL zOORg;k%eKVIb5*NgvjX^a2B*0ZO#cNH68O63r*qMC^UfVEYy50p46;|EhJf&YJZ_{ zJ}bnUMnK+X6;#`g=SXM_ijjlye&$0Fqq~z)k3rKmmfa)D9Br7vXhY1tXV5; zp;>AB<=b&I{5VP$?~CfcEB?}a(TyN)Mw`Q!cgMt#g=U4ztKR=K$R8%i8hp~G zqc1OaQ{Lglfz>vFyfH%7!jqacui|B7(i9}Ib!(8dAX!0XnonrVd!6@UoX={H4X+6D zcWASblGLm_N3_t)`ff=T?CZWooGs$a=6wze&B}rA);|RD0kqjwWty`%pC<2>u8Jmb z9s$;x}h8EwYb0eMf1?7$>7Yfq3YyjNJTr%6kYcZJAKNK!NV!sNP!&ZC}Z5A_|bwWW4o0a!G)vFcAWe&1+#%vZV7MhiMb>57#-vrjr>=@JR z!1HeMUh%icV?9AWhBj{qGR;bH3!4?L=sW8dkdH>mrc+Wg_pykDCd{ro;a-q`lF8-| z(;TWt5yJ7LW}Uua3(c&TD&*Y= zrBxH;7K5Z__8IQoWV7g9-Eg0{3px%<8(O-+U-_ z;^xajHK|!Q5woya`R=B3YCvfdf!tM*)U17nYN1)F$@N3th0?qb*@Iy=zp%nt(ba!Y z6iRa)g9(Z;XP{x*>8u0@FOGSZG4r`~D|T>TrQ+Q|9j8CEdDUCe3lGN1qrAxbMWN(_tD&Q!g?_8gh z$nJGgmfYJe6UqK|QkLBP{w6|pz>_k4SKUqK_XmiG*wb%y^&Ja?=ct3oa3s=ijrIK| zfCsM=beVqhY-#Thxq&t*)9?B%Ek(U8Oi#aOxU>)2+d$NakL2y+8eS>g9!;jzA0#O4 zn;;JpFxjUV3#GVV>)sGb%Jhd$0ym1}!4o1wd-`K3fjjUSinqcfn0UIXU9U(GRB~Rz*GWJ*xuF2w~Jw%2+^ap<$ z;K=31wIW@nKN{5Nrx4)dK}3drlMfF?WbyzJk>PcO{vc9ucM06%6M9dFzV!Y@$YFdP8QiZd5NWl5=v9w2DOZexTrp zi~^jkFn~3m71IHZ66f9V!h;BKEjS%P8-RLli9rM4S(TiVgF4oTz`20sbKX$%A)f5@aSW9tx?0vOh;$Z-TR~VvQ?VGQ<|gg^&G_b8>K8_`IJk(Pade z`7m%bbuc+4u63duu7gj98lM$%5Z5|z=HQ+0Rt0K_ORrhPzJaSmW&Vgv=c`rREK?-Z z$0rDxjNqy>UQ5RXwc81!clA7qxZVP1ea!|}dtNb!zai9iCzaVYaCIri7kTC|MUSTK ztuPtERpWqC4p)s29UT+Ty^Oft2IobI|07&|Qp&MjyNJH0hOZ5)9oqg~*O3V49dI@h z%fZo$pkI(>i%`-xM2f(u8bsDzBr?4NA-YsX_4JO0$*$3ep57r58KH?hI7#Z%D&F#dcGWM@4%onad|kH%PXgPrMN zv&C{qfHXm{2^uP zde=ACRzlbBgR?NLnRD0rz(tUszfS0b9@C?_P7WYMMsxiPF9gi>z(Cpk=vo7(CuEcB zISNTij`O>=s*d`&Ow#IvM_Ku!>(6%#tAnmLfYX;&9eJ*wQ%F*BWbRs@CXlqmHF+F2 z*%HvT>!J;QbiEOrMM0Zfr&hhps@dW&aZ3)G>-pR@_6bL>-nSWDe*jLrZ!Wz{*ZYLj zT}Cj%nj2;2ieWQPOEEmGUw>>70Uw^$XC_!dPqa+bMGTw4*~+eh z?h6vTM=aBF~ULldhfUl6?7#9EBb`xUw6rAm%HWM2um; zXW}`A8@GP-8DiK9PQ2kYrRU=H;dkY~$MC1j(h>~h3Hu}u_=G*ju)A*ciHPAdaCQjU zU^o|Hb}}vte1@N;B^b!V`$-J=@IJ?|bJDB7A%<<>#M@FWdBCUtnVrlrRE@K=6hj`z zfbRw17?de3UqcL^gR@i6215;=m&`HLR?Ha4D+d@4e;ED4OCy5=5km}|ogFq9>PDHJ z%rWGuEG^-o&Q7C`az`i+q_3X4anmD+VLLdlO4wkyD8cO5VW53=ayf(O5lBh0@O26t!=i2- z??VhL7>0|D`f%Cd#x)VcPJGpl9S>dp zdmiv*Jt-Las0;(Xp@Q>p-zWVaKn!2w8!X~BJdhfvADNd0X)*di%7VQ7BFO{3{DNbc ze9;>pBZgfVZ!@*@^hq!~nPcb|V`(Xd8w?%>89b~xJAE5s*p2Vqu;XDsl-bD~!@vkj zOED-04}+tehmTea_z5xW!B=|N@t_2moy;)|aj>)$!z~Igi{TCf!|cMbh+!|j8A`RO z55xX@9`M~#DHv|mIEFj)c0u~;lC|_zh5NucLa@Pr6OZI!$AjKi-=2b1@2f{EEG^|B z#M>smwuJLgd?u?M@~|IYYhu?n$8tM%81%l{3d1-l1;gkB=ixr1e~{-sF#$1r15SMK z%X}1Y^v5Y}^m=)t? z@l2Rwc(VRGg^1yMaL#tvltnc1-(z^4u1`=JI!gma@n)#i_&Ke8FbKz*i8m^qITQ`gzH!ZdFi%3Z4uW|d>LXSl^c%B$RDvyad`~7 zsXvYQOI=&G$7SS`;#yN4*TD(evV&iJg1COhw>jG3S{xRVhk@%O%`BsQCHKXNoaou( zGVoJeZ}Z)sBQM4K-~Gpf2zi=?!j-KAHmjSmXe=CdV5yz#+9(Rz!daaDJ?00MM9V7yyk9p2+M08XY(VxJ}{! zzbprENBGOBZ*E3_zkxFrwgzZgO(l+p5N1rPSsb8gzyACHjTI~k@N1a^+*1zVrcm}5 zAKZ)pkAw5;wEA}5A|8h@^@9U6O;^qj(3p-;fcrHL@LLOj8{E@tA;2ymXp8Z(nLM8cB2pf28MR}|MTiE_A( z%vG-r*4lx%PJ;8OW{oRtBB0|+-Obj!QvJ3gk_XOL^7bSqQ)r%y8v!V;-y*y~PnPRc zc7$&b2apTRKj%rY%#dClS((g=GrxcYy;u ztEBgOe`%XnHrR>)e+MVtTbpu~$D72DNO0j7bWol5eiFQUr<;;Up?S_<%7 zm;n?k#>)dNxV6TA5a4MLA!-BA*qR_thDhiPUrNiIP{$g|{xv{jIhX(xt7sfx^+Xy# zu|{xVLFc0g@DC8HX3}Pjb%65%Om9OH$((VWMFG~*Ok-;OC$2h z+~~vkan&rdA5)E~0CinAUandG(k?v5{r+8u;ZG3jiPmL?FRnEE)647+#Vpfn{aMK@ zBbCa0Q0hW^H)67b`qM}e{99br z<18)37?)s&8_|&r7(DgYG3*)IJ#A*q?-qY-w))IWLr&(rSON_}Ob?q~nc++rd3la_v z7ZwG+Blng34WiGcSn&1nX2(M$lD~2IS3hW;HI!L2ai&B58{C9NCZ_-cB5&d?wDJJ^ z-Z5sKAY=(31~LI?F)aUUfRCyv054WJz%~{D=WkUyAwU4}5+SuXc`t6opfSc~&Y-d8 zMH#%@0NBw2V7rC`aWgWj0*LK10cbII{nrc{3t|-Dl?K48%K=;=9+h(g2(TiEoihP= zA-(umC8daa2GhGN5POAI2U<)(T$MoVZiCBmhSNU5Z1a+JPfC%|@!6d=6Oyovm}YX6 zxKfcp4Nq<&V5QnGX z;$y@`GRr6-L?0s>1Paqx5qe!<1f~F5;0T*@iry3c5w{( z4zoWUgUAbj;IhYH%z7w>JCjWiG2G2~*c_@FoVEoqR0r`+tsDk@n0BXN(1&TCY{sPz z(^fnfs~Hr-XoH6_1`pdR6#k4DvOyee$3xSI*`LlsamXyQ<-s)8Qw(Fnygob_)pbYOP^IGJchU88iSITh>IT1MD3(>)8S{ZBtW#GYkeJVk(1x0Kd8Z5#0CA zstw}fX;q2?H14M`02=$*2Eaa%e+SUm!=?adg?JIqu>iP!-veF*=m2rH9YEt|3j?6B z*=+z!1#QouvB6CN&NCQXXaVrM>c5{tfOSBeZwK&7+04ukiPXU%4zo-z;sGf#dw|2D zEG-52f&uWQG=RdvUCP%NeSQN1tPA3cHUQI}1EBydo)=+G$$!Es0cbub(}!iNBJK#Z!^tr)*N#0>s#02(*UQh;w70N*YLP?RFGXEZ~Axgfr2 z0}ww*Qw|`0ZpJLr>tJfbp$y_T1yb}RWZE=aq5#*&)90<^8L!4W*N|CPeGu_cck6W} z0j=o=C(ditHioK6HD2}DBa}o=(kc?E0mc;$#kDccOLlWSt?C`@A-6r4vl{{Cf%uUf zK#O%HtE!i_$YtL)%6LIgfS(v8`?*ve;FzDAKZ*b^0&%NN$(rs9<_umCz@r*rlpGY` z7Y2hn(*a`REgbo969m`*#4l|aOndrmh=j$Id{UJ-p+%<=nbl)_pQlz)lGZfmr2uyu z0DlRmF?gh3cx|uWZbyI(LEK{lF!{P328IGmK9D8lhKrY?VaF&%BlG7k zMdP}QVyGSFB-OR3>Jul`o{nHdaMez$Qf#(qS=E;#E&5@Q^h(q$vnOdoYAM#|ZPC@h z0^pU$2Rw%WUEr#p3BZpOGZ?+I_?VXt0c{WPGY3mc8Ehi*QWQgaHN#T;=7-We#8m*U zCTX~MDH?W+QZzDu{!*;UAkZ$~RbY_hv8d_?zB{lH!4!h4AgxNBw-jSx=EW$*9sjZv zcZFD5N|K*nGvEhd%D2Ud9YXgbKsUIGG6A?Z&YTzk55&we`%*jv>m~C@oP9~RqDK@_@l%! zYLIj^XqMTNbS%O2D8S2%YSuw3Uy83ETab$YefV{qOaLBNm=gowDcLNu2Y80-QGlHk z-WIPi+Ts`ezrG%E`N4IiklNGpQZ(!k4EnfeWS+?E$3A;RjKoq;$NDX5ZYlSUv5L>1fVTR_#GmamICal@h-pA0^pWS?_G`nn}VxX1^|<9 zE@EJGfHfV=@%aJfFg3k5!`uFnHx;|CGuq<1zE_ZynP%YXmxha#B7Pr<9lR7xnLlqS z;z#&cT1wIYqb&}!sOpv593)k$Iet}1ORZApE5+oi*N7tmMklEecYJ=5oFYj_4hm3F zcqtCC0C;%MECR3?TsI3D04#{S+4#5=w*R5%| zcqtloj8Zf*f8J8W?-Hh97-h7@J1wgEfopH?fM81S%TsAp>b#{`oM29jQfv`7%j`+I znCnrJ?l#)u7z==f-xYR4fI)EGlL^4KvKfqC&Du+5nLWTOn3`VA?l;E8@eV$_*|e|1 zr--WsxE@Hu#Y@q!Lr9`MDt^q187DIP9@W?fpd>wHkTk)fs*fJLL_;tw!S!%jl{#-J zb`F`r=p=Pj%`$tEdMHef0-S8L#i>XoH2wOV9|7d2JJ;3Y2 zOpgMbVYJ1WMqA8l)9XRR)f!xnr{UtIXxK4I(a8MyOR=(;g5gP{EzY*6>QAq|{AL7m zF@C`;txBD@6mJMJCk9D3amPevPtxExOG`X%&tun5aN*V1i$+`AHD=Rd#C0jSo>x;&%)Tue zdr=g_B7>wQ7FFFPlr2XvZNRlStxBD@6z__f3DK+iy{cJePtyGg)1v@aD12Oe#R6dO zZa-~CfNjC`awY)B#h4SL6elpzKTN+SX-tsUULC#MKU5uchJQrD)hO zO3}#t`AgB*&7&CJh;fqMvZ(4!kG$zbFqeUAt&&=$&RdF8gDj&4Ni#&V%)S(7#+e=k zxL)7@H4A`0ovHT`0=yi*tfyuGa8`slF#yg9n`QO@U*>ug;D<(A+-$VPZew@6j=0)` z>%%l$yc7*PMkyMZKW{0T?!KcKwy1i4V0wN6mrwm-5xGq40In@@8*U!e%y}Ln;cPGY z{$L^}81yFiTAZAdgUIZ;SsSNH_=n=!W{K;H<2@=Pu8!dPT*?5~TPm|{;98$jj`p}V z$Sf_z^%drWPhomCthx>1NZ|U)uBeRU#I@dS8d)VW+HI!YRH#Vk#(PPs>wOWcqUsgf zFdi{nf!|TI*=$Z*h#f*~CtpoWvSv`S1!MGs$m}WE%GC6tI%tV&^%E@yA+Ao~I%Km; zZ;5N0#*7=dzKEJ-_PBP2m>w;v!wFMSt;^p;`s^#g^;0CZ=I~Z!Bqy%*qB62dWcEeX zHkji2mmu$Y62Y`-z`+&b!@GK7J<93~u3ti_U|8R1danasVQDEhWk%=ydvX9_cQBC6*^2(r9t3z5xK8|E0qmYycxQ|`!nt&Scn`>& zaeHwllXW$?{)}2P=-Vf<9CHROZao9fTQRd9WiX9Z+8RA0xE^7!K!~s+E~p$yE8@f3 zw02w8jYEK4prB&V2H*kamo`CK#O^Vi1zyCygDEl!F!^p;Vu0#N_}{eSMgdlHlC&wGHCxCQ^xmf}G%s zohJkk;5ATS_X19z`D$AdM*-GPE*+VM#J%@8o?P=;3F7Jo z1$l~1fu*KnN>!%}dQ)%U$qb> znE^0h0Z<-XjkJxPP|(~CVCu~iI=}%A#sXzVBSm~9VV2oeJx`ZZ23r~cFSY=I#>@30w6W(=0_5}^#X5qKSJFQwPP30l8~e~`IrZzyP|TGzp}djKed zX$?XL__=HbZOfqPjk*+|6z2dtrvp4xBRaoe(NP2_L%|hcYk;2KDUK;X&p=*Gyol3! z99w{1BP|8k#Q@kn9Uyiy?*zNOiU3QYpsO7~iy}^I*_1&~YQrG_%iVxRWTqQ^D8OD? zxp4!ZT>h%-J-rcEA1LUpSQD2v9#G=a#x9C0txL2gZis``0E(-hSPs`ADN<)_KeD3# zA1LUj+2D#Q%nm6$iYxgxZX#y|%5<2_7FY7^=tPg=x*=R1*RARXcaDm<`a;1#(HfU` zC@Sa&$CZ3PJdtLO%NVyQuECakecZMG3y7;96b!cGYlWzz(lHE?@PG1+=0u)3u9Hz_ zkK($uJYRUfVnV7^7jaz+1tVq2=F>6xKp(*P^;Sk(|U=F0GjSjrU1tn0PnW|_=NZMn-O4tC>Ub{&@)CkPdK~`sdc8#}ME^D3~o-12h>tKZAJ^b8IkZ?93Sq8qZqLBA%zF_r_4L zFg$YZvRe?>jZiS(2AAoL5eBYn;${rAWRu^-BXW+*>JdzTeuAZ?xLzoCH3kJQg&ykk zKAA5Kf`S)puEsn&L}rKMGTEj)Xow~GRX?K3aIthuMw^7^BaO)^u4P#BQp-`muMNCd zzrirXbrTdUvuO!w?`s(%q2Khk;-jbZhp9+KGanQ`%D}x=WpavZO+=`y^gF_bH*cBlwP_SA^t$HlG10QirCZX>kwsW4f;B9 zdj@@-6DB<<_%dWmAFFgRPM@&%B_THV-kC_>U?})3ZbM%e?v~S+cHyJbXEYI-4GHN^ z`~C@~FRhm9wJ)`XQ~J{MD1B)%oj!vqgT9_&6D`Tw*V|0h&NedQGc|mq6bcTB>Gb_W z<9(v~lk1VbAyBYCZD9NVtnv0ySk_2(?Hg~upjpqp@%GisdV1~a&(j*UZ(yp7(B~f% zWoapW$IJD7|Im0J3taafr0*6e_{FC0^WV(f^4gcyDD~Qh!({T{wJ)ua>y39<%&bT0 zvuwO0;$}Vj#%oYz)V@(XtwCQ%W%j6y(sz$&l0hLx*!6wZjrYi)2XOMAH53ZY+Vy?+ za<`m5%f>r4%Aj!i{!bdOL6t$@Lve=1pl<>arXQR>ud#lGGAOK5uJ8MY#(Tppe?Exx z4THjLo4zltzq#;{_YsX{gJhT1zO)gQ_I>^-5wo7&_oa@|wC^kG6F2MWjdxm%$qf1) z=k_>#{)oe*2ZdtXw)U|R!x%b9yKZ~>rx{4!a42kK)A#wG;%<5EvuwOiD+~&+eU^=P zZpf@>-+1RsWzJ)xkLEnqaoIaV6N#D0P%!H^1g+cqi&${uh+V?;d>AMvQ zn`$;A?^21m;PhEG-W3kB9-X-SpEO>BDucdPI2MDx)nSH@$_Ra?)p1InRIcy)hsIlN zSjp{3-v}sdXVdrn&l~Tn36>3#UHiuS22-Q+P5Z_xYfRCgZyghvrp@ngJx-thJ&7q& z`g)h^`~G3%EnBnrIMO!~3a_#0`}`Vr%WI!y31v(X(&7236E=g!tIX(^9HbB8vr?J%he45+)fG4zln2tQ&9S_^%U?zT2R1 zfL-7B6^BCL(V@e#@$Qls6q*e@`@fks8&nze?d4bu`u0Z|K2D!$?+s;8c!!)mbo@i( zjl};XL&qp6yw#@f`=2-7xWckQvP)~9eP0eU^>4bDTk;*^rRZ|BGpJkwKL~UssV~G3e`Nrb=J1GTlmtdcy9yL3XR) z?wm-4!1vpcn!BO!BQfrBzvZYNt5TQJb7O9k9jk0!sPcr;TFX*IYUVPV{+&{ zHX&5SrP;|cWKEOxA7VSQvW*hwXG-$N2C{sM5^%pOB$AKLmjx8dRI767yaKiaiOaGR;BG{84Do z0KYo@H6noGmMRoKBtz5H8Z*-Lwa`0brjLi>iz66BC~g-bikFdJQ8YvmU#UQGrvy~% z5M#-zb(Gh?x4JnLcaK8HS`J~~Wa_(AgW^&|bTh|aJUAE(^+HQGOHi!DpxVq3w-Hem zuH1myLnY{N5*?0mu&Cluk#3h?@)Q)`reX*}wE;$|0g2e?%HyCI_kTNli$?F0d8+$j zp(jrN1;u0H7(!6|pb_<8%zb^8FQNDWjCvrH{E~L@LmCuMjzi%~6#XL!D1IcI{Azdc z6h!t+5Jaa$2zg9`;%PA`o*pM>GolzI`f*k~dq#!&qg2SALai)Npm<>zYOa!r=0dc& zJqX3a6XfiLFa`${FAtKl<#7xlXzruwR!5-t6C-iEH4HLTsiKgxeIius6@seusFgTMepjLRV3foi zl%V+A7&NOLB4^*D{Cgxi9A|7+n-H12aGVImhl3zh!Ai3s%%Ylp7`!w3=x0!T3~l^^ z-*sC_y`6SI;OC&Q|M_TAQP{64Hz!7Pg8+yi34*YHMT|$i9L{O}d@ckkIfQQxVyaaU z9<@5$vs1%AA&?ap_AiVH``3ne)SKd^3!ATnK((l_e>qmkkRus|KO;A6eCrJe)Cfbz zY)RPvc95rfJN`~qURwy%)r9@8V9E_K9<@=ss^!=ZA&?su_P-Hh zz(q22%om0I9~r4Og*T5LS{DLM;=+DxmDScHc&azlOODrh6#}9P9ewEQvk>>SO`N~D z%5VsHL&AP7A?)8CdChCIgg{YH*#9B+0Q0!Py!lb#mdhT6Ky&N?7E8kZ-432= zx0aJNr6L4cp`Wc0$m3bYpV2p$Jc2}Bf_=t3hp>NNjHlWkt{H1E7Xls7SWFi7e;49W z-;2KpuD>84VZL?+AupyRqabg)xNcRuD-cPxX^{`RPPY2wbBH`}Zaw zZ!e3&pV48b=e`Yr9tz}r9v1c|96VJ*TT!7vfIuHr*ncPjd8<|KD=Mx&{_7XAOA@4Vdr}|C1W!GRV>W9OD+F!{ z3j2QzLSPuyMhFbY3Oh{2-Bqlb5EvQ3AVO8zRR%`IA@6cUI5&?poxq&})V~(f-9;Kp z;4Tay)E-6SMu#9UTEONnleqg72#m-7_a_*xzyqOsj@(xZMPUpMD0p5ZisLcaF&P@? zM44jaCXPj|r(Xnt$vEIWFF|0M!lDAx;*Us+o`t~F1cop)x+TJ*8duRasdKJ|z~d4$ z&d2D8#-ajGN<|AE>VhH}0yE>#xTega8oT6%XD=NEf!QiF#(R(hb43;vm>ZuHuW}9o zb5J}7jTVJiRO4cCz^(EV5LkfXLJqV zKwzoiYpGh&@W?k1Sc1Nmpixhl`zi{4+U@|-_ObwteGUjA(CunzPtMT4QG~u; zL0@eRgzd$C!#ex|f!EMiGo*Q~;cKnBrA;sffj7|C8xl0SHNgxv&W`PQ`*Jq~-bP;? z5)fF=VFccjmVPt-7>XPaco&VfS9q!`9Gfq1=7hio6xUQC@R8x`Bh@wK@qG~Z5Pf|p zLE|fAp6V)L<1O6_A@DK!>J*2-R>Rk4LJjx+!6-sspQ6!X!&eK(#3vS4f4rD|`Qdz?bOjOZ0V>#G)Es6Fl*h??njgLSHS>*IvWdKH=``FTNc`=xY!9 zYGEL}Sc>g?VGINgps()8;lVgF7&xSgJr-aUJs84(Mla?D8@COHC!J9s@IwL`Uy4S5 zqOIen1pW#GTuje?R-wch1t%SX0>?C{KR*ij%@k%oe?X!_{jZKf;1tfM20I}C3V}ym z6>r+PJX5I7r${LT{O50-e;a4~E2s(BFjTZ8-&A;=%) z;8AzSo;>y0t58xwh5Vsm$iLM{b%(Zl-Rt9_q%w-{LKruNd8$WZH_TX&4<%JG)$J1G zKM?0p4{PVt;b<@$Q%%HFlM*~?dg%V}gc2yJr9l2eBIFz6Z2qHa_L@Q8LP;GoHZ1}9 zV-=q2S@lN0&;?3z(BKqIHOqkUbYjZH&mV!3yeQ<)S0Mj6ji-7+xp>WW3Y0WdA%6}= zJ!>F*E*v_2EkH?=2;?skpx*JoCHGGYYe{xzYfRg*r*L~>g-8eJY^!?b7PNfe* z$v6Q@9*jUq*ukPo!rIKmbAN}Dhtc4}XmDaoKqZ{emOSFX@(Yu*DHt~$ z8WetiiI(4V6+DeYkUfW%?c*AFj;PSADz!3GgOZstGz+T4$}9(zJf%Y3*HrTvlst`4 z=0r%`TnT^Sv~qyLfHfPKShi1C2qg+O4Sbw9~urRC4LMNr2J)N z{iQz9x9A$AT*Itun03?2x~Z<2wBboexq(?XFzcTHQz(?SGrm>HLelVu1{?O;XXH4x>@Fm6*H??30&IP5alyCu5`DXD?ZB#OkSl}GP$jYZQS^S1D{;t7W651^=}ft8AawR{#bC61ng)8m?;US(Y z#@nId5{qJ~G)gj@CeV9j!=;YQwTy2$4lK`ECk_E z3C{x{w4tPM2oN4eprCO`v9})sx=*s()Y#JT;2B{J2>Z}_MQWCY7X1>CvhUvU;ycBgR3&GJzV~(22LWWczC<&uU}64|auuFC4VR4CEBM(yLBy zy(bF_mIP?C>&R1!yf!+kT$%Hn2nC<{smmEWfA!cPE04U?cp$FGVd?0%2J%3_23hHc z?ML=N>>!Ogzm7bUU|093Can|4K+FDE`h9Mu^QdH_v*NTf3l2hR9}m5Hb)Bc4_1PdR zd|WcVJ~Z2jrDGSMBTs`J&+^$z>*7*OJw##`V<5j!Y;>0UbNgG$cHZt$X2)$vBV3p1+>LkTXOZWYvO>``bW@ z!%t@23^`q}K~|}|UmFT3(E&1bXGkA!gRHz{SY;317GUW$B!+y)VS}vHMQM(MNo9fD zL@?wuGy%Ojg6kd?d$14Xyt2k-Ia7oTS(wA<(f3t~y+$ zw|ETsrrQR|t!$8<4F%uuO4q*)IY}tY;;!L;Eb*Yt+t>}zr>JU%?4Q| zbw>1PTu2bevH(Ml@!KFPpU!&VS!lHeb$%~1q}kI#5YI{%FHOaD$U@Y)*3|jBVxu$4 zeX!j;Xtfk|7MeOo$u`J}UpZbzou8miG8@eG3U*$oo5B+4>hapFLZII=^ zTR$GXZYJuSD;mhkTkJ|NCqA`QhE`Ki=S)K<_s=oaMkhR3wVMwY(opByOefdPPA9i7 z`JqnO)1WWC!E_#~%4)>z|AA+|RI~TAw;lv{6*rJ&L_EsjL!F~cXE3tHgb9tNgF7lf zmnn7Rfn9dU=$c=QcpKam{B#*qNAADE+C5ljb+~6sC2*Hh=rXH;+~GFr9*nGZXy$iM zfSdEtWn2UKyJUl`y02EXcqq8#p=-eg&r5d5D%%gPei#aFIOtlljyyPAv(Z`k5#QRM zpy00nUCTC*E$nz!$}6{U5ET6Brwif+ap(%NLKoZ(b=-lMD(OGWdOEC+f z;6i{tx-gKq7;emMf_w?ucY0zG6#VX|&p&kJ{%u|xBsb{y+552f@{)%r2&BEuCk_J% z)#iGce^xqk>9Pk}bw`~~`WSKn3K(ODg^XJ9bjgR%stfY$Au^;Kut8Sre`DnsT=N&n z3O+-Atl1zdtY46Y5A5pt$P)sFoX4yb%(MLP!_)CG&3zhqsL7BYsWv*xJ-Aj}39XV) zXInQz&XsJC&^hX(F8CyrBacZ;q-cZWu8(}D3b?Cz$a7Nz$u;8*B==`mk7>`Gm6uOK z>HrUUmP3#@W8r+vO2NpeHyYvMPU=%=rF$q(g1pXZ6pXCcvTyQBkop)tv+F{wgvh8T zS&f2`71}MncpXyR5_zDdm(E@5&+HkDEMKRUdM{L&<|EIKbtG`_xov7xuG+l(5zy>} zLY}!A$f$7+8zeN`^wMPf85KvKvzkado!r^ZTp`rGsscVJG?4mYL#rq8ZubnchrT$` zd>zh0j`0RgU-pPQ?juVdMw-3|6L-l=7Gg*mnf<*dqV-cY$dK~3s;99z;X1g9^LCQ4+9 zl%yd}U%GZTcjMC=)kxD9x7{sNeEumLY5G&1`YGtUuX~I%{Si@B%}*W^ku)4Zj0a3F z1;|4tJ&iqrdLCs5Yb1@7`-O!6o3C*|%WDoJO@FR?&#w}+)JPKHY&`irf!=VGCuu0F zKR2#_+)bVxlQb;Xc(S|)+YLu1Jb*KvJ?F1SeTBT9W<1Bf=K%W5ynvCWKk2SJ7IAnr z;(_JXBBMOC{4hYChm$lc*D;>Q|IL2)0zOSQ(kkIi9@b;HiaQZhBTe6((7cieEnCYZ zjnv~UtR=<;vWG#(aZvj;k^S(s_EtApWVYT8gRdUo2K+teE8KQ50usCqvh{+CHj5M8>OJZr17v96NFDS&T zp@t*BG<`_;Du4uEhJ*>82n6;1iYT=RhLY9^hLRx!gAXFB6YOK=5Q6+64U#Hz;k-y+(r9JtJmfVB65f&i zB`>}6BPA04k+0dwqRvXUSCe7-cpK7}jCNdXG=DepdL0s``y%j~sxUp4z4T6*q?0uL zQq?%Ii%7ABP}wWqkJ$%Vx5G!s_xu8Bz$ai{Mc%59j#Lzd5wjHj}Q~q8R)$9*&N|v@wz1bZ@lyw z3X`Q~GVWeT8s#PXOkSV(^xg`22^SX4M_%I~L5^gv&|Xycrhmh$f`iqG@>(RCycUZl zugMGQrX#QMkgy2b($-#~!_U9x6{UsnS`sjMeJPl{KJ6a(2zgC_gr!0RUiv)&(RF9q zv5FGHYnf*9`bsl-Ej$0=0_61uBrHeAv$L0RS99lh)lx%vt+4!SmE~XSX5JuvKM@jE zMDnlDdCtFY$Gg2DyjENOwa)Udhi3d3gS_5^gw+xJ%eb>7y6#Ln#%LkD)?5DdUBGO| zZ<|lgKwgs|;oAuQW!xLzIbN~Y@9PJ>9&wSAEMROwH`-+R*FDmPGsx>LNcdi}_ph+Y z`oC{S+?g57YqRBFTP^=;e5UdhpJKN@_H8%0$c=MuX>3Na+th=Zd3j%FJnE9$?9f$Lnd)@ zP+ld9$?H$W6Lee*V`f`#d!TCnY?aDCauAT!7m?R{kXSyFe|>z1?e&Sw>PdN3@|gCjYWdgM2TDFhUUML^k`lpQpWb16 zea>j<_Ns2RV@=DyZuG2xPgSDchs5fU{A<}AwpU@Oz3#Gj)v^5Rrf>Bt$m;`0yepD_ zeSL@RwKmjVcU%5-kL6$4n=&>duMZ)yZY2Nu*1;6r8NbJAvT;!VYGC-dwJ9En_B)=!O>y}^7;r8@0B9>{q{R-FTccyQF}GF z{437#uPvwEZ-Bh!K_Wixv7ZI*xx@B4$Y|;IinrP^$?~r&@?+7+>tjevh~!^K?y$Y| zJu!qFwO31vmtgtVYHrsBR}L0+FgVh2YAUPI+z z-TIA}OA1cAJzjq^QQd{I1(R2vV)7dL>BW=CYat|Ns}XqFEwud`UNi19 z>Y|oUyj+UB7D3|uas*y>3vK^~*FS0qudWW0SAo?<0I%Ykw2kk@CB_%Ij2UR{)64*%M}jLnTEuO5oYtEc5( z-o6RnBd^7f*dt)i%XXp7B?WW6J$qG_nJl$eFU!B4wEQcn|LeubYY8OcuZZl28hsNA z(RF9qv6?r8*MBVk>TCJesf_0mk=Ig4{7(e`vR!C%NlZ84dVBV&Vaif_^|SozY1QNSN4tf#f5WSe zH-y&^%fCF9e?8ml;Y{T9B_s}s;9s^2ZU2UszR{X+qxKqR`PT@`zaBZ$3&(}1Wso>5 zf`1v?$%vji(^xxPD2ERMCWj9V zA4d+8o`3dxRuNcd9@cvr;Q;zz-3i*oVx-#`v4An{Ehf*nHV1h>T@=z63a@Q#IX*u|S1 zo-F)oIdWJDiIW5N9Ks&7-4=(SYmag;_Ojx`7<{pV$)U=~k3T^UUqj+F#h!z)+42A8 z57u=?IV_h=J1CYNGKaN2jT}}%;*1FX5H_ygo*k^kYs%q@XmYq>^ci?vY(Dz!0p#!v zB+ib+A#@DCJq}NX^bd*e``N7yJ~x*Z7Uf{kt0D0NM`#nU+YJ0!H!(js$R$aqTPg7( zO)%0T(y?2~HFil7d14ast8c?S@mJr}HK0bZ+TmyCapbiI^TkkJtgFBuk^lcV6u7Yf zW2Yv;68^Ni!rzrMS;}F7WO7*KFx~UXK}8dg!&>}}dDzfra%d+pJw$gzJJ@o_6qzjL z@TqEYSYllw6MsJYBXU>=iJyfHCnkqn2h(%=9Q2*7M4oc^+_J+m%MM@W2U;M9^^o{^ zBs-9r>&NYLC=i(}<*?kcL!rlPi}Z4kh8(_yM7&WCndX{y=%z6}x6L7Fb0p=kk~iC8 zmEYvhrSXY;JH$viOF0}e)mpR_c#7WWm9qRZ% za9YH!!nVttC^K0~XR9}eP9MHsb)@qHB>o(!2cKk$TXZIiOyz&K&Xf>Yz4v@uWwMmc z4#P`=wp1tXl4s2w^a1kP1c^Jtyo7D3PI<@FLpbykIqa?94e8A!M6i9_q)dYAOmM~? zaF!;Kv{o;ANSqv$7jE~XBa6k0`~9Y`oU#Xti24x{_xj5;Uq2t}`4_zO()GWDtIq2M zGnw)_>@UL$zl1k`)YhrUYcnJs37Z5kd$ru}W{OOEh1)@82>m~^S8%Gy3*Y(1(kZW# zZnjZ5@rd4+dck?j>PGQN~o%S9xRAu@o!32w7OB;f@Tb|g01b0JHVg!PAzux*+1lvg=1O+*~Wg#g|eP!d} z0my3)B&CY>Et?X${h^;ouCl@qW6~*5O6Yck$XBQ}eWHd-H-8+J)WH!pq$hRsZ0`Ho za3r`Fk}@K7ZL?)oQLAOGJ2sN06SVGLSj&=^Wd+Mhv~t!IffCFr)3p(g`|`zSG~~4p zl58I4>2tJoAx6>-7ivtMm;PH&!h`W5=j@>TMPhVoIzV~lS%!PSxbrbDi6c*`xwo>O zM1uPvsZ#{Q;VZv_3(*Pw=nhWP32u>t(`d`$+r5I*bi>UNSsEqS)gA6}9P%1ej@yB} z4nR`ZKm?D|e=tjQk;?15c6*p^Y7mteWM2qZotL%AfZ{~N1@%poSC2AR0(ZK;TCK)% z%#At-Nso9U@CvOn1o8dY!G-7qLw{7MH>h0iQs6) z@4tyMQfJ*>|Av><1yXx?w6eU0@dcF^jz?ZcA?bO=-dtaqsw#{P;FP>-bZnbhl@H6Nv}m{$DlPKvmNo}fP@n{OnVu>*k@@vuZba@2;~(t z$)dbwab@g<9Y($WDJzlJ2}l~}v$vPsO`UCrVJ&|Xu6jG3^HK7Qzew8A8g?nKIo6zG zZrISt9U1P3SzC+DCh^6J?|bbD20a5Y4QIRzgBgi7XxQ@wN-*rlG1PEDqXH$kz+1*} zryk+*2K60>yiP)r9Kq4TjMR@*KIoZ|*`PKZ2I0*PiW7;f^~-q5>r>wBX_t6Ids=e) zIb`pKmPqgvBz>yd8}3dM44R!%f?rw$6}2qEj_1-ckl<-Z`Z5whyGc=Kw@+I(>@p!O z8+@1+3|bGN1Xqe>S{6O7o%}nQbDV*sm0ASD)ic!--Tg#toiH!dJ+7<7fCQ&|Tn|L+ zhsDe4?kKOdn%S}&giynAr;0pt?-?y4!LyLGPPQkAZ{{`1u*L*@BP}~1 z89|0&X_82A)(n#p+$4t^4*2Rq{Mx97$m<*=ZS>gl3hV#-5#4r|M3^yk!-e)QIxlNN zMR{#=g!AG~ZR5raZiBmAqke^?EfE?tth=DRj5qI?c2EgdyD=g35wgz9n$%HVyVNqg z(9ynb^gh|;ejbv3xs5I)Y+R*|7B((Xdl_$#G5Hf)r&tq9%4?t3bhLxvv+whNskdsR z{DB02gQWeUeS;dW5@CfzHeBdkbmS}4n$1&!M|~#26I$4nfV0WMk!e_?sNW&!SOg1( z%`SA0vzZ-*&CqlUhHik1%W z=Mh~mbV{ldwC-~#!Hbfe>mBN~a0K$Y07-uc5qO1i&^>x)Pyl`(N$#Kacj-6ovBo+grw7I8Ex){q=0*bqSKLMQ}8`!8DAk zZm&?gMz)uA<4t+p;>z$k@~%Jr=`jxEbs3UwMX=ZZIISdCFgw5)t5}@;Cux#My1*qG ziIaoyO0HmbA<31(uTWfUey8)0T}Uth$rX8fN3-ifY{n{DqVeay62#Z5Gb|-o%_3OC zhTzE=H+dv@1(K`Z4#Ci67}B!A$A2eiJvgQWYgq*A@?m`(S}^HW?P2$E~x4#5JE z5u#0}vY7t=zZ2}HF*GGu-&~_fjqw_q1O#DZ~hnTr=6sGZ45osPUpAvs=*V7SpL(?dA) z6Ui8EcTYG>4#q_sUW4B1LJcPb!g)b*YhlmSeqSQ5tB~AEjle5(IH$Z?whrMyJG-!Z zM}1W7zz1`cMAE5PFT|m|($sKXWYKLvuSrXg*PoDlU!-=l;bpv~j+DvT5yF;(DKGrU zKv`a=M3zQ*<@mWMa)t7coMVjkM?O(7CEH_u#nSu;C_51$+2y&(_Zx`ZuR(H0In<`r z@q4K%vBAUmS3d|(Vx?fakhJ#Rvbc6)*|ZKG8(FMf`24lKfN8DXkU8TZWn=`(>T9QA z8os(b7}pMCrs0>Bg3=(lo7=QwH;>-sF*_1tVM=pUm&xHt zrk|ZqJFcF&d@kDYFGzlfk6=g3mn=KJq_Of%I~wnuCFvyX_U!na9HLJkb(|TJ7SWDD zHlyWIJ6>Vx$e^m*5x*qG5@{N>qxCCc>W_WBrXBmrw`IpU;=P$@$G;)@$*>8H>5q1H z487N({%E`tm~g#qJBH1=b$|RsX7wieBHPijnPtZkKa;iW_?{AyM(y}9{?!j^$6<}U`PF}rbGeNClG%ul$pZ+Zb!>z zmL0G8nXF|;>zBXvZ6n{JQeL+c9kUPPgM)M*a5f z7&eL5{qg(%(Tky8fM2{B{{5P5tp*tABj=_WH*``7J7;9dAPNwA<(( z!){b`JK~y&anSmR=u_cil!v5+_7m-~#_VmtM4ZU#cJxamP7ab5`MS1jX4(JBGf)Mz`Y$DY%S?b_}waWygw4G-#Z$ej#ny@kpp0SIDLvSE%9F_1EM|w;Qjy zBa66&b(@jrME^kYGVwO9>(GT$-5;%A690EQhR&m?KZe7bt6u9$fuNygH%^HU+6j$HJ|a35CSDQ-F)YTVA*yWF~J}uB+WZ&Zw zG#`F`DJ0EJa4|wq8YH8qM*4cUX+Cx$lJBDP3zH|J`2do41nkXcd})OgL#m;h&t{fG zzU*Wi)C)w!hpn*ES-0_x7XmfSXE)0s-+VF-%Y32hjk@_PQ_*tKNaUkXOx7}AiV~9M zCb-u4S3eL3$)|wPnte{mhnS00it3K6`ovxgO7nJ;vKP&eO0d@xSGc|Wn+ z!(GQX{e&MyPCim0b(TKIf`X@|!?j%JP;(Rr!+pkg;ah@mkyZ%5sV7{(yU;Bvsb0Vh z!ez~PCA(0hkG;`?RE~$lW&(+o$IlX! zm$-ji0TPRnAhjAID+lz-@{n3Zer>^lHkjna;K2Ri3ISG^pzb0+B=<9c$zRBy<)@`X zYE9H%69sE~39ycUXDaXmOdvnhGjV73G)QeALTX(ZQe$Y*jq$88x~ZzNq7w?0M_x?7 z1gTBD7#xt=+)p&Nz*q|hB%yxeOK?f=XN)(4oRVtGQ?C(x%X{q_KUUqU6HK!?;`R=u834tXm*gh{BhD-Tt&{7hk$Z1=3H z-ktdU3I(!jIPj}uZkCnWSL-mL;bBbDusnCz*U{D9)BeKmV`${-=#V;4G^L*PKeFY8 ziJ0Wa^2D&Oqkr0X!E#6);wN86ht#38!8|@l^`MRyWi(Fe2#k%u*elc*UzPAI0I8$g zB=2<$qkLS^SsL?dkm~gSyeN^p@jRry;e|@6eiC~FWhUcAM1Cd$sgp!VebW!oH#riU ztRguHY6LXKx!NM{)LW;}K2tTQxKu$Dc5w{>lkp_K7#5w&r~R1f`H2-=Pzud8%PG89?yH!Xe~{aOgNje(5twU8C7er!O$ z7J_ZBBO`q+r1k9Nzv*4P7Yaw{;8OWwJn3bUE1>XGn%HFy?zr$~zIEWh&B;XXIwcp?wjEw3jKidR{ zdx5yAflPW_wn0`rG;JpKBlyM}ybKu9t=S+eO!)i#-?7U;UwK4j$Y{j|S-x50gFj%O zfd19hXCQ?kUK?b&YM!lGP^G7jbQ1GS zBx{CF-N)n3^Tf}MH2s>WdqpAFg^{LTHFdv}$(3WI>0M@(Qj~2g7-@Q^+OWh!I#wf1 zA1CUr#I)*?o@NX(ExU+hpdo2^1?WRm-926qZuyKfV-UN=lYxvJIB;E9=D`2t%Og0{ z8EKGs0qsw^O8TPtJs&)OFAklRAn`m7fy1db9m#&LCtOfS0y)TZqPqwG<2b6P=xJSe zlBO5Ia9t=)r^3=6R0&R}Ow!08Pli;34*uBtZROjMNT)Igqs34cV^SacN-#$*2$YzebyOZ@hW)*Fu$Pijr(0LBlyaSouj23CLE z%&qCjp(+UYjWv4?mA$MA|CNK`Km<#0)bcpXV6ub*nO+ziaC%{KcwYLtCUU3-!kdl= z9O?*6&+T()ATn9Pfy`VC4mfi$ITRjEtBxG-+q{zk5jZrKnV#F{(8SAR2?sJMGC1I* z$mFmszjZ_85Dmg)e*_LKOg;aVgKk9mItP3K!5h-YWX4?s zgsDm>2X=KD9Td||uTG;wVQCRB5u-C=IGvY1fRHr8EBOyUrEdK4W$P2%c{BBscX7bX9xjV;8pN24AiTERF!^aaRTK2ltZPxwB z3%{y8%M-y~`apf>c#>8vcqMo6o4gjZu6h^px*LSK zTm)YFbdTt{Gk&j6#~Cl)>1Vq+c&E`%u%84$@?hoE)e+l~U|kUA31tZO!(j>URLsGN z5bP(Cv=Jd`l1kEEl}MrFAh^yVNeiuADpHePM<@Qbb=!-8+@3&f+nBTa5ueWc;Qa^gO&NA$g2Se-;}*1ZqMUF zy(=QGpqVM*l{i>q4cfAz5Z0hgMmq+bpL`YxHUwc!q)X!e?s4`FYRw%9!Gv#Y8?^h# zwz%$FsSyb4q&t48+BRsa zyg=}Kzd3%q8S=UpgdNB|Y*M6O36qeCeh@q8y!1O?l1kF_D>QU6p!dCfG+olbiKI?e zUru@9Vo{jAKsey}!kHL_ys!=TC=qytP9k+)d2&d3Dk(2?@}%<$oghde!CCdBybkm1 z3KfopJKBkx>T?U1&PIYwKsc;MAZXX1`t3X+X&G)Z($o)-u4j1y;;^bSjEB2jO>bsFm%-A^&}SL*E;2NKSL(b%-aa%Q@p@HA~qk zVG4Ora8~bpA^$5O?#%3&SXK*2eP1#j6wrDBmj$V(ce6=TKy=O6~ud2Y1UtFjCVSFcf*$vU6)5fPz7RVC3 zN$JNl8)VHxtNFfA@E}u(z6YsC{Wi!NckOM}1`5Wh3`&qkR2yXUqaWC zAUDKH`#mf7of5aQ4 zQ~1@iQV=q#*F?_|D0nznXVRZ0&md&QMN|9EhJt)GNN3^#v+hC23Ln*4p9KY7y}?NQ z2`w3w@Y)PQmTx-lv%ygCiX#Y_^s(0lS#C`0>MujV2!AjV2lKKzA!g8p4Nwp~@#e>t9dCR7~Cu3U6 zuaqEM(&Hkg)w_Xc{Xl&Q%LGiT`YdWS(-QBNFsz^JFdFiAhLpa}VS_9`HSNGE$lo4P`dZEgS*}h| z_tB95b4cl{Wg8?^t=y#^>0EZ_(_0}Qy~jAnthhTaXxG+fdr@z(+1{U; zp3-`}Vw}8X%m`c0Ffgs>ibmE#pK&sRrL6H9Dfpu#I-zX6nWgMtm_4eIb(p1SEagwH zp4FxtNx?~H-c&!HYzdGP?)kRw;G`2Dk^ap?el4suT<1>ozr(OYHl=pDOV&@R z0-2rM+=<6T?nEP%WgUH1S#WUSHOTBDa>vie-0>t<7PM|6PAhEF5;7kOaK}r1+==cU zCUqcBJvnTF44DtB+}TlX$Y|&=vLGWyy&>NC2{OC;Fz}H1jEovH2fFc0gN(XN2V~Tj zRApl+WDY^lUYH4}BPT$oxnpv3VX09CzZHkHi)RxN}!=i_fPX5?kzs&JF=G zzi^P)=Q0M2Efh&?xrPB{zosR8t>Bpm8O{7=y<@$Hj}OD@tm7ebEoSegN_MMw=7!88 zUZUivfM=L;lIEQxXP9@+Px5~CVsJp_1sc1Ev5Och5=b8IOUf+rK<0HfiQSO!EC5-Y zjIk_m;~DN-ijqjIk^|3h3ruxFGpo9SL4+)aN@90;FyQ|>G_MZk)xo^_q=YQoMwC_G z4_W9L7>mKP7ztujkyS57Rmb>ahpJi+fdzgKV&7y z5c7}#S;;&BCZkBQ7qU`l*(nO1iI9~+^V%UQLxn7nsuVRm^FUUvm&oDHo2*>SYp0S} zXCDS0vI=}8<`(hH4_Q6@B=)F`LEwr5ia~`dz9MZ=HoOK|kGr{&>Iiv)0(&E{w*WDN zC6n_I{?+}Td;(ej!8+qknyfw=(b!kPAaX@NVMM?Dihff1a8LGytN{wX&JlqF1p<5) z!$73=oP)%kQ!%)q4R-pv7_>Pe9C577ge;GYL4ejD(%KAHxa&It(0a5?#16S3TNI$p z9!qS$cTnLkJ0R=02Ll(jwJN()^c376rO>Ta(LJfgGhWC}^rA*L(fFGevJPs{=A>v6 zIU~NfX~$oXb=d(~mt<&jR5PYc$ZqI{>;`DVklkO^2F(xP9xfl<{gqbJ zWSCaVvEJ(yAiIf-L4dTXim5PK8v6Uq49ISdyT6*_hPNd=%c`=(zki@M0kY$Hx-qQE z%7B^mwcK7vcm`bo%fsDYX|+|Bl~z~1YiIj=A-koD!3}Bmc+9MZ(#Oj>IUyV0zL$+# z`_j*uS?Ry=b87tfB4np|F*wk_0%(qGQHJJkd!YJsFNx*)q3T&5r2p<`8q+VTJ!T9< zbKLKS>`od~`-UUHN8AvzC;;h~E&WCO<+KO4Lv{~828q*l5&zBZV@=TOXF4V zpXYjKLUw-#WcQP?-xdgPs2?g~Z=8NjFpK_Mi&~lY2xJfQVc@~>xJH1Zd9HYs1Q}d_ z0W->Lu13$~K(<%K;D&ZjnL66_@fE9YJ_XrRkUow(`evN$X#u)vv)U@EafSmLH1k77 zMW3m$vbf;*qk|xOCKf%DhsN7{r09>m(BhOA+CAoAz;?ZSZM!Y&4A~2K$exdb(0dXA zE)uwsBoCx@@{rhPehd!I{O*Rw1<>d zBM0U}_9ia|2SnHLu&n5Moxb+e8bZyi zwBG!?gRedh*$0ru0jzUVuPN2SJ3tz@0J4urD2bPGZ<%GK^%2DOPj-TA>|C?4bB&G@ zSXOkR=eXACGGw1rAp39t()uVYEA44+!JH;HA^VJq!41(kx-o_+{4!zo=Wb=b^qE--c}LjkEvsLfUH%4hdcNVBnzg z0gl9OYEZAE1ku%GjENlP;kL813B+^6ay|^Ga*UtEDg-d#W>1_0Vyu!1jixBj{*=r} zwm-+UUNt)j#3~vF5BiZtfDR13IIeUXs|{DW-EraPJ^|o?1vWk$ z3t}UlE9o8JN`LU0S(}7be^37i#Cv^Q>CYanbQmj{D;@5BXvW5YAU0LG(w$ze^e0Pd z3pc51VKIm;P}xQq(r@?}-}HaPysBIBKuq94j90i)bGXG*#gWnM4-nfRyBARDYSE;z zMyQ!qxCg|xsBp8)6)R>|u@cBT|Lp(}+w)xMZa1_)!WcsP6WoKzmt7!cx17muJjv;D?X-}S;wWFMbSG!>?Cr<%O$SF!4z^OcexwZm_HoE2i;up z86Q{rl9^TdGT&jrKo5vrd9E0jd5cS|vPz}?-1Covm>=LuYN}jGWsQ|pQpJDk1NYA$ zK8)2Jfmz9JGpnWJmtL2j0@nZ2u7wJSgHWov4DsbmsrZU=!b)u{h(iJpTdG0q=a$q@jsfF_&IR!W zH^iQhAa<516)U?xI=5^&h%YJ-U&#Zp!&OE;cC`G|@h_eL@f8QeZqcxoUQ)}~+#oLW zL)Qj;ZnLcTdjkENKfMOTX(;7IBXqJ9KJ1;~XfqnbS#F4X z78#~!W?3D$NAHQnYi|zLvH?ogm&~l@-qgJZ>VP=c0dY7oi#>fD*79Q!#Cd+Kv!BEk zVQf3*uX3AW-}vsHP&5O?r2&XbMZ1-lS+W0kv9cTO&a1PnOhf&!DAEYl8SS))_>I+ZA9^aesTJ|L$)E;%Yy{{;oo->|pl53yfj-9Dmq-YkLm zBTmQ1@}$n&y&x6~5Vu5OVsT$6jeF1T4&rWPJ`c0TAWk2+;@;BwC0?8l;yy3LHI*Q4 zpvfa{xHPr#wg*5w7=XBCn2nbjlj^PU=K|P29Q8mPcB}DK{46UzT5Vl!;Z+bd2gHrQ zvN~7_J4wwpPHF(+X)FhSOAy!GQkWwDe6`1H5YPD`9_I;h)f`M=Tz&pOt+Y`f{w_k? z0|JPfR1Rx-5o?LJnXSiqNUT_foCY3FY_Qmo%GXQF zH-ntJFnLn|#6L8SgPb}l1~=B3SRedki+{Rc7`9~rdd{; z?hb7FsygH}LsP`MA?{@#t8?5)-pLA>44|2Nj5Ie#Ru_skC>nwM3S;aGulkbB#oX*8=6V0rh;__SmTaeSu z0kJpT5Qj_O%NzuNU>C?4p+MXZ zGQ{;zOsSrMKhA#`139nq5Qp7*d_|3A#aHpQ>^->&@o05SNR!rMLV!lLg3m zOT{`X!~)Y~$oa?vZ5#TSSlhZ#Le31#o{npJ*E9m0C33&wysw>A&2~fmSLVK4 z5pv#BG2qoQiYLI2G{`xmLCkiUX^h#ycdt4hFX&Ivifz3RlW7(fljV4zTz)d-EEOT< zI|*VQ)J&<)a)qepQXuC`Ts+#QLQKBH%*t0=)+wz9If@K19TkXqf;Y3C&~hu(#C~Ok zAC+;?Zh*kD+70wq{rf%za`0XzXBB4S$Yq!+W~}#Q(XKxs=Ub%l6qXmOm|3$O)n3^= z6mnFgFciyrTs5;k5!*Zelptpl2QhDB=-@N6KJ)aM`pn0W^AjqZ>BE&r&dgdVj~zGK z1vx*X!ueik`+~@_+P)|*Uswg}wZo4=g0>@MGwYSW%^JO4fgFDTgBLO@oAt`9=G&3` z($kQ$7h84@w(Ka6qXu4t*ec;1FnkaJps*abKVe3t3JQ|;oQ8%rSP z9J*06l`C%GF-qo&8}icV`_KTtX%HWaGrc6$%u4oj-rxTX$ieX;zBOiLT2fiOJn!W{ zASWO~{CzlEHhXG3l^^$=`2=!`6|Oi@u(3-{3Ub6brq9FJ*n-u7TdtdIk?jAM6N`{`qOIwPrWJ6dwv9Gd5J5UhYSY? zSXS{6IVRb61DsKQuJ~>YjagZEsvYb9=PJC`D>>-C4;kdfGe(|ai!0>nZnZN{GBIvdl8&%d9HXnDm16w zc&a7t-1Qzf+qt>o{utUROscrOwCYw~Q*d_la;1YjT=52r;df&DITy!)Gh5+`&*Kfv zI5Vqsyg2B>pi1C$VObe|$c(WJ!26%-G^su~JE1SZ0g0q{SD}7qxN}tzEg+qOHee=`6`KZVh z`vtBzp3%Tl#WnhU7dRisI(5c|1s{_tDd$M;_A*)?R}D&^=eXjHZkAR216QT*%0}S) zkDn`!!zzEuv#iojwQB2^1;E)4{fko|v$@B}g3LJo#I+S)w%Z%aK7T>N*CevP~EI$Nwjal(HgbE`I^d= z_QhXst_d(wMQgPI)sJDDkHN|xLaBob434o(~=i`F=}qMK$di*AYck9_D<-dGi*HASHZae%X&@bioX#} zsc!-+&p-A7I2U1A7tk8T63Z$oaojU|X$5dD*0_>58Cy@{u=T!hfD=g_H}5aFrl+4(H1m|xa3>>7-kXcsxENw}vS04fA1qA~>^lGiLtc?5A zTh{_u)5`%2UPyn>$FkBt@a<{!STs2CCdyf)LPk5Qtd44%_9$GO6yW_jSei3)G1kS?>PhV)MYr#pVqA6%RV0|y!TmQ*)x zC4XWUxXLRSL`YxcHp^NptMTi5fh#J20n5V6+%Qyz#GTzTy)w9}qQa`kaH%ErrO)sF z;45%NqrzwrGVYg5sV?041?SI!%Yh0VUPxaqvaIw%F?Z!%W5HEN09S47?celq*gW-6 z{a^*sSNKV+p$`KO>ED<}Y&}Wn>8r5_T=!!3gXnT^65nw(M-8J~wvxc*mLdHIfdSKh)G`-Ld=6Y~{O~|M5z>D$i%$Pp zERZ{X2(EMobbj0o>DvOP)K2;3x=sDyYL7hHNRaM#n^}9fcNZ_s23IDQ+!o8)tC(2_ zo7RwICtBBY;kmVwK2 z$FiQbvYuAsTi2`yt^t_!qz7Ej`pvQidB3k-aVRE9C@Fwzh|kP=UOe0X7AhLTqojb( z4n3qTJsP+M1|S2M3^4Yh8(hON@lQHqY6PScqQ!wj2)M}8LBh)+iBTBvJ0M|4PGVb-Ttc<4onT;jifa?Q41_@mA z9W2W=-xt+=*WcihWpK^Vz_m~|vljWLZz%sMCJEr0EklMmu0uwmw(!PxJHWLVvp!S7 zwcM<-OA&T;sAN}Sp;3`BKg%V_>Iyeqos}u|f9?Fy08V!R7 zTq^|1$H zZIiGRp2+P~F}T5b%tvCoP;Qrq{^=#Ly)p)rTNfa)13nBqxHf1cc38#W2G{p~5<7-+ z$3$>#Qb|mcF`(Q}3W=RYxzjwjex`Egyx=<|kc;CX#;^;` z#m+Fdqd;QV_vLo^LVD9UN?#T z2g6f7$n7JNSRX|8@j`Ats-?dSx%~ymea1oZo>4KlA-AteVuSn`B*+~?^M+vF5X@^Q zlRS@tL4@34RLgJ~a)%3$JA!g~$p^VF@sK-|#$Ls|S26EJg~*M0CjNg-Cb9P<$eoRH@6*@^DEGdGrBj_B36MKih1`#+7MaI01zS`la-Se_ z0V3zRN$gV*au@j_cQKV)f^v&h$o*U(d7o<-JecnzF~tG7%Vo$dq;e}TrO*et%V^%$ z0m%K@i}_S;wFbGXQEnYit&L+NyXqsO%jRy zq+k#scWZ#eewHx^Sf+!-c6c#3AQx3)%#TRF2)Vm43b}h^42ayPlGuJ91|D*Ec}eV$ z7X!*2p?OC=kb4wUf1q-wG4C|youzr_Fz+1Z9iei+xgqzw2DyLuh?WZ?p82s92Z`a- zF!wUbo%fJfk%EEbyGiUCqDlp1z>(N>6@wdc4+cmK$GzNJBIK^sFqQ{01_AOa@FZ5j zk3oXm!G02}q+)PG9^TYrtg3=RguLoBFItAYXq2m|l01hG0}pw%NC|nhRSYP1H!Yzq z%GDJiuf9O?8epi8=oqRq#*b$b)!eb z@{)Ye`8gT#no=dLM96E2iW>Wfl2kY32`Jiz#?mma4d$iO0@AU7bSyyh6J!Sg^4hD= z;lltcpo1KkeQsiN$jjm(FB5MTK5>{?i`^CYQC%P}N5&vPhvl-FwIVQK?>jdjFAt@1 zQEHVXwa)RCTgBuDGz=c-uu(FlHV0;0s1gf#T_wot5`Yd{EvcQ3cj|~SkXL|G1t_)8 zk~*aPI_{?rA@32C>V{HB{H8+9U+`Z$Djn@aI`Uubwd@QSDlz(>7@KneffKvSx?#K~^ z;~;OK2-(ZMkoTN}#0JS2(8hx`5*wmm5Fu|UwY|p&c^)3}hSAt?j19-w2pYq#FAuxE zycc{#ZX||R@eI$oB53`Im+f_JJ=gl(L*Cqh?VA1s)q@)EmbeHG=Mo z1Twv0$oY~DvSOfe=c~|tflB5`3@N*9kQEwipLiL%%Wg6UV@RB<7`vf^c$VMQpz$T> z{t@zg*Ugag1RG?z^6h&d@GMOPWopY=jS;(ldC5zfZ_cdtGXC;Pw&#IAytT=eX z`$M4nD&#ppX2{vRStAQsVWID@Z=m~1At8{4mVl!;_FOcV>5<^b6E1f$~qkk>vz7%;rBrqfzmg#)U z`W?wsq4!1T@c@?I*+G!yIA}dBV1tbEwi?wAdgOV@%^5?!t=S+ees=1E>(ImHAa{5S zIn{52tWZAwm7CB*L@S93Lrzg`kmc9>9@P(eWFgN?H$zTVY>?%4UKqF+dUQZ5wHFxj zEw>F4)?PY;Jli49bO%GeXjeM7^ov&(K##V_vyERzaz{HdD{)8uF#WT_}1rPJ95^pbO_9R zVij&A$9oA}jM8-;e2ur!$vsj1^*K0P@pRj{jy(URn<38+#y(B|g-XhIGhW5T-bJ|B zgezeN@(a-h*=qi@+4FIg%|Vyb4CLo#-Gg+tJT|lC25`=k>6)B@Tx#+RLM9hadvYT< zv9}=$dj@ie!>074C09QjhKq*C6ITZfZ#FXU4)|7ou4n;Ae$F$sc;dTqgA?1+Ca)a z8)UNwyRSZgi_2IeTtzmJAA4<(O@Df{-EX);jWv2rWypCR8)TC@)0*}N=Zk*2o^9y- zNU}ll-+1>n0cT$yT@*KvI6p7jn)iNq?r{gM%uC?xM+&EP|4_6+HXgL`)seWoFVJ;- z1NnhqgN&KD^Qmt5jDVvL0}SN*ybZF^fsVpvaQ46&J?>$p&vDow8~(NFm%s371@gql z5{AzAkZu|OY;f#c4SaY}fIRWphJlvu^Bq2N;XKxwV5yAB0PI22HYs$&}dV;ey zPag{!$jPD&vc~V%V*dtb%>bf(jOSZ|4Kn)sr5myC)sd$|V#rCn4YK-U>bmE_Sp|7U z3k>82(uYjMe`Wl$>edByegS7WIwu5dkX3$itZM@~ z|H$;At)X+gW`nF;cwzGe$hqpFPks&LIKK_D(ufB2Qt^Q^KKQ??vC?scp)Aj+FOQGK zr|g&U0erE-kY2?GS@HR-_t$g9c=w0TxEXScY=f+DAaV5nWGC+eG0it^63vRi!6RP4 znJD-M%Pk?MxymZhtgxnYZZS@0v6Fc#OmiigfVLxj?J0ky+FrytF*p$-%*$LJlW3OT zm$JJJCgGiX?uZ$u@UIv%Ejg#I%#`_U=5K+#$HQda71PY+=dRN%|Hzs; zI1_9Haz0^Wnki99?d2Y7J_0TDFmjGyoYzbaN;IKY&F;uJEI_7_#ml^AfGW|nkKG`zQwX)$x!0;EE3^C@Z+{!k3&c-nD=Txb zRZ?cT${lvKhrALz_m081X4Q{nwZjsBr_XSPq7QH?BGWu!k(6m!-Qby%koPQ>SrlQl z1J|svN5p4K>>@*+BT6Pr#x-laXk%sSG%NNxJ@H4JjOiz{E}7=jA&F*%3CGVh!5N(v zGIcYqS*?0NqM6co*|}G6TBw)I|BP!^trV4Lmal$x#$L#~89AG)aZT%3zeKa#&`l3w zgfvFZri|0t#V*l=;|`qOo@cY6b~IjQwRYU{x^uUDI_X z&!_sov;^{S#1$vq#x9#$iL!IAdY`QJ^63ZjKEOHd0o3-#%dGK?A}O=ntCMZDK>5mw+OfE1l`Y)w zI!^fg+6Qo&`xh!|2jZI6R9TspzqdUw8Y2$NL~rAUSFUP!=NZ4kUOi64XSokX&GP$ZHaQ8(TgbW2#x(PUmBbm9Qx7lN0Lm)le2a0`m=utd3G)ZO zh%vuB0QhP~yv!P%!V*o(JZJ16D8FEt*!#c@?O7wAYg!jsczkaDp#DqvUI}u(Y-O51 za!#FQ#a+tr+d-L*=g!7hpsK^pYS(F2*t9s5k8ikm$*U}^%#XP}b($%*-KWQcG8xOn z)`J`2+#%yEf7r4a-+-EkWlpg%&1GIm?d59Dja&!HxEN}yOmh$xt@YM)wZpB$YxDsn z5G1en#5Jw+eG*Me=3HE1OWBN^&k#kzY0a=P%_<*=_CtWi&l4(}o5_&9xFT#20 z8*iwJce{uDk$CO;GON`r_v1sLbPUkf<}}SCqnYLPnpFpP`J+52x1--X#?ReyXnt5y zW|h~sJ$(X{;wXKAFJAi;S?!g#H2l&HN;_orc;jbucnPzozV@r8cKqiZQ1Y?N_DWpy z$WMMr?Wy3uHU*SS+- z_ag^+sxf2*zta^r=;%2_M(HuoIMeJ|HiuYGob@M*G&CYRwj&F+WRLEK>Yk_HAV72QtD@^V7)heiRYlJNG5Z5&MAsKIr!>_^31F%fIGr$cjWd{(-(2~?%B^)o)GRG_7EO&5N@o;GQ6OQCx^2Iexen@8eq}%Z)g{Jz+k{(=BR;K0c zMHA;k{h}~gX++bs;Nm|d4*KAeqE7gig|tFkMhIm&cLeA{LYj;|Xt(@??HV7pYkGjw zBJi(M10rN%L|mp#P0U~Kg93aqg&Vd3ZI)vfoCSk39hqzl9s%8tM4+IPmB~gE{q8@8 z0cW=|8TNiG(6t1g&E4uHGQ8ThnHWV`myht+o9skJ>X?LoLDvp8!0EMQqk2K2r((Tz z{X|CeMuqYJ?myv(=^-K_GHnuf9`?3zB6nP-%?I!POPI_F=Q8{Yy8nvD4)HVD(<+hu z=7;>oJ}$$((EX$r^1kzOnT^O!;ho1TQB4+qUn^&EfWEVZLc%;YO!Us+vC~4DUi_uE z?tkL34=7w_C3=5Z$qRr?_KZqo=VE{_LUI{pIUfOhA(YE(MDK6R=DPw+7KjkpMbulO za2f7}yDgYapYn2)1ze>d zE~9H0SbpeaBkLH%W!mx;#V=sXd!NbTD`EWOC#zv-dhxX~a@-idt7CDQwzkII6@z4b z4NVqbi$m#-t!{~($k5L5g*--u$zmRHnYIc@&g)TVJA>ANdfGZ6B}G`?w0Iry^+)oT z`RN)YT6yusOBSnS0TZpf_{t}FGb42MlepeH_&<)tddbo$njTwoWucX6v^9v@z7- zl-0I#lq{A-WZ3q#rL^kb@2AUYQ5jh~4C`$-2*+mch{g3Fttnr}JFR&X`_tRR%JCLl zFMH>+Dft#lrKZqkNrk`sJ4tTSp!WcD^bX? z?I|!3Q#~rX9skufJP}B1spWqi7o@fE{#>qYJ>+TzX{~}LT-r&Jl1SP86jGm&!KV_L zk=2|_ORS_k%H;@H$`gCx8bz)I!-1`%|Li8expHD0yxwb zSEDDQ0gj32Wj=-IP2tB|m|msZcGl8Lg!OUapJRg$;n-0C(|S z34kO9tJM4JB?N2=QFdYF~ zLE7E_Ux0`B$x*=G0Wo`hrBLho-MJC=I(QH8gS zk)ee2w|{`YQ*QRJ2-pVF9*vp+USZGTs*nc2Ck5cBQh-BjZ!NuT6#}L~+LLC0SJ<<- zP^AHIysxxpJNn1>E+A>y0cm3`CWe!7CE;0IGSk2{QRSYUVoUJs;V;5ZEzQ6HsFV(A zlYC_WOd{*yDxLCr^Pk;}!79Yw@&PDpzpAm_@h%}6xLE=?t<68x5ikeRJ~RWo&v$u%m)mCh zhFN*kf?EaPb_w8@$NY~YU@oM6Vg@*X7x=FhyzCf!fbnTJYM%#7wG9ltj|MhsfLv`M z?K3m3%WSik9eNL{42&A?OS{H}H;`Wkw96R&y6g`%?-_zz3NAVvF-9Ewggz)5I~pM0$@cGDzXYgKTuvf1XemmNzP4k_F}M-^@xpXIvs$WVYwI0uXj+%|s(Svgn6HsYKp*N^c55wy+E zA#R&>o%g?vT!oN!%x>i3wmIk1bCu0D7eee<%5dDvZSz~)KNvT6y&Je58QS4$)TIYy zw2Y^Xf|IhYjBUg@QLdB1XQyJ^HjVba@DFme$CaxSe8y~pOIx$^`}f$Dv?*NsnjK3S zaDbLPm?i^5XXE}s+q60U#&yV0gbQm&js9VA6MvR)#cZQHC(3nB`0Rq0$Md%1E3C*> zjEiua_$>Z3@z0InGA#eF8qDZ9^VNAx};>xIV| zaJ_KO(Aj=xe`F}Z^~6Ia4E4}>+E#Xk>%8n(Vh6IEIb*oW!u{j>V8tn9xE=Q=*n!85 z_FRjUbw%0~E~0MWsvPEA)qLDG<=_4NG;(#orO{(1wz)CH$|<{TZi=#FscmXlIYUh= zx6QJP-z-FiJHS!HYh>WI(Vh;HvaXD6#5qx}S}NzNqjIkM1`i&HTphtt+hW3{J>R@? zTrE{n7TG9QJwNBVPWbFU8*)b>*PXb;eAvjv+mtA$>^^I)Pyohoz3`b$_-yN*4VlQ` z1;_PfK63Y6iM&YxYgwKXW?!5)MI)S5+na_%N zd%ZGT+LI&Bb+hocAXjH_+??Pu9^+k6LEEkjSDzp&kJ{^28;_+{HqQ0< z!9#B$*InSa)n|+)&eh+_%DFOJ+7mfaCgr*EZ zi!TQ+N7!qTjg?JgWwX~3J3E$g<%hN93St(#Eo-~2!3&6UH#qWRMlIlOq1T>NQqY4bju87TC1v649#e z2=mtd;2++;$j}oUw|kAJ&s)1Tw}&xkQSwe$U!S=*-jC|_G_EZHCZk*(?Yy;j4sfoV z;}5Muu6w}YwV7~z8fE2>b55RXr!h+I!fZrv@Yfl-dpTFzF2{aBu3q5ik`ON3UfTRB z!ggi2z6i4Or1t7A{Mbvhs-g}HK0vN}!O=rCvDe-RE9c5^eG_HnQ7#yAo{0>!+%Lfje;vvu4KeJ-4P<;LBWd%~M2fdu@p%CX9UeYv#Tz$YHdF-cM zO@0rua;}UYPho}HM!EdrYBJokI;CHmS9!K zXLXV4%U-p>rd8uK6}rwKy@t+MP^T+aJpdem1SjyS%Nc^h{H!I{P$k7^@bN)i)tA1$ zssdI$5Pwi7Vsh4%RC2~-`}eb!RV}dTSwH9JZDVRY2ETDev-h?QA;TbWJQp@H@N0r2 zK>cYj+f2iOLFIv z6jzg&f$LQfU$1+)z0M9P9*0~*!11a{eBlp2v2w~1_vZ1H=40j2_<95VNpP@_wo>6- zJx&yDM6RLWctbJqqvVb(DSJ7M_F5I=T;Uk!dTy$#DRK=1$D4K&F3BBPQe0Ww{?uM; zLY!+|h;#KmFn%|3Jp_(5Q4=mlfZ1L6F~_Ty$?})E9}D=gleok9;3a~Ft^voBED4b zx(|`-5pbw66MM<>S2A23YzBL674fxA#8*GxlQog+QE+TEi!WLJN`|Xr%)qrn#Mfsc zzHA5oyo6lC!Lh?6zNGmp87|{gW5?$rzV?Xt3J$cbL#`3v_}nbMWce!@t}a1?y}lIj z^>vuX{iT#$ZsZyXjxWvPOP0Tq;p!eTaP155xZf|hd|hrLlT982$3C<8as*g8Jb&Sj zOzUOl`Kza2uc!6De0Cs_{k69B@5uEyIKDUIlH{+XxcV9Wcv#`~`q9q0 z);D@|8ge}Wj>937JR!+nNpTH~8SM3wi2Gk+oGZ`&P7maI5*$DIOt>WZD=9AReOkgs z{rIcO?e)8euU4B}2axM2aGbE2a7pr4Qd~og_KF2K*BQZe>A<9A$n`WhVkYq=%U?-x zJ?u63@lU~Z&d>cgX6|zjAlE2x{At34KLE_ixial>c$k$({divC_WH-pxjx)|a0GIV z2FLl3i615TD=B+DZZ&Y>Pln4ami=G~U@`%hle?7&0 zM)b7&^$aVH#(jEum2*`T@%7fr85g0zA-#zimm|Q=kK`b2{A;12mzn3UB?dh$e=U!(V<}g2h5NCk zopTjt)lNgMNs!(=Wa39j{=%Q*Wn~lAvT?o1kEL9@pC#*oO=OFzy zp9z;Fe2DXw)k!bCR8l@Z`v*@El+ zNjnE4*Az(4FpDoq{z{5VTQP`p0OP0Tq;?mYU;#{2tS64re zuP%FTt%qFCLwaWuE?NFcit9sT{_3u9KlZe9uIpy@tBhRJAiaCYB)%m1D=Dsz(Lvfq z$E~d_eeok2mA&&IhAz8nAlHkK{*YPR%koz; zTv4lmYq*7TjTG_q`uH!%%%z!-KHMbkrTHrvt|LAJ*W)6-o)TP@o{cp_u33=&gjw86 z?jMz8xQ;~(T%!b6Ak6)EWbT03$Tb_%N1JiU@>eok#}xzD*Z}wA1V87pk8Zjbx#mFn z*r-XKa0FO6qW|@qL1y01ITO+!tL3l%c$tjmiHQp5nr!D>PwY6_AGzj2`oxe4mn?rJ z!}T|3rCd`joNJnhuT_C|q`&$Sq)+vkaLMvl5?t}sEQ!r1S5W0#FAA*6S71B+g*GlqNQd~`q`TiY+b8WD5t_v+*T!&nXApIS)xR>Owq_~=^ z)L6`3o6v3q2k*B(5b^bN&qZ$`*K3fz$t><OK{qm9Pbx8j_WWpuOUv5_Pzt2mHuOCO0MgA&^ zu!Cuy!0sQ5`>#dZzy87XCy{Fzr0?~aaLMvlGF+q=scn>NpNOyhf@|5_VIL#c8<763 zS$xUzS2A23g9fhe1y?l8^Vg)a@AX8k<&b{Rj7yfklHuxXHEGP@>eokUBd>hpA^n@+|Icg{(kWZoKZWF6()^VS7q(Hb z;_HtCKViqe(ke(l;gfSo^H(xlz5E8Qn24`4f@}Nv2|JPNO-Mgw7GJXbl?>N?Q3KbX zg6mwE`|-1VN8doM)sTMHjLQ*V<&Ydi$M5s{+4M5g`^Wg2m_%3PuVFEEEcN600QciR ze$Lf(Uw$TXg(3ZX)Wnan{FMyXBZ`6RlES#0txJHEa zTu!V$p6{I%MSOkrdkrsgtpR6>&xA{szmnm4!e-z~s zi615Rk4d%1amM(nt8lJs?VQW=^O^I=wH};xLnd6Z{FRKoCfW@4x-Q7M8b&$S4<}B& zj$H46)AV_*Bf!cL`D?OWFEh_y(?WVZ?f!8F6VdxLr%iA*j&QE4f1We}x!wh*En;FX zS^i3fYnH;m%3->7HnDSiH4AVq-{5(Nkn26%ubObl@>ddE@%3v78|7*)xOC5J^A5r& zxL5MY(z_Jihvf z`0{OdYb|nZ1!r%w_>$$X|ITG@FI6Q>WTRYtMSKm2@#}VUmqPkEpMbNUS$xT^kN=&^ z)L!x559M5gyxd-cMgDrN*Xi}h^(i<9MNQ&fmcNqW`cxrIWTW;PBI4^I5ntO|l>ZdD zwt;hqNqkB3S2A2XR0_qo9v1O6JRV;d_s`F+QU$rTgY#jt_>$$XWVk*v=G74)?#IVP zd{rpyF&DXZfODi-e97`xGF*|E!Cp@aF1B(q+Uvo66|#_PCpe!pi!WLJ+G}TJo8>Pp z?!WWu^|b!|5g(J$_!=YPeyoVEYlp9Gja<9HIVNZl_po==L73Z2E;%jbzbA8z5*G9+{0p|>p_>$(Y zWVm9Af$OCx&l9@m?wxM7rXklC;GE|-iF;Z8N`~u9*ub^W#<>>RxV?7u+Tcg7J>XpE zGvSiuuVlE+Mh#rAML5^e2Ovzbn>SX)idJsU}>q{FMw>c^^AZ%C*YQxx#jCuOAOgLi|c!fpe8ve97`x zGF%lS2Clb6+^-YyHEY>(WIXz7aIUeL*h`kblHsb%u(bTOUc}dXBEFvdq&J!W^$j@R zF^ex*{z`_cnw^1BKW-H9^?``5=Pjwdk!v5WUtkhn()^VSm(^$BQbl}yEaK~d=!`<- z`WBq3S$xUzS2A3+f(EWFBECM2^0@DF_N#Z1>pO66HH$A<{z`@mU(AVb)Q{VPyglv` z@ik}k(+?uoesFG&n8dv!z{=tIEB|_*US>M}mEYK)NBdv-EsXKCTg2BFBEFtGK9D@O zIsneyCh;Y^e@u$&wg5XPYOg)Qk6(-U`hHRuGN0*taDHhPUy}Tl6jz&=f$JN=^__^X zqr>($L9T<~+-DYFlKhnvm(yn8Iw0cfhaivp#~Qr08M%G{=K-_$lH{+XxH7#4u0sls z`=cVhJ}KT-fLu{Th1ca5pbR~i!Vojl_T<3 zdmbbI+W(T~uMYfJnkW7c@%5L&CGEaEG@`8{)x>t}G`JKWN> z1f}^a8LnO-16LIuU#{vg9`{|F&AAb|egRiiv-pzbuVlFHGsKt68sdJeCE}~K_ry}< zIu0&tRBkWXin&R($9~LQw14lqM#NV=5nr{YZX|j2S8!cp7GI74D@f$8fjmb3J%0@` z=xP105eh4h>|E89(!Rpa>c;a(j>m5`6~&o{INcR zy;_U-a)|h9a`PASkn0q<+L*;1y`<@$Nhz%<2Z7i0avzJd^rNF91-_ZVtSeR{i7^@%`nEjV&QRLAmVFoXcf6W z{sAsUF^T&kubzR9%jGA-H7mf%qj6s-;;Tr+*QdL7k4LUQ!PU+zzGV3;87|}c6E2U) zUmZkzeb@gz!gUs0lAp!Z-UE~6uVlF9M-BGsDE!z-#MkuuYrKG5e}Su`S$xUzS2A1+ ztp={U1Xni;kNayH919}XIdI)&5?|8%l?>OSfPt%fn8$rj5noHg?S~-Of56o}W)kT0FP$53u5+dH;ObSD{FMyXvZ#*B^26P>I2ZmI;eLdrH%~yW z3*hQw7GI74D~IQ=+y_;?%shXs>XIuwA3UaO$-m6<8*Wch8 z5Hj(jB!4Btl^?dTbD~_IF+W-k?vA%doNv-Q|FOx)^$)ln2%B(8^4Dc>(fJekGJA=8 z1Io3X`|;rRxF3;gP9*aqxJ=hD zm*lU@;G)mBrS@|BbzI;Y;g2t=4b{)6+a0&zG`Upl_K&m}&BRvo&R=T?Sy^anuEOUP z@r{Re{zfiCSApw^09(x)J@js83*Jem0*XyMl>ebkU!-BK9h<@bjcxOT3(GnYbd~K8&pRnpz25OHAUcpnRCw{mO7vG}>#q z;94c(>wNy{8;~m%T+4%UdlgjX=XYhes`2xr_F66CYmJDnn#(?W0=cdN*J_jaDzNhN zyE0t0?acm^>+OgxldtSm8+**DmBF>vYBUqeZUP6 z)gM}QRe$b$zXMiX4O}039mF-G>f^q6ZhVi`$WR+x-<#P%~3jSgCh40XZvtJQ>|o9};TDDtvnDZ@!&htt9izc#wzIb^5@u9IeV z=xJl+l-&;E4wo{V5q3Bm;J!$2IA;tp)CboeW_GwY`oA-XyIIO`&dz;tKFS%=zP_a) zGF%I;bAA(F^a=g%4C2m}GW;Fn43`wnaI!@Y970RI4qSgnOc)0I?+m(8Ho}l$i7|$Z z^1=>J_5PqQGBkh;u$wSE;QQb0AV$8$Z#WM)Crp~yx?W!{CjGW*da%R5VgZ~!VcF9JLJARzaBC)f{g3T?C@d| z3|+VlDZ>rI4vlQw7jutqYlIAqA>#%!JIt~E&vq!77h%UzhQ<-@i<<+S;g3(+2aw?= z$hgUB;)_s-l~Z=ZH^30AfuVzgkiCrl~ZPhf@SVXaj$+sO!5WqMfEWK6e8PXkoi<1`;b>mpy^Yrg3Y)`+lb7kpkDsT z7?V*WW%@XvTLPHg=Hf{NYz7%wW`JA6tUywU2DmMxmuY}IC4jqCgPuYF&-$5+0xANq zKmxe=<_9Vx;4P4mX9oC%1aNPNRYEPJ5(|D4)XOvreusOsO;}KjL{h*a0eHKmw2PZn zeT#GnnnOmh86fuab(LrqjE3|w&4NcHfX4y`J%yZz7@DDg9Yfs3oh5*qd%ZRU0dIwj zI~9`{{6zwIB4nsoB^Bee#rQ_7rCIQFKriztL{E&hQNXSOu!jWjp|$(|M!*)3(aj9- zPrtqr&4TAbdYNXy3lhMK0fU}`fcavOk^=S;faq*&&M}L=6))dasV4%qgp7Ml01Kfs zU}1{SP_c>?7gj0_SXen=&{K#7EA#RwU_Svks1)Gb(2Jo;I6*756=d``0n9mI*H=O< zSXhl$LflC$SZMX>^{9*Uoi@EpbFpaI6mYNr9Ht~%aBk@JN0yUu_S+!iK@-5-s|_$3 zU@fnqVwG61PCzfCfH~r+8a)w(SWx6k3ixo;!V>ie3E+m>4>m(UJ7hc(kT(*k1?wB2 zH48TIl>*Ff6VuBy3yS1T0UsBDPnQCGFZxB~9O>@0hKwgn01Iz0Sc_UP=a6cs7{5Vb zBfDNkEvU>g9IF9})=mM(2*7a(fKa1uxS}$669Tq@jDQ(n6Q90f&BZv=E51?JsRXc9 zDL|$}{^u^%H6{x9tN@%W0aW*1NJYRj$e3sb*xJS_B9-)02#gHSVb$vq3+guxSRfKQ z1$?oE?$)Y6a##;VwFIyO&)E=!T3PCV;su zVtQ*)z?{E*dYJ||CZLy5z_v52dKq=Gt_wy1Bf^4TCIX_1E5uelg@8Gb@r4Os;aI=E z63v1We0rI=iwkT9J%z-exP_pAcq_wtGyCnvMnYWt+Pqc$5il1r_L%@mT|CJEtyyr2 zPY_cIjmV1xr6E(Q2rw0oUW!}?0hT|CXJ*VA0Q zFrb%dfXBFu0{$of*&A!T6{7{~O>OoQ0xFOpd3BB6xJxZKT`^Rwk~}!mU{%e6^P>hm zg}7MUXH&ov0x%}AU^idGT?m*58NZoXuvv1{g9}fdWdeB(E7xgaGO{;8zlHdrAN^%UM|?$*hn7XgU5~G#YuVA_5ko zV%VgS;Eij1Bb87SY?>UlJFKu^tL&6@ax80Evqiq;{%=fbAjEY6kc=tC#j%G(cIG zf1Lrw+{I#$i2~LYfY&Af5*P2C`tf-LEP~9sCV;sQlm?W#_}wT|B%z>L@Gh@jrn&e# zmB}bzLjibW*w{!cc&TeOvvV~BEQZX6CV+(-BdkDDv1Y*!0(u#}lH^vh>SYu#?}$$? zqkx4U@$x8O6EANh%@P68f>)n=pY*6bkl7?=(nxNO>MNmuQVVWT^?I5G3porqISugB zFw>)eEd=0g62R%l%adzy31qe~0W91RVg-^)D4_J7a3tl$h%T~QrH9&ELPXY5n9I&0WG+=k7fL!YCfXsZw1n}#iz7p!< z%LaUg9jY7p_%^_V6cBHxNHR-D(- zAk$pDFUDX{7R=4G>nouaEKI0aCH=gB0fqwRBv@KOy|#;N ztUL8>cL1ypB{}bR8uK{p?0K7j^+Je=eRD%fE88Qc$0Oq_H zVFi*nO4@>X?gHp8~8R4k)|S<;xyJ7(2Tfpcu=hfX{@vi^oS3Vh{nH_mBG>0lPuwSg(nT zf0F=KHCR=%;8>sjL})9{d)pAd8layaO93aT9B`^V5pY)crMdktB4BsOe9mVAc)+f& zxSxV=w%eJKs@`SfI%wiU<+>Q>KEyX+!ETCPrny)QGEu+{ArAO{sYE?1T(5nbC8GB~i;- z@h?$5jFx7`*!42ag5rup0k^3faAzz55U<6z#ByIiKp$joH%rvAYw<5$L&Yk_W&T2| zUS=MHVib%5ejen2U)mD@F$Q}M%pkKq`aovHZsOw0jlpULYiSk~>-vaBf;S=YjRJmS z<$&KQiGZ`hml{^S1p)g)<~LzEU_!iUF?h9~RYEIPNy~N{WE3zj95U!Bs8=Y4=_ueq z8wWh(Ee+W8UFUiP><5_#&8{SudoBLWs)x}mnCaEad=yX&(^0@5?HurDUjiVGQJ4g9*>Hjd5%8YW zCFDvn5Hin1O#lz6tVC|Xgt*i?3rk~qJqoCd_vvLC;5x2HEqGqE;(ydczzUYhvDOt3 za1dl(Fl)t<7)-c6YZkmMpoh^c_;^q+(*WO%G8qBPf)KM{R!SrR5M!`YVAVhbydSd4 zDJB*aw~5?>h36uy5+b93g%?!4i~{DpujpkO;KeYLQNUE*inFRD0%9JVd%`jj0Uv;@ ztE?t~mzxJIR#q{A)-2e;tCvy0c4)HrMgePtxdm%R6D){;Q+{4C4*>^5mep$lc)2ca zVP_Q!z!8dGrde={%48I-Yqk7)5nVF-9<#TE}D;DeA=*Cz)oG>+)^!z$(7t&|w6 zm;)*U4KfW-%=@B%4f&NM>qfp{hMxZr7G4G24-W25)Y?c6M!8GIcw%mS~SLdlLcd*FWjTFD7ZVF!-#O=g1bhgxB)=e4wtJFe25V^+d`c}1*uckR6fjHSF3w53k{sUW ztGV{EjR@$6tgNWqg6%3BDy9}poRh^dw5pi}83_5G$TSOznM@Qg&&vV3#1brsfFt%^ z{UriE3|VJPLSskOST$0et4@D^n5h5y193znRSx~DA%Y+A{TkqU|HLt19CkESx<%JTsfzs z`m+0xvU9!oueNcn1SivEC*#VSY-h((uJQ3VYlOWlho4bD-|*6_$n`j6jWuZixwn-L zv;^DGKucgS^<$o!VbSh<))Z@Ld-Ya3Y`$kPay zB?uURtoI^vz{|Ga7ePIY7K5pNy-c&97{;c6ANyqK@BOL^$yn(#koA#W&Xthr`Y9%t zi_1=bzXcd8<@!XVza5gs8~wV%Yy=z&S)V4`c#o>AVi9_H^A+ESOf%f0Ats}Mc=yE` z?-!EBYyG3^CMysLbyVh)&VY|t9uM&4d1;697Yvpt6|yBoR2L)KSj5hs~+ zkl<~4-MDPee!}@F*ZvSU+z%FG*0b#Itu|@VH4gzNK-K}pB=jU#-gc5ZZy8|1ObKc@ zCCAGQOaTuIz+ZyQ)bHUN#_hYU7)*U?Wv8(_B1VVKNGc!;kUHMRuJ)X~5YZ?8o9#pNH&P zu_RsmDX&-nHZfRL1N_Bn0KC@60k4mg27LbS{tg732HDqHOaK>fmynA4AqL1Ai9*KK zv`qjuu<2zQpm=~pEqG&?1Kt!Z4ft%3qYH`3|6Ipx}j$Z*rwEV1G3Z9+Z#H6Gc-wM*%9UCAx^bkwT6~}vPDV+c0og9AoGalv zMY(hfn-B(#%Xsag4sCaH)DqvQoDvw)PB@B>#C;t-}0n~2-aDtD?DPa3p;sqD7i@hns%3VUPnUGzm%DLJ}2Jdt$ zS~4z>4BkP)s+m3one+GKzuHE*Znts6bxgdTq2bQW_?%qNWlD-izM2{xe|I+lqK;?^-u$JPkSlg5O1R4 zEQjy1{B~2#=aB0q$R2Dnap;PWzCO*N31dqtakBAV-?WA5QWE8QC{&6IQ``5;JG_Bh z^B~)=$hi{kQz%z5sVzs?nsSW@m*P5n+Fw7z)(pAkL-xp!oU5G!t*>o7&=p2r=9(AL%e45qIjWcW6cS%2 z@Lz4CToWXjcB1`4v&|ck>lMfz7m#zca~W(yxe}If*Wy0g&gvs}HMLj%o+#6!T+drd z+3WC5OYP>*^hd4*kUiPNkFxkmd}BaGJ0!%Msl9aF0m>Cr61l)u)jsU*jU?_DLUu4J zx7QWt5?vR{HM>-MgzS0hmgVm~iCiJbo};koki6OpE&)n20BS=@nD=6oqol zkMRT>O6)rx?;0q$SZxvlz6#k3f^tCJ!gd6P23p=D3ZvnGd5xobnQ5T4%e65*3iz6p z11?Lvq$A)pGj5C^;3CLg625#u-k~0xpkVI&F}>+NNEij(_S8 z@4FUDPJIosS0!9JaXsvzQH=0*q8!37)JJ6Eo|Jxmj-vdg@8*S``t2l zG0!-OiM9jfEd#VUU~$v{_`Y4s8|cN4;x~Ed#e%7wUq!B^ko{rA7+u_pq8#Bxaq|D} z#cCk~!)71%;wKU>I_vEG8)06D>@8j+3|oIs+7<%}tAxN%BjvS@>Sg9e>fmE~)Qj7N z7k5j%xW?W%2LYEs_Rg|+v2uu2!U2^{ie6?8cxRO9QNW1s;$Gp!gX3Q~fLw1t_8v1Y zigE~p))vLd6PbBi6f-#}!&kzK--b(v`a{S5`Ve83L-sdi@!~`qJ5g?=ybM(@GdI#* zKBh+j_eXe`MI)sF!zqiGAm9qfKIkt)m|Y!Um2g1iW1C)P4%jWq^eEurc%KK`;!nbh zudiRx61i4F_K!g$7jKKA9N|TA^8e~Z-CMVm;b-B+6A~{D@@+hfFsmT@cv-yobcCHK zH&R|Jzg}i;q@E$BM*)8qUOXf5Vv8X8S^LyCA^TKWyjaQ3D&c_2y9Q`;z}_}?ECu{i zc=3FQUl$*ne9Dbnt0DWGnHNPl!i(bM|J94_YN-Z>3pO6=m;9we{e?Cg$!%H~vj4Ff zL+W2M>bNkggc~U@-K&?G8>vr-=@BEjA;Sqywbyli9#jnzf(qO=`<>stJR1SmfxCfO z)OQIoqi{fp;UEE;07Bj@{8!uPiQqTTy?#Lf8(TQw%?Ztt0G=N|;WPrS2X|wWyU2t- zF}0u!Fu~Fq;0(q`@05H5u$>s`p@7Z39I#~|A#a@c-g^IxmFp1j9dI{~$(v=u7?=iV zv?_IR{tP>#A=aXRZI>8i8lV`SqJWNgM@KyIgo@u=-e^@sMy}rlcN>eGt6)8ETBJV8 zRWRJEXP{gK{-|DN8hW~62Fm3MlxnI+s)pUc`qv=Wd*IHn$+;3jU9(r7PtTw=3AbM_ zGv~TrVS1EHi6nA?JKvuB^@&-?wE^6@CV`eP#H86vcKdyk!DrNuvH|OMVz`BJ<;QrS z6$cXn4eyKI-*5~ET2eQHySK^NJ$uG!E)6(mbCYWka1*%i@S1pbsEw9}|By;Fz+o}HjCwZD7SzkkJv%ha^eAAb2nXzz zknAjI!9~NikcUj~gS(6W@&T3qcv&UFg3Ari=74^FA{6j$;o^H^r7d`VPyO=<_yM@@ z37P;JFIm)rZFkyB0p?x^>1F1C;sp{4=(BPQ4zQL6To;_2f`A`_yKmS8Q0C(D0X+=6eME2;2|bO#o%B`1aC(%2$EQ0xW!+scEfvM3@6U87>Xj@APjkAmCmX~1daMmL!hY)ZJxStJ{0r0*kt3+7vxT2St1Gcs?JqkF* z$^nDk(ttf?yzmzSZUy)A;W7aB^0P_=;IW8aW)9fI$Mh)Ri^76)5&@6gADX;pa}xyo z1l%*tEI7tcaX$s!nK0r-TCsj3(58U8M>iA@pU@=EBKu{I*S*q#ds9CJ_k5GkOZZJi z%9Zc{yG&fV$262{t*;ap-u_(Weu50PZ3FkKF%ySgX?wM^Guu)wyeuW!E4o6xqfT)x zvn%YFsvO?^dYeGaWQ7{5oieC45@O^8Z(H2KL%6xWBP{^mFs~5#}>+e`%2im9F2) zjih@+iO7hNpzvatRZL_gIe_jZXR1eKPck*_3i@rB1Ad>7y}*4i_-pE8PaxoKaDV4D z0Tcxaz;6Sr76JH?!Lt;w@KXb{j{xTH^RZ*87Y~(cjZkA^bginA8;H-reaNIWO0$>o z?vENS;VzMKCEQI?F5Lr5%5}VS)E^#XxpnuE$;cG}_s>4Ly>t(DxxFOW9wc+Wm|e*K z!lBo4G3AQIO0~wHcdL&Me&HkJ`U2d)o7gMi!E`?b>qr=m(ClSwp_;vx*%<`q(hX8k zu0Klq5##HNdd(h2u07!X(<--@>;ZPdWC)F`X-vq~H7NXQaw5KhLWyYf;ysKkbNIf-vXM!9sh;r7za&>~zpcr%lD6+*6- zotJh(uDy^`&VTv#(p^c)%%z)gO1V<)EMeqS2`2Q%5b*5ScS(2WE6Bk{(E7aY-2c^Z z;u0(XjrR`JaJmVF+;Dk&dGJxd8UnCpDZt+9{Ug(EL%^>gr^f#m;CU;H018+~0M;)B zIK+11=7-lH;5U#{rwo8n7hk3Y^RM?A0IwH-wn(Cjd#fvlWac8^KFGQL{|m6a*8q5v zpT}U+Qh-Bj?`^3`0KbKtCe|{_F{*3}MT+*M#`tb;Ius|AI`~ zIsiH8{>wQWx_Ba%iWpZDywJ{P*Z#=rPsXEB;@%aPxcy<2yrM$MtRVieEk^!WuzvAq ztm}JdH^B-W@hmKs4bcAaFlJ2mgb-w@3KYc@{8n@dKd~URACF(i;WlVL(c*F3^#m0C z;e{exOQ`5CKT$kq!@t7NevD8Y>m5*eL^&w>8+Tv8GM@DDGDd}5<@Sw)Vv8M$E~!xW zPDFs>3V!@pEJtiA`Bo`xIk?4U`FbHKzqnc$%Gb7AemEH;-)j5ey0!sGYicFm>V~1@ zUYq5>gb?}GFoGW+6!i#`Z#M?)@2&dA=Pp7C~BxN)Hx@+;x0sL6O z{UcSpMYWvjYJ>Fu1ejv>^AXF>ot}Z>+q_WRDhfq|{A^dz;K;CLt+JrF4OZR82kzf| zY?u4j;9q+Nw1DFDD1Q7hvK$4s`b9gj&g5|RrzF!3dIEq6z4~vXiSLhDjH|$o^t0`P~0AO zxA%er`(1GZI4)X--!$+WDE1({2krK(IBK%;airxuD8|v-;to-8JQ3tajrL~D=!=Bj z5Pr~$&qvv=qUp+>de3|U#a(Prd{-FKW{SG?mYDOOJag3dJw^ zA*WfC?0ead9}85O>nGn9TA=A+3pmCF$+uS(C=NxSQUiM2YcVKZi#2SqlYOt-p?IkZ zu2Ho1H&iHo18Z3kBKua7zoJ;CO1`c3L&`|}e~m)Ey%oU^22(n%VJ&|9&I%b#1I*4D zO+$a$tYe{ggAIz`3xm@YVY{5J*d0~-?SSI*N|#gE+x#a}8=jI(RpFZ$W8?1y|y>y;l2#a{>TV}-O9ep2&y0q{I% z!xj`{itgX7<)Z&!1N{Ll@PiMWCv9w(^Q3ZD?qe09_!t&{I0UU*dfBelEhGK@s9OVy ze^H^qbulRZHA;#<5yp=K?wu-AbnlEV4!w+ld=gLUqy?PwP*2Nr&Uv;cW{-RqicjNF zrxj@3&dPSRZXasc;kG$Y{3oXR7I@@2YPbK`@GrDLD8Lk5q0p)W*VKXH3sL-_;-w(l z<*_IY=Dm+e@Dd(%F$@{oeQa08_JB2X^(OF?w}7Wy5Ip!ETO7($DfHR7d*4Kn0v>#= z&E3e)cDWk`M?70~EqE&Xz;jg$JouoW9aUXjG&T2W@Kg)o#|~-Jc!6otBCR7uZ-eLR z0Di2H(;&i*auxa)?z&|JcxtQo@j}L6FWZ$d*#E)ac0Yor9`35=g`8{nQ90EkEe?LP z4m=GM@LU(cb=9ows4TD-lnXQjj}3R-5CK;eKilOz?#tM)^e^yWdiFH7Lgwc-wkz{< zWlpQ_dx57Z?rLfU=hP_M<$T^&Fxt8mJk3@7U^AX&XS>p7dAro^vlBe6u&$O7aHeq# zXP!^#1!$nwSYT@_xQ4{oQLdrZkb!9~$^G-iIDs8LpNBhxi@Z@5Fxn6Ld<3~Bq1?JV9_&#{@vB10_ zWDN1y!LyQdX`r;`^v-YBHc^RnX8=lN3yH_8A{mmq%d>R2bjb~)?B?mTzB1frFxu@c?PRo(F?y1iVOB4zc3h zmDNpks=ojpe-uA{Nc)|iO4{$SpB6lYK|kCFo=0PlR?Lq|D~`^t*{w5p9t+{e4y`+2 z=~}CAeMcnqyQd1k^JEk=CFY82V{BK(l33Q%MZbe*6rRc`AGGdiW4l`4gWarq+kq#5 z388}x93wb}V?=D&o;?qPXS@wORAG zbAV@Z06$j9=*y4FcsTHE-|%YiJg?#ho8@^wJ1XNF`=g;|?}2B!1w6qZIC@ywE=Lc0 zX8(Z|z%vsIoN0xk_Iy{7$KN-1A-3~5SRh^kGtOAi9G>}@Fjefb9T2f}&f*u9o)vn;K#x zU5*95fd;?J&gyF2MV;PapA$T*f|z;HMSZ+{SKsJ}hVxRv6As`J$U7&Iw}WSs3a#%~*io$?h}1a!TnId9q}DwZ$a=#<%=WPlJm)dD^`hUlVu@P=Q1MKF z?E5?jxxZj?I~pY4_6G4|gRIsz@@*e#{$&Nv{t&Ccb0FB|_u1W0WQPg^eUR}UE%FCD z)UO%^&(RoH{4tc(aT}I)Gyq*j+raa4nD6?<_hI!%F}?q+fag0EJSY5o*Kf8~vv0fu zMHV~~YmV9Zu2Z&J&323e&q+MurwDld5WD_}ezteWX7HTBUB6ABMN%+f`UhSA=^#Q`H}@Z7cop*#n1vJ5X2AOVRR>_S%U99l%(3B z;t#}DB~|Ru_I*FJ??g4LTcHFmWmVpxn${3pzXWe=YFdeAO%*?0sPYp1b`1tqI=Zb^ zfb6Rq#SfNppGv-6t3XNp2(<4<_t~(_8$wWWBdwtk{?*71?FR*k=FRwN5`^{-g~+#N zJ}7A#gGyj0-*A%8RZXyz2kE|6b|`77Lip^za&QX z-L61MNd)TO5h3612;j#G?I&?Jw0|zpcz3N3l-#M}$7?ygnig^wO76l+y4uNMU8DH% zLrG6N^Il2MaJ?aOPe4fzJj@q^lHRDNrOT4ufmO>M{1I=!5O4q<-6z6#^$ix!KE41& zJ}4QFg${`FT?2iadv~3LBCKZ;Hq*PjY*)L7BeMto>Vc966u8zCv_ubk3DgiPl#I1n zPCes~D_TyCwT;bR+5<|4dGTgZv7DM8iZ_6~`)fJ%p|{cT-FHLD6A>sG8MK@_#&|8K zeu`dS)maNlo(|z|pXJnXE3fO<(A>Sp4?)QoJjg`PeLeeUJf{He z#`-3x@m)~ztpDWUCTM^OUMQIwhLY#RuF1+b4QsuHBHR_kBcBiQqo!Fiem*$`MK&n8 zKLRDweSFsp+sc=ZO~)f*kkU)F;06{qWKZ+bvC&xp$R2LRF^V}>+*9cu|DWm5)nO;j zCaUEuko}}zqFM2aR@Z+HU0bWf_e`^uU7}f`WYeHo(DgQi?&FJVX8moIXr^3MZ`UR0 z+9E_EBd+NlDB~<&?f22;plfsFoPYtMan1}&$}G3oUh)cbZHk=FF-`XmGEQijRd5En zHbKtkZLG|pvNA30dwOq%u8pwFU`*4rL@WB@wObCqXXEy)S<-J1#*3f0nrPyvSoH6R zM6)6;6=1WRdLPRiL(iRPZtzPqD|9Tr1)IWp)Oo>(VWF_Gr*Nh?u89kpB(3e@R(}9WkmQ#TsX+v?%!((OV4ykJ{K4tl{ z8`l1?jcNMAk}@qr_w;XMIn^shTC=7JZ5puJAuGeq?Rh5Lwj6W``pBguu9Q|u4v&eaZ6p4NZ_okpkv)rXd&SK~?E=I1=am{QVA^O_kOt9T3 z=<*D59u34bZx!uGXC=$C!z%2@u0W9V9pajes>fLEjZTR8Tz0hT8`zVwl5Pyq#P;5z zO+=zuG5q&mEwO_eApNem=B+(K63q(f@19A)j+>2ihnc3A`$$)NO8VX7YhqX1Pr7+b zvszeEX8EekC%W){8*6_u8rQTB4M;T0Rq0audnn$jlDqS`X7lZeMDzbxyAt>uj`u&0 zBuL_TtB50sEs?~Lc-=QEqowNDs-xA?m!fX#KC5rtcb6*ad?_L! z$r~h&Dl3Qk_&>AnySu@@{!RPaep2tubA9KT+1c5dXJ%o_md`_QiU6MkK~hDDz8NTa z@@rXAfQ`PIDS7g{Y7)Nr6O2Ar)IO`fC}@qP~)+{76Ou%34{PhkdGRQm`aT zCkCB)%B*i$l&vAU@|=^)U8*3TpN;0>oV{!Mc_mM$pq+fK3F^c+h$9ttr;89S47doPV)(sN|3Qf18bt09++e`o-*pupLqmrmOEJ(T4HpJn(oiwHe?9sT zVz>^G`*=4Pnwk|og~!msqR7gA*e@y=aDha{Fr*#%12JSloA46ISnQ+Nz*Sw)s& zpvx^TKj3nUiXmxmKpVu64Ur=227^gd^b{UL2elq$44*p(AIETVY67QXc=%vu5MsCi zk%t&J7-G49kAV{uS&D%!1u1@Lqt%6>T^O1ueiI@O6E_$V8AVUw{qVk8k1~dzRSdYW zr23&uBYzq~a|d>|^aa$WdY3v^A}rUpz$)3+h=sOZLYZ(b39d-0tQ zCCW?roZUiShayW&)5SBbSF9V?tSOrQ3nKpz+?WouE8>MWt-+Q}!POVf}Uo2>%zEHH2pV3o-(^J{UfJ{ z7vA&&hayW&)0IWd^lJ9w!D#v}M4n;Ym|l!!a$bcut-+?I>B6OE`lp4pTB7ND5P6ny zV_I`s;Z19>Wz!{u0;a>)h#6>Ff=CR|)PMMDPAj}==WvhujGCs)u3D-0v|m0EP2Y#e z^A0zrm$OcHqpUjQ&}(MSktXG(e9mUJ)~Lu*({wdhGySJ&A`X;`=Ro8|(T(Z#q9R^+ z(;94Qnl3MEriYzK2m{WzU~+ zC>U@#M8z;`Xtlo(!vly+XWd}fZ&viY-4D*!Bg(5`aK5n8JQ>3QLB()D8)*GT=B9fi5yKOR%o5#T$Y%c;2EF!hOH^cK3>e^`_~C>@^+W4tV?IC(Pa*P#;0D7T zo1*9Ke$ZW8C^S|h^Z&mr=z)eVNnjH0LTet7CoWT_u$T!#w-#&xI|J}ffoEMj;8k&?v?hL`^y z14fQ0ms}TBEeZyV5>YV>W@oHJ3@;%vhttP^VJ3>6Li+*ZP!w5;fySJ;{D3hhDu#x( zpsxw>CJ>otbc3O!py(+)hIe>HR<4V|oPq%(SX2ykf{Q;u3;>Z23~n%#6%{>i$Dq?c zV2q3+OEJ)R7?&R~9!ABms%m2cVkiQU4<$Dk{8>d$;W03(EX6>hYg`yGx<=h>~-CY!U0FvUQll3W-tDoOQ2y7@wL#83=Oz`4QDN>ua|-VYIqs62k4 zfqe>wds>_H?z(siVkizK52G6lk*uQVtr%R>(Uh0+IiKCyGgtNlj^GpwjV09&sW1LQ zs<$_oybNwI#M=KohW%zmR>rW9s2CP9Du!<#ReFLLe85yxa)Tj(Q}h(x585+V#()7~ ziXX~re(2k)`X0pK3#Q_BHy9GF{~7~^oGG#t0}U^8VQ45>R6mrOU2`5{C;=uPn;Q&0 z42qsY`vC*k6j|919##bd2CJzUKHB%mT*OckOeL&tF!WZ-Qg{sgRQwbJ4GVPn0mA}S z49ohTevTMQfvJ?m4TgbgS>BG}W2;hT$|v6!7wLuoJ> zI5!w94nGg@dkoq=C1a?sVW_WR=sl_ozIS_<0aH224F>K0dTU*{mg6X1 ztv!r&DEKJ`8sF*i1IBl%bx|y|#1_QxE|~o6ZZM4hhxdhEo7C9Yw7J2c-Cu?G11}Zy1C8ZW?#&BC#n7SGgEokv9GEIw-C&r^D0<$CLGPJ` z(V~j1To)LjtJKA8t$)Z^6>tkgMpQ?3%;jXG5PK>)r zpILrjs=~R!Fhl(J7&ITs7`ho$KXfyy7!F6Q8H*SyfayJ>8w|4)2yY)pxt6#oGxe9V zpPa)h!ZHSbtuFkvy7==M*8(wA1XDGG8w@{+ik`RE#Uh2R00ym}rx<8(w5vT}aJ1@& zgQFVvKn#_@6ePL9AaIKE!eh|-d5VEXVY@J36t;@t(+Boqh@mo=g6(cFthD}n3|c=g zW59541w(s>ilMiZx)m|_gDKSJ2E$szzsI2U^ArOO=yqYifNm8-%f*m{7y`gl)9MDp zhW}6(+I=l!z%I*$q3M^}eX-uwBo#3Pf~mH}4F+v2^w##E`@C?5^H4v^7;;1fL$mU% z>W7O%mo!8SRlpR^xxt|Ib%n=Z*pzk zrfnDnL(`3d>W7P?D*cWag22?+<_3dSr*Ewb-TT5BWJk+DG0^Rb+Nw>R+B+(u>FQu= zYIS4!H!~Hb*V|27S((Yj=X}*2;8619;$JVgRuwVn$$B&$45sE5H>Q98hqZsks+ge= zolnX0Y92LBw?=5CURI{)Q8XO_rk0!=)0h5(X$>|tO?MzFoxm`&TItJ!MwUhlpyZYe2f@sf+<3BgW)Et=qWq~Z30tn2EJ-t;O;*aLp`Ac z9jMd-Q#-pG40jX=|H2Q|ctx0EpnC~jb%A>cRSau4Sz027+F&x-++esTDtZd<2WS%F; z;UWL;{h&=?QVew0qzeP?npErJ?(7m>5knm?#c*ygJZ1kq25kb9VxT*bw61CX(rOko zZ3I)C(T(Ysisk>ODeCl!xZg<;rl#qZD9!X)pAXxk>AGOz4Em<=paVtx?JZEpbO#MK zHBEO_X{JB?Yy~ZKJur20Q)=9s^^Z)uf{`gNg(!E19dTE(>yk~sk2JNe=htgU2LSbP z4;ZUoYFEs&qB5F6aaSC#nuq9>IA|r$XC2@phkU-RfTkPaCOGj`(-EgbgU}S($TB%aDttJ_bHTTu6Z##JEj zUdA<9!!?blxT;NkXD{Mvi6`~2`nU=N=F>9&cU;=W0U6hHt8$u@X@Q)KU z9M_0f19;pJXlUIB0k#IyY@!eFwQUhHzyhs?f^oN1LIFbmmHAi-P-`hN!19{Tqs+V3=w~VXB6oIDcrQ&+6*OncJd(fR+1#vZJlsp;N za@Vj-!$m)0xpV_Aff4UEU|RNOi~Fy*6#MjF)n5htFXLJzzUi-Zj#=L|N=IA~U|Ma~ zugBNwO!ilSyG-@hYg=R7H#E)vGOmrMY?M^V+jK}Z99Yla_!L;eM;gzx{2REiG z4xnIUfOt+$!92O4=~Sq~L;sZv!s5Spl#r4y1Ki?JZ`B?An*d+5+HoHNwgb~vUf+Xy z01Lce%7#TW{Eq=@QD-v1J&X!)zYf5-u_AWh-jQJ1BNhUn_V!tLfRCA1Ja|w8cvuHu zMDfHY2+#zkgM|U8y_yyt;2*C7Jmz{zX!k6bPBPU#W9uTWC@>up^d0wFztNX{vlg9A z@6~>T-Wvrk89(OH(+ZSJuGv$ZTC?X|PgSK8CRe`{w~V_*-@Ds`>5QZg5D)rN6jCEH zKs*?%V4e)Hz-BPY=N@1;RaORg-l770+dk^+DE3z`ctC9-v#%tXl1^9E- zjvWwSG?=oig#g$=?ZOK0!G4xk0RHvn^XwXHHFr9<0dd8E>5iM4)$R3OeG{Thui*9e zn)P!iyt3o&^RGY82%Z4m)3cZ#;)(@Rj++Yohxe>vi~jp|Tum5-SH|^-s1^G3^$NXP zgu8xtY6r<1Q-ud#Q4tv{+H)jfv8#P z!Kk7dKyMv@gX(1kAwWDQrdVMB{)guoo~ila(u01Y|-e~x={00AaIRH?!M{4dY5 zGp|%JJ&?(Rj#L(>5}KG+f=s+z^kO`_+)2BKm_1L$KenCBj#wxlTotf2u6(*cGd%Jkp70IS89EaxVl4Btkn&!U`37m?C;BB_t#OIiB)kG zSd(}wu8#Fzt=Z0R%w5oraK2l6A${NIf#-Wk`ncLxlN4aoo{a0Yp?l%RRD-Z&&P(=J zH{0v}qPSi(8%A;UgsASEJ}%dhE>x3-tC-ED+WBfNDkXmj18^v(DE!|54CWPmGQa^A72seUfVWIH4k5r^5H--?2HQ?pWF8Q`ZHz;WcwDn6OL zX$b-}L)2&P0FGAl{f_{$Mn$0vaH61A@mD$kV;kMPg#dd)6wkV;;?M1h!vBp2O`@Vt z1~^p%IGugdgTa^P?L>fmAZnUBfa3&3;r|9;ta{VQ0B1N<56;p7=utC?hE(;1sF}PQ z4^FiFZviGUiar_O91Y-n9f0AsG#YpI5k%o3fUhh(s#B4Zctzp=#)I8$iar_OLbK|@ zW#%`l_(ZeHs}W#7h+0DQ0pelzib4&bpK65W7488t<<%-)&Z_{|@NWXVyluh?1lS*< zRxxe>w$Q2K{{rxvR{^dQRDeG@-UPU}{Gs~@Z~#PYWZeL4==k4ya0a93ldBl(LV0!D zPTmAq>2zbd#ySw9wg_$jY6lzrZ>rcTy#jF8oAWOC2>$kb;zq!m+JbKS%zHRgCXiyH~xBU*1a!FUv>Wjt^&*Ja)n;7zJUwB zgn0K#?^wk3F+^Q7>ig>-uDd8cp#RePcKdcwV8Kwvby<2H7eqNMrHt0skW~IQ7SZ#*5m6wq`2sr#RX-}a-J3^g1BD$N=&XxJ{u87iqsaF!C7~+F>9d>IOc7yYWW4P_7|tI3}TPwnI+4(}-snbX>qWza#_I zh-7v+Au~kkZN1y*#~KjbmVxL98_6@<6;fVrYuK)GrO5N|B$OaT`ot9pf>J}-Fo*Ff zdH%hFlvNdHNftDm+ZKmk-$p(H~&;4wO7ifjk?_kvpwLn);L{*()S+XFEqzqmAV05hJ<1fT5`|C>L%f8Fyv5u?BJ@ z$AJewq6L!ku7#wm6`V&tlKZS#{wC2?Fd{9hwT(!-?Ic5TVCqIqo;;0aFlEJ*gst)V zU2G{QIK^f8Ck-7;XF8MX{ROC=WhVJ&%qr=OCTBy~ABkpV9%M=NL%t zbt}pLOeN)yX8JCT!g`r!B)M1YBsWj9m2VE6S9KIb<6WIs8gKaG4#ifUxBX(O<32<$ zM1-FrsWzvQ!VINr{x}b!7o)OVw6(#gkn%U!iuOtx4$(`o&nb@ey

Mvq9*8^CN$V zUT%Qk6%5HaN))M_qqd57nQ0Kc(gI+KL)4@oUGsaDL8Qj5|cdZPrP4b3E9WE81< zQCJo+GYq0Pb0imUyPRdJRF1$rn*DqNMB6MRw*@LJZ&Rdl{mfl<9XkTiThJ4~vLugH z%azCSO-D3CM{To_oTVr=L{gHML4bKX?GqzgkId zxIl8(X$sd{LYS@+L?5(~T$UrbKB6L(>uWhwri}rj4;x5c6C=qzr7FxlO-6s#41I|A zd@kP2x&1U4{h9dVFOnhp7%KC2ko=E1MPdHO_Jw5+REOwOC~*=cZ)sAu9KlS{Y7l)! zB)K17b7xI#nR~Um~f8DwW$q zTF`$@Lx@fzB)`9zHCxW%RuyPRCW*@m8weRrV6va%UA%>cNmht8k=Yd6?|Sv>)zY7mqYYjmgM)b zk{n$0a3Rdu<5+UwsxL%KW|Eu2l3dBAkaF*v>m7c05TbLiV<s+dy)jtL4gh!FKFA7@xHd5#c>M$=$@O3V$;G64-AmL}Le==ZUs5)pF%tHTPe4 z;Q&NGWl7F6EY}~Z!rbHJOdu%@(a!~vyHOx{L8`*M>c%f}el|k%OOfO{tR#1wO3EEi zlAes64>8~%xyMl99Z7}pw~&32N0KL&sTwK_Ri*N3kUmSjguB!7yHWH!=BP0ilfM=Fr)&L}lgBALxK zTdfS|Tm14q$?hhRJbdzH;zL}45h2b95Bz~-_eQBXh_IbSB}LgPZ)g=uvIn58Z_!o< z%~p(gvT0Ihl0DQ;@@H8{W}Id#!BEzxbAOWkDcV}dlFUw;tuErEKHt9}+2iaaZzV@E z-`8w)w-lLoxfjX)3T=IDC7C@L6~-{ZXWp$(NcMD;nq?=M!#R~SitF)UWGcy?Wg~g( z4J7k3qLMx*{jS!ECfV~*>PHL79BWfa<84)UH7iE4m)S`EOtdvoE7v6R^r161knA;B zt{-`lTu%j`+{i5K`lBYv{t0a@;Ye~5waCfM%{!(xC`nR_5t3gP52tRakyyj(RpKWk zwWLV$utQI7qbY1BJ_-6flce-O7)4OZWKj?%cd!gUJS~x=R={>BAt{cOx|Ii3nVp;CwUhHlKiP=YmEI_sfqPT z%3kz*CQ6OvRT$&N1rrt@BPqWbNPaV(Bu~_AO|rD_#d(p`o`mGbvLyK{P2n`ge*Ufp zN$rCO!#R?S4s+cO$=})yBaS~Mse{cVZyze0q1m$X1FlbMOi~eaehC9fp5;)b=2|+% zktC8jh9P-Rko2Qgu7ynLHGUFForvW+gD@6pwwBrh%2pXfQoll}8+MW`Xtq}J_ZQD- zNK&T?B%gJVdT$ zN$OHU^6*ibe9)>QJZ$edxyMtI(iYp{ZIn8q+4_UuA+5SfQgF&Fzb$?t=1Yqrg1EdW8cnMWs|^ri=5@YC^R#MQ z0>tzYbiPKjpHyfBG5v_n*JwW3vw11R3}AG=MzeZwl@1Vt-`v5k(U25vq?huE;GMlX z31U7r7#O%Q>vN0gdVfW{g*sR39*vvO;pnLrsgpp&7VSYilUvWgj}z$BD~(f zQo-{?b#m{t10@JaIj50^k{{x_+Q_{OJ4zVHb(5wr%5G^ib2z#88@AJ2;ZRSR@(Qm>@U& ztk5>lO0Kll>1M=j*kF_RnlEXh1X zb!Km6$EXsMKH-0fI#6~h4 zR+<{b5$S@3WL%{wX`7W~pEZ-ruUVSvE|P4UM6On*a@oJ()W;#@yv|@MqmM+SP>y6b zH7ji~yP07%32RKG(iZa6ZYJ67)fSxHL0q$@%wC9DhjSK>&_+C`N^y?M=VQu1%m!>M zw=5*Pt0winSkE(SKg9fm)|>Do`vZe2)yr{zu%$P|{LG*ogz=Fk)ld92Jm&(${DKnk zsPJP=YKZV-dk$~)tpeIXsS%pgNU==PqDc_5T|_%ZlKr_RHC9-e9#a%zb~?}wN==kh z7+;FdT6G=I*T49VB}uO0CzVHuC#|4()=N zqb%An62}gel(Ekeu&~cbi1`B>=Vcpl?Bx`N8T*(EBOYV9PM{RFX~(ZNg><>QusOZh z7>GG#CfOSd#Bo@aO7CRJs4bv-&+sJc2Z=b2scj=Y(lYwe(w-1=)Sv%k*0UB z{t#HYD#V<}dq^O7FIyB_mvYJ7Rjbj~MTTUpMuivb3h9cOY*;n>D~P$ok}SNF9jU5R z#(e(%gf-V8Ce=)`wi<~eU6sn1PqK2t(bg4_WbL*R$2Fs(Fnu9ecW6!?#H2e&);=3? z++bDGSKPJGwxJM{Nl4Z)RQQ*qlBP2~p7iYsG1nN9bpmf&$*PiCaF#2d<4ZyoOR`R* z5A#&1%K?tgyTSlsZU`hRStO3foFa9l6w_kx@Xio(OC(tifjFMq6jC~C`~KuR=@9dm zgJj)wkjx?ml{B6ke5lnXh`CEhmL!tQqMFnmrefC)_aH`MNY*2~wS85o%R?>cd9#cV zlfx6*)n?+2>l#a?ceUT_IHD}X7wSZgVy`0@dF^%z?18F3`xg{62+CLxyH2@E```K4wCUc zOVa;P6{i1Tzuvvl`w&}>kn~^8B>i_ZOqUl>!SA-TBP^2q@b^iPWF)G#k|V|Oo8neN zY(p3r2Td`U zzt2K0Ckr%H6$>1LDeMj~RgH(%Kl7-C&{TCJNzb>Ev}HEMT$*71V%39D5F0E2i#%x? z>?&y^AF;=G1jL4lB>k$9q;0mUq@VfXoqie*vElewT8j0!LoHg`PNrkvd+Q+9h!WU| zrR_1QQhOW^htwzwvGuGZ4d3I^4r;aziCZpZ?1I<^n7tjzziXr;{G!m#wIH^Uoupw+ zr=8Mloo05d+p-;En@A*0#J{tet+S4$Rha;YZEhfGhmn+GP+_Eqd&TdcLu^Y6NjqsJ zX;(B`Y5cIsId>tpHD=p6l6GCQmBrLt*f|4Y+t^6jB_m0@soA>4lx$bL55%^$leEhc zNs}~Nk~pW)^jwII#O!NUl7>y+)jZPj`1gzASC?Yjb0qBs{yo)fJu~<0er_YgcC?VR zdq#5UPb;mx7`!POGUU<|Gfl-|Wj(RtT)s$C@fN6X2=nnbIYd%?oNJtOd2;bLM)~$! z>2}+em4$HJ6;Bn*tmM)aL0)xym9td3e{4|*@4(6EoSeyL^*Bq$%noe<;jBe2qLcID zeY=j%5<@q)eF@<$?XsU-oToS)PTvIXy=f3$AAN()c5&V|>u~y1+i_Tr7Qcl4+R;YATA z-cL@>)SvWldR#c&$q&Lk1o@_P={#)L(OD$BeC-Pmj=eU$vt68*^>BjFHNpb^&C#FO zJvccpp5S$Kl9zmzFI13t+Q+y!v6I7w+AFuA75Pq?F^lry;Ed*V!^iy@UdfA;^LhyKxXi`Z!Akjhp}zB!jmqLU zjOLk9ACAPFc~{K%FLddP`fvz_7sWE4r+NKQU#eNn!ynKEBPHpe3onXgp`14u^`$$M zystRQ_X+C5VHRGLZ#qNshM~S|_{*8sLgpKR`fy<7%){{%#I&)fW$l^zmvH*lZg&zv3;8-KZC^kh!bDzT$~pq9nPYq+wBX7#Jdjp z?a;+}-l4Tr4lI+m@5#2bk7 zC(0=M^Q=vWvq+0e)(4Q7DamilE>0Y6xSm=CS_nKi{gQ#i3|4;aRyd71oFw>Av*D14 zLmB$+?&NgyMc+mh=HWy`HkN%5OF8i_b@N5v0Cr-w{6$}!b5l6?SQP68z7p&O3wz*{ z#SW29+_^a2e9?EvM4KG z7v~{eoNm78yJKMNSow>-IGL$%n$i zZ2f46SdDe$BT!Df-*0YW6)zRw46oC!#YBi$VUX9VT$~%#+AP2swvhef9f(*e$O~RB z&JB!?&f1se?|u&=7GZ6AQsc7q^#&czS_5~K8vzmX5hpkl&UIM*&aqMf>oq%;|8Ocq z%*EB`m!iVCM$+M|QFgW^6(VMd@**Iz(z35sYqNmP&;<`VZi0xfIe8_~#ktC^qcfz~ z@tsW|VhSTKN4hvyiaMOZ$7f^YYQ&e4yr${mTw&AUtX`%`V?St>-2%8+4;NtwjuEXg!|K>hBM6_n*@CFy>Y*B}^e2rck8Hi|MkYglV zoU?2?oaJ(}@ihL3CW!MKgN$;Ctbz__*_C+-==p|-^Ngr)es9&`d^f>5xH?4CVK zm(H2I4riHu3-PHHQ3r7z;}o4UEIOR;9G`mUAVky><=_*S&hN}RoCePGycr_OTjdBA z7w5N}4rl3=wey}p1df7e7>tW^I;+E3YRuTG>mZ`EB*)UYIKMIKaF!e~^}-H_D1kWl zD^J6lUo$$KC48=UVPEHsIQOb$pJveE^c`Qk(_DxsYL-KTT-K)&9ZsL0%Fk^C5k(N^ z4!csK$&wDIcLUS1F3{$sAO|VAbbjT~;ViyA{8Tu!!I2e>baHV{vg>db>lu_j6WZjm za=4U>^Gi{Ov*?&Ot^~BXXP0BHT%5d3htuoELjQIow<3CJqoi1$DClr{E}!2tjN}gF zX!MuDIl-#K=`nR+u?8gP2tz~26wdLy4rdW&A9tVRtV5iu)v|xA=Ow`CxrUJ z`Zb%L32b|Z9BSy|{8rDp&lEBGOJF0>pL3M5UtO(wzJPV_nvI%Cz(%0XSxVWj-LU9b zFJ8emwFj_GZF1zKt3=6?4rj576Ra<^WIttZJboGzXC z(&`$q6u{~AQ^XhoY;|4^1a)#=9c0zv^lWCTzX{l?oE%N+(g}JvJtj8j;R$RNMh;1J zac;8d=qxgM@yMFMR>q~tHAZBlCCWT%(BXs=N7mm1wt`s>j&*U`>^hvpr(@l(pmjSl zjj(m;yfaqS`S&w4;v9b#i`sLz93&%Vi`PQpF~mvV&SigI{l%iA6NZfS428rah%?dR;=IM^agu69raXeg->fvQ z-NosgI!&_REL6NA?VMaqnq!wISe>?=)2~UhalQ$sV4Zo+3H8VU$XDIy%9E$u$&Ko$ zuNtr9$&>O)qgZ)j-YM&xwoe*imZ$EWdCrObq@q?jx$lydr~b+PerO{=bmci$Ho8CWPrAel@)`=};hUel!U9R(8|76NC!f3` z14(^xG%%(T-Hai+%;3o-01oPhLoeq_0GIG2NLbFT6whmAJ+;M8GU6D=*DM%LGndp2s};MtqgSANOw?=>k6f zlJg=R)W(;Byu^=r^gcgfq}R;r_p`y3Cx=Ern>iTIvs1~FLn)wT3uib5GE)C#Qynck+G)TAmBqY^ z%Pg3e7{}6Zf0`#_q5me0L%Z)H)tPq}HAB+3EJR~3wc=jnCTui8v&-6Un>yGCl=EXYPpd4|peyIDh!oW1T;kX3iY);JJ&3k+)}~ zl(OduO4@BM@g;)m$7QSYhr!3-{847A5dsXxf76+_>J_(lTw?MKpi zi%L2#Ra|qY7sNLu;CB?s8Hz&u$=3_5_6fu{XTk4JEBI~Iq_#?H7w)+Z@vV&DmyQba z4XVO?yU(-EeIcIZz;6pm-PU0IMY^Ys9}4lf0OFU2l4Cek>T|>7JEqPM-_8bpw-ClO z4aPKErLy-2K)gu;ztJd(11iNEvn?IRwe%zFGBJB91OAij3d#Q~esr&ll@LE3!DDCc zH$;>AL}t4Nj!NlOT?7VAPI^bu*v-(fg%@B%MlJd zKHwEt9=(h`f;#>PalhN}j|GpBs^dI9W#`S`@&m*jGlEA?0X)X4RqHX1@A_c-2#7mw zK?yr}OtPp7CzFq^IDUh;lQ!`9!T=uMXbQh&yEXgZbBH@Fp@bDYteV2P(&ROF2SVIg z3rg6*W09aLTw)Zg{gy-ApEmHo`^{s8LnW=UJbCb2EW}-qP(lQc4Q7?JQ5x^>^#I~7 z8Np*E=Ki87+-fXdz6B3)sTP#r!DE-EaF5M?D61&MT|tGvph8hocu<-%Wb_V*%Rq&@ zQQ=Wd;UC7t(>K>Z9Cj8SA}Tz?AYJZNJ?yrtkDirvkYc1*u%sozDGlBO^E3|qcfw#q=ki0F{PJL?6g1Vd;TKl5HR(6%tnmZPg zeH!Y%&q3=_2Jl|bsHFAwRqX@(p>8i0S_d-Vy-iX{+l4curm;}Bp9NZn*r0A0r;zG~ z*((}9+YWUO+(h|-W++w{2V_wGz76V5 z=Kw~TY3f%2>Q3R|z0yWZd1IBdufc)ueA;zX&a;3g)?v+T8|B<)f%2>Ee8FiA1es_!?#BZ_b>`YC4 zG@}&6Z^M_E;sgR~nU#hV*iE`Uq{9k`-^qZnj{ySPV7)p!w7^J5>z*T4K==a=0^1uQ zu#wuH0|!fGE1l1Q@W)mNY{x=iA6Atbz{EE54TA7z76=@Ke?tr^X@tGr`BDOezvLjW z9}j_Adk!2buKDbzb5N&<5dw!BAn-$8mHNuQZ0sM!p^g`3e}cBgI#kj`F@mi1hC0Pj zY7&wsODgGG%d+A-=0Y7`%$~qNpw^)UP7^}5Eo%yON=gv;4gQTWt5OSuK|L>yfjR~| z1majQ@COaXTBlrj6psysSlHTJId&WeWx$ymt z5WW(nLJZ*FR8!cJ>7QPy41{m6f`2XSCI(8XEnmBrf6;Cbz6GUPN#NhotdiOou2qWi zhw$AfRZ;-|Hk?X|BtP|;R29Mxq7+VR`iE&!bq&vL7b-ybF_bdd!9S8!r8*ej>p7ql zgrAYXAIE3@bv17UFN?PJye&*P<>?hl6QI{tkOhi(yRVV6le!bSSAwC}^c5|;qT_(4BiLYS~?K)AH-Bw-c4)HiJe>3XR zYehgf&y-?tYRVV6l+D%7G;ELFEqAtH~RR43}JncGB zm-D5bX}}egbfPXd)tfdMIB$+dU4q|gMrimwLo}Ze5qQfwnM)~wxCj$ zK@b**!{Jpd`0X*OQhRLMNYZl%uVqCEd}}&vQ%S!Y|GZgx0)*pD>$jT&KfBrv{mwG; zrDYiqRvx8(vxDDdP2pwR_ao|7hw!#&=L`>iKMSft8f)m+(1)zd8mV9 zM&BQ?sY0Ctc;LfrfjnGIwbYrxL3Mu%_|D@oRSA2+I^PX@PG5m`%3Gt`OVp~_X7;(|CRzsLsA&`49&k+X^osxD(NRk4m0 z!tdg!VJe3y9|w*<#uM^lA>|DJOoH-VjZi$9(9}>X__Z)Yl}%<$1?@IK_#LFZOF1jt zFhkfw0g4r)w6F{-c*I$tcz+&K71KEgyKHmrw1KccMY_`lN^Yk1DkR$=?5q*33z#bZ zC2Cp9Lz$H{6}AYi4-vrd6@#g2w|NMgXM|#Nsm`F0B7{wGKv5Z4P%#$5CU8*f8v~WA zXM~CsaVl=T(l`j7)zH?b;VP(@$>^Nb@aeh!UqZQUjLumNH%B$t23#va@2rM(mY%?| zqRv?jWu?*?z+q?j=2;CZ&;N84xJaUNR>P2eTyfx{aNAW)$7^RbH0*!c1Go;j9lM3~ zwX+%?mFo5>aM3tY`jvg{tcF%YHkAerUvJ+$t6|HCGKs*&J9N%!*gG@&Bj7sW&au`A zr4H|E^d#44+)@wwV=mFGb5_H+uV<|St}7x8;9fhc;TPMcgTN&TI%hQ;-<7u)xbC9P zSq)b|VrKx?)1h-#Lys26Q-J%B)j6x-Q}${WaJ|hsXEii$Fugu-eR-X;8gdS2_yE^W z&^fE2N!br40XG0gn}aQ{oz+mM%qL#}H<-~mt08Q1NLAp5usUZo9Fac058P0*&RGqA z8b9v?oQ2mpt6@UBnZE)z0&BUy`L(ke4j(aMzx*lDIjbQ>Y!eUMXhhf%D+R1dRpu2j z9PE@CxslZeh)4F}>bP62K*qjOfn$xFYy3*1yz=d6b9Gd=$X?rSr7^Q;ELoa-xq zn~rUMHy&K@oKwK#Sq&owwyFf&cZl$w{k5|id=EEG0`7Zk^PXrg)1pY>Sq&R}(jNdf zi_tl&VauM-1mJ!^iH*W*XEl7XZSE06tF?Z-CD;a;x@aRP+E3sCkb8pBF}#qrm5-xFmh)UgWky z^)n9e#RXv3D~GR#_|44CBas^)Y)|dr>!p#3@n7|N`T%^3;1N30I0(VM-6a)b6Yk9X zzAE?@MbbPGLZ&P25V_cfaq|`c_cM}k0xx8wph`^;hgP_W_wp|m2-$*vA81ko$${IK zPXmYV{vkgaAheunE3|_2;dDRj=Xan4Z-o$(rZ9o87EuBF`CWDh8H9gz9ICD6q~78O zHG#tieP|^_SkbJKg7{xsrFI8yKPtnQ{E&Tim2`x3XwhgcaH0`{XQRTI29-36iyt?7 zH*kkgDj20kTUF9nYljxaB7r+BLC8^*>a9tAWcX-Oy@9|TMTs962z^IVq(aL&zP(Bue#jKu7~kVPk{seBvbFPGc>XM_bsTE0w}n-x<85 zFK}lK5E73H)h8^3Y_eTjWkT?OS|E6-5ke*sRq7i@{bvdLfxCdps-l$kiWoA|9DXPV z+x#U9gly&^BtcZAdOE_U&x`{u6{WsKDBgC36k1aBS;%2krlFlr@vk|nkU~u6H5*zs z1`bCcA-!x65~L}tBbXlby9HdP1fivo?5h>IBI7sP+7P(wn2m46A)+RAh%BBk03V~- z5>#rA;3r9{ttnEmyIJ`By2U|AB?&@?SX9z5%h1FjBY^wM1|h!*5Yok_l9HqfRi88k z?ydnsrs3$p%b}1$y{$vf-HHNELaE^_gtRs)q>u<>=}lGE0hfzX-9-oq)fCpUwLJEo zA8`2=2=zsTrHLw4&bZ&_y`jK8v_nWcg0}>tlJ;@~?DuiJ@x%xrE$k5dQd8(-A6awW z55PS`DL(?iw^SIxd4_9ug&n}XM5+BamO7_O1*chq9CxQf0$3rWgaDyMRH;xe;r`?k z-$8Y`YML4YNT2z!4rgI zTTbOcf-g!PbKot(tL3s=HuSMChlG+g2x)>O4?!gr6}E1!uoDst1_qL9nPP{Eay= zGv^v41P} z(%yQN&{6n0AM%Wa>Y_y@jpXaz?Kc+^8nO`l6WThVl0r_}{+gP#6cQQ>5E6z;AMvWx zQ+`5BC_1Vcf#6R$2+kB$QWp8eGOGn7v_PrTNIIdxIL)5t`(rz7jZ)7!2)W=;q(UB; zx26gPNN8h*;A}etuhML-<+}}iI1>`uiV$o!K=m6c!r-s%p&LH=6%tGggglg>`dvm* z7(7H+S!P8nB(z7V_4p38Qz8TsI$~x7M_x(ZMor__8`F*KEAfS`i}d>0W+1^tY#vaRuLYa!(fT5kitssg@0QVT(X z0De{MuKln<3mkMmEEJnzMp{K|k3nL9_8~3yf6GipvMp?NBtsim6IX zBxt?gf)=Q~icJ{^8fu0BkyTt4Ad2}dvT8z5ZJgPeC_%tUK_#7HUZw{Og@lh#Pa_@z zTB^-1pp_w}YV;FG=+8jFuc)wtLzU_%G!B}u9ufw!5O6|-fH;FniW7gk^i4NN7%V_Q z3mXLVU{q2M$JJF6eIQ{7N^~F)@FAy?%w!c?_6JB9>VSY)BLoaFtE5ku>r1~s3JJqe zqB{!#yj>-I$v-jn`3n+8G7!+q0s*r$7_;oV`fS85=~Es8KE}Ubn<_QWkQe$Lb`zuR z5HJi8b`w?7O14bxbwwayEP;R-_}AR3lGa*k=X{Je#yCVb$BIgcO4=qYvv$EQX@VI7 zmh(`uv{50IEF-2QMXZDbUVv8D4N$VIMJ4%J9^O8U_va)5T5lszvZCs!lKxD{>4$$n z!ekLzAGJWq0J|#1*zb6byblRe9nkue14>rqRZ?}n?V)NlAmJMVtH-4W~&afY0`yOkT8RX)|o7nG-|f$+o!JKeuISX1!#T43MCtAwwmy_ z>>ryTVU`H3@!otqjUm|Ze{euWe*;dj&<5=P1CFU{VYA%fptN~bs8r1kq@$dgkMwWw zhFL?Kv)4`=cx}hj0t4k-h$}P=MQr$lrWRv0td=mKg6d3IV!^3jI~1!SVXE?vI3B*u zLNOdWB5j!nMSjOy#9!93+z9QrnaPWmvb_}!s62!L@{X)!6$h0LisbJOHk9+NV}**{ zcwE9^sFpPXd3qI5FOWIc8NhFt1jW;2E$c;S)emv5u~5#9M(AiT0`!tOHwjSbJqDmZ zwO*+*B74UGMRR#fRs72it!^2}i{2cSvl*b$HayWN*G^Nv@Y?wSkg&xnpC9mdtW4Wx zhKiSsP%KtLPOqU1_~W3g=tU!y+hK=_T}3GAE6~&~tfD*%#-15yYPXFZmkPz2Q0obM zdGJr*fov6NYM%rZnlM;e3#NRou@G{V0JOGI+OIs6$3@?l`y@=2?=3?35Zn;>l%=$T zcJL|7lb8OItHF_%RRqWM>v*^P#)4axS3SG_QWlfC~M!sKl z2s?)mu&y&_V+;P%3ZB@Hz8uA>q|c<7nL(eB&6fow8ctZjN(+%XzR5R!@VKFfwOm`?c~K6P2o7!7CN{hBwWR1>xpP< zyhh@sgC`f@5aYTXJf@<;Nt&%G!i8Qd-iHJnJA2GPh0`=!)4B1_R^piD76%^ldGg{r zqQdx|Z5~llfP}v+;IT|3FRYraAEbV_d%p__e_O$0EzVNT)ojfdezw@L7r18wkIkrX zp=N6dxApwKR7kjQ2aoNjaH&nTwSuJ9>)8+z@{HiIpCvC=YNR#N%EiG4A>jcB9*0rk zI?dKb;TfOw01_Tqz~cle{7JKA;}!+Z!H35aD|q~g3b$zG+D@Ky`U)R!&urk4iVAmV zw*HVTgAX9Wmj>{-O2~^7nyu50UR^t6%uq zY-^+JGaaE*32afmguJ+^+4_rZP?>~mL1sk8FVTyfoBUddGS)U z^|FXG)ThNw=v2`Fo)IE>>8aX!Sxi`*<6RRvRW^cW2Qztm#Ecp{1#l#56do0QfT5`> z40wKIAdiWGrWh-DJ+qNVkL6TV?D1wA$)kC4sv1UG1lh=68!ePG$N`>HIP&PIji!RF z;OP*^%d&DR6mgpoia}1*KwyIjd09fBoHcopU0otCy^S7H`xh+ALivq0} z7w4@Db{(Dma}Q7Tgf8cew02#bckH|lXXVF3JMaBJ+P*uyiKF{^#tm!?2*(gQ3cVwG zhc%`e0>ZMvGLQ%Yyg&#Moe%3P6cGiT4v>`E(X_MUTb>{S?Nm|5O?Gra&=eDuDx_i^kM7^g{eYQV89DOb#u@>#;H%lg-r`vJ77CYF8EJG1kOeI5JMYA?dtjV!@qh=s zl3)E`7a;TZdRQbsj@^1J8Eqhhw+F zIAL9t?fp$t7z89*EVdxUyCdXvD}5r4-E1YFTQVnHKh?d=OZ&mK5Zc4 z%sb>--3mB%9gOpVo+Iy{w+J}%oCvD|_60T=XNH?2-_*4UkU=e`ug-^KSHU>boE-UT zt6qQ%>}si58pp2Ck}m`t`2jfu$bix&$1WVZ494lQ%6l)P5g`4)DtT`oj$H!dOfhrh zquL@)zf~C*;2N?J#+js%IcquvoH+8$|E`4Z>s%c4Z|fO$9Gh(?U)MPDU$}Jhv#CG^&8iox!?A9OeCLyqS8M`g;F=R( zr{mbiPV&W3MxNFRkO4bp22aJYk4)s-Cr7?c)(MdQJEAsT!LjKM@)cI*yx}?WigEhI zn*s*m*uOR8doV{nSm!yO3MBrY`CMHb>#~tA(;WHoz2|r;kf`C@3P0o66dn0S&X72- zO`Z|_hLPmsnK#=xswgg2&`N&5$;gEgpOF%o=a0Fqqj9ldn9)3&j2tgQ25o2ni@e2x zV4QO-9GM5+&+Hs2IRgWcp8*&1m&or?8JQ{{PbD(o)P{ALxEOr2!jD=R`L{*D>3^wP z-Zi-BYdiU6%#lG!qP_c7(%n3Pi@r3IpVu6j_mPMbx2afqBrdhrMSi0*Bz&oJ2=

    5luIw6S9B~ZB zInp8{?UDeQw?^BdlW>c#++-O>M()-Lka?n?Ym4C)Rdi%6M@H__3Xnl_mlv6YBYriJ z1tA%^QzJkI_8nB`Dvq!N=U|77+<^qhfLFr?4#p8X;k7i#CL_1G1xWw;<&S@kBeufa z2S_qFwPnt&IfJ*(*Koor3#kzz&NYPoP{Es0;FH!_~ZX#X(x=cidNqH zbc+Cq{dW9%1WVg2}nSv(b;P;8?p2NILn)4X$r zQ?u5ycLg%2cAyWAtPhs{gK-wt$jILv0!}~E;3;t5<{gYP%)@!lBtYWKwh?!+^vXr< ze94?sGy){r(=(|pj%cJOx6e3I(?}~L^PTV>&pl6`7sk>EiQI$Z$U-p|0Wzq*J?1i& z%&_;oZjQ|N#xo-YXJD-sucl(@I}5oRC?hX;W~4v{RCiXIh^0YVa!ZjTL%Zt*d-p%H zD^FJ}^#@LD<;a3XMM%H&G3P;U|BaU1+~i2jG|`Ok(U4u8u=KTq+#%)20-daay`wT{ z%MdKBu#wxWGUo`ljQlLiVC8x`ZRf*vYMD8QH=q;Pmfw`Sl4LF%ri4#KRfj z5+MBs4X9THM+}8=K9V^L&-5H6#olq#)g}JK5koBGPCDOv;eHMQC(`tMJQqjRhjFss zGH~_IKi7jSbOP4&`M30fiETr%lmT;3vvSU`rCOO&fedQZvt=1NtuyiojA5A}IIQp^ay`ReH^EM0Ywj0=v`ABdY32Dho%3=5Yy-{&$z`$-og6VVq}VPR%5<0O{A)U8(_&fWSeGz~cSX=LOU&)gMAzrHc&wqxmMH%Y#dk^e)2y<17k>(gJoRilfL(@L3G zdukb{pRbjX8=L}UrS-!?4^zuHk`)OL!nxidKvtX`|MhRwGLEEHa^yO@09j#P_UviY zGLGbDa^zZ@09k&)%&%Ke%Q%wc$&ogz09me={^eb28AmcjIdY9ffGk`2ekm}p8Uyd* z$yyn?+AKhRQNL!(4%9M^AR9z#*qYRj$G&zAU|*HY7W+6E#dk(Sdx(o90FvKQ}O4(ez2K_rfPG}`E~)a@cEM6 zGO1-8$@k_+t4)9muM$>iFea99`hGSUInOFUe)i?ruJ5R29LYR~2YD^cwFr=5ZOTr1 zNiE|@`Z`C>F$<7|=C|%tmRiP<9C(hLZ4w|gwy^r6sAU{Ur02+4dI7TF>Mb*>Qp-4! zjn9!YB>}QP#Q~G+Q_DDgoJ~f~&&esdA61V{et z5+L*5)JOk;iDeuSTyW$hrvRDf)PUvNsbw5dZE)m7hX5H=a>-oy&hQl8o9(nR(qb1N z1G5&i*-9IeQGOoz zozz!;NG;<)4kIJK6&)qqu0fx^)G`hy+wh>_tN9JI(|7h;yv(#vx zXvyH=2L{|pHhG*1Oa20b!s9nnqkSSsW5^tg_Ro(kdXgIL6IB~S-t?>zD{cNm3yt_O zfEw)+X&gsh(hK&UFJNqDL29&5^mQEh&?G>H{Bx*OFKV<;M0yOFgVFwXX@BIUM*BqR z$B;P~?VGiAzNAL`L@vmY6-49A(`(=CgVboBXbw4Yrb{r+Ajh>XZK=^d5iWA%TActH zc+E8G6gAo>>PL>;EeVhTb8Um57($SlN+=mJ2c!L=xAh=N_P0?TCg=40>QSB}zXk5Y zaO9Dlia9y*j%b|t*KS!|snI@Bgfe6fM*FLutWKjw`yeaj$Q&#UM->eQYr7aN)nfw` zu63@zJV#z(tXJUmOlc9dG$f*KhRngz@Qc&=Fts!!%5a9v!P4;PvWl&!r6G}*bIuiZ z`N%8wo-bRo1=d5_yQoH;BWF1U$dJg@Uq7dohD7MjkU3ZyejE4eZ`9I|sO1?l2TQ{R ztg1zUFMj2pzsey&+_8BqHlv=!Ar!_ka`(#)R zES~|8)$7QdN@UQ%^Cy199V`}NQNcN@8EyO=DUpGlcMb20JM09%h^87DsdovG0cppi z6S%`zGcn7Mk+8zZ*ZdXZ^e?fma!=g;1)QT+5=ZL3vj~uWw!PB|;P!tyh;0W)Ry`*P zkT|J$ofo+M14!lUAkV1kH4zf&OO~9EqY9gefe7bB<+Pj={o4sL5c&Gj)Q|x%o91rf zLPC&!aO|z}kyj#vYW45(HxB+@N32X_7rYneHYrA);&gbbJ(Hr~dPs$yS4{!BH>2ivl=t5_H{ zNxgyxY17zY|FLgiA5}DRTR3fdWy8^xNfW`bbRkVIV8#?y3(O(99ug$G<2SR!w+ z!hkzuBW>gi-_${0+#owi_a$wBW68iR=i5j^FlmEh#nOf21~_roeJ0Wd^Yz$YlB8nZ z#!`-n{Uu2|h6ilRl9J>4yGdd)!|}A`xG+dDekAXgB|W!{c9Fzqj$>)j5%*x*tq$JC z5~tg*w&Jc^WImQ!9a{?ayUE6IEcqH`oPl)lT2|i1lC|S{YjM|gF4o4=x#P+KAAAFX z2RR09ZSXhlYl$R%%WYNMq%9uw`LN~;55Tb$@`&?#l147K{Q{%H?RO)RXfC&H0%qKD zgM*}^%WVf-(AIpji=?j0ZA(qiCUw`*Pvu9 zyTYgM5E;i@4dSBB#Myx3nD0TH-b%a=WWIZLGPlm~TdusGw=wU8xK7~JP}L;2ouarX zJMmlKZOn}!c#A|F8AuzvcA0xaTwObHaFDn4Gn0K(1%tN_THeO|n4;QQi6;|pV~$O+ z@3h3ViMKINr?|>i;_JlPxP4sv{1UN{<83G*Ovln=sl}$$?VmbeAvqj(-s&PYk_1H_ za7LKLCh?nNO?23}3tS;FF$aRpKevD1U|t|>J_2{C4j#Fp&B26Dxw)~v85Mxdr@(b9 z(oF-D>eR5{(Nh-SCVefe-e|{ho2<|>%mRTE zf>jP2x5W*?SvLv3UV6z1V;)HyGjW_94j@j$byhgwZ%juAdV9(3^=!rd;X1`(1Q$LW zovs69`+;uscBvb8xMO37LyJ2kSq<4&PT`pGkPO`4ggd11BL!XQwjZO$b-^(cCFq9d z_wAmo9+=Fd{ERpTR>C_ZI&g=7%$}~t+PFdM2jiHjgiM1wxOJYcEN%3N5pQwKbSLhR z3ICpZyPmtMj5?BzW8f0q;gJ=0_}A^(>XmInpC4dfbL_aoQ$6nR*6!(gXF4CH--KhV zu&-x&+@!Xa9FGM+{jUZ$*$U?n$1DQ2H%=Tm2A+_^sfExI@Z{^*V2{>k*14k}^t_?O%*GB8%X8KAvW}^;AF0tXr z-JUr|+I3Ok`E@vEGi+gl?oQsVI-AQp{L_SEw!yyE18Fy>hp@Y4#&~NV9J2$Ow*z6k z)zj5OI&G>qa8=~ z_jdJn{1yJTEsi+=WWCHda-h?*)ga5wm21AnF^6?HvN!zu&g$tJB6a-d&TJfW6!ryQ z`s%D8ukn}@8XP&mjq6Oal4lNhHrNi&T;$pBP8?~5io;vwBY@s+)0>~iZNOmIjUzut z=6O%r~WpbvVlW7p&-ydb^HUMy6=9j)*-bn-w=jz3CzKN)uFe4==ogG+fyiCxA$6$L3yzQlo5L`8vZJ>rz)Gfsj?D6wl@?$2I4GmHr{UdQ+Cuv7Xpp-a zR7Y)WlKG(&bMcFd3el2I>Qc-NN8Fo2>Ou)hF^_!nZ7Wh-N>GaV-M)({q(YUT6!Y1s zSI=Q6b0sLne75|W@}yRlpcM1a%Hc4=Ct!5l4Gi^%={$oU?ig#oR|>~u!M=LwJ}SjL z_`sb>r1Y1d6!Wb*^CED}OW4=9u+=or(axA|`^)xaAyR})P>R`JwAi1dl9`|s^W?Cj zW>OAKP>T8UiuoFonrebl%$lSx4wJ%cf>O+TJ5}34s=Lur%pNy(T4&bdgAIk9L3byA z9VWUBu91T;a#SN%w~_|VdTbdeSKmvE+ZpuanHF?#9jrKNzWf@%Q46&D&p&YB*s>s% z=m`~I=UDj>#!+)M1*%s&g<~t|aTI)gjhf-`bj_4v{X*{H*h;X4)qS5sj_T*~Y}MbCw9Rn_$5yxDsOc^o)mwf&`aQj$>=Vz9vK0SID!~ zSC$*M8-9gjYde4p;i!1|H2|T#mOElDj;#m#GP!ZXKRjIx|8#}9@|44|4Q#mm=Mrvs z#xt*m=OyRm`x9|&V-1cn>T$yhZh2n~FWX}3SwK6{6fQ?~O}L>`@9DaZ{Mz1zqKri!b8X zHWnNyNx0!XjfXJJF(wIadd0SfeMOpZ!{6w+i~{<$7ToZl6%I~pCoPWbU_&04aU5CI z#p@S?|30l>>|4fr!t7}wq%5SMjCaWcH|CM*kb*Ma^ZzMqBt;?xWxTVC4p~ZSMGDGz z-_-6pjbnS*1ZBLB4chh!L*+=6Zi6Mkt7blFQa&=H{6Fy+3P=jdc>go?bs(uDDJbLp zY}|2BeDs5T!IjmwjQ8EC?e>zYl7cecEn55y$9@p(>zMtcGTvogjfHuA2m3k;gpb_v z2%*sA`zHQlNU2Fd8SgU}8}-4l!(d;RrH{&Z|I%85H}nXLpp18wn4(un{YgO??=ySb z!uk3E_H`dlte^bqKq)`zADfIIVHgMdayvdMJOwSrJ#)W*?P4h1>NEnl<}S` zO+5i!?-Q2XmWc2)qtr5oXyZZIA4FlyxvP62{;F}IKGFf(bNLY>;@6=+BmNh z2so=ddQ_*nIJJOtq~^ztR9vSPaL(?Q0NNhNGgAvVm+0ieA*h z@73EX+@;DHweZ_d*BC|!@*B1A`@^snb*P3%E&MKdY5-i9K?tN4e!sm}0Mu@vCZfXc zUS{%92Wy^0;^|$*B+^hODUE$)!(K@#S-AvBDSQ=jknP@SspB)VE+DH)#JZq%4f(YV zp>x}o${Xkcx7r{xQ`nsY_*k#q+dINOuEyc{E702yYIp3#o1P4%_b_UM_XaZ!=->bsm3wdm zelfujAS->uBEi?cY0~uHYtf`pwSUvAq((ZLd8+nra<^DIm8P$%{hPkhWPeX{VAcLj zR|oZ+OcQC<{!KdD6WAAI32NV*Ud|ba${N(ZIWI~tfq8Y-VzqD1D&6w`QGtcpH>ctAn~=F2 zD`B;7&gR*dR#K^l+BfIafvS)l+!JBqo8#+`HuwDTg*1s$?T^-{?F7i;*J=g+XtBi| z-Dx_f+8=G`n2L~LQPCyvM=P1Qt{6=$Rr{lb@4FX9vs2anXqQ(Oa?uo5wLe~g1R zo-Fl8J7e*jFQ@s3I(I>&(g>#82!`Ts^K=E-N~YZMqZ#^YU#dYf3%#Rh{*|u5A-?I^ z3Vf-)8kRA(3#A@F+%kBMrX(qDT_szB;8*W7Zr4jhP-FbL?0?mn5`@St2 zg6BdPH%>Z`Mw?ST!|_jv|cZ;9_rdX za0>jkqW3lbg(_6kep~yuI6#)M6Sjhd9ACe!Hqm|H?)M%^;J4LpZaD)L+^GGw_J6oN zpQ?7$ep{zQ>IG8ikJ@i5(Nr;(>W8S`)?)AL&~6Kl?g44SC+z}X#XE=gy`-7BYG1`K zXC7Qn(|gsvio-_Sg-n5IdV#OvmR4bTXrgf?i}fR4#n$h({Xw&p)xL`UGcTN{DbH$O z#fl?tE~k0Z)K_t@*7G_%s{ek}4hyP>+SSE&?6?PoWz(UeJ4PXm5-A2}EA z3~Bw0CP`IX2QH*%5T7!H_9kQAETWlKH7ZN!*+8A#^=zQ)-I5)TX}VTrSkZe{-0bNp zp-q~;A%W(6Rjvqb!qps}uIlC!&%c;L6UWrf#a&jOw9xFc%Jsp5)*&Bx2yKt`qu^5c z6kJu|H+l9N&%Uy4+Fy$IJVWx}1kS}zo9%?_2CPh}or@=CREC19|Jel2#X&cM!%147 zz`6L$;GcJq+(3bIaoZLxQb>ZKz`6MJf`gC~9SR4j)W^=nR(q|BB-K&iT-?mv{0PaH z6gU@;I5B!4NxBp`7dPrWG?rv;3Y?3}cMFHa+gcidb8(THe|ID~qyp#S20i;h5^jCF zz`1zi#d14IHmkt7_)b!b43ZKna4xotxBvyKTS@}w;(zM-^&rW)0_WmqTb|`58NLGN z;(SAn7A9%J0_WmU2fD)fiZ%(Hi(fx)-ku~Z3!ICCOU~RtvYrLb#ovXuEkIJI1!--y|np;9PvA z?4Wd#*e-A`{@%JBlk9kbbMe-TZV)0&bPJq|M-^X!NS?mHxj5T2@DGygFK{lNk@r0m z+@24w!|k?@oQuof{_g~l9SEF@yNoXlZ--^@+JL(O&;D_Ht{abS&4c0~aU1SSJTC`? zl`V4D^D1bod0Pphq7XP2uiiHF3Xx(6oQr2Y=?OW`8zt&o?76>89TAy#BDss~^-d)B znZY~pqpCH-#-)Bv)1xY+o6w6ZCV5mZuDF`Jr^7d{q9#Grn)XBdgK6zqb=8{dX`e47 z*8p#S2RIRyiY94fT zv{<7!c=XIHyM=9zir2o=SvT_f*r8#$IL>(#E2pVi#nlFIYdu90)< zwcSQF{-rvA8Q|A?e!_4m6Z-o}6E`&qP`gGBo;=k=-3HXIkwf=db=0yz?Hc*zwk`Fj z?}6Gi(m$^5@6tgF|K zrlF}Tj5V`|)}*;?>I!24s6r2#5Z5vv5Vmm2rzN$)W6TTpiAV&%pkNZz=h>`q=yRY=hfmIP&Yo=olPA{p$0vOCZFRvALl;00xO^7rZd zoaE9A%I@q%LyMDyd_mcr9Z5rCNLIg~?2dbOLI#lr2+Hm}c5nTP=nDj8ccgK3Y7&ux zpzKbY(wiF)rGudC&aR|RV~AXWl-=R(o{(xs-90@urcuUAJ4$`!f=h1m4N&LZ&kFaa z^ze+-Mb0d1L8&oj`F+%VmlS6G`Z{jeTZ_`i=@kW@Q%-47) zp9g9ruW-w+m8_Mv@bjZ=*ISU+c{&*%& z_iO0b>84d^@${CvEx7v)`3OL0nI>H^VyLx_QeIe5YDov*3MCD+FMs#>N!-=OV&oZqzvIeBN z{A41}9@ud!mld9A$g@XQ+zL{5>Wp)cXOGRe)nEkA%;Xv5L$xXk+l|wbXIZfJn&859 zCQ(jEh>BQchG&%X1@z6;!86JU=}{4I`@haa%K6HTBO>hZjB-MJjfK5WaFJ&LW-P@cMHVJ;+VD8$4?XX-F+49X!*MXWKNm#ch`&cNQ#v zxnDQQ1SNjmjSeHi0-tBaLS4Ag5i*Ckq8i*G#eo|=(~xJy;4SPh<3>}pUBZS;|?d`LU-=JTB4Ff}5q= z0ogtr-d(X;UcZv$I+FU8tPUl~nuI!(ynZFkm)z*&{^un7)a!pv@>9M3=Olv_{LdBn zm{3hikzr2kGQBzD#Aef*dF?d-CAryN15lE^?KJ=;`Q5|-)SDGfEI+;Z;KUBpo2gE$ zJDEKv$$1YjLB?<%6FExlotV_fVzs>DqU^Mmmm&kTom{<(e^s zJGX44Z-j(WJDJhl6FTy26kK4-=uzrZ+BXKFY$x5;F*a$n_)uf(@oy|d17;oQXe}IB)08<89fB#W6Cm5hcXLm;hC0f2d}@3 z!+Lc4x|KXz0O<+!;aI<+obbV${04r-K9ES?5;sbEVnL7VQsgoxdS2Xw9{1LfzU6lG zeyW82fi8Hq(u&^q1}od9^x0}NdLL#+PkLBLpUsNiowlGSF&gr0of*9wt49xi(UNBy z^ypnp6Kn+8!5ewbtg(TH=FQSZo;ho5B;lCO5(D!5Sz}|kJmlwXJd4)Y)I>9B>9)8I zyz#iirwQC=lch7(V{K+(V@IfXZ#6MS+_Z~JfD9T?cEx^d>|iAct{mBd>Ad|i%g zcFZb3`t8{;>l8LdXh_np%=ykKK;krOsjJx7!j5AmN*r13ooMgqc7ekiu(6qyq#tu+ zliFH1QO3@&p9S*Rn{0(nZ0uqo*}NQAXT3$Ao^@jU+(& z_vn^r!p81ak~1tLSL*~wzs-~PoyW#lGf6y_kt>}7B%WFMaCK}nXh`<5j9f075t=`{ zcse%f-6RECM*iZR(E^9(cz!tW+K!DwVVp;8GIE)BMqXslf#v;oVB_~N&cmMLxzsx& zFEX%Yc-QUN_?@0)2+N#Hw4NDxkpacWd|v_^ztxd6V;Q+vBS88$4ZTBjLg(zm~k?LsV1KbC1*gR z`}5#+I1$l=XO3KA73|%ALXvARHja1EtZ9xMB;xdIy(lCQ8^>8_>NP{&+hZ1R;$N2C zEQ^g}O(cJtJYen*v?3%b|8SWe8^=f_37jKYCbe;fgJx5+tyw;`ah49pB6nHg3_=6lKnNM-m|Or7swB7aKRaX`VAjCh7#p zkZFlmCSv1yCry^-$OO+>Q_Lv1Yq{AxW-D;wmIi5 zBtYf~Ua%Bi+pEkp_nRaCatn|_i&wVDgN-XRG=ZEW;r+ngl_1OUoTI>I&o58G#$|4r zh0c-JodRUQCFe2t#9HE{sq7qi%^^Vg@5&DAkBy7$G#{QLui6DjztcC{&&0+BR+?1L zkxsn;iSJK;1fLpK9nH*_kvahqxe^b>W8+*6P4DN(yKZv*u)}#P3N7@V!7|E?do4J& zxZK9_%8kFmE1`sg;dpkr@sJgh40Cv3gjtTc@q&runUgj@#52u}7XhcW@wTRO<+g?(pHS>Qpy%F{RBSwHBkAZI*{r{4oc<@urp?7hhlS*{%X?pB7mU+ymnrxeHl8q% z#CVxARWwfAW?QNQ8;>E9T`zNfC*nje2XtwHjYj}kR_3f@mERY*&J53MIM3(?vxBfP z*+%llIcJ@@Zh4#vWYC<}`>J8%9f>5L%g8zM`$EYX7(cVe=h&E_B^m58a<)^z8DM@F z{1Z0bf^kYV897USUnn{KzZ=)^IyT;Lkz9HiIny(D#W?+<^LB%4{xt_l$d{2b^n$(P za*OKR!$zlxWcAC)5u&}L0$)8$z{bm3A`Re3mS1m#GZGu+X**~o?-duk2Rdmv4i<8t zE&c~s5Xq2O8_&Nt-h(}V>Edl{k;n*(IIvK}+wPXv5x#T_CfWJ$fcHxD2Ae#Wj+NZ< z`=WH%p}%Z6z6k7jxe^TY90lM=bsEI^ic zn3e#XGqp;j%_Kk;*IIvRi{q!k(SS8CzV|hrv!j?%v3sxjH^K3fVbRNrTrCMWi~bOl z&JlKszHC(D2OM8ON7MH? za*0!bEY!Q3`4Wx~)ldxpM=o{(f-m*N~1?6IkLVH}^wN!17( zxzHxyEYPM~lNUHX&`u={9J#k;c(=1(edZ0wlg>>MUX713lFg@x4b|1xRGBUNbK?W@@M~i6RjblEfE- zwwZ2dB4YCYLT%*ATO6*Qv(3CMhjKoay&=oP|jz-yOKk3d@nP}u$PgY zF+iBx#S_t29xeU8kboX?11oj>6CI5)}l=g1t&`HUXd?=X(<<|Kjvj(pQh z&ySIE@5R4uG!m|KF?OOl;K&@x`8b12f8qFOE0Hd6WDezgDvznU5XbAyME}5%Ih6A` znphf+60EU6Ngs}Ud08tMXOSw?Z(hgou#N>v432!&MJGTO-rJ&i8jkPaBytXp%%Pmm z(Pmx0!tw3wL=z$-^H~I(pRE~l^a~u{#!7@G9GOEopRPBCCgONl$AXeR9GOEopJ3BT z_|%Nh5Q&S7408$gu32;M&_NvE!cBBC9GOEopV3qEx^R3mClTAoNTXB0S>UhAb6Vs0 zCU&CO;m91y`P{$JVL6U(q$jc;j?AH)Pf{yWR~+9!N3=s6nL|0B-O=rS#PRhsM1Ul7 z!g4DA?W8Q{^Oto(4;)|HO;k)W=Y2_l4Bn#u5RBt%If)dCBXcO{v-L^esyM!endqrF zGKX?LCC6SLjpM87ajcC1$<-ofaCYbI(pnX{(o6@u$N zIk^DGm(~->97pC*&S&_D?IUq~Ne$KA0ThnXgG~;3oQgsS3v*AB-Iu@fbajJ#qKa0V`D5dId&54I9Hkc>R76(9q`mqpCP@q^4nQ^b)ul=JC1 z>S1LZKR{1}NiydR&yiQm$j^}$a2m(=*AaCSN9It@=e}+bT*1C^5{VQ?=1|UOfIDCZ zj_++JIxCK322Jt9VLvZSyp2hg;zz)*gO@J3EgX(3jvonod1aH^G_-BBM9i0X+ec0$ zEuz*x23L*Ze+s0T$4sPy&w6YT<_auGi*K@N56IEusQop!#Bs# z?;Y$WxEtN7=3HL+*-xFJhJ+*-HCu|6naxuyWzN0Lt zRZyOc{%IOej>m?Ard&`y@*QQEq@e6KDrN>yPQZpROD-rMn|&r;*rcFbFllT-ptN8^ zVOuUJpXz-mi#imPOTwPK2Fi)pPz>dQGE3(}S;D2D?AxH*E1;Z&4JEa?pnT>#$}&hn z`OML2AW;5{4P~TUP`>aTWm&C)^7r#S?Lavh8_Jq;LHV!7XW|tk1!dQ8R0JreU_%8< zE+}8QeJCs26qIOn`LRGb6&otMazXjV=|fq~tf1_2Y&qO12%d%w)ln`e-}#QRrd2`t z`73>6pq!2kwY0gQ{NOvv+RBStM$}JzfN};l)R8`&5)yBGCSG58#)tR)XBJS-#D@B& zTu?${9DmS@TO*5N;zf=b%L3&rY-r@j1!aKQhq9^ijQ5v%%mm8W*wECK3(6qhQMPa> zMi~-a8SeN6&%uV4=#wdlv6{~)BU}o~gs|_=0_9w6Xr=vRN|Mp!L)iu?D1R#X?P8#u zhYf9{Pp548-uGCxHz_C|-26-jlvZqLZ~Jsg$gT1jWk-vGGJbAnatWS~4ILeyP6-KG zK9rqp3d%v1J9h@k1=!Hpl?%#k8XwB84h7}%G1Z;{Y>2abIwd5n`B3&SDJV5TKb`@~CD_oz_UV+6 z`Q}6Ul} zDv6DOq>O(orrJ!`qePaR24cIVUn+wX?z7wB?6qG&Ax|RUt zMr@cR<$|)V?~ky^ zX%v)~Z}0pUD7Rq4B3mvf8|!^2mnyH96L;M&1e9B`VHwH=WmBCG<#Od29~54^Ay96^ zh85aeP&W4+_|KH4sCxCK0HmsI%LD|xGlxvk|{CHTwUx0E4Hmo(}f-*wmGw}_Q z;`9s~b7enJ?!<--mRwM_a{Ewjwkas-k zRZzY?l2Q~X_hQ37Q!XewS$!xES`?H`K3pX4@n5mwpd%NQaKDK^=x5Inn}YK8vL)na z={{^Y;>rc3-glI6H^lo1xg(|XU7*~L4JS}8D5HHx>2N718)few0h9-@;dgB=C}Z?K z6F-F%l=G|RIS-TvvEh`I3(9UfAIdYz>*Y6t!xDh<5H_5#<$^L!<3kDe%Dl(&Y2u}c zKzSG&&O35J8SgvFOL_%m4NZ6QmGuZVTyo`t@@vUwluqRt@6zI7S)e?M4OdYvD0^#t zD6cEec>fQ-9|Fo_*lK9q24!TTaw=_pDT!5!G( za^-?@klly!Z-;`?_G%gV7W_Llq@i3;4z~JGW@;6bFIV1q36v+XA=C89l%%eL59LEi zLD~1@l?Fih2R1ykd@?1G#`sV^HYq5F9V@gBC{JO-V_PmLhnajRpV<|ZrHgFe4U~Uk z!?Rr6P#_{5{-CeRmre!cnIgL*fbujp{Hw{uC`W01DBmcrM8A%yN`6tC!G<^HTu{Pq zC;p(Le2)~PJXw5wEKr`shWFN7P>$6qDPxfGMf57*X;q*E4`^i11?4!IkzO`p{FN`F zH%HpF21;;K_jl%k@+X(fNhTf>q&(vZoq8Vy$_vo!&IRQJhYw|lMsY0jzFSxxC@J5~aaSY_D`P^Upf8F7W zo8mjl&&&$S-Rt&00?I2m<}-UPD5qHvf6&uY#Hyeyou*$7lujH|#F-1q873b}Sc&pp zf;;ywJP9bT;+W#PTu{!Ed?-t46qMDizg7XtYdEHq{*x(*O3sH;t5Z;>9a=+{M6cr* zNDTP&(=$)!Ls?F*pgeWa?+Q@fz%k{lxuBe{@u93}R!~O0oAozP{)J;I+H*m z#i^j&tNAbrC~xAJs+wF-E^_)%R(C5X5A+{Fu0*$RObuNwD3|z-Qm0W+u06kN5Kw~q zzfPYE%4NQztm9HpeiO5D8c-(Sn7Za%P%gLoOdLLBy)X3_yPB;7%0wK~z?uunl~y0h z#wG>jhgKi90p%SW1MIGrp|i{cNC2 z#xasE7nJLKMj3Zdi$YrKp6vSN_8QZWqlq;GD+rm?MH=N8caX+oa#E&g7@^*O)3y)rDM2Yj(G!GF5dUm#mUbP;r6QRb9ws=f2I8s9@Gx$c2bN zy@gze{8L@XrFEw+pjrYYqq>kw>_3~=QaPl$kjp=LJFcLb%E-~+xWCZBUi%O(GN-kA z7)6Dd>OwA2t@njcb*H+JOGd~&ki3CJG;)FKqe3n#-`xjQCg@DngZ!*3ktc!e|Q9G#sgr4u`rZA{5l6y{sz@N z`Gbb4EY*cvR)m%TK@CVX)rDL-)MOIwkT+W#0jHjYeZy^^Vg!C42AreS$Ar~Tk zR2Ont)~eK(RL7?-fPk z;isaI3yAL&g(rzqqCf;&Ya7m(V~LN30=TB?uw{V%EpQx|JFulch#m6WNAwXB=_ z8yw&hCPA^5IR)OuQE{8PSc`u72srkjkW&|HSrc8g3YFQZi?x)SdIc^Xr(J?#Eh}C< zh0DM>i56?|iU+*~YKUx*6sYknr7q-BK5bt=D*I9wa(Q_we*>x=i>wP3 zRGfPGy4~bx6E|EdsfXw5uRZ!L?Z;N~JL{%av>oR9`wLgh81*O}-C+e!1 zA8u0CWpBkQzYu+kpsY)YshRVLNJdcB<>)R6J|oCR+SLz?87Ga;#V);P@#zbDR3g%V)Jxuw@sc@^>3;+ zQI~b;dBX_Du!S3|%ewS%jDiQUY@<2N}B@mA@t$C91nU;P_L=Wx_mQWM)s!;uG7iMOl^QA&8rx)6VTgk z#8WRGmP6&PadyLr&+ZY$FLB}njj+?xHNnz%n+DGFcoXY_HCB16N&2lZ&r1{SG3%Oa z_H<1#6+KZ)M+D5Q3l=Elt)}aW%`CEpsGwQbOsl7BmehFmK)7hn)UqzCcNp`fp)o68 z5$ZFC$^aO72VYYdRTk`y^TWF z7G&gFlK>ewIH??;c@L+IwCM%NfOp+e>ae{V?J{zWBtZH*R)@7>$nI7dxmqVc`f2+# z0@A`xjD<)nX6PX1Nhww#0Ybb^G15%M=j|Ee3~|CmY< zWOaohbMUWv9Ok^qkg!C3?O{ zupownWk8O+Y8N2=9&9^UmmwEeWu#LtK;i-B8~hq;)p?LQ0TTI5UtgJV&eh0B;$Owu z>`vZB{i|5pUJGxd{#C3Emek+@-(B)8(~^iBAy`-=e-X~Xm+GRe;2MTJCy~G4)YEjV znU7KFHWjp@QKE(+PrGDfO?fs-WT14h${dC~<>1I1e5u~(4>V-RlQxdb!Ix_6#TNXv z>9BBQQ#e!n^Ize0<@bE=#nA*o)&M!OS*mE9xX(W$`I)2_D?0b@M4V{EMg5nI^9cDv zkaS6pwOugrHd=X_wOypg9dLCZkiVrU{HxB_acySEWE&D-|!&F*-im6;ML3H{QDWaYdLb3LxA)zfB&A1AjlFT`AaxwdXACO zziMRZIYxpY>yYFxLC(+%IPrleqxsyOCK)+Gw0ESl{5+Q+$ciXOQvWK}mgD+vpM`YfHDVww(N{rnS95UlMc;37|0R z==D~4)(S_NQoUB64Wpd!n?~kbXAvMvzG&P1>Bq>mW&yIq`1a=TkC8T$09kxV+OYQ@ zBiDG&j$)j}n$3KD?PKI>Nx)h3zHU$VkCCf%0_5jWrT6yv7`aj_Ko*(*VMOZ3NccS9 z?;yoE3$GhsY0Qw}{Ji1lZ@P)^0fxKDX54%s;l(g&71v7l1i(zD0lx9TM_t7DHms+^uE- zGGEh`0d9&UOVONjo=JcV`F8pk{t5VwZb8n`T)hAp{NbeG5aWE~lsV@}0%YDQmiBiU z@|9Ue&ejQ#d4h@`9nX+2^)hmnR)7pT?$`n=Bjid0Yy9vaZgF`Wf?NgP5%19uLq|^ zz*(YE-}|!}vYV45bMUVk9M!NAL&n%SG6(;vW~~=rU`ROH@PNJN;9q6Q+lpVm^k$CC z!N00&`{rjECtG6y&K&%!9^RXS8IrAI0Wt^ws&Y{^&oE>MdG0y*R|O@-{=ks!V+Jr}ghob&xdceflJiUW zCr1l6N9N#P)vs^Q28^?rQ$`w{0?q=(?WNc^D)6x4$Q=Bu=51TLpK&(Qb7T(wRbioL zH4NE6$B{YsS6Nz(=byy&=oWC4-ZX`S1=&@LEy{OC$bSuv@z0prZkhAG=y(Q)mz~g( z?Y)+hBXjVt`jF9YFhkZbb7T(wRmX!KoM*^tdXCJ&zbbpMt1ClR(Q#xB{#8Y-uRAhi zB@IXB;9ph$d@vjo@(EYo&5=3ySJh~{l>LSP4=aw$!N1CV=x_(dsWr=-HASxws9rMd zXND}T=g1uVtGY}Y*_t6sYB=)2CWm}B6>d*?{ysE_{epqJ4IG(+f7Qa8!}~JMA$A#g z#U|hk#P>HgVaUN&8F^YOKn64&F{v6u4l;9O4*pf;7Btz;kOTBG=M9a3)33$ehWi+@ zzm6kw@UJ@ju=z5E{KmR-j$MwmG6zi_$*dCiQJ z_wt{{(>7Y-IPxa|CDgW(00pB2w&ssQQ9^fy)}RD7ck~%b80Dt8aFj5X^%h166Lhq# z2uhf2rBP9oFxyO{;wWK}hDIe&!cr%VN}`07tgRGESnr^1Wl+LF*82rY_)SmS%AthQ zb{bVc371)0Wt4EsP1~xY#1I>eYNEtKEUJYP!yUA(HcBkP+UlUh(yXm6N-QfO@~Dpz zt7vJ|5GB@f(Wo&>Y`~(XD6zSXwlznIty$C(C3ZB?wg{9Mt))>blo)HFQ6x%?bI_;_ zO8nYJqjo5)iMsX-{gM~&$l(^YOqj;3KjUBa~C~+T)dZENa?D&0!5>IF-?rW6z z2a8N7@wA(^^+t&obTsON5-*!+^bJb9YNt_Olz4;T`k}-m6K(5{5?w4Bh?2(XY1_9b zX_A#jgW>L%i$>p}q~#_W4M9okZ8RE+lJ+}jGz=vjVq1(rNx#!3G!iA9ve9r9N;+$y z(P)%((L|#$D9I_&=m(T^-9@9ZDCw4iMn9sYJ0=>9LrJL?8vTTl{?^iHJW9%>0h)l4 z9@=O)5heZ0MlcB_z17jSpHb3(8X8ST$$oYkO+m>)3^x@ehnQ*GG?ZLGPowE5xsaPi zGf;A2Cyi#J&&!mK1!~q zr_lnG+|W&*VAYvO77*R(JGYO+exF&5iS~SK*^)+G}?%g$4WHXjFKnVXtV_-FJ^7qQ1Uv)yd5QPGSl8&D0vUtb`MHE zuAy!FQ1V&Ub`T}Q)tEevpyX86b{r)?($h8vN`A@OPNL)wR@(LlO7YXs=oCr`WNm+< zlwcceJB?EE(*T`8DTTB&Jd08a>u7WirIc{c=sZd(BhlyrO8J7dK~=h|tnDgFxnZHb z*H8-mfrQX?l#p3cTsA%iAMKOYEg+s=_s|Fg+>`DwGs_bCQ7X;(eOS>ZSJ7a1C$y; z1N0E3^4HKKl-f>18y}<8&Mq2dq0}A>myJ?;S!wtZr4G>2=wFmdzfi$S{lcAIEhVU)I);fkQN0}S^$N;~JKy+u*lB^!;3p)@DM6-Q||9JH+j zN@Jf}xFkxu!*HcgS_;FJMrrpf6juhNr8B;=C@srM+sdP~e|0pffYOncMio)IpPfdP zPn;)i%?p zHcGGOqEQ``-cX`ZU6kI0;p(CEW)9lc5Ty@cQ6rQ-)J@x(p!6SDTMLxFR72Y&l)g$& zqgE(=y+otdD18gtqCHAKz0B z(>5bYf2*fa50v4rqfsxE5yEg^qm0iqw5>PFC~l$AHz?x^JB|9Gj4C8R_4f#DXTjLS~iwiIQsD-vFTGF&#=wi0Fh&2To9!9G*) zI+Ph=qP^=;W~iG+8&PH$W8Q)?i(6^iR+L#vqR}3d*+@sDUr}aD8;$m(%ywED9YmR( z9W*+OGP{{*bQEQNCDG_O%IxQ&(Qhd8I|q#%D03*|`yFMD(9pJ%D3g7|!+)U6A1t)( z6v`ZDqtTxza{}AqG|HUBwm5?_C$rwOD07;H;?AMWnR*(XN13x-G`fH?=Q(I}5oIo5 zy_ZntBG!8uWiBI~2wy>&%dIqmYOZT^G`fm1*R$vv%4DAl_&Unm>ZZLnQ05K`js8NJ zyEQbri8A-tXmkr@?ql2DMwtgm6T%57^RSghi74|p>%D_A9d_E5gfjmy(I^>Zp0>~^ z1!bONZK){pqKme z-l3;U95i~5o^Ft6^Z`BH%~<|JPk*zJHopM$^et`k3qtN-x~*ScLT}T)>aR>6Iok*w<+w!%)^`6OD$WtgAK}jYL@q8XAp8 zSuQP&eneT1*;vM*tSr|16Uut7r@a$U)>{XSCZcRVCyl0{Y>kda(@=I{H;tyF>@p@A z%|O`|9WMciMvGB)R~?O(pzK%;jh3Qp zBWqiRvU`|m+b<~lD?N>tqwLdN-i#iB7u3MwC5;;WnY{>1Nut8D-D1&}a+Fp6j5| zR+PPf1juh2%4VN9e%n#@3O#MxiL&`Q--WXIIk%(i9c&+aQ1)&+#qCAed#yD36=m;d zz57u%`*q@X0A>H?ro9JIHv5h3cNk@#bJ4aVDEkr#(Eqh`=kZC`e;mNyF9&mD9?WWN zFc5RVw6?MAu+j}TmfN(X%PuXt@1pFoEa|j6>Sx($lxc?@bXk@bT9#!QX=Pa|md-8} z2bgWle(-whKRzF?*ZcDU9fR-i>z95@r29K3wN$#-d#R74dxM$Ef7t70A~Z;epP zrTg~~^@()rPY(S)mF}IIeJ0(zeQZCM?tg>S7m^ljzm#-QjM*wl7bmE%B|R`ot(NqV zIJHL7!_3rLN!NI(b&@_LNc|}3ec!9UNZPM+8zenm=Qc_DOeg1(l0MrMaWOAC;qgCui>k2+W~p*U41 znQ2L?UNYBd)*zYbQD$Dr%m`DBl9?H#4w1}laq3XX+!LY>lZ<|4idrS}j+fbZ$$YGH zos#*Y5J}Ool35d`0+RWq04X|AGFu|lWXWugQKv~}w`Nl$^PgsCNwy@x_8iHUYj(b5 zt(sjV*-A6R^13mQs-Wmo@Y~R-;kbpAu1+4??tFLrDth?dP{oZn!PPOpX%H@((|S2 zUFrEc#<@k(^R3RkCq3%|%-)xt^_ne~o{c*9f%I$%vHehbwrRFRdj8V6rP8x2!1g2Q z$t0+crDu=M#ieI&oY^wz?Wc3grMKA2Y=!hzIH}L1w<<_|F1^)JYNhlJOHyA*?}!4V z=u7FXSFMuX!<@{%lHQ|KUrX<}FtgRt>km>1={;VvZ>9I7II}gwFtq<43a+9kdJMyYP;%_XU{=47^4ay3EfKgoHL1sOzg2RW&JlGA5B zLy_c02bdWocQpUx2BYMf&CH4=*P?BI$+bn8l}N5#=Sn3vQCpMbCTUwHxf8S z=88(m-Rh&NBzH%cvPy1NoU%#oz5>KhEx8AMlwEQU$0>*89xXr&LnZgPk8(=xsW3H6 za&zOlPU)+2 zQWK=l8>A*m->4{ctn?jarjC=ou>oq5^!XB0K>GB^$Z)*$jo0=B>FbQJJyH6O)wz?T z?|5xbmcEm;oh*H)XnTtEonhvRQ>9OjsST$~-$h|&XGq_racYY6U0Hw_rb^${KI%;A zyEaapC4JKi5JOP-t! zR|#sG^d-#HHPZJ(lDby<);p=|q;Errx?cLWgsB^(Z(E$2E`2*9)Q!^D?W1m%zFy5{ zqTks`W?}R@KS|qpDg{enSRIRu1KNLCR%x0r#c!GKqMV=t_7>Y)Qs5vOo*A&C!C~6Ea zdjds=CaEV;bcF8r6pD@xGkY3EO%duD6tx7YxhQJW{hmcpMyRbQd#-#D|?`FGO8R04+nsx>eS@-h1kh67YTApb_-?53{vZnf9jxqfuT7{ZG_<%AC-h*qGrFsaAF}6!xk7$)9iN`E(|dH6Nbx!)ZZ{n zGgCWZxFtcQV7N`QGzcN9LT2m-!!j>rfZ=n^ieXr-SqTi^X;uoux)|q7Fsx5e17O&y8U(|3 zRsO#;?k<#K90EgMg4!QOW0b0Z(VV0zVXTZ%Rv7hhWwgWCU}iQH#v`;H2BXi*Y&eYV znz>*+KE%ue<7p{s1dQisdk~Czq;IT)@hZ*gVZ6@Exds@g`zSArH#@0D81;D4cnFMl zn3;`&aaNEz6vq2})DbW~9ioneah~ox2FBMl8w=x`DYiZsKQvS0VEjnc0%JVMtQE#j z;#3=qE2GpgFn;Bu#>2STOSQweRo8aFxGl=86UM*8)C3rJCaH-qc58MljQ>L!23o$zv z#r`mL9*W01sq<0XS%4TXK=H9=>OvGxicuG#_=Es;F^W$PQkS6kRBbOs@fmSum!bGf zZ7)aR;(_^J#w$>KZj`zb#TNvr5Q;BKQdgl^UtNq>qxgy_vuP;4DokC2;%j`=wJ5$W zPF;uM8=}uBTD!EJ>QJd2A#VFrHAN!o{7>Uy_~xhrL7U_ zHk7vO-0dix7-Dt@N{`pS(hlwOjg?nmh~)dMKK zL2u(hl+K8;jiB_-IQ1|}@71~gq4bdyv)L$pEJ{6!(kHcj45iOHnax4z^9kw+l)f6I zocMtx-m#CK{2`fK(&Ol4lS zZ@@IjNxcP=Meo7eFzx&Pcn2oC?)NTC&M@Z|!Bk_W-h;_gfEeG0X=H#}3{#zt`T(Xz zC-osrhibb7rX#dn3X{HG8b5-mDa5&tVQPs{ahTeY)H0a#X9MGMm?p%TeFD=YGxaG< zClnyY6)>F~p+1A@)G+lqOlKshl`x$dr@nycY`u*yVLC6&Y!yrw>VB(Xx-ZJ?8<-x{ zwF#IWaWeZBrbl&d4NQ**nXQHCsQ~pIOmmae_b@%DYkz=gzLVKHm|oJgKf<&y$m}PW zUXM`gVR|!3{S4E)Ug{T^-girAh}s0xr$H(S)8{d2GfZDbsb69GT6g{p zrbL|C7MRxR+*X*@6(TWq!L&X=ZG&lpkJ=7XcZy1*tTaJoP&QDrEXpc0+l{iSAlrXX zR_&$spv)1c{zcia0M&!C;Yq3&W$qA_L)i#lLB)at-V9UyaKN${WkAKm1Z6_SU8*uv zL?X-vpkj8A8iRRt>ayRo%4&o)ohNSVo2^FD!LIsu32ik2(aFLp2)(%V^c%u(T9PDLw)gf0!B# zOS_Xg5|#-e>L^$ysg8z4UtNmFz%p60v9O%x=JEN7XS`CvIaN{xf%;sE7` zWtwU{EHhQ@u-qPG+X>6P1xWD(SRT~&SXgE!nH>kqoCtM1EYGE=lVDk>*(tCr3Nkw# z7Cqi5o(jvV5VIgG>$E)=md(2MJXpHCY%hRiyUtw<%bqB+%TTFDU&WWB(jQ=U1uENh z?n+cn3^EI$GT@`GLgh)i-_@u*)y!-fDyL|B4Jw09X4j(f8r|VCJN@(JBrmAdWcwqkeos;BQMDsU z{fw$zy2~%9%EXv$gtbi9Zi01CfLRjOav!xB*8R2p6;_Lv*%nwGQED5kZq@Iwj?nfG zSPzP`{S(%D-S0108+DfytW9RNyI}PtsBT#IJtESu9ur}fh4q9awHwySy7nJfPtz59 zV4WId`!B4)IMoB|dAhb2)(cb2a+~oESZ^*s z`uBr1%>DWo!FoIQ>u-Q{R-CO7*87}PF{}^9sQ$1%qWhJ=`e>9{DXdS2C=;xVq<e2fb`!F)}>ME09d~WQWda%t!pi?e(Pga z3G4T&Dp-H=GPA}K^&K(8Yd72#!+r?(C7z^7~swUX3Nil1NO zh3zhF{jl8=W7Y=S{bA}D*dEe$JZz5?BI(}_+w2I{3ELB4Y65Ie$Ek_1JzIeEKNhy< zebjNV%@0$PV0$S_1z=mK+3~P_tl3Ght&Foh8Maj+YBFrA^&Xr8n;u#AKNYsMI(Hgu z>ztfB9k!o>)ETh-9H*whwlP3Wg)Nz+&V=o^7Jn5JN2x1NZ81|fqx!fwH51h*IjJzJPw`T> zqWbhObsMUuMyT6Sz3*#&2ddAFF}oAh7sRQ%P<@H+JPXyAJDJ^$>X7bt52~m6nB9x& z>$JTO)zh_o2-SC{*gk^l2eo|^)sOj@J%;KhW7Hf}&kazIqxyL#^#rOHsGdaiD?w&Y zq55?n^)#xNN2q5|{kfT%i|Q|Rzh_asTH7e9*QlOD^$)uCc~q|ta>YDUZwyl}p!(M& zH6PX63XuK_Q2m#adJ)w-ebh^+?oLrJqk4CedIioIlz z_hGNob}{VUB-;;QAC;m$g#CyBwFLGfHTwv5pRV{A_EudHhy56x`vmsmRiDCsQjlv` zzGc*Tku}l05*t02S-LUV`EDd|FDg*moFWW2}5~p^W1iNN7tRsuMNsrtb& zT-zcz+|m4)lo;R`p)$g8u$fsg9QB&@hoezd0>_~Nwxw_!o}^509H}aUV~n-~;Aqlp zAROajoHN5QB}$dU5%f_*;5a8mS>U)fOjW`$-APr!aZ`kHz@g7MB}3tOIL^!o$80a< zf@49Ha>Ma*g7U!es*kFL<8{qO!0~2~*+@9vPEiNJu}F707>@VN%>p=N2A*#)TiTy-I8^i{XyBGhb(vb`8J`rTM^32L?lnO%yS zzXH@{sL^NdlFLz(E<{pt1!{Jus4G#^lcYkZ+3TdPf~#KvQgSt1#xOMvu96US4O{~X zkdkZR8l<~i2iFiEv+LnHz)Rf#S7n&G5w77*>L$26su?JJIBot{$<1&zsBVF4l#|&^ zxQBmykHPhz&dq`Akp$bv;d(4YJptD($>E?oN_L(jq$b25v<^>zVL@*G@?!qoF{Ee=xi;93%;=EJ4O5hV-YS`lFO5?rea zkdl|-(s!{-7Q(ew@AIp0eV=0c8eBhmsW;$C79b^W!L=<;y#v<{AN4L=-8#1juH9y4 z@4>Z4cUcTKlFUAU+YqBZgu8!)S^~EzL@kATU;$F{5!~h^^)cKB6d)yWxGhO)8Qj)5 zwH$7Hl==j2XPEjF?%|rPfZLs9_8HtGoz&-W*A*ZoE8%WPQeVJ5ssJhZ67Iv2)GD|~ z$EmO3?$Gz3=h2 z9`5P7%Lcgb(A(Gy_mg4HZGn4!lG+CMGR^*k`>QCk?QrX>cu5NG-<-_SaPJ6HyW##f zN&O3tu>dK_!D9|m|H0#k6;#>}o{_uR@<&C_qZ<;Q291 z)x-01j2eyF!teQZENb@)P(IW;!jvDiBXpNG)YfTx3~Ie*wi8g>I_)SjW5jM_7O%+5gVWg%(`YW1gt(y6GuI>s!B+M9Il zY}AI6%+5pYJwfVx)IQ*(EL+x`B>T=ZTD@kbxwXf>jRj7T#%=Q}8 zzNd57qV~f8v+Gd1G(p{j+OG++O2WwPSpMp zrtU)R-vvnNeW>m6Qum`)kJm~czzB&ki(o{Rk9rs*Y$<9sM%3tvM=`>q*<%M%9O?yFJWoDeCUg6(6DQejl^tsC&*#eS*4|b;YNsTbN?D5_R7vsV`9X zQ-Jykb(<5^*Qnd-rM^MkcFq1o{RL5Gf1&;oC$$6hp$N4T_16?2`423q*XNhg4C?O= zGuw^&hmzDD)X(uzJ*c0nSq}9JG~0{%*O-|E_3tt>^+UbBrkD(<|5USL)US$4{+Fo) z^=maVp?86Xc(QMJZKoB zbG2yjg_w;*LuZUS2o1++doUXGabT)L!{h+ldNiEwr5eyMRp-2DxLUJDG+eK9hoIr6 z2k4C65Xqc0r#-iaVZJW?AH_ogX z4bO)u9~$QCig9RoDaNb?4GV)*D;oCw-TTq-mS$~e*!NX91`Y4~I5!>*A8OlyhGkJ^ zooM(pNlie*N-s4L4XeV`acEdmfS4ws;kzUiK*Kt{FUO-{y^q<6XxOA{PewzRli6f6 z{2roCLBroM>QpqObnR(q$OM_4j)py|GtiJzO@UW@Y^TC&&=qIGTWV%@7Q6#=zjNTN z2rxSrUaONj58moHbuqjLg{Vv5ZSYZ-!rPdnLh$PAmgy>Z{ZVFD!>h;qrt9H7ImPS- zcu$K{)8U;Gq-MZ-zLUBc-V3ADE%09Aqh`W;nUe~``?qGd!n-rc>`pX_le!CyMM3Ir zG?tjDd(k-9N!^FW{o>U9Xsn1*51`TEr5;4%FkSHw8eOUg8f&$khsKbTb1$IrTGf0s z-jHIp0F5&u)Qe~ghp1Q3I4eQDgR%M^ndx1Oy)ema5yoB?qu#^VnL+A(jJ-EWeSoq5 z)9gcxeagvf3C7MXKujNF>_RUU$JjSQ)H00S_vl=Xu^;HppJ42o0NYP7_UAaY0%JF8 z_8G=*Gc)@fW4EhTVr+Ma*%uhwo1(r%lO(BCXey3UU!iG$kNO%-Y2Rb$2Q-b2Gh2tIea|mHqRFq>PiWFtA=7#^P12oz zMw7m}m^PqkikE9Qq3IkSwHZwpMW|oVbZLP44NW1P>q67ULL{a?(X=B<{e`AoNoqTq z{z*|g(A29dQfNkm*)BAf6dxuCLsXg(%M8PI%kkSa#=xlyVF%~v}q6Pm-^rK}9i`p798gyz}W zn$i4RjB`WK{9=&WAI-0(s7f@y=cBA>{#0+b8qHtEnK{t>t&?)1d0mJ)5Y76xR#t=N zEdge3H2;~TYSFyQON~VHKVj-1H1`%DW%cm&b5afP8NyT}e5JbcX!vSl%*MbsCP|$F z-`oUsHhe2m)TQw0JIQ1yTJ*@2ONoK3iazO!7_8nU83salW@^+Nk zj23-eDEk#HAL+gN4J~UzY`380`v|oaE$dQL7h3d~uq;->LhGs^G= z;UB1TW8fdGb7SEjlH`ge_$zd-8U8Ar^TDsj`~$|p@6fpx_=m;0q80uc-Ng^TN82{| z>$E)ves7F(?JVAB9e`K8MgnvwkngD-ufSL$@o0mEk{`N3+9Q`eIY)$AT>ws*SRa;*XNA^SHl01nQaLEWkKpH_&*I$SHu6gkD3PmmtN``_`lW_*TSFB_B#02 zYI{BWKR7ve1N=Yf+;sSVDMT{hM)-fz>?ZiTG@Akc?@6{d!~a);x&{87IyV#kZXdHS z{8=w`EBw6y>NfcIYI{4{pzR%KGX&Y*iMIYacNf}BIyVb#19k3hw3(fpy9aIi>D;|& ztI)ao&{h>;dq3LjLFxgtIm6V0Xmf|C2-@lr)Wc}=CaFizHcGSqq3wt;v)O1nDo#C$ zwy`nlF|>{IQghJe*X(h$wTGBJfwl=L>PfUs((Ea;ouJv%Xq&9rGicMFdj`x!+te6W zJd3uoy;Kx!=V|sl+Ah&-9@;MVv3&t;AtyB-ZPQc>(6;Y8;6=1ekFb3SN5y^A%NU>1 z>=lepCz&n8_K)CP2l}-Vvmhp?zYK zT8{RBm-+6a7VQh-)OToqS=WA#_Jv7i>(KsYkopPji(}M!v@c0f z8_>Q|vyEt96=Jpt?W;9QqJ2$**=Dr=V5WXU`=%hZ1?|5^sV=nZtNnm&Xx~|gWWeue z@AgrDqJ59<_ZQlGL(Kk0`(DkqqoZGh*$#9V6Vy(0l%}ZsX9n;4F588Uax=4TbnG9X z(&(sEWzb zN9o*NbTn!EA3Dad9VqDVvmMwE9mfU>D+U&!BaoyF=s3wq8PRb{kSa#U=~1dbI;JM6 z5_Fs$q)O3oUX(JSFmx>P zF*^_)i^J4#bS#NeZgi~BtQH;LIhl<@$6mb$hoTdj9fr;lFWV!~IWS5ciOwN58z;f7q!fMYW()j~)iLqSG5-=11o!Cv^-ukBCv@ z(Rq}r9i3w%%sSB7s+xe#&IGfG=sea;9gEIM0qQt(p6I0}q4VSrbq*%9#He#66)Zpo zo+p{JQq=j9x=h;(By(<@*@cq2CPG~#nLD+;SW+{*%r22^Oy{Oa?-wa%*GTUGZS(&t zFB@idgLDr}Qg=yeae|s9y%U_&-Lhpz0W$Cl>Fy3wUrHt#qE<=rHpy((?03l?6=wE_WRLYxe@eDK zK>a0|C1L7s$qq16+a=SZ+98>5e9U%AW?OiE#qsm{U?-*_KSLxH& z-$8>V8%=U9|1qWd{5+^!vU+?vXn)C0*W0L&%$r`$StRp%jH;B(!W2~{>DvpCL00Kb z>Rh#SxA~YkqVL3YrrB&%oDgL8C@MOfRQ~L-8QRW4 zzi%SU9*5oGs2=X5_M*C8 z+y7AQF&DDIg6e|`kikH86WhW4P_0J*gNsnzl4NT@^)U&`h-!U=4K7CYghC{P`=j~@ z-LDe$=f;^;!SaHSvcmGDwl-K62bfjE@`>(ehvl0vGY2f|wH*q}>v3jISoFnvaQ@$( zeoHbt5DmY?sQkY|=~sVn4P1XlndSc#>OL7a)ThP%&BCLs0iml-Z%E z`&sup9ChDndj#s{hS`or#br8oBTU^8V^CF9fDFDIBm5!i3b=kT zQ&*yPn3D>@^-Yq>pV8Bspst2{mhSR4+*bvey#woF)w{49pzUI~R%*5sHOmTPGWa7{ zn!VJ=upAhr;;;s2r`?UR1mpEwtwR|A4=)05Rvkdk%|JKcL!{qSm4G9j-P1h{l6M z%<|t`O+IQp8r!_o&uE@2dT~QST*|< zo=>98euHOLoZ14Z8IX_A5 zMs0=8{R7V=ZTG-4THAl&4u?3`1J4>C)eBFFW;wWbrI_`>{j+9!QCk#d_8;7zvMm?5 z=XwiSIp8@kNafEoc|A-O!J|i;gz68EJ`O<#6k(TKN!o-qPFH z51u(@w)rz$!V&5KcrH#*6{xkwsr*?l`e-VzglCMlRq$8}rIhE-gjwpOZ14<-Qq^#0 zlaw9qO+m_m+WsMGDBLTPloRgfozyVY)%vIdQ9C?N4M(k8?^O+I4^g>LdsvXIThb$w zltlCF(&y=l!z6o2i0$E${#~;pBt1I8Y_z18>e?eEd#maw z$zBj-d$gnzUTTb_J9X_?$zD;2q`XPe9?hC1BQa(^NiPdg<0L!ZN3}@yoCwt_>7O+7 zOJ+bJlJYj`UTmh0k@U_4mA_t^!AG@AcTV@~ko3YRvrb7Tb-xLcUa9Rw$qd)_SV`Zc zI!@Amo4I0=WIUP$B)ure?0C3-4O1t;v{m;z5w5M;o+OzmDYhp|_Dj8u$&y{ID^8K@ z@+jL=C3{tzI!&^lX?D6~*94fIA=&qW)D%g-p_(e`EqZHbO8S=s+p{DyI8Fs6d#&nh zNvC!09LbavN+~~AG6#jI^I&|}N1c!AnJMZ5SPu_V7sCE=kh%!=RdMQK*vG`EOJMIP zK*}$L{a7z`8SMJ3Tz)z1XXKb&Kz0|d^4o^_mp?cr5&-L*24=}p{O`CnxbTnn1)QxD`tGnEU<}z((pm~s)?agR* z=-e%6_QaUYMDxfn6-Lt*ox2sy?-U{_zYWcwhp5}p{B@GL1I^zBsXNiUK1JPy=6&~N z7Miz3nB9%$9Zu>VG-q^|d*Lf2`JL~RjlX)S{O1!GUm(RyQy*I?Wz zFF?w_gm2#~@hVux1etw>%I&(#*JxfEVzwGJ52(IDvrpUnnHN7M*?x#~s&Qen7{Q5oYVq(XMNMM8~C0X8HHM*K3x4-}{{)v!7vW4pYC# zu9KZq{=M)K0cs-}Z;MfzP%%G2CDG^&QkzjVM6+Mvy4=U?H@K=p)D~1fY^JuN=rkwQ z1;croZG$lvWA;0Y`@RZ)z*wVM{@O~(DBHhaxHCZIud<{+iIi`LAy|l{d_1fLbKQ{q&w0m(3)v8$a(R&I zC%-HSQAM(8qRJqfh6b1!r90uH^6yJ!Rr&X&^ypzoiS#~iW?L%V2RkW~?7B%+CcCZ< zGaDcqW+bSAvdieD2FcdDgVbQz)}5rxsQgpga@lr(li3jI(r3LP`$^Yfn(Z$edLnEO zkY9F$srrSzSxw_7EBLqg2**Ax6KOy#d9cw>OdUr(?;NZFlEcc z(f(d)D4M4ED5vz!(KdfosSAS44#Y{fIH~;m?d#)I4LY`%DHl4nC8+#+@P9=p4?1=R zsQkO}Y1IgH{9|S|5*L7Id7^V(J$MO`FKkMLnCzU_z;67FUtb+%W%<^X)Jfg~< zb?{h}S^lhpsF%v0buceZ<obEDBZHAEeW*0Xh& zqtJT3>S(lHlwvyut(V29v1kp2s3x>p3XmbqXdND>e6sByof{{;FKN~yy>ENjwo31! z6y=xR4^(Z^`;o3VMtYZr*p8Rp&%9K-qz-aY9nzZ!Qu%8P?uk$nWXm7AVxn}74l_Ge zy4nNOandzO+ey+jS+jt2P4%)pUb^%cc*qITb*W}2O4rpocan5X*X(5J3Y)oNvUJVT zEdTE1gPNTxU5{#Znshy_+3C{te2Od1kgeAws43F>YlxZ(!<0C6COY+XVaQqNjD(p5 z(RqK2IvbsLC#iGLd57v;be>;`WXO5w4C-y1kIt!1X89w$jtF%j+ATinB6OY>pe{!1 zs33I-S`Uv>m!kE^ICU9X$0VuC(dzS2SD>{uNL`86W5QGjtsPP7DzuJFQdgtZp|?9t zHl3)tMz;MNV|%T1jqy>}N!Kf8>U!DwzZ7+YY<)67O_#0F0%XXIvUR?;H_6t8su{9% z-(%=z*}6Ewxm#rGk{C5pwyyM2VcELMN!==2SNo{jWb3*(b-Qd$MyWew>+ebGPT87@ zQ+LVMJwa-gbQONj-R#!x=smbkx*Tz4_e+;6MLi(fMwzJxVF;-n zg5lc$vj~bp5h{QE#Oo7O{`!eCbuNGX#JjZ3UqA5yZS&Vpd_2s#{Ph!`jZ^vSC%#yK z49Ql@$AY%wB-OpqdXuSs{`k3t%XZQ!m0$sd@>9p-yHm!{E~F z6&S_@nJt8&MYC67=+Nvn7$#}`6Q)cY`8Qh*Fu48!ywwM?>4s`5u}`<`Du!RfEW F{|~Li!ASrB literal 0 HcmV?d00001 -- 2.43.0